-- dump date 20240512_234133 -- class Genbank::CDS -- table cds_translation -- id translation JR316_0000001 MYEEWLLNASEENTALAHINPNSLENNLVKLGIFKRAIEIGNTQ LITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKILDHPNVAFYGRYIDDCFA IVYAESEALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFIFKESGKLHWKPFVKTGN NRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAIRDLNALYLMRGYPENLV MSWCKKNIQERWEKRFALRVAEHDESILVLKTRFDQVWNWFSAAELGKTVTEYWSAWY EHAEKGLYSADSSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVPDLRKIGLLGS RWIVSRKRNTNLFDLANVWKKTVFRKLDENIAEKGGVVPETQNVNETYHSALVEAAEQ ISIESDNEIILHRRSTSQEREHPEFGRISKSYNR JR316_0000002 MGLPTSTTSSTTTTTTTTSQSDDPLSTLLLPPPNETPTERTTRL EAEAAARRVSEMIDEELKVERAERRRRERGVVRVLLLGQSESDFRMKYARADWDAERA SWRAVIQLNVIRSIITIVEALQAEMDGEPEGEGDLQQSVSPGGSSSAGGGGEASGSGS GVGGGTGREGSKQLSTLLTGKHQVLKMRLGPLRRVETDLKRRLGAGSDEDMGLPLPSP APAAAAAATGDSAATNVLGGTSLGPLSLETEPQGLARPLGAASAQREFGVTRLQEALQ RGQRLVRKGSAQSVRRQGRVGSGRATPVGEDGEGEGEMVDDATEILASCLEDMKALWT DDVVRAVLKKRRIRIEDTAGFFLDDLDRIAQRDYSPSDDDVVRARLRTLGVQEYRIRL DDGPTSIFAGGIGGDAGKEWILYDVGGSRTVRHAWLPYFDNVQAIIFLAPVSCFDERL TEDARVNRLEDSFLLWRTVCSSKLLASTTMILFLNKCDLLKRKLKAGVQVRKYLPSYG ERANDVNTVVKYLREKFKEQLKEHLPTQRASYFYATSVVDKKATATTIKAVKDSILRD YLKNADFLS JR316_0000003 MGFDLRFGRPVNIEQRGLVTESLSTPTQRIDFPRAADLPNLYIE CLNTLAQRIDFPRAVHLLFYSSDAMYQFPANCHLPNLYIKICLNARTQCIDFPRGDLL EDIKGELVTNYDSQLALIKTLLTELSLSPSFPHPPSDAHTHTHRHISVSLTSFSTAAS SIYGPPYLQSQTDMQSCILHAKGKDYSTVSSSFFEAFKNLSAAGMKDSSAAGGKVQDK EEKARAKEVGGDTKNEQG JR316_0000004 MGFDLRFGRPVNIEQRGLVTESLSTPTQRIDFPRAADLPNLYIE CLNTLAQRIDFPRAVHLLFYSSDAMYQFPANCHLPNLYIKICLNARTQCIDFPRGDLL EDIKGELTLLTELSLSPSFPHPPSDAHTHTHRHISVSLTSFSTAASSIYGPPYLQSQT DMQSCILHAKGKDYSTVSSSFFEAFKNLSAAGMKDSSAAGGKVQDKEEKARAKEVGGD TKNEQG JR316_0000005 MSSKRYKYRYIIVSIPTQVAKYGPESNVSGTDSAGFTALIHASR DGNRSTVESILGLPDVDVNVQTKYGETALIWAALKGHLHIVKRLLLVPGIKVNTQSNV GFTALITATREGHYSIVEAILGFPEVDVNIETQYGETALIWASLKGYLHIVKRLLLIP GIGINIQNNSGFTALICASLHGYLSIVDAILGFPGVDVNLQNHKGETALMSATTKNHL HIVKRLLLVPGIKVNTQDNTGFTALIIASGGGLQSIVDAILDFPEVDVGIQTQYGETA LLWASSKGHLDIVKRLLLVPGIEINTQNNSGFTALISASRHGHLSVVDTILRFPGVDV NIENYQGHTALTCASSNGHVEVAQRLLSIPGINGRSEAVLPAPDSQRWWSASNLQLGF RGGKGGLFNAIRRNNK JR316_0000006 MNLDLTNEEGWERADIGEDQVWRLWLLYVPLKNKITILLLMRKS NSNKTQPIVLIASGRVASEMGAECSIAMRSTMSTSTDFHRMHFFEILHHPFAHIVQKH PERNRQEHRMPGGGTSKMSVTTTKLHKRAKSVSETGKGHSSTAFHRVAEVLEVIPFTK VHAHLRYDCAKLKMRDLVEKDDHERGLMGLAARGYPLEWGWDGMMSVRKGFSRPLLFL ELHNLRLCNVLPISGIVSYISFRILALASGLIIVVVHLTRMRLPDEYEYVHNVTPPVF HLCTFDARAPTTTDPGASTFQVILILS JR316_0000007 MSAPPYLNPGNYVIFAENTTATTTTSPLQNGRSNFAVTCPLAAG ANLTITPLTSAANATPAQIWLVTGDGMILSKTTATGQLAQSPIFYASYTNNVPNIVTS SGSTNPAPSTKFVFNVSQVAKTDQGDALSYLATVMTADNADQFWTIDSVVKANLKSTS KRFVFVPV JR316_0000008 MSAPVSLVPGNYVIFAEDPSGTTSSVVGRSNFAVTSPLSSGASF TLTSLTAASSAGPTQLVLVTGEGMILSQANGSGQLGQSPTFYASFSNNSQNIVTTNAP ASPSPSTKFVIHVNQTGPSNHSDALCYLTTIMTADLSDQYWTVDALRANNNVEAGTKR SATNWVFVPV JR316_0000009 MSGPTALVPGNYVIFSENPSGTSTTAPGTNGRSNFAVTCPLSAG GNFTITSLSSAASAQPTQIWLVTGDGMILAKTSASGQLAQSPTFYANYTNNSANIVTI SAPATPAPNTKFVFHVSQVAKTDQGDALSYLATVMTADLTDSFWTVDSVQNNTIVKAN VKSSAKNFVFVPV JR316_0000011 MSAFTVGEEDILSDVVPSNIVSRQPSQGAEAESEYDEGADVEEE DELMSEDDTAGNAARAKKKKQEATADRKMKRQKRKADEGQLQIKRQEMDKAKIADAVK RYSYLLGQTELFKHFVDIKKASDPEYAAIMDAEPKPKGRGRKKQVDSNARHRKSEKEE DEELLKDGEAGLSGDDQPFVFESSPSFINGTMRPYQLQGLNWMVSLHHNGLNGILADE MGLGKTLQTISFLAYLKHYRSIPGPHLVVVPKSTLQNWAREFQQWAPSFNVVVLTGSK EERADLIATRLIPQDFEVCITSYEICLIEQSALKKFSFAYIVIDEAHRIKNVDSMLSQ IVRAFMSRGRLLITGTPLQNNLKELFALLNFICPEIFVDYKDLDSFLHKDDASTGGEG GKVDEQEANKKVVEALHKILRPFLLRRVKADVEKSLLPKKEVNIYVGLTEMQRKWYRS VLEKDIDAVNGLTGKKEGKTRLMNMVMQLRKVTCHPYLFDGAEPGPPYTTDEHLIQNS GKMIILDKLLASMKAKGSRVLIFSQMSRVLDILEDYCLFRGYKYCRIDGGTAHEDRIS AIDEYNKPGSEKFIFLLTTRAGGLGINLTTADIVVLYDSDWNPQADLQAMDRAHRIGQ TKQVYVFRFITEGSVEERMLERAAQKLRLDQLVIQQGRAQIQAKAAANKEELLEMITH GAEKIINNVSEELMVNEDIDAIIQRGEERTMELNSKYEGLNLEDLNNFKSDASVQQWE GEDFRAGKKALNFNLLSLSKRERKSNYSVDNYFKDTLRAGPSKVDKAPKIPRAPKQIA IQDFQFFPDELAALQEREMAAHKRLNGIPAVAREPAGPEDTPEVLEAERQAAQEFIDE AEPLDDDDLERKEECIRQGFPEWSRRDFQQFVRGLETHGWGADATLLAQEIQDKTPEE VEKYMKVFNRKCKTLAEYPRIKARIEEGEAKRIKRENLELLLSRKISSVRYPMQELEL NYPTTKGKVYSEEEDRYLLCRLFHYGMQADDVYERIKKDITEFPVFRFDWFFKSRSPQ ELQRRCNTLLGMIEKEEETRASEEAKLKPTKGKKRGIEEVVKVEKQESRPATPVESAS AKRSKKKKT JR316_0000012 MGLFRVSETKSSRTEAASLNAVIDSLIKYSFETGLLTCAGTVIS MICWLTMPTNLIFMGLHFVIGKFYANSLLVTLNMRETIRRVRSQRSKEAALAGENPVH VRHILDSRRRRGSVAASGNDDHFAVRNVANTPNDVQALTTATVTDLSSSPSLSSPA JR316_0000013 MSTIVSNIFSTIVSFVNGIKYGFASGCEIVEWLCTYGGPAFGAI ARYINKNSEAPVVLRNRSGGKLISDMEAQHSPANDADFEELIRVRQEIKTLKKILDNR SARTRPGTSEAHEIQLDRFELERKKVLNDIAIEAQIRATYPARALYLAMCETYDVAPV RTD JR316_0000014 MDTFLDTEPVLGEFTEEDLEQEQDTYQKPQTDADRTDGEGYETN TAPSQSRSSSIRMVQSQSQLQQGQGQD JR316_0000015 MYHRRLADKQTGKTSIGNIFIAGLGAGVTEAVAIVTPMEVVKIP LQAQQHSLADPLEAPRYRNAGHAVYTIIREEGISTLYRGVSLTPLRQATNQGANFTAY QEIKKLAHKYQPDLVELPSYQHMMIGLISGAMGPFSNAPIDTIKTRLQKAKATPGQSS FQRIFAIAADMWKMEGVRSFYKGITPACCAWHPGRRSCSRFMNA JR316_0000016 MGSSRQTERKRTIDHPEGEDAHGRVAGGIIEEDEMEVENDARGF MRVRLDEVRQKMDAEEQARDVFRWNGQIPVSEVLYSIHLAEPPHSIHPNTRRHRNILL ALLPTLSEQVLRAMDVDAVKRELQARSRVGKGNREQQVLGIGNGNTPAQAPANKRSVY PLAPYPSTPPPPQPRQTPPQQAQAQPQPHQTLHAPAHHHPHQRPHRSHSRRPHPCSCR APRRCIPRRCTRSPVSLHSPYLSLSGTESESISISHDTQEISSSFVSDNGSVSRSWVV EGSGEGSEQGGAGERAPSPALSSVSGVSRFAFEFDG JR316_0000017 MSASTLTIHFVPPTPTSSSSSSSAASSASLSTLPTSSNPTPARR LSPPPPPPPPPPPPAPIFLSLPPPPRPPRTYSHAPTTITSPTHNLTLIRTAAELIELD VKLRSTHPGLKIPSLPIDPAGLPLAQQKKGKEKKRKSTFLNTLSRLASPGGGKGMGMS MGIGIGKKGGNTPLVMAPAK JR316_0000018 MSSSHPSHEYIRHLPLITPRIEFLLPSQEPLPKITPRIQWTPEL LQRHAIPRGAAPQPSSVAAPGPEELIQAEGPSGQDTVPVPPIGPSIATPATHVQVDPG QPIDPAMILQVAGPSHPRVTSPVPGGEMYKKPKGEPGRRGSGGFNIQTVLRDDFHWSE EDIGDMVGYITREARRSLDMSKSYRSQKKEKIEAICQEATRRWPVLRDYDKCWPVHSV LKLKLKYRAEAHRRVEGRRESARVRAALANIAGVNDAE JR316_0000019 MASAKGVTMTSGGAGGKVLKEQELSPVVNDSNHQHPPWQVTYKL PSILPINTLLFSEDARLLISGGDDETVYVLDTKTGECIQTLEDDNWGQITTVVWGQQE LPNRENGVVLCIGTGRGCLALVALDYDATEPFPVGANTSPVFAFNDSVEKMAFDKLNN RLAVTSHSGEIKVFAVNNTSLRLLWADNIGKVITSGLFFFGGSNQSLLTIGLETSEMK CLDASKRGPPLWTKHLIGGIGSASLSSDETLLLVDNLATGNFDVYQIADNSPLRSLPI GSTRRFSKQCAFFEGSKIAVCGSDTNKVFIVDVANNYVVQTLTTCRGTYMTQTVCVTP SSSRNVFVAAACRGYVYIWEKSTPQVQVNVRADDPPPSRYRRVGSAILPYLGFAVAGT VGHWLPHAWKLGQDIIMKTADYLIQNGADRTMELPREAVDKIFEMAAAASRALDMSSA LVSSRTLDMAAIATTTYTAATATATMTSPSATSLFDMAAAAAGH JR316_0000020 MSQPSRSRSNSKSSVTGATPIYTAMLSREHYIKAVSARSRKNAG KSASRQRRSPEAGDASMVAGPSRFQEVDDDDMDQSFESNSTALVTNTLTSNKTQAAGS IQRAYTAPMVSSFAENREVSTEGPSTEIANVSVDAGRDNLMTRRPPIQVANVNAILAI LPGRNELFPAVQHNRSIANGSYAASTNVQNDRGITNQQSGVDAGIDQVIISQVREVQA DRERIAALERGLTEQGETFKWEIDKASKMYTELLKEKVAQENLYLETKRENDRLKELE ETVLGFAKCTICYEFMEQICV JR316_0000021 MKRVMRNVRQFLDTEAQNGTLKASCSECCDRLDLTVFTDAFIND GAEVDGEDHIGSMAFHRPEDDSEEDSFNQLLARLEAQAKGPRQPRPVIRLEEEDRITM LQEKIARLPLENDYPLWRVGCRIGSEDAAVLSLLQTAREIHHIRSAFTRGSIRGSIYV EGIMDPALVNLLLSTPGILRNHLGVKREIVDRNHQHELLTMRDVKKDFEVGTWVLVKK GIYKGDVGLISATFSWGAQVLLIPRLNSQSAKSQKRKSSVLVPPAKLFDPEEARKLIS TPIIRNADGSYTLGLLKFDHGLLEKDFDYTSIANSVMDIPYSHFSMFRSTNHPDIMRA RMPRPREWCLGLEEEVLFRTPDVANRSAKWEPAVLKKLDTYDVEAEQSTIEGEREIRY SVRGTWLDILKSPKIGQFVRVVSGPYFDHRGWVVGIHGDHALITKSSVHGRISIVETN AETSSTPTNGKEQYPVPTGNDDLRKGSAETDLGTAVEAQMMPGGIMVHSDIALTLDES AATLDEEEMSMPGGSSCVTGVGKPTAAQESYNQGAVVSTTAASNQFNSGVGDDNKVEI VEHFAVHVNLLDTSFTEPLPLVDVTLLSESDPVHTKFLRHPWTGLEVIIQKHLHPRKG ETGRIKDVLHHTDNAGLQLVIQLTRFNPFAPFQTIVVDYDDVVELLTFNELVLFLDPG PKFFRPIPKSSMKHVRVLPGVPQTIASASGTPMYSEPTATPAWDPSSRTPIGTPQSIT PAWDPSSRTPDPTAHSPTSLALSDVSTSVSSSSETNCHTTSSSVCEHVLLNPKLVDIS LNVVVNGGQFSNKTLVASTVWDANNLVLRCKKYSSWTMVDPAWVTPKYANRIHDNGPL VVIKGEHCGKFVRRIHHEGTSDNPTVLVAVVTRSKDRVDVLTGERFILSTDFLCSVPE SKKDRDLNSNVMTQLKDQYKKKIL JR316_0000022 MDPSLAIQVRRLASQSLDVANQWKRQAMLVLHREKDANVIHLRN VHGGYGNQGLSGISANAMGHAT JR316_0000023 MDDASMENLSLPGAFNSSPTEQMDITSSDDVTRNHPGPSQTPQS PTEQMDITSSDDDARNHPGPSQTPQLQSQILKPQESGKRKRSDHEEISSRKLIAVEPE YLQELEFAVDELTKKLKSKDKEIKRLQSIEPSVNDLKDAEIRHLRVVEQKVETLIIGK IYISKEKSASDGAYKTLQSQFEDYKRVRFPGFMLSYLRLSIEQDVDRQHKEASRAKEH EMSELEAKFKQQIEELQIHYDRTVEELNKKVESQSLQISSLVEDSLEESETAKILLNE PDGEGSNILEEDTSHIKELEDSNMAFTERITAYEAQIAKLNADLEEQKAESSKLTRAV QELEGQSVDGISKQERITELEALVRAKTTELEELKHSTSHAQDLENRINELQARLGEF EREREGQSIDGISKQERITELEALVRAKTTELEELKHSTSHAQDLENRINELQARLQE SDSEREKLIQAQQSSSHRENVLASELQTVREKRSEQIKTLTAQVRLLENELSGNQTAL KDQGQELERLRAASTQRRTADEAEIAKLNADLKDQKAESSRLTRAVQELEGQLTDGID RENRIVQLNNDLDAKTAELKKSREESTSHAQQLENRIGDLQARLQESDSKREKLIQAQ QLSSHRENVFASELQTVREKRSEQIKTLNARVRDLEKELEQAATNSRDSLSKERERYQ QMSVEFQKQQAALSQTTEDLSTVKAALEKEFEDHGNTKSLLELLQSDLVDSQKAISIL NSQLEATMADHIEFGEEAQDSDSAMDPDAPESTPSATGKSQKAAGKRRQMSMMPDTTL YTLMNHRNGDLQVNMEPENSAERPTFSNFFNQTEFTPTLSTSANRSSPVRDGDLASSS NPGPSIPNRFSKPGHYRRGRATHQSPPPPSSAIPRSGRDDVRGVEASTAFHADTPATV ADPSVVPPHSVFSSTPPVTPVPPVASVSAAERAFQERILNTLSTLSSDVRGVVSDVQE LRSNANTQPATPRRRIPNRKPYSPYKQAGPNASRQLQQYLLGISEDDDIFIMDSIHIA SPAEVDKFENSLRDPPPLEPLQLHFDQVKVKWNAYLADLFAEQFLRLHSNLNATADQI KEHFMARVQMFREKLISIVPRPGETSEQCFDRVREERLNANRRKRRRTRQRNKLYVDR YSNCIDAGRHDIADVVLTLGEDGMSEDETDGEDSTVVLLTQWRNPKLLTPLKIADSLR PATSVNGTRRPGSRPLKRRRLQSAREGYQPAPDQRPENYYYSSWRACLSRYDIALLKM KDAKPFFGE JR316_0000024 MPVTREGHDVDNHSDDNDYQNQKQMIEAKLKELISMMRAVFCDT HGRRENDDEDGKNSEQDEQDEDLDPWPANSKRSRRVQWRLGRKTKRSRRNFRRRMRGA SRSPNKLRGSDSD JR316_0000025 MFNKAPLPLITPRIEFRLSQLSPLCVPRNPPEEIKFIRRSRFLS QQGEHLEVDHSLIFWPYLAVKDALKNVLFSFKEKGNASPSAGKSGGTPKCTRGHMPGY FEVDYLDGMLEDSIRADTGQRTRHRKSFEACLTHTILTQVVRFTISFLLFYTAHLLSR TLTIPTDISGWLPLHLGYFYNCFTSVSRRSGTEKTRALSSMTLSDIFGVLDYLSWSLF TAAFDEERSDSLVRNIAMHNSVDVFSMGESAPWAAIQQHFFSKVSSHPAWLAPSADIF TGLIIASLIVLIFVAVFLLREWMSQNARLGVFEEEELPDLPPVHAPPPQPQLQHQPRL VRHFAPLDPASLNLERGGNAPLSMSVRSRLYVWRQCVRIWTQTSPWTPTDGEQTPK JR316_0000026 MSASTLTIHFVPPTPTSSSSSSSAASSASLSTLPTSSNPTPARR LSPPPPPPPPPPPPAPIFLSLPPPPRPPRTYSHAPTTITCDNKHDTAHTSTHTQPHAH THRRGAHRTRRETAQYAPGPQNPIAAHRPRRSAPRAAEEGEGEETKVDVFEHAFAAGV AWGWQGDGDEYGHWDREERGEYTACDGSCQVGPGSVWLVWCDDA JR316_0000027 MRVRLDEVRQKMDAEEQARDVHRNILLALLPTLSEQVLRAMDVD AVKRELQARSRVGKGNREQQVLGIGNGNTPAQAPANKRSVYPLAPYPSTPPPPQPRQT PPQQAQAQPQPHQTLHAPAHHHPHQRPHRSHSRRPHPCSCRAPRRCIPRRCTRSPVSL HSPYLSLSGTESESISISHDTQEISSSFVSDNGSVSRSWVVEGSGEGSEQGGAGERAP SPALSSVSGVSRFAFEFDG JR316_0000028 MYHRRLADKQTGKTSIGNIFIAGLGAGVTEAVAIVTPMEVVKIP LQAQQHLLADPLEAPRYRNAGHAVYTIIREEGISTLYRGVSLTPLRQATNQGANFTAY QEIKKLAHKYQPDLVELPSYQHMMIGLISGAMGPFSNAPIDTIKTRLQKAKATPGQSS FQRIFAIAADMWKMEGVRSFYKGITPACCAWHPGRRSCSRFMNA JR316_0000029 MDTFLDTEPVLGEFTEEDLEQEQDTYQKPQTDADRTDGEGYETN TAPSQSRSSSIRMVQSQSQLQQGQGQD JR316_0000030 MSTIVSNIFSTIVSFVNGIKYGFASGCEIVEWLCTYGGPAFGAI ARYINKNSEAPVVLRNRSGGKLISDMEAQHSPANDADFEELIRVRQEIKTLKKILDNR SARTRPGTSEAHEIQLDRFELERKKVLNDIAIEAQIRATYPARALYLAMCETYDVAPV RTD JR316_0000031 MKSLNLDEEVAYCRDLLAQYPPGHPDRFDPLRDLAGVLSLRFED LGVLKDLDEAIICYEDALNILPPDHSDGGAVIFDAATALQYRYNKLGFIEDLDLSISR YRDCVRLCPSGHLYRPWSLHNLALVLQKRYERQGGINDLEEAILYNREALELRPPGHP YRERSLHNLSVALQNRYEQKGSMDDLEDGIKYNRELLGLLPNGHPNQLRSINNLAIAY EARHHHFGAVDDLHAAIFLHRESLALRPPGHEHRSTSLTNLANVLRTRYFVNGNVEDL ESAISYNRESLYLRPTGHPGRAASLNNLANVLCTRYQIQGSIDDLEVAISLHRESLTL QSPGHPDRPKCLCNLANALETRYLKRGAVEDLEECILCHRESLSLRPLGHPDRSDSLQ NLGAALHNRYENGNKGSKEDLEEAILLNREALAHCPPSHFRRSSSLGNLAMTLETRYE TEGALTDLEEAIALYRESLGIRPPGHPDRSSSLLHLAYFLGRRFIHSQNPDDVQESFR LYAEAATDATSFLPHRLESAIGWMEQARVYQHDSLLESCRAALHLLNRSLINRGDVES QQRFLATTIVPKYLASDAAASVISIGNLQATVELLEQGRAILWSKVSAYKDPLEDLRQ VDEGIELADRLESINSQLERLILKSQGSTLETQNSSWETVLDTQMRRHRILSEEWEQV VDEIRQLEGFENFLQTPPYATLQTVASEGPVILVNISVHRCDAVILVRGGTPVLVPLP HVDRMYLIDQAGKLRSPTENVRMLRELWDTIVSPVIDRLGDLGVPHKSRIWWCPTSEL CTLPIHAAGSYRRGAKNLPDIYISSYTSTLFSLIKARINIDQLPRIPKLLLVCNPGKD LLKVYDEVAVIQQHGDHISLLLQSRATCRNVLSRLKEHTWVHFACHGNLGDENQPFRA SFKLYDKRLTLLDLMRAKLPNAELAFLSACHSAAGDSHTPNENIHLSAALQFCGFRSV VGTLWEMHDEDGPTISKGFYDYMFRNGPMADFKDSAEALNVAVRTMRKNGVPLERWIM FVHIGA JR316_0000033 MSQTPVFVMNTAPERQSGRKAQISNITAAKTVADVIRTCLGPKA MLKMILDPMGGILLTNDGNAILREIDVAHPAAKNMLELSRTQDEECGDGTTSVIILAG EILAHSLSLLTRDIHPVVIISAYSSALSSALSTIKSISIPISTSSDTEMLSLIKTSIG TKFVKRWSDLMCQLALRAVRTVTLTEGPNTIVDIKRYARVEKIPGGEIEDSRVLNGVL LNKDVTHPHMRRHIVNPRIILLDCPLEYKKGESQTNMEFSKEGDWARAQEIEEEQVKR LCERLIELKPDLVITEKGVSDLAQHVFVQNNVTALRRVRKSDNNRIALAVGATIVNRI EDIRESDVGEGCGLFKIEKIGDEYFTFLTECRSPKACTVLLRGPSKDILNEIDRNLAD AMSVARNAVLNPILCPGGGATEMAVSAELHKRAKSVGGTGKGQEAAAFRAVAEALEVI PRVLIQNAGGNVVRALTELRAKHANGEHSWGVNGDTGKIVDMKEYGLYESASVKIQTL KTAIEAARMLLRVDDVVQAVRKDQEGGGAGAGGGGAVPEEMMEAQ JR316_0000034 MGSTGAGKSTFVNAVSGKAQATVGHEIDACTKNVQEVTIDDPDI LKSMYPQNARLHIVDTPGFDSCTKDDEENLKTIEKWLKESYGLDALLGIIYLQDISLA RCNGTAKRNLQMFKNLCGKKALRNVVFGLTKGGRVNDPELLKTRTESFKHTEGRHTKG EGVEAEVFHVPDVNSTEHSRKVVTHLLTCYCRSIVLHIQNEFIQKRIPVPNIKAGQEL SMTIKQLLDAQKRYSQFSTATNLEEARQATNSLSTQLKKLKVPLSKRILRFFGF JR316_0000035 MEVDDCPESCTMIIKKVVVNATIMKQFPQFNGHRVLLVDTPGFN RTEASDSETPNGCELGGVIFLHDISNDRVTGINFVGDSISREFATLSVVLGFTKGAKI SSQKITHRIDNLKEGYWKDVIVNGAIVERIDHRNDSESARAVIQAILRAYLDKCARKI VIPVVGMAGAGKSTLINTILETQNAEVRRDNGKTCTRETKDYTSNNIFWYHRSCIIHS VVFVDTPGFVDPIAFDATVHSKSTEHADKLAAAGFDFGGVIYVHDLTSENVPDAWSIF LYLFEKYKSRGASNIAIVATKGGNLRDTATSTQRLKTLEKNQWKQFILRNSQVFEVEK LGHPSIQAREIVDAILERYQPTRKSSTDNGSTSLWAWSG JR316_0000036 MTSNQSRTLVILGKTNATVGHDMQSCTVHIQEVQITDPEITGIV AIPNPSLLIIDTPGFDDTKKDDVVILTRIAKWLKKSHGIHSLVGVIYLHDISLDRFTG TAKRNLDMFQKLCGKKAFKNVAIGMTKGSRVNDSGALQRRTESLKRTQWNHMIAEGVT VFSIPEKDSREDSRKVVKHLLSNYSQSTVLQIQDELIKKKLLVPNTEAGLELSATIKR LIDAHKQYTQFSTASNLEEAKREIERLSKQLKELKIPLSKRIMSL JR316_0000037 MFFNLSTYGRIANDGIIESRSIHKHDTVDYAASVVPEKISIAPA IPTTLQSISYTVWKRGANRKRKKTPTYCTGTSDIVRTMDLLDDCTIRDNVPPISIF JR316_0000038 MVCGDEKVVVGHDIQSCTSVVTPVEIDVNPFLHQFPWLKNRTLL LVDTPGFDDTYLGDMEILTIIADWLKSAYKDHVLGGVLYLYDVTNDRYTGSARKNLDI FRGICGQDAFDIVVLGASKGGRISPELGQQRLKALKDTHWKEFISEGAEIVTIDDTRQ SAKNAVAQVLHSFTKKREESKKQAAIKTPAVTRKEQKGILNSEDHITALAIQEELVDK KFIVPETSAGKELRVTLREVLEYEKKYLELAKRDGDEDEETKQELQNALEQIRKLSKQ LEQLKVPLGRRLLSILR JR316_0000039 MIRAIKIFTFLGKDSTHDSSSFPAIIVLGIQGSGKSYFINKLTC DTNVVDISDDINHRQLQPSKTPVNAPSLKQYPNIPEPLYIVEVPGLDDYTRSDEDILS ELQTWLETEKCVLKGAIYLYDISRDRYPVSTKRAVDDFFRKWIGQDARGKVVLGITKS DIVTDETLKNKRLEALRTNFWKGIMKRYEIREIIDTSSPKASADIVKILLRS JR316_0000040 MEKTEELGHWNTASSTGRNLIVCIDGTDNQFGDKNTNVIELYNL ILKGVEHNQRTWYNSGIGTYYISHKIDSAVAWNFEKTILGAYRWLSDNYEPGDRIFLF GFSRGAFQVRALSAMINKVGLIHKGNEMQIPFAYELYRNSDSGTTTDIGLRDASKTTR AARFKKAFSVDGVKVHFVGAWDTVSSIGFTRRKKNLPETVDGMKHVCFFRHALALDER RVKFLPEYAHSGRSPNNIPQSDDGKCAPHVLEVWFAGTHSDIGGGSVENPELDRSRPP LRWMVFEAGKFGLRTSVFDQELSPHQLTEVKESLTLNWWPLELLPHLGSARVIHAGQK IHNTVILTDKSDYVPKALPEGKDSQFWQQLRNDSTTQSDWLEQDLYKYAEGLVRKYRD TQDKVILVMLRQIAVSACVHVLSGHEGSVCSVAFSPDGDVVASSSLDRSIRLWDIETG NELRCLSDPATYMTSVAFLDDGIRLVSGSYNAKIFTWNTKTGTIKGDPFEKHAEPVTS ISASPVGTHLVSGSDDQTIRIWDMATGKTNSDPFQHCDGKILSIAFSPDGRSIVAGSS SGSVQIWDIKTGKSLTGQMTGHTGPVWSVAFSFDGNLVISGSSDKSIRIWNAITGQGV GNPLYGHKGWVSAVACSPSDERAVSASSDCTALVWDTKQMHRVGKLIGHKGKINSVAF GSNGRRLATGSSDRTVRIWDVTKTGL JR316_0000041 MGATGAGKSSFINTVLGTDFLKVGHKIESCTTEVTAVEVPSEKL QDIPLLSNKGIYLVDTPGFDDTYKDDVEILKKISKWLKASYNEYALGGVIYLHDISVD RYTGTAKRNLQLFNKLCGESESVLDRVIIGLTKGDRIQPDEEIRRREGLKQRQFNYMM AKGVEVLRVSRGDSKSGHPNTILRNLLHKQQTRLILRIQEEMAVMKKVVSQTDAAQEL RLTLAQVLKLQKQRSEVSVAPTDLEEARRQIQNLSDQLKALQIPFSRRLRMLLGF JR316_0000042 MAMPYDPTTSTNGSNRSSWVYDYDTPISTQSPCVIGPTGSGKST FINMILGQERMKVGHEQESCTSVVSAIPVLPSKASVCNRIGNRKVLLVDTPGFDNTEV DDMKVLGEITNCLEDLYRHHHTMGGIIYLQDISLDRVSASTMMCIDLVNKACGILGLR HVVLGATKCNRLSAAEVENRMIQLKGVHWKGMMDMGAQAFPLAYDPLSDAQSLLDAVL ERFEPGVLLDIQREFRRTKDIRNTSVGKRLIQYISRWKRAFQRIFQFDFF JR316_0000043 MAPNKISDPLKIMIPIMGATGAGKSSFINMAIGTESRKVGHNIQ SCTFEVAAVEVPPSKLQDIPLISNRKVYLVDTPGFDNTYHHDVEILDKIATWLKESYK EFTICGVIYLHDISADRYTGTAKRNLQIFSKLCGDSDGVLDHVIIGLTKGSRIPSDEA LRREEGLKERQWGHMLSKGVEVYRVSEDGTEIHPIDLLRKIFQKHQEHLTLRIQEEMT IQRKIVPQTDAGLEVRLTLEQILTLYKKQSEYAETSADRKAIEKKIEILSNQINTLEI PLSRRLRMLLGI JR316_0000044 MVDIGRTFGALMIGGIIAAVFSGMVTSQAFSYFKYYTNDPRALR GLVALVWFLDFCHTIFITTALWDHLIAHFGDAARIDFIPWSLAITIAFTAVLTFLVHL FFVYRIFKLSKNNYIVAVPLAILACARLVVILTGACYIFTFGRLDYNLSVLSSPKEAQ KEFKSKPHTGLVDAIHIRNWVDNLWLTMHTNLIFMGIHFVISKFYANSLLATLNARKT LHPTSHRAQGSYDRNMPIMFPNNSFGLSSNNTPSLKIKIDETKMSRSDGTSSGSGSRN PSTVEDHDVKSRGEMDVC JR316_0000045 MSYVGIVLIGPLGPFLTKRTEAISFVAVIHFINTPAKSVGHVSP SSLPRSFNQLIMVVDVERTFGALLIGGIVAFNLSGLVTAQAVAYFKRYPKDFRFLKLM SLNRFLDFLHTIFVSIALWDHLIVHFGDVSRIDFIPWSLGATVALTGILTIFVHIFFV HRIYLLSHGNFFVVIPMAMLSALRLSLAFLTTIKMIQIQSLSRFTHLWKWSFTFGLSL CSILDMLFAGLLCFFLRQNQKKNSSMNNIINSLMLYTFETGMVNCAASIATLICWVTM KDNLIFLGIHFAIGKLYANSVMATLNARKDLRQTGGRPSQFSGSGTNPQAIMYPDSFT VSKGSTVKIMVDQTKVSTFE JR316_0000046 MDAPTPSDAVVAASTPTVVVHHLEDSRSQRVLWLLEELNVPYEV KKYKRTPQRLAPKELLDVHPLGKSPVITDGAVTLAESGAIVEYLIKKYGAGKVDVSES GWIDDLYFTHYSEGSVQPLLVRRLVFRLLPQRVPALIRPIVKNVLTKADSLMNGPDLR KHGDLIEKHLEKNKVWFAGGDNPTASDYMMGFTLEILVNRAPEVAGPKTIEYVRRMQA RPAYKRALEKGGEYAYILP JR316_0000047 MPKSITQVKLRVLNLLCTDPASQPEMFKRIKLPNLEGLRLENED FKQGWKLDIYLPILRQAPLLQFLTLSTYRSRIPLIGAPPRHGLKHRAVPDREMTRFLK AIPSVVCLRLPLAININAFVVEELARGCYIPLLETLELGSLHGEHIVEMIHRRNYLVE NTLLCSPVLGETSAMGASGRHSSTEASTPIRRISRLNLSVEEDEQVLAYVHHSLRAYQ GISSETIEVHITSHNPRLFYHPE JR316_0000048 MASPVPLRYTSTSRPTQHLVQLVRPTLSNPSYKLTKTPYSGDSL STTGFNITGPLPNTLNPLGNPPFPGVTEVGGENWVGFATASFNNTVVLTYNFANSNAT IARATLTPTSSSAQPYVTSLVDQVDLFLSSVGNASESAPWTSANALFSIWMGSQDISL GFNTQQDQSSSSDTLIATYCTQVERLYNSGARNFLFANIPPLDRSPAMLNQSSTTQSL FKSSIDTFNLNLQARLASFQSAHNDIQTFLWDTNAQIANILDNPLYFEIQDITSS JR316_0000049 MSDNDELWTTTDEESESDGDPFSTPRAKVRSSLPPSSISRVSLA TPSSNITGSPETKKQKRRRKGHKKRAAALRSREVAKQWKDIVSKSKHLDGILSALENA NLRVWDLMEYVFNPIHKKGVIRYQHFFSKPENPIMLLDWWLSPDNQSKIAKKKIDEWI TTYCARKIAREALVITRSRILLTMNKTIDPNLANQFSYNQLYNVFCTELAPVAMQMLE AFSTSHRANTHTIARKERTKKATNTLHARLSESYTNLTTKNLVRMRKPKLSSRTDSNP FLDPDTSNKVVVVKKRTGTLYQLSESARLLARKIAATGLFSTDYDNVNMRMHNTEQII GRHDSQENGTCATLIPLFDAKIEDLNLQKFQLSFLEAPILQIQEILLNSDEQKSLKAN LVHTILRIIINHGGPGFQRFTKELAKSQPQTPDIIPLHKTNLHPLPTWPIDESTITGN ADVVTAIMQELDLVDSQGKPKNPDASNQVRFLGGDQLSLARLRALEYIRAGQESGLEG YFWGVWIPVSNYSSLDKYCANVTTWQALYHHAEMILEKYANSLIVEELRDQRNEEMDM SDEENKKPTQGDMVFENASLFLRDALITREFNDAIKSGDSGRIILVLKTWALSFRGSG RTKYAHEMLHLIHNLTHVWPKAIRDIVLKNWVLNPSGEPNRFVEMDLVQEHLNFRIKV LYKAKGSNASWEWLEIISPCVVALSDLQKMLNDTLGSDQGTKHAPPDLTNDIRSLMES LSDHEVYTIKKGRSLNDDEMVKDVISVGLQNLTTGSKNPLSDYNNSFKKLQKRRKMNP VSADETDNERDIPAHPTSIKSEPLDIQIVPNAVSTSSVYSNHASASDSMGESSMQGFG DDMEVEESAEEADNSEEVEETLPRATERDVDLDMDLEEIEEEYDVIEDSEDSEFEGEG DG JR316_0000050 MAKYTSFDDILNIHTELHTTFRSGKTKPYAWRHHQLLQLARFAQ DNAAALAECLRLDLGRAKQEVLMGDVIPVISRSLLAAEQLEAWMAPEKVQLCAPWEEG YSASVERHPKGVVLIISPWNFPIILSLQPLYTAIAAGCCALIKPSELSHHTSSFLAQT LHKYIDPSAYRVVLGGIPETTMVLELKWDHICYTGNARVARIVSAAAAKHLTPLTLEL GGKSPVIIDATNPPKTSPPPSPITLPLAARRILLGKTLNAGQICIAPDYILAPRAIIP ELVRALREAYTAFYPLRPSRGAANVGVGEGGGGEEQGEEEGEEGGGEGGALKTDSLGS IISDTHFERLKALLRATRGTIVLGGNWDGKTRWMEPTVVVDVEEGDVLLDEEIFGPIL PIVAVDSMDDAIAFVNRREHPLVSYIFSNDEEFKRKFISSTTSGSVWIGDTFQQVGVS QVPFGGIGESGHGRQSMKAGFDEFTYARGVVDIPPESEPFLAGRYPPYTAESTGRFEG IVRGFVIPPSSAAAASSTPTSSS JR316_0000051 MDRLKHLKQLIVLSAIIVSTTASPSGVHEARQATSSLPSGWSAI GCFSDSTDARTLRTAAFTDVTGMTIESCLAFCVPAGYKYAGVEFSRECYCDNNIESPG APIDASACSMPCTGNSGEICGGSNAINIFQSTPAPPLPSGWEAVGCFSDSTDSRTLRV ASFTDVTGMTIESCLEFCTPAGYKYAGLEFARECYCDNVIESPGAPIAASSCSTLCTG SGVEICGGSNALSVFQTTEVTPPPPPPASIKQTAGTFNYVGCFTDAVNGVPRSLATKV MGGDATAETCTAACKTAGFALAGLEFGGECWCDHYMARANHVPDSDCNSVCDADHTEL CGAGNRLAVYQDTTATQLSFQNCIPSSLITPNSQTPYDFTFIMSPGPAGGSPVPLALI PNGDPFPIHAGATTEQFWQLSGRTFVPTTLTPKQPVEFALNGGFIDPDQVTQQFNQPI QPEPGSPMQFEATGIAVDDFSGYCAMPNPSITFGSLFGPPVLGVTADGGITGQSNIWS SCQSSPGPLDPIFQASAPRNVNCTSVFLEMPPI JR316_0000052 MYDDTLPVVSEDMRRDRFLTNPAIVIINKLKLFCKDCSHFIVLE TPYSIEGYTRHVQKCNQLAAQASFYIRAKADPRSRRDLSRRGRGGGVSANISAASIQD SALHWHSSTFTYPNTLSRHPDPLHPRSEYPHSHPNNSSLGHHYNIVNQPNYSQIGCPY LNETYCPTDGLQGTFMPQFFGFVPLRPPQAPPYAPTNGTSSLSATAPDYDGTIQTAAA VKALTTPVATSCAAPPKQPTPVALPPQTEKVILPSINSWFPSRIDSGRTTSSTCITDP STVCKSHLPACLSKHSLDSAN JR316_0000054 MPRNFDNLPDVASTRARPEGDTPPLRTDKIKNHLASFFGGATVD LRNCTFIETSGDVVINSTSEAPQSVRATPGIDVEQSSQSSSDSAPFADSDTEGAPSFF VGAKNVTIFGGEFTRTVVKRGRYTTTTAVVSPDRTRRTPGLRTESRDAPGTSTSAHRR KHHRDRLPKRANDSDGRSDGVKTCIVSQDENGRSVLWVPT JR316_0000055 MSTIWRQFDLSTSYEEGDVVPDWIPTAFIELAGREIINHPESQK RYFGKLTGYQSRESQSLYKLKDESEEGSEIRLIAIQGPFDPNTQPVVMGVDVTRESRN IAQPVPHPAAAPRDLNTQVDEIRPLANSAVMDRMEYRGGGNEIRQTSGSSRNLRRAPR RHRLRQAAEDDYESPIQAFERVPQQGADAAQTTFGGYPSSSQSAGTSGMDQDIKVEFD EDGVHIGRNLSNVSLSGDFSYVAGNTIRYGPSGSVEKVIRGPVMNMDNITFYKR JR316_0000056 MSSSKLSVSSVRGSIKELLADANGEKKRNFVETIELQIGLKNYD PQRDKRFSGTVKLPHVPRPRMSICILADAADIDRAKQIELEYMSVDDLKKLNKNKKLV KKLAKKYDAFLASEALIKQIPRLLGPGLSKAGKFPTPVSHAEDLTNKLTEVRSTIKFQ LKKVLCLGVAVGHVQMNDDQVLGNVMLSINFLVSLLKKNWQNVKSLHIKTTMGKPVRL Y JR316_0000057 MSLSSFVFLATVLSSSFALPSDLNSRQTAAAPNPNAPLPGWTFV GCFTDAVPQQRTLQEHNIVNPGMTPALCTEFCGNFSTPLNFAGTEFTDECYCDFNIQG TAVKVNDTQCNFPCGGDSTIDCGGASLISVYQNSNTGVGPIPTNKAKVGNFVFSGCFK DVVGTSQRTLIQSIPIPGVTIERCTTACGASGFTMAGLEFGQECWCGNQFNPLAGNVT AALTDCSRACEADHTELCGAANRLSVYTVPPVVSSSSAAVPHSSSSTSVAPTVSASTV AISSISAAPTSTSVKTGTTVTATASTVVIPITSILSSPIPISSIVPKLP JR316_0000058 MSFARLALFASFLSGSLALPSELSQRQAAATNPNAALPGWTFVG CFTDAAPATRTLQEHTITNPGMTPLLCTEFCGNFTTPLNFAGTEFTDECFCDFNIQGT AVKVNDTLCNFPCGGDSTLTCGGASLISIFQNNNTGVGPLPTNKAKVGNFTFDGCFKD VDGTDTRTLLESFTVQGGVTIEGCTGTCLANGFTFAGLEFGEECWCGNGFNLPLANIT APLGDCSRACEANPTELCGAANRLSVYSIPPPVVSSSAPPTTSAPPPTTSTTAKPSTT AVPPTTSTTSKPPTTTAPPPTTSTTAKTTATSAPPTTTTKAA JR316_0000059 MRHITSQISRKTEQKNEPDVMKLEVEHRGPKHIILTEVKNLPND EQRRIDVSIQHFNLKHYFIIFSESTVGSSRAGVVIAEVKWDLEVAPITNTYLAQLPPH SRLIYKNGKLRFEQEMICGSLSSFIQKDPVIRDRERISATTGVRERFTRRLSAQRGAQ SHPRPIFCEFHSTCLRKLPKKELNSYTGHGDTMTLS JR316_0000060 MSSLRIFYGSSHVQLNGIVTTHGSNPAYSLKLSPDGRFLAIGND VGLLEINNVDTAEISGYIHLLQFSPDGGSLAIGHGQSLSIVKDPFMFSDDLGTLEKLN LPLQSDIQNFTEYQLARGVFFASKRIVIVTFLGRKGIILGSAAISPSGERLAVMNLTD GIDFYSTTHRRLLSTTRYGLSTRNPPRNLIVDIIFIDEDTVAFGHSDGYVAFATFGIG EISRTFSIDGHRFRAPIQTITFGFVERRPFVFAIVHPFDPWIPSRDPRAINIHIGLID NEGESGIGSDVLVTYEPAVHPVDSTSSANILHLTPTQAIILILVTIGTTIYGQAQLEW QTSTSSSVAMISTVLDPTETTQITSSSTALMGSPPTSPGHSLYAFTLSGIVTGSGALA LPATRTDGVQ JR316_0000061 MATFPELVDDFLISLAELPLDASREDVNVALFDCFRSEQQLRHW FAQFRQHPKLTADPYLGLIDIFELHDNVLRSRPRSETEAVYVWSFSDGSTEEFPTRHL LPLGPDMLRPRGSRAIVPTLEMFQRNFEVFTHGALSRLPNWDNMAVAGGSVLGCLSPP LSSSTTNFELNELYQSSAYQGSDIDLFLYGITHSEIYDPDLPSYPQGLSLLLVGELEI KRPAHYVNLHQKAPRVPRSRRLPGPVTIQTADLKELLYSNNYEYFRVPYFEGINALKI HQMVQSFDKKANSKYNKANEHRNLHRHAVFSRNMMECLGDFCVNCPSPQNADEERMIQ NEVMYIRGPARFIESDPGRQMIGSFNPITIDDWTEGAYRVAHELSQASEEDVPMEESN JR316_0000062 MARRRIQGPSSARSISGSNPMLSRTSTPPLIVDSMPGLAADPGT LFSLDTSSSSASLPPNNPSESFAVPQFLDMTQLYKAYCTLQKDYSSLQTANSQLKAEV ADLKLQLTRQPKRVQNAKNASIPGTVVDPISSEVDPAVTDMALDVKKWGRYFGLFYNL FVTPAMFSPTLPTASFALDSIERYKSPANEAIGATLELYEHIPSKYHPIMRLASSSKG KTFLRLFREGLSAGRSTSLSMLRMSCAASIFGLPTHYFDPKFTSQRIKMPEIQKLLGF DVAFANSEAGKASPEKAYARFPPILCKNGDTSRVDHRFRNESLYMTARATLFGKTSAM DKDNAVSRASSFYLGSDKPAKTTFGLIANICGFCRYILSSDKSFGGSGIGPDTKIDYN AEINYYKMYLTQLHANPATTAYVVRLLKEWDSEVFAVNISAHGQSGQDGDGEVIELDQ AAEIERDMERLRLEAESEFAVSSHSDTFDGEDFDETEDANNDIEGQNTRALLVPIHRT TEAEYFDNFEEETHHDDAHPLIDEQPCNNLTLLDSDINVNPTIINAMQNSPLTLADDP LTESLPSQANPSEPVATLTKRLTRTESRAIASRLAVEERFPAQNSFHTIHTSHHSDDN ANNSSPTNHDPGTQRGGKSSTRSRGSGKRGRK JR316_0000063 MPPRKTAQSKGYMTSHADPGRVECTWCTNMYLPRGIGAHERRCV LNPANSNHHTPEIDTEFVALAEQEGRNGKMLTMQSELLNNNIQTIIMRPVYYQKYQTF QIYSPKKCKRIPNQMSILSKLEVPRPNFKTYTLSITQAPKFRMQIILLTNTRPWRPFR TRLDFEFAEIFLSAHLSHDQLEVLIALIHQAIASPNEFTLKTDANLTQIWTFARETRA QGFIKTPISVDYKGESLTYNVWYRPLWEWCRELLLDPALVQRFHWNAEQLFMYKGERF ERIIDEPWTANTWWDIQSRPDIPNDASLMFINPWADKSQLSSFGTVKGYPVMVRCANL PVDIRNGTGVGGGRLIGWLPIPDEDSAHTHKASYVDLKRLIWHKAVHKILQSIEAPAT FGAAIKCGDGKIRNIFPHILSISADYEEQTMMALTRGRTGLFPCPICLVPNSKLSNIS TVYPRRTTSQMRQIYIRSQSLNATESEELLKSYGITDVMNVFWDISRTDIYKALSFDV LHAYDGGLFKSHMLVELKAVLRDLGRDAEVGVDLGLQSIPPWPSLNHFDALNKTGEMS DGRKFEHLSKVIIFAAQHVLTAEASPRGYLLLRLIRSYLNLRMFASLTLQTETSISNG RKYLEIFSKTLQLYASESPEKSWNFPKAHTHQHIFDDILNKGVTRNYNTKPYEKANGP LKKFYQNHTNFKNVAPQILQVNKMDLVSNIVREGIDLLDVSMEKNTEDAEERDGLDLP AKSKPGNDHIHLGSKLPSITLSELELETIHSQDAAFNLFRRRLGQSLSQTVGSRVLLS TDHPITPYQSIEVFYESLIDWRVESNILRTNPKFHHKERYDFALIKVDQKQCIFVQLL YLFGIELHNQTYYMALALPMDVPRSLLARRRDIDLGLIRVRSRPRSSAVFIHADTIIW GALLSKNHSTDVTGLEFLVNDLIDQDMWERLNPDLGHVELINHANV JR316_0000064 MSFRSFYTSDNAHLTSPTASYREPLSDSSFTSFPPSISSSNCSE DSFQTAYQGTFSPQLEDTFVNHFGFYDEVDSDENTFATPSVSSDGSFKAADPGHGMVT MDLNVGNTSEEAHIGNTGEDAHMDQTLDVSETDDDSDDEYMPSNDEHEMMMTDATNVR LTRLEANIGAILAAIKSLTAQVEAQNNAATRVNQEAPCSRRERPSDPPSGPRRRSSDH IALMRRIRAELTRLLGNDFMEMQNLPSKDELDAFASMWNSSIEENFFFRHMKSLQAAF RRKNADDADRRTETTAKRRWQRKQNLYHKRLGIAQEHPLLQPHVAMLQRLGIGGMSSD ESDYEDEVEHGAVPSSGPAPRYIVHQPAWRAASLSFWLQTIDSVQIILRKITGRHRGA FPRLRVASEIESSSRSFVPDLPINAYNPVWLASRPFFQFEVRPTDETYSFNHDNRLFT YFSI JR316_0000065 MSKHQTVSWSSQIIIPYPEGHTVLTSDYPSSKATAFTPDIIPHY GNRLTPHLLIDEYSQFISLPVQPNDTNYVYIRGKSIGDVEPGAKTAVVLRAAPSELIL WPQVWNKVKPTGPGPLVVKSSAPDEVVACATPFRFTLPNMGGVNYALIATQSPLNILP PPPETRLRDTLPRPPADAKNWKDLADFLKNDTSTVYYNVIVADPKAPIISVSTRLRVF DDGTEPLKFHITIDTSIMPGGTLFSLSSTTGTIYMVKSPLAPDVGVQVDLEPGFDDII TLNIFPGPEEVIDTFAWVSLQANIIQPPSEDTRTIPIGETLLLGALNIVFHDEAVTHL KYASHAANQLQEYSKIPEAEAGADSMTAENTENLRIYGAATGWWFRDRLNDTNNFPRS LYGSNSPDIQPVGTHNNPKFTTILGGGNSNVDWSTNNTINLQQGAPNYIYLRGNCTLG NDYLVETRLFCVLGDLLIYPSMYASQSVNDDDQHDGNTTAIRRIKSTSANSFNVIDTP FNKLNPAPLPSGAHYCLVAESRHPTDQNPDPNWPHEDTGSFDSRKFF JR316_0000066 MAHEVPPNNLMFRNSLRKTNYSAKKYLELTPDIIPHYGNKLDPN FLIQEYDNLINLPLQPNEINNIYFRAKSDPNVEPGARTAVVLRAVPNELILWPQVWNK TNLISPGPLVVTSSAPNEIIACATPFRFTPPNMGGTTYALIATQYPLNTPLPPETRLR DALPPPAADVKNWKDLVNYFNNDTSTVYYNFVVEDSKAPIISASTRLRIFDDGTEPLP IIITILCSAFPEGTYVSLSSTTGVINMAKCRLPGPLIYFEVPLSAGFDDILTINIFLA PDGLCDPLSFVSLRASVNIGGNDGSLHDEILGSLNIVIHDEATTHLNYASHAANRLQE YINTKDAEAKAGAIPTTSDTMILGRATGWWFRDHLFDVNKFPRQLYGSNSPDIQPVGT QNKPEFKTILGGYNSNLDWSNEHQINLQQGAPNYIYLRGNCTLGDDYLVETRLFCVPD RLLLYPPMYSLYAVTDGDDQDGRKTAIRRIISTSASSFNVLDRPFDLLNPAPPQSGSH YSFIAESRHPTDENPDPNWPHEDTDLFISGAKFAEWIANTPNICWRCIGYYHGGATII CTTTIEIPRNIYPPSTLWYVIAEAFNAPVGSSWELTSDISIEGAKILFPRTLIASGDR ETRGCGFTGIPPGGIKFRVNLQWFSEGKNVPNDMRFGIQLVRHKRNGGPNMIHPAATI TLPEEAANWPFSVGIHHPGLENKINAEISENHIEYLGDHFKTIGPNPWPHKTPVTGFV MGSDTWLHD JR316_0000067 MPTFPHPFATSSSPSHLHTHTRSTSTSHSGSGTHTPSRSSVISH ANSSYSRADSTRPILADMHHGTSSSLTDINGLLAPTLPFASAGGGGGGGLSPSSARGS KDNLSVATGSNLSLSVNYLPTKFSASIVSPGGTRNRKGGKGGGGGEQNLMPKRGGGLE AFRSNEARMPQGKGRLRWNKFKWILLVTNSMLVLYSLAALVVCLLTWFDIWEHADIIR TGNHPELVLSTLASCIGLLTSLIGFAGILLNNRGFLAWYTFFTWITFALLVTPGYMTY KKRTFNLEGKINAQWSRALGPEGRARIQNQLKCCGYFSPFVEATITQTCYARSILPGC KLPYLDFERFVLKRWYAAAFVLVPFQLAVMVAGLLCSNHVTYRFGKGMMPEAYRLNMS TMAVIMENYANQLADQYGADIADEILKKSRLGAAAAAASNIHSSANAGYGAGAATPMD SSSSFGFSGSNNAGGGGGGGGGEEQVRTHAAMSPIIRLGGGIGMREGVGEGHLGVGEG EREGLLGVRLVREGERDGEGDEEAQGKGGDGNAASASAGAGAGATPDKRGGSTGAGGG GGGGIGIGIGWWDLLEVGLRWSGGGGGGGAGGAVSGSGGGGGGASGANGNGGGSAGSA SASAGANGTATGAGDVQMTMTGGRR JR316_0000068 MYLPRGIGAHERRCALNPANSNHHASEINTEFVALAEQEGRNGK MLTMLSELLNNNIQTTITRVYYQKYQTLQIYSPKDSESDVNFEQARSTTPQFQDIHIK YHPSSQIPDENYTFDEYCELDSEGDSPLKSVNVDQRPWRPFRTRLDFEFAEIFLSAHL SHDQLEVLIALIHQAVTSPNEFTLKNDADLTQIWTFARETRAQGFIKTPISVNYKGES LTYNVWYRPLWEWCRELLLDPALVRRFHWNAEQLFMYKGERFERIIDEPWTGNTWWDI QSRPDIPNDASLMFINPWADKSQLSSFGTVKGYPVMVRCANLPVNIRNGTGVGGGRLI GWLPIPDEDSAHTHKASYVDLKRLIWHKAVHKILQSIEAPATFGAAIKCGDGKIRNIF PHILSISADYEEHSKFQALQHFNRSLNATESEELLKSYGITDVMDINRTDIYKALSFD VLHAYDGGLFKSHMLVELKAVLRDLGRDAEVGVDLGLQSIPPWPALNHFDALNKTGEM SDGRKFEHLSKVIIFAAQHVLTAEASPRGYLLLRLIRSYLNLRMFASLTLQTETSISN GRKYLETFSKTLQLYASESPEKSWNFPKAHTHQHIFDDILDKGVTRNYNTKPYEKANG PLKKFYQNHTNFKNVAPQILQVNEMDLVSNIVRDGIDLLDVSVEKNIEDAEERDGLDL PAKSKPGNDHIHLGSKLPSITLSELELETIHSQDAAFNLFRRRLGQSLSQTIGSRVLL STDHPTSAFSLKH JR316_0000069 MARRCIQGPSSARSISGSNPMLSCTSTPPLIVDSMPGLAADPGT LFPLDTSSSSASLPPNNPSESFAVPQFLDMTQLYKAYCTLQKDYLSLQTANSQLKAEV ADLKLQLTRQPKRVQNAKNASIPGTVVEPISSEVDPAVTDMALDVKKWGRYFGLFYNL FVTPAMFSPTLPTASFALDSVERYQLPANEAIRASLELYEHIPSKYHPIMRLVSSSKG KTFLRLFREGLSAGRSTSLSMLRTSCAASIFGLPTHYFDPKFTSQRIKMPEIQKLLGF DVAFANSEAGKASPKKAYARFPPILCKNGDTSRVDHRFRNGSLYMTARATLFGKTSAM DKDNAVSRASSFYLGSDKPAKTTFGLIANICGFCRYILSSDKSFGGSGIGPDTKIDYN AEINYYKMYLTQLHANPTTAGYVVRLLKEWDSEVFAVNISAHGQSGQDSDGEVIELDQ AAEIERDMERLHLEEESEFAVSAHSDTFDGEDFDETEDADNDIDGQNTRSLLVPIRGT TEAEHFNNFEEETHHDGARPLNEQPRSNLTLDSDINIIDPTTINAVQSSPLTLADPLT ESLPSQANPSEPIATLTKRLTRTESRAIVSCLAMEERFPAHNSFHTPNINTSHHSDDN ANNSSPTSHDPGTQQGGKSSTRSRGSGKRGRK JR316_0000070 MENELTDMANQAASMIAGNTGTVPIIAPLNEPAGYFSDQLLSVT RQYWYDSYGNIRFPFGTSQQSNIVVMIHDAFQPLSYWSIFMPSPQWQGVIIDTHIYQM FSQALVSQTNAQHISTACGQLSSLSNSPLWTVVGEWTPAPNNCAKYLNGRGTGSRYEG TLSGSTRVGSCTGLTGKASGFSSSYKTFLRQYWEAQTQTYEKGNQGWIQWTWKAEAAD EWSYQAGLANGWIPQNPTNYQFPNICG JR316_0000071 MSKDSTNNLIFRNFLTSDYPSTKATAFTPDIIPHYGNRLKRHLL IKEYPQFISLPVQPNETNYVYIRGKSTSDVEPGAKTAVVLRAAPSELILWPQVWNKVK PTGPGPLVVKSSAPDEVVACVTPFRLKPPNMGGVNYALIATQSLLNILPPPPEARLRD TLPHPPADAKNWKEFADFLNNDTSTVYYNVVVADPKAPIISVSTRLRVFDDGTEPLKF HIGIENSIMPEGTLFSLSSATGTIYMVKSRLVDHAGIHVDLEPGFDDIITLNIFPGPK EIIDTFAWVSLQISIIQPPSESARSIPVENTLLLGALNVVFHDEATTNFCHASHASNQ LKTYFNTANAESTATATAAQGESVTTQNASPSSFDATGWWFRNNLGDTKHFPRPAGFQ CGSPDIQPIGIRIRPDVQTILGGSNAGTDWSAANQIQLHQGEPNFIYLRGNCTTGSDY TVQARLFCIPSKVALSPYLYPNYPVLDPESHDKPVAAYRTITSTSNNSFNVLDTPFDI LNPQPLEYEDHHCLVAEVRHISDSVPDPDWPHEDVSSFDSATALGEWLRYTPTVCWRN IGFIPGGGAQVILTTGVEIPDKFSPTKQWVLEAEAHNAPIGSSWLLHTIPFQGYPTLE KPIGFELSTITNPNMTQGCGFSGLPPGGYKFWAILEWYSNNTQHPGDMSLHLHLHSAT STAGPNLIETAHKILPKEAENWPWSVGIHHPGVENKGDQKKTHIGYLGPRYKNAGLNP SILIGPTPIYTYGTDTWI JR316_0000072 MGDPPGALVDMDTIELKAKDLCDKEKVDLETIVIDDVFKLLQCD KNGLSEEEAARRLELNKLEVDEQNPFLQFLSFMWNPLSWVMEAAALVTIVLSNGQGQP PDWPDFVGIILLLFINSAIGFYEEHNAGNAVKALMDSLALKAKVKRAGNWSEIESATL VPGNMISFKIGDIVPADCRLTEAINVSIDQAAFTGESLPQSRRTAISASRVLPASRVK LRVLLDN JR316_0000073 MISFRPTTSSIQSIFQQIQRSNRSANWDDEAKYNIQWMQDGHII LREDRELKTYTAHKETQTIDIFPELARVCNGKQIGFFRVEIRLLKGGSNISVLGAVWW PSEEPGQTDAQFVDAWMLQAAKVSINDVEPNGELRKVLFFELPKCDVPKRSQRSSTHV TTAYKAERPFIFTKKQALGKLNMEIVYSRSADSQVLKTISAVLKDPEPSSNQHIIMTL TKQQYITAFNSKTTPSHTGELTISMCNSRKGMLYESHDLLSLVLTAIVNDMGYPRKKS DRNSTKQVEHQVMSASMKTTMFSLKKATEQGPMYLTEEVELPSSTLQGPAPRSILQYR ISTQYEHRVCESNDGDTRKEHFSIHFAKRNLNIDTLVSTAIIEAATDTQFVHFASVDW VVSFSQGEKGSECTQSDARARSKSTFTHHISGVDIAIPMDEFIKNKVEMDIGNGKRVE TIYQVSMRTSPTIEDDITDFIFKFNKPTESKKVINHEPVQYTAAIHNSINAELHRGDK VMDITMALVDGLPGHMRVYRGTVTVKQVTVMLRQKRTPVDYTPAPEDIDTAIVHLILS HWDKTRAEFKWEM JR316_0000074 MEFVEIIFQLAPVPYLDFSFTVFKGLWDALKDVQSSKQQFNVLA QSVAQLLHILDKGFRSGSIMMDDLSSDALIDLERLLKDISAYIQKQSNFGFVKLLLLK EERLATIEAFNRRIYNNINAFQVAAMIDIRVWQTRNEEARRNDQGSLHARLRHLEANQ RLLIEALDIPHSGPQAIMATLRRRVDERKGSDEELRFLKHSLSYLSTTSNHQIDLQPW TITSYDVEFGPLIGVGGFGRVYRGKWNHTDVALKVMRNAGDISPRASTWSKLRHPNIL QFLGANILDDEPFLVMPLVEGGNARDYIDNHPHCNRIKIVQQISLGLTYLHSQSVVHG DLKAVNVLIDDAERALLCDFGLARLRADVNSRTADSIPLQGSQNWMAPELFEGRSLRM PCDIYSFGMTLYEEPMSQIYTGEIPFGHLSPQLIPKLVAKRRIRPERPEHEEAPYLSD DIWNVAQHCWSHSPADRPLAITLSETLTVLLHTTRNRPQVSVPHPQDKVLEHTGNIVM TNGTYSTSNNGYTSLVSPLTTLYSIPDSPFGTNQIIPDTPPRSMHGLPQRLNDDYEAI HEHPPPAFPVPNVHQNPPPAFPTPGLPNSQGTYETMFRFESFALSLPPVERIKIIPNK RLVLAFDIGISYSSISYRCVFYLPLLGTLVTAKYASIIEDNQMSEIKGVTIISDRDQL SGSFKVPTTIYYDEFGELRAIGAETMSEEVIKVAESNGWRKVKWFKLHLWPHIIPGKK HTNTTLPSNKRPEDILSDYLQYLYRCSITYIKKTHINWQELSPLFSSRDDIDFVLTYP NGWDGVQEKMTQSAVLAGLVSDDEAGRSHLSFVTEGEANLSFVLGHSAQDTFQNDVAV IVDAGDYMVTISSYVRNPSNVTPSFEEITQPLRHLSGSIFVTSLAKTFFQRTLSDSKF KDRADIVLQSFERTTKFRFSNPGEPEYLTIGGAKDNDKKRHIKRGQLRLQGADVASFF EPSIKCIVDSVKEQRKTSREKATHVILVGELSASEWLFSRVTAAFSPLGYSVIRPDYY M JR316_0000075 MADYTPIPEILNIHNELRKTFRSGKTKPLEWRRHQLRQLARFAK ENADALAECLRLDLGRPKQEAIMAEVAPIVNRPLAAAEKLEEWMGPEAVTLTAPWNKT FKTRVERPPKGVVLIISPWNYPIVLTLQPLYGAIAAGCCAALKTSEFTPHWSAFVAQN LSKYIDPSAYRVILGAVPEITKALELKWDHIFYTGNGRVARIIAAAAAKHLTPLTLEL GGKSPVIVDSTADISISAKRILWGKINNSGQICVAPDYVLAEKAIVPQLIEAFKQHYR AFYPDGALNSNSFSRIVSDAHFERLKGLLKRTNGKIVFGGKWEEGEGKRGFEPTLVVD VKEGDALLEEELFGPILPLVAVENLDEAIEFLGDRDHPLTLYLFSNDEEAKKKVLANT TSGNFWINDTFQQVGIDDLPFGGVGESGYGRQIMRYSFENFIYERGVVDVPFEDEPSF AARYPPYTDESLAIFSSALQAEIPASKVPNGRL JR316_0000076 MHHRTNRNDLHFHQDEDEDDDFSSDEESVTEDLYYNNRSRTQGN QSGVEYFTNSTGFEINGGDFSAVSGNAYYIRSPPSSGLPPSPMPQRPGTRPIQSSSVK YFEGASNFKITNGNFQAIGGDLYDYSSGSQSSSPSQGVPPRDGGLRPQTNESFRIPRS PIPSHTVTSGSSGALRPPSTAQRPSDIGMGTEFTNGKGSHSPKWKNDTSPAFSDESLL RISQERAIRYPSPGMPAPEASDEFSMELVVDSLEGGQHNTSFTNNQHSGRIYGDFSQT ATSTTVIGAIHGGASTSSAPNLLTAANMPSSPSIMLQNNTMCADAPSSFSQEDLNPPT VLGPGPNRDASSEELDTQQGDNPHSRTSTEIQNMSTSSGTVRGKSKGLVHRVFKKVGK KGKIMGDN JR316_0000077 MNALSTVDTHDSSMNNKGKGKVKPGKGIDTGGGMFTTLQFAASS TSKVPMGPETGEEHNWIDTTLNGGSVLLNIVKEASSLAPIPYLKQAAGATLKIVEIIQ TVKENKIAFERLGQEAMEFITTVYSAYQRSPNKDNWPPQDIKAVIDDFVRFQRTLADI QAAINKQLRRGKVTRLVYSAADAGKIEEFRQRLKTAIDMFEVSSHLSLHDALSLILQN QMKEEEARRQAELLKARHDIEEVHQRIDAQEREARLHQEVRRLQEEEDNRRRQQAAAE EEIISQQRRIEELDAQNSILRIQVADDLRRRRDDEESALRERQQERSRQQLEEREALE RIRAEERAKIELQCRLEIEAELQAKQEARRIQDAINSETKRKRELERRYKKERRRIEE LMQKMGSLDISEGSSEVGSGDSSEYETEPDIPVPKKQSQKPPKSELPKTTSPKAHSPA VDNVSNQFAQTILGYPGSIGPWQAGYQYGSTFSALQYAPHSPSVQSYSHPLYPSSYIH QSMPNASHQTIPSGNTPISVHNINSGNIANINITNSGNVTSKQKRKSGRWQLT JR316_0000078 MSGATFFSGAKNVVITGGTFNNVKGNYTVIDQSRKVSNINSFNS NNTATLNSHNDNSFTVETESPNIGMIKVAVHHRWMMFHFSTLEEDEDAEVASYFPPPM MHPMLHAQQVELASSNGRLDISNVDSYNVKNQRIRNSNNDNSERYGSPPRAHRLAKAS RSQIKAFEDDESNADERSHSVDHSADTPARGVQRKTAKAIRQMVHSQVRESLASQSNV SMNGTHGHSVSSAQYQPHDIQPIVDPMMMQTLDQLMAMVKQQTSQFNSDSDSDSQSQS SEDITAPAEEEMAEGGVVGSEDEEEDEYVDAESPGTGDEDVRMADLPNGVAKLSLNEG VFPPYSGANPGFPAHPTVLRHYDNVSQTFTPSAGFRSQDIPSQSRQSVDAGVPSPNAS SLRPSSSSIHDHQNGAQRVTSARSRGYYKGNTLSAKAAFDFGGATINGSPNVNVVYGN YEYVDRSSRILNVGSGNTTNTLIKDSNNDYSIRETTIQTRRKLGRELRP JR316_0000079 MKFSLATAVSFIVAGAGFVNAAPSEAMANSNDTMAAAPYGINLG ETFNNVVAWVDGQSKCNNVVVAAKNTNPCNIPFSLNGNTFKIQGCGGPLWITQGSGNS FWANCGSFSEGDACGVHTTYHCL JR316_0000080 MDAIFRRGHPIMFGALILFSIIEMCIAAWLTSRYNTRHNSLNSG LRARVRYLLFTSIWSIIFCSGYLVIFLVAAGSVLAGVASHFLFLTVTWILWLAGAAAI TQTLGGALNCGTQDIFVYCGQLNALEGFAWLIWVLLTFALIAVLIRGIKSAKNGDGYS GALYDA JR316_0000081 MAALNVHKPANLIPALRIALRGHALLENPRFNKGTGFTLKERKT FGLEGRLPYRTNSLDEQCERAYAQLNQRDTPIRKNTFLQSLKDQNWVLYYSLLARHLK ELIPIIYTPTEAEAIASYSHVFRRSDGLFLSYPDEDIMEEMFLEQTRGRDIELIVVTD AEAILGIDASSLIGGIDPSKSLSVTLDVGTNNEDLLNDPLYVGWPERRIRGEDYDRFV DKFVQLVRKYHPHCLLHFEDFGVTNAHRILDRYRDTHAVFNDDIQGTGAVTLACIMSA IRVSSRGRESASSPTKKKLSDQRYILFGAGSAGMGIAVQLRDAIVSADGLSRAEANRL FWMIDRVGLLHENLRDQSVTEHTREFVRPADEGWGEDEEHVSLLQVVKKVRPTVLIGC STSAGAFSKEVVEAMMDGLDEGAHPIILPLSNPSRLAEAVPKDLMHWTNGRALIATGS PFESVKMKVDGKDMEFFIAECNNALIYPGLGFGSILSNSRKVTDTMLIAGAKRLAALS PAISSTDDGEYNGASLLPDFGDSPQVNFEVGVTVAEQAVREGTSTADWSGSTDEEREK AVQEVRRRAEKMVWVPIYPEYIYDPSGLNDA JR316_0000082 MPALAYPPFPDDVPTHPLLVIDYELIKARDAGEIERLWEAGTKL GFWYLKNHGADEFVDRMFTLGAEVMALPTEEKMKFEQGDDGMSFGYKAAGANAVDATG ERDTVEFLNVAKDDALAWPKQARRAYPETVNAQMHTTVVPFVKKSLEVNNTLLEVFND RLGLPAGTLLRFHSAEEYSGSEARLIKNPPISNLNKQAIGSHTDFGTLSFLHNRLGGL QVFVPGAESWQYVKPIPNHAICNIGDALAIFSGGILRSNLHRVVPPPGQQSGLERWSL VFFTRPGNSSVLTALTQDSPTIAESVRSAPPGKYETGSTAFEWFSRRIKNQRINNRKG PETWMASRGTEQIEV JR316_0000083 MNIDTFAFEAPSNLKIAAKRYSYSNDQGRRSGLTLLFMHCVGSH KEQWEPIIDRLFHIERTEGVYFLREAWAFDWQSHGDSAVLNREALKSMHGVISAYEWT TAVAWFVKSPLMNGHRIVPVGHSAGAAVSIHLTNSISPERFASIVLVEPTISTKPVFD SHREDRMARIDFAVAATKTRRDHWRSREQAFDYFRKRIPWDTWDERVVRLMAKHALED SPDGGVRLKWDKQHEAVSYLDMDPHFVGAIQLAKVCHSVPIHVIWGTQGDLVPEFIQE SLSDASEGRVVASVTKIEDAGHMVVQEQPDLVANALANILRPLAKFPRAKL JR316_0000084 MTALVERVTDLLSSNLSISSPEAATTRTAATTESIEAPVSGSQQ PDIEYHPDEAKWKARTARRLAEDPTLPQQSLPEGFPKHLDSPLVWEGKDWTEASQWEY TLTAEHLKEIDDAVKHFRALDKPFGYISPATFPLPTLAPVLSELAHELHNGRGFFVLR TIPVDSYSREDNILIYAGISSYVGGKRAVQDAGNIVVGHIKDLSDTNPLKTIGAPAYT TDKQVFHTDIGDIISLFVLETAAQGGTSRISSSWRVYNELAETRPDLIRTLAEPWPFD TFGVGDGYTKRPLLFYHDSKIIIQYARRVFTGYLGLPRSQGIPPITEAQAEALDAIHF LAEKHSLGLSFQKGDIQYINNLSIFHGRDGYVDAPDRRRHLLRLWPRNEELAWKIPDE LQHVWKRLYETATPDNEQFPLEPEILKKTKGGAVPFELFVLSSI JR316_0000085 MKNLKELPDQKTLEEAGNCDILDIDGNKVKFSTIFAEQKTIVVF IRHFFCGVSNKPLYVEALASVPEEALTQASTRIVVIGCGGYGPIKSYKEATKFNGLFF ADPSLKLYHALGMDIESLEKTPVGEVKRSYLTLSAFSNLMMSLWRGPFKHPWQVGKQG KFTQLGGEFILGPGSTCLFASRMKHTEDHVEVKDLMKEAGVVY JR316_0000086 MALPSAGPEAQNALFENHSESEHVPPTLKRAVLRMQFPPSYVLV GVYRLFTDKNLYKPAWDKCKHATRRGAIVGSIWAALTFSIQKKFIEIFLSNSPRITGL SRDDTVFGYHVPFSLYTYATIMILGSQVTYILRFFLSRNIRIARDRAWDQTVASRGKG PDFWQPYVEEWETPPNLNLETKQDKLIKKWLGGWFGLFVVKRVLLSPFQVYPFVGMVV SAWFKALGTSRFLHQRYFQAKKMTDKEIAVFMQERKWDYRIFGFTAALLEGIPIIGLV FTVSNRVGAAMWAHDLEKRQHYIAEERGRKKN JR316_0000088 MFPLSLTLLTAWLFTTASGSPQTPAAPFPFLIGNTSVLPPGWSG NSQCLATFSDCTFNDLPLEREFLSGPAFTDPVHMTIELCVAFCDGQGSRMAGLKGTEC RCPGNRRESCGLSDGTPPLFNIFFNEVTQFSCSNFLWPGGASLTAVGSWRFSYFYNDS PTAHALTVNMPALHGNLTSVGCVTECGNSGFTLAGLTGGDECYCGNSIQNSAHPITDC TALGFGSQKMIPCTGNSNEFCGGPNIMSIYTLPVLIVLEAHENGTNNTGD JR316_0000089 MPPRKKATADDAVPAPTRSSSRIKAATNSQPAGTAGTSTTQPDV PAETDSKPKAKRTRSATTDDAAPKPASKRTKKSQTTDDKVDSDINTQTGTQDSDNAKG KRSATTTQDDGAAPKPASAKTKSQTVDDKAKDAVDTQGATPDSGEKDDAQPAVDDKKM VTVLKRGAAPVDAMSGCVNTHQVYSNTEGVWDAMLNQTDVSGNQNKNKFYVIQLLHPI GNNNTCTLFTRWGRVGENGQTQTKGPFSSSTAVNEFKKQFRSKTAVAWEQRVGMVPKP GKYTWLERDYGDEESKDDDQKAAKDEVIIPDSKLPSEIQELCNLLFSTSIIDAHLSSM NYDARKLPLGKLAKSTILSGFSALKTLADVIQNPTGPTATQYGGMRRACEQLSSAYYS VIPHDFGRNRPVVIDNEIALKKELDLVDALGDMEIASKLISSTSTLDADGNPINPIDS HFRSLGLTSMEPVPKDSAEFNSLVQYARDTHGATHRYTVNVRHAYRVERDSETQAWNS KNFSSVASGERLLLWHGSRTTNFAGILKQGLRIAPPEAPVTGYMFGKGVYFADMMSKS ANYCYAYLSNNTGLLLLCEVLVKPFHELTNASYHADQECKKAGKMATKGLGRTQPGAW KDAGAALNHPELSGCEMPSGPGKDVTGIPGICLQYNEYIVYDPSQIRLRYLLMVDM JR316_0000090 MHDFDVDADNRPFKRQRLGPQELKPLPLDILLLSLPHLLAHPPT HRQHTRSVILSLSALRGYLAQPNLEPRLECRAWTELAEAGFRLGLNTPGIENEVERAI TRALMITHKHPSLRIYKPQLTRLSAQLAIHQNNSRLAQNILKKILVSFILPTDPPHAQ YSAHLAYIDSLSDSKSIGAIRELHTLASHNNHTDVSLFATVLELHHLLKNGMWNNVRQ SLGSIEKTFTEIPRTNIQKALMMHVLIMGVLYHTYVGEYENSQSRIKKLHEMLDGGAL EALGSSGIVEIELANSHPLRVQVTHPRVLYTLGFLVSSISKRDPVGRKPKRRLYAEEG VLTVERELKKELALPIWASVSDLKEMQERFHKMRADMLCELIGVAISRSEFDDAERRL SQVIAETRTHGLFALFSARITLHQAHLAHGLGRPERALKCYQVAAYLSRRRAPNEIRF DDKYGEDTDGCEDPWVNVSARAGELWLRIGLASEQTDEGTREYEMEILRARAVDVVKE CEGCGGTLQAVGAVLAACLSKEFLATKTHLRTALNLTTAASDNHLRALVLALVAAQYV HTSTEHAETMLNTAELLAAGLGAQPKANKGTDGGKNSAAPTSNIKSADNVGNAHLRLW IGERALELKRRNADDQGASKQELINKRFEEAVAKVRKRKFGEVD JR316_0000091 MKRYSILIITLTAILFWINSCQAQTLTETVPPSGPTVVVIFTSS NGGDPVLTTIATLSGLPPTSSKKSTTGIAATTASGNKSSTLATNNSDDSDPSTHHIPS PVAMIAGSLAGFIAILAAILVVCVTRKRHNGKRRRRRASIIRALEAQHAHSGENLDRD GYAISESGSMSEEHSTSRRPPSTRNSTRESARSGKKKSSTRSSGKHTAHVHVSPSGDV ELNRRDTGGGRSLTHAQEERPRITSTSSPATSSNLTNTTSTAAATVGTSPSLSLDSKQ KFVSNDVSAHGHRPHWNTQSPDHLRRHSSNAYTPPSPALGLNSPHQLTRPSLSLPTVN KSEADLPPAYEDIR JR316_0000092 MPTESLPNTCLSCTYEAQAEQTRTVYESCSIANGKACTVCVQYS DLESQIQETKRILEKQLEKLRELREAMNWSHDRIVSKSLTEITSIIFQHTLPTLRRDT NGSEIDVWKFIDKKDLCWPLKLGAVSRAWRQIAWSTPQLWTFVCQKITFSKASTPELM EDWLSRAGNLPLSIWLHISAYDETYLNLEKVVNLVNAKSHRWYEFGIYGPSQSFQLFS GNSSGAPILHTLELHSNSSNENDGFFRVNGQDPRPLHVSIHTFHENITARIDWENITR LKISSNHVQQCLELVRYTPNLEHWCLANIFTTLVRLIPITLSKLRTLEIFNVLGVRAL LQTLVLPGLTALHFDNMHSDDDIHRGILCLHPSSVLKSLSFQWHGNTERLIEILRHFP HLEELEVALYSAGSNDTNLFFSLLSDTKTGTGAGTIQAEVFLPSLKSLSYRDYDASTV NWASLSTLSTKRRPLRKLTADQRHYWDDEARSIFCGRTVEKHVLQRFLKLEEAGVCLN LPIPVRRNDLLDLLARSDDDNNK JR316_0000093 MARTKQTARKSTGGKAPRKQLATKAARKTAQTATGGVKKPHRFR PGTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVMALQEAAEAYL VSLFEDTNLAAIHAKRVTIQPKDLALARRLRGERS JR316_0000094 MLAFTRLNTLFFFVFGILLVGSSAHTTSPRHNGLNALDLQTNAA RMEAGLPPLRPRNLFNPSRVARSPPKPSGFPNEVCNKRIKVLNTYGGVVGYIAKTQSN GAFKVDKSGWSGNHLQIKYTPSSNPFNVLIESFGSGSKPTLGFGGKNSNLNTSNNYNV LTGTNSLASGSTPVNNANSLSSSYGRSYAESAVWSVSGNRLTAIWVNPGRLTVSTDFY VTSSNELIQVATGISPPGGSSKVAKSPYSNFRRPTGSRFLEVDAFGLSESWTGFLETG SPFAHSYVEANSPVFHVIDKLLVVTAINLALDVNRLFFETNS JR316_0000095 MLTSSSQSKTQTTLRNASGGKRKIDDYFPKRRLDATQSPSQATA ASVTPKPTSITADDGSAAANQPAAKRVKREETVGEKKTKLRQNSRAKLRMFPLFPFDV ILEIFSHLVPLDLLHLSRTTKDLRAIIMHRSSAAVWRSAMASVRGMPLCPEDVSIPSW AHLVFDTYCQNCLAPNVRYVNFELRVRICTKCSKLFSAGELDLAKDEFHKILHGVLMF STWNSREDMTCTVKEKEEFIEKYNTMPQHERHQLIETKRAAKRCHKKLACQWNDWFEE RTESRLAKIFSIRLYRSNVIRKKLRELGYSSELFFLDQLSIGICAIRPLPDHEPLDDL PDVRKPQPLTEKGWERIKPRLIKHMEKTRVLVNSNNQLRLVQKREALLHNAYVCWRRT PDVLAAYPPDMFLPGVADILASPPFCEFIQNGRQTQEEDFDHERATDLIKAHYPLLMT KWRKEKVGKLFEDLISSRSFVCDFLAEYITLPYISMERKLHYLERAICVFRCNDFSVH YAYNTKRFKDDGIWDEGSPRHLWDVNEDHEPCLWYPHFLFHPCTTMAHDDWYDREKPA LSMENEYKRNMVRVPWDSDKLKFDETASKIVRQLLKTAGLPITTTTEEMDDLDHRFVC LKCTFGAKCNGSRVVRVWSWRDAVQHSMKAHFGSSIVTWECLSPEDAQIARKLQIAEG NKRGYLDYPANRSSKRWRCMCCRDTPSDVGRMRLSRMKNHFLSNPFDHPEFELDREDE LYYEALDYCPRKLPPVRMAPAAQTP JR316_0000096 MPPFCVDGFLRVDYSLSVSISIIRTYYSANRRCERFLDGTIQAK DRMISQSTKDSGKRSLSSPLTAGLEAMNLEARIVYVIEEDDMSKNG JR316_0000097 MASTSGLSIAELEAEIVVQTAKFNELRLKGEPLDDAKKTLSDLK KSLALAKGAGKEKKEKAEKVEGGDQQVQEKKKKERLLLKTAKGTRDYGPAEMFCREHI DRIIKDTFTTYGGSCLDTPVFERKDVLTDKYGEDAKLIFDLKDQGGEELALRYDHTVP LARYVAMIGGTAPQSKIWQVGKVYRRDNPVMSKGRMREFMQADFDITGHWDPMIPDGE ILSMLCTVLSKLDVGEFTIKLNHRKILDGIFEVCGVPAEKIRSISSAVDKLDKLPWAE VKKEMTEEKGLDPAVADKIGEYVKHKGGPELLALLEADELLSSNKSAKQGLSEMSILF GLLKAYKILDKISFDMSLARGLDYYTGIIYEAIVEASAPPGFKDANALAAPPSDAAPP TPAPAPKKKSKSAATAADDEEKEIDESQVGVGSIAAGGRYDGLVGAFLAGAAGVDPNS KEGKKAMGPGGSTPCVGVSIGVDRIFALVWPKWVEKGGRAKGTMVYVMSAGDGLLAER VELVTELRAAGIKSDFLAKSKPKIAAQFAAGEKDEVPFAIILGADELKAGLVTVKEQR WELVDGKKVKIESADKGTQVKRSELLEWIKATSTWKEWNTGIW JR316_0000098 MGRYIPQQNLENLKKYAYKGVDKSLLSGYVLNPFWTWFVTLWPL NIAPNTITLTGLSIIFLNFFTLIYYDPCYLTEKDGSPDQGPPQWIYFTWGFSLFAYQT LDAIDGKQARRTGMAGPLGELFDHGCDALNTTLEVILASRALGLGRSWWTVASQIATL ANFYLTTWEEYHTGQLYLGYFSGPVEGILMIVAIYIISGFYGPGFWEQGIWTFTRLNH VPLISRLPDLPLNESFMVFGALGLGFNIITSYMNVRRALSLKSKSSSAGHLTHSTTPP LLLLFPFLLTSILQILWLSHPKFNNSYIIDSAAFVPFLCAWGLQFAHMVGRMILAHVT KDKFPVWDWVWVWSFLGGLDANLPLFGMSPIIQRSQKHTTIFVWITLAVSFIAYARFV TLVIGDITEYLGVACFRVQRRDANGVWRDAKEQIQGNGSIPSTPKGKKA JR316_0000099 MQIQAVLAATLNLYWRYKCIIIRRANETHDPITSKLPNELVVII FKFACDDDWDGPLTLGAVCQQWRAIIYATPSFWTTIWLNVKLAFGSSYNPDSAMSSRN QRKMDLFRGWLARSGELPISLWLTLGKKYTGQANEIQRELRQDEVEREFGPFVALVNS YSSRFKFIDIEALQSMLLALGPAYEGPLLRRLKITALDGGHYYTPEKEDEDTEEEFTA ISRQAADGRNLELFLINHEFNNIDCQRLNVTNISSQYFETESLLRALKETPDVLECRM AYYIHTPLATAEPVTHLRLTSLSIKDGLNQGLEILMEVCLPSLKVLKVHLPGQSDVVD NCILISEFIHNSNCKLTELELFFANLQENEVEAIMAYLLWTRLLHDLEEFTLLKLDFN PDSLFDVLSRSSLNGIHSAYAKEDGNCKYDHLNPQEVDPDTMVFLPSLKSFTYSLTTS DLRDTIPWNTLVDSFGPLSKAQRRPLTSVKIIPEWKLGNLKTTKPLLDSLSLSQDSVK NLITAIQAGCHIMLPAEIEEDDLAEV JR316_0000100 MSKSTNNLIFRNFLTDDVVSTKATAFTPDIIPHFGNKVDPAVLI SEYDLFMSLPLQPSETNNVFFRGQTTSSVTAGSKTAVVLRAVPSELILWPQVWNQVKP TGPGPLVIDTSTPNSVVALGTPFAFKPPNMGGFNTTLIATQSPVPAVVNSKTRFRDAL QAPPANANNWQDMVDFINNDTSTVFYNVVVADPNAPVISVSTRLRVIDDGTSPLNFLI TLATSTMPAGTSVSMSSPSGMINLDKVALTDDIGVHVSLSGGFDDIITLNIFPAAEDT IETFAWVSLQASIVVPQTGLIPISEVFLLGSLNVVFHDDATTNLRFSSPTANQLKEHL KVKKAEAQAVVVQEGTVGTTDVISGAATGWWFRDAIGDTNSFPRTSVVSHSPDIQPVG NVPQSDSQTILGGSNANTDWSDANAIDVSQGAPNFIYLRGNCTLGNDFVTEFRLFCVP NAILIYPTNYAQFSVTDFDVNGDPFVATRTITSTSANSFNVLDTAFDLLNPLPLPSGS DHYCLIAESRNPTADNPDPDWPHEDTGAFASGELWSSLDSQKELTVGLIAAGFNTWVA SNPDVCVRDITYQAGGAQIICTALISIPGGVFPSSAEWTLEVDAVNAPVGSSWALTGN GPSVPGLSIGFARTTITNQQSEGCHFTGLPSSGYSFSVILQWFSEGFTPGDGMTLSFV LFTTSAPTANVNTSNFFKDAVSLPHRAVDWPFTVGIHHPGIENQGDGKKNNKGYLGRR YKEIGPNQWPYTMSNNFKTIPPTVVYSVGGDSWNFKFS JR316_0000101 MKGDSELLNPVETVMAWVGGLPSNTNNFNVLHTAFDLLNSLPPP PGLDHYTESSNPTADNPDPDWIHEDTGISASTAGFNTWVARSPDGCVQSTTYQDSGAQ MTCTAHIDIPAGVYPSSAEWTLEVDAQNAPVGSSWALTAYGPSVPGISIGFSRTTITD QESEECHFTGIPSSGYSFSVVLQWFSEGKAPGTDMSFSIVLSTTSPPEGTVNTNNNKV HLGRRRYKEIGSNQWPHAEMDKDDNLKSIPPDIVYSVGGDHWNFKFS JR316_0000102 MSTSLGFRVSRGVLSTIAPPIPLAYEWASRYKPSEKRPLLDMSQ GVPGVPPPDSVQSALGKAASSPTSFGYTRWDGEPDLRQALVGQMKLVYGSNSDINADD VALTSGCNLAFVATTMSVADAGDEIILPVPWYFNHQMTLTLLGIKTIPLTTRPEDGFT PSVDRCRELITKNTKAIVLVTPNNPTGATYSPTLISEFAALAAEFRVALIVDETYRDF IVTGSPPHTVFSNANSLAWRSTFIHLFSFSKAYCLPGHRLGAIVASPILLRSIKSILD TLQICAPRPIQMALAPLLPELRSFITTTAKQVHARHQLFKSRLPEKWHIGAQGGYYAY VKHPFLYVKATDVSRRLAEQVGVVTLPSSFFLEEKESGDKGDQDRERNDEDWKNVEAD VKVEEVDRWIRFSVANIDDHKVVKVCERLGQCGDAFGWKQEDDSAN JR316_0000103 MLSESITRQLEELQLIKCSLLPDEELRFIDDNSGWTEALDAYSL DPDSTHRLESPALFSIRLIGYKTWFEVAFSAAGGRGSAVSVKGEDMSRSQQDWWNSFI SNKLEEISDSEFPIYQLVSLHLLPLLHEEHERHCGTDNSPLPASAIGRSPVEPYHVLF TSHHLISPNKRRSLQQWSSSLSLTGFAKVGYPGVIYAQGDRQNIEEFVENVKAMQWLA LKVRFVEPLQPRECDRTLLDDDSTEGHPRWKEFQKVGKVVEEMRRIGREEYVVEMGIG STGTK JR316_0000104 MHPADLPYVELQPIGWNFATSGSNNLHAFNNKIVAVSDTSANEV LPVQHALRDSYCEGGHGLSIGSLGKGGSVADVQNVLIENIVMKNTLYGARFKSWTGGN GLARNITWKNIAFEGVPFPIYVTQNYWDQGVGPKPNTTSTNNTHIEDFLFQNFVGIVK DVPYVEGSCVSDPCWYSVPGATGKEVVIFDLYPDTAVNIVAKDIFATTETGAPVAVMC NSTTISTDVGFKCWDGLFIRTKAGL JR316_0000105 MIPLTFQILIQFLSCAIGVYAWNTFTVPHISGKDDTPALLAALP KFSTNSTILFKEGITYNIFTPLTFPILNNVEVVIEGNLTYPSDIPTIQGVLGVMVGLD JR316_0000106 MHTRARSKTMTSIDEKALSTDSSISDQYPGFPKTTSPRAEGDSG FYDKLEPTIPPTHKHRTVILCFDGTGDQFDDDNSNIVNFFSMLRKDEPEQQMVYYQAG IGTYTIPQIAKPMMAKLHKLMDTMVGVHLDAHVMGGYEFLMQNYKAGDKIFLFGFSRG AYTARALAGMLHKVGLLPRDNHQQVPFAYKMYSREDQRGWEQSAAFKKAFSIDVDIEL IGVWDTVGSVGIIPKRLPFTTFNTHVKNFRQALALDEHRVRFKPNFFNRPTHEEMELG LKWNEVHPSVRKSKRKHTLRELERQYTHGQHRTNVEEVWFAGCHCDVGGGAVKNEVRN NLARISLRWMIRECFKLRTGIMFHRDSFKIAGIDPCTLWPHVKDRPDPVTEFSGAPPQ KTRDLRILSLNGASATVDDFVNEEEEDLADALSDKNDMLKISKSWWILELIPQKIKFQ KDDDSWATRLSINRGRGRVIPKQRLEGVKIHRTVKMRMDLKEEDGKPYIPMARWLPSV EPEWID JR316_0000107 MVSLSALFVHVCNAQILTIPARRFPAKNVFREVVDRSVQMARVL QPGKGGRLYLADTEELYNRIEDISSRNRELEAALRKLQETVSPEQPHPLLATNVLRLN TQQGTPSEPSTSSSSKSPSTSRISPTTHPPETDLPGMKVDEEQHVVDAFGTLAVNRLG ESSFLGRSARPEVTNLPRRMHRPALPRLSLRIREASFPERELYNDTLLAEILEFLPPQ AEALHLCEVYLEYGKYLYAPISKHELLEETLAVVYRARQIHMAHYIELSDMEPEGFES AWIHVGNAVRLGMKAGLIYQWNISFAILLHDVLQTAFGPKEPPYSTITDLDRKIRDFH VPSQWITPDEGMEEPPLEIAMYRWLVLSAKEISESISLRVSNLLVTNSRTPALLNLHR SYFALALQESSGDLQRHRYLPSVVAIYRSSWRLVRGLALTWTVIPKFLARVTLAWSHG LSAAVVLCLLVTRAPTSPLTTPALEELDHLNSLFDSAAPSCGPAEKLLNSVQTLRRKA QEAVGLPHFRFHHTDEGTSISTTELDRLNGKTYLHTDNGSSGGPTTMDPRSRATSVTI SDIADGHNRPSPFHNHNIANLHPTIVRDLREFSHYNAISTTPSSMSFSDVPSVPLPTT HTQSHSNPRTLAPPPPPRDPSPETDKLLLQQFDPTPSASQSQPLLPPRNESLFQSLQA ATYFHTQPDSFLDPRSRMGMGMMFAPGFQSGFTGGYGASPIALDPSWNNFVEQLGFG JR316_0000108 MSHSAYIFPLNHENPTKPLKDIDPAELWAITPQGDKPPKAGTVR TFYNTPSSAVTTVTSLGEAFDSKPGNVKRETVRKSVGNAVKELKALDGLNKVTIDASA DPHAAAVAAHLALYNFTLKTSPPSRFNPNLKEPIPEKLSFQPVEESKDWERGVVYAQS QNLARTLMELPANMMTPTLFCERVKKEFEGIPNVEIIVRDEAWALEKNMNVFLSVTHG TSEPAKFLEIHYKGAADKNEQPLAFVGKGITFDSGGISLKPGAGMKLMRGDMGGAATV VSSALAIAKLQLPVNLVVTTPLTENMPGPSATKPGDIIYAMNGKSVEVDNTDAEGRLV LSDAIYYTATEYKPHTLIDVATLTGAVVIALGEVFSGVYATSDKLWSDLHAAGEFEHD RFWRMPLDDEYGPQIHSSNADLQNTGGRPAGSCTAALFLKPFAEGIEAKDGQEPAMKW AHIDIAGSMEARYQSHPLPEHRNDWAPR JR316_0000109 MSFTVPSSSSTKVPSLSPSGSKDESRKRKRAQSEAPADSPGIDE QEPKRSRHRSVRSKRATTIIGDTPDEISPSKKLTLHKSNKRSDFQPSLMNPLRRVKKT LSEGDVFGDPSSTSEARGVTPLNTDAPGSGFKIPNWLLEIRDQARRDQSLVAKMNSAL YLSTNTQVRIPNTIREHPYEERAAQPGAQKDF JR316_0000110 MQTPITKQEMQELEALASLTTIFDMPSSKLEAPQFGVVSGPSGM AHHSRSISAESGSSSLTGTDYPMDYNESQGYNFRRINPEGHVVQRPSAPLARRQNTVT PYRTVVPPRHVRHRRRPATSDEEDEFDEEEELEPLSENATAAERKEYKKKLNTLAARR SRKRKAEILRRLEDDVNRLNRERDIWRERAMMLVGMLVENHLPCPQFDIHGNIVGQSA JR316_0000111 MRSQIVSTVLRTAARPSLATRSARPTAFALRAASGHAQESFESF SERYVEFFKNAEDLFEVQRGLNNCFAHDLVPSPAVVEAAVRAARRVNDYATAIRVFEG VKEKVENKSQYEAYVQELKPLREELGLVLKEELYSS JR316_0000112 MLHKQAHSLIFRNFLTTDYTSTKATAFTPDIIPQFGNTVDPALL IDEYDQFIGLPVQPSERNNIYVRGKTTSNVVPGSKTAIVLRAVPSELILWPQAWNKVT PTGPGPLVIDSSIPNKVVTLSTPFQFSPSNLCGFNFALIATQTPLPETKRNTRLRDTL PPPPPDAKNWRELVNFFNTDTSTVYYNVVVADPNAPVISVSTRLRVVDNGSDPLNFRI TIETSIMPEGTFVSLSSSTGLISLQKTRLADDIGVRVKLAPGFDDIITLCIFPDPKGI IETFAWVSLQASIIPPQTVNSGATPISKVVLLGALNIIFHDDAATNLKHASPAANQLK KLVETVNMGERSEVPHAQAGTITSQSTNVTGAANGWWFRDAIGDHNLFPRTASLCHSP DIQPVGITPRSDSQQILGGSNANTDWTDANRVVIQQGAPNYIYVRGNCTLGSDYPVQM RLFCVPSALLLYPPMYSQFSVTDADDHSNPFVAIRNITSTSYNSFNVVDTAFDLLNPQ RPPSGSDHYCLIAETRSPTTENPDPDWPHEDTGSFSSGAGFNTWIGSTPTVCWRNVGY KTGGAQVICSTNVTIPAGLYSSSTQWTLEVDADNAPVGSAWSLTGNGPSIPGVNIGFA QTTITAPNMVEGCHFTGLPATGYSFSVVLQWFSNGKTPGNNMKFSFLLYTTQRTTSAN GKLMKTTTARTHRQEAADWPFTVGIHHPGVEDKGDGNKKHIGYLGHRFKKVGRNPWPH AKVDKVTKLKSVSPVPVYAIGSDHWNVKF JR316_0000113 MSTIAPVQPPPAETFHDTSEFVRDRDVTGTLIKSHSEADDEFGL ELELTIEDLFALDELEREELQKWDKKAKQGNKSAPESLSPIAEDQQSTVTDAAHISVR SEKEDQTPSQITLAQLREVALRSQRQPFFTPYATYEDSETDDDADISDCEDEPGKQKQ EPSKPNAKEKQSATNLRKARQKA JR316_0000114 MGPSGYRSMLLENHTFKFNQIQNQYLEAVFEMVRGQQHAASTGQ ETLHAFVPPKADSFGNFTDLDKYAGFVPSERYLASMMNKAIEWDEGDANQHTACLAPD QIAIDDSHKVNKHIAKVDGVPVFTALFTCMDSKYIRGQALTLTKSHEERAGPLQQIAN SIHRYGHDRPSVMYSDDPVKDKPLLSSAFPELFEDLTPTAAAHGLTALNLPNDIKVSW LASWDVTESTLSALMSSLDSDSDQYLCVSLDAEWNLSRKIGVSIIQIAPHNLPNIIYI IPVHKFGDRLPPSLLRLLISNQVFKVGSGIKGDITRLKKQFPILSSQLTFNVIDLKEY CIERGLIARKASGSLEALCEGILKQYLPKEQRLRRCEDWELKSLSADLLHYAARDVFA SRILFEKAMECAPISRPRFDSPAGTPIALLSQEGSDPIAYGVISPNQPTSLGNIRVKT PNRNRLVLDISTVLSPSAAVMLHLPSLGQNRKGKTKSGALTLEQIRASSLDPKTSTFK IVAPLLLIEFDFRASPSKLIDNTLQPNDVASNQRTVLKDNQRLTGSSTKEDSLLDDTA SVVDDLIDETEVSSQDTLDLVALDMLEAYSKVENSEDTQIVRPTTQPSIVDTLQKLIN SPPDAKSEYTRVKKDIFHAFHMIPMSVNHGARPSFLRAMRDHLMRWDPTIQMVVDEAC RKHFNLTFEQMLLRNPRFIAERTPRYVPSPSVLVPALKLVFETFGNALDVKTGLPLFN ADAHQKANAVIELAREGYLSDIEGVVLYERAGIDKYGLQKYKCLRGTNNVEGGPHGDI YRKFGALHDSLRIRLGMESYSTQAEVEYRLSSSDSWLRKRQGLALPVLPPTTLEARKY FFSKIRDFAALANDAGQSRINFEAFAQEWNRTANGKDRVYITTEVLAAYSKTWEKTTN IWASQELIQDKLEVLQQTANVFAAEKQTFPVYLSGTSVSTQPRHGVIEIPESSQSGNV PSSLSVGLSISRPALPTPGPTQNIDNSVIDPHLLSLSGPRPRGLVR JR316_0000115 MSKQTNKLIFRNFLTPDYPSTKATAFTPDIIPHYGNTIDPAHLI DEYRLFISLPVQPNEINNVYIRGKTTSNMSQESKTAVVLRAVPSELILWPQVWNKVKP TGPGPLVMDTSTPNKVVAPATPFQFTPSNMCGFNFALIATQAPVPKMEPNTRLRDALP SPPADVKNWKEFVQFLNNDNSTVYYNVIVADPKAPVISVSTRLRVVDDGTVPLDFRIT IETSMMPEGTFVSLSSSTGIVSLQKSVLVDDIGVRVNLAPGFDDTITLNIFPGPEDTI ETFAWVSLQASIVTPQTEPAGGTPISNVVLLGALNIVFHDDATTNLRHASHAANELRK HVIQAQAENKVDMVHAAAAIAQNTNVRGSATGWWFRDAMGDPNYFPRTVSLCHSPDIQ PVGTRPMSNSQQILGWSNSNVDYTDVNRVALQQGAPNYIYLRGNCTLGNDYPVQMRLF YVPNALLLYPPMYSQFSVTDLDDHSDPFTAIRTIKSTNYNSFNVVDKAFDLLNPLPPP PGSDHYCLIAEARSPTFDNPDPHWPHEDTGAFGSGAGFNAWIGSTPTVSWRNIGYQSG GAQLIVSTNVTIPPYLYSSSTNWTVEVDAQNAPVGSSWALTGNGPSIPGLDIGFSLSP ITSSNMIQGCHFTGLPVTGYTFSAVLQWFSNGKTAGDNMKISLLLYTTDAGPGPGPIR FKEATPAKTLPKEAANWPFTVGIHHPGIEDKGDGKKKHTKYLGHRFKKAGANHWPHMK VDKITKLTGIVPTRIYSIGSDHWNVRV JR316_0000116 MPAGTFVSISSSTGTISLPKTALVADLGVSVSLSPGFDDTITLT VFRGPNDLIDTYAWLSLQAGILTTQDVNPGAIPISKFFLLGALNVVFNDEATTNFKHA CPAANQNRELTNLINAQNKAETVQADTTIIQNTNVTGSATGWWFRDAMGDGNVFPRTF NFSHSPDIQPVGTAPRSDAQQILGGANANVDWSDANNVSIQQGAPNYIYLRGNCTSGN NYSVQTRLFCVPSALVLYPPTYSQFSVTDFDSDNNPVVAVRNITSTSTNSFNVVDTAF DLLNPQPPPPGSDHFCLIAEARHPTPENPDPQWPHEVTGSYSSASGFSTWIGSTPTVT WRNVSYQPGGAQIICTSIVTIPPGLYSTSTEWILEAEAQNAPVGSACDEALKLFYGAG RLTGNGPTIPGVDIGFQETTITAPNMPVEDGMTFKLSIFTMQTPNLFPTGPNFAITSR QPCTLPPEADDWKYMVGSYHPGVENKGDGKKTHVGYLGHRFKYPGENPSPHARKKHNA TDLSTDDIEPVTVYSIGSDCWNIT JR316_0000117 MPYATPTQQSPVQPSYGRPGHRRSYSHITLPSPPTTSSPPSASF TSASSSMGSLPRRRSTSAGAHAGSGMLASGATPSNTSGSAIGNNADGDIIQRPPVPRK TTFQLGRDDDDSSSGEDDRRRRSTSAATKAKHSRQGDEDDDVLRSLPPLRLKAKPTSS FDQLAVPFPRSSPRSSPRTSPLHSPVGSKDGIANPPHGVYSQPSQPQQHAHTRSTSEQ PSPAPNSHVLQTHQHPHHAIVRPIPVSRTYSSPILLSNGKPLRSSLKSSSSSPAMNIP FPSQSILPSVLWPDLHKRVEEISSPASHQRAASAPTTPHLASSTPSYATSPQNRPTES SVSHSIASEGLLSPDAPPSLTSSISSFSSSASTSSSPSTSPPLSPSLPSLSPSLSTSS TLSHTPKNVHFPSQDTDIATVKIFNRSARPASLSLTGRESGNESQTETETEGEGSGSG YGGFGVNRWGGWGSGWGRSGGGGAGGTSGYPFPKVGPVRRSHSPLSNGTFDDDSTNNG TSAKEYYDVDEDRCSYIPMQDGSSVGAEGVAGNGHRVGEGHVYVESVGFVRSVSEDSS SNPSIIKTLPPSRPLTLTGTILVRNLAYRKTVAIRFTMDEWHTTNDVLAVYECSLKGL PERFWATRGNTKVGLPWGLEGDSGIVGTSSGNGEGGRQREVGEKGKEGWDRFRFSISL EDYASLGTLEGRTMWFVARYTAGVDLSAPSATSSFQLMPGIGGSGSSKDHSIPPGQAQ EWWDNNASRNYRIGFKKVIRAPETRTRVQGHFGATTPNGRGMYTRGLTFSAPSAYSGS TSSPPPMAGSKSYPAPPQISSSASFPGSGSDSYPFSTPTSVMASPPTPQELQQRKEHQ AALTQSTLARLKKLNLRNYAAPATYQKFSPTSVPASTTPVQTPRPSDDHVHVVLPGDD EDHDHHAELDNDRTPTVGHGVLPPTWGDGEKDQFAMGISSAINLREPPVPARNVASSN DMGGFAGGPSPSKLGTSPPFSAMEDMGLGMRIPASRILGGTSTGEHGESYWPWSSFGD VPTTTRGDEGKPGEDEPSVPVDEILKSIKGRSTTSNSGSGAATASAYGSTPGSSSVSG PMVLPHRKRKESNGEHKRTFSIGTRGSSSSSSSSGSGSDSNSKSKSDGNGIIPPGPHR RAMSPVNTNATSTTTPSSKSSGNGNGSGPAMWGLRPGSPRPLVGSGYGSSSSTSSSPS SSSPVIGHRTRFGMGGTRSPMRVSPLASPSISPNGSGVGLASNAGIPLSNANPTQNVV SDASGRTSSPVPGPTSPGSTTDDAVYQAFVRQWCFAQAPGPTVGSSASHSTADSKPDA TMRGSGGSERESPGLVA JR316_0000118 MFSSVSFLLLTRIFALSCPIFEACVRRYTFAGASKERWSCDQST ACPRQLSLTRTRRILECIKNSTDTYVTTGRAIIVLISQEA JR316_0000119 MFGLCLGTSLFRLSIHFGNKVDPAVLISEYDLFMSLPLQPSETN NVFFRGQTTSSVTAGSKTAVVLRAVPSELILWPQIWNQVKPTGPGPLVIDTSTPNSVV ALGTPFAFKPPNMGGFNTTLIATQSPVPDVVNSKTRLRDALQAPPANANNWQDMVDFI NNDTSTVFYNVVVADPNAPVISVSTRLRVIDDGTSPLNFLITLATSTMPAGTSVSMSS PSGMINLDKVALTDDIGVHVSLSGGFDDIITLNIFPAAEDTIETFAWVSLQASIVVPQ TARRGLIPISEVFLLGSLNVVFHDDATTNLRFSSPSANQLKEHLKVKKAEAQAVVAKE GTVGTTDIISGIATGWWFRDDIGNINLFPRPNTLSHSPDIQPVGTVPRSDSQTILGGS NANIDWCDANAVAVSEGAPNYIYLRGNCTLGSNYVTEFRLFCVPNALLLYPSSYAQFP VTDVDDDGDPFVATRTITSTSANSFNVILTAFDLLNPLPPPSGSDHYCLIAESRNPTA ANPDPDWPHEETGTFASVAGYAKWIAYSPDFSWRNITYRAGGAHLICTAVLDIPPVVF PSATVWTLEVDAENAPVGSAWALTGNGPSIPGVTIGFSQTAITSTNETQGCHFTGLPS SGYTLSIVLEWFSQGTVPDASMNFSFILFNTSPPVTNVNTNDYAKSPSILPDNAVNWP FTVGIYHPGVENKGEGKKSNKGYLGRRYKEIGPNQWPYARSDKAKNSKSIRLPGPGPE VIYSIGGDHWNFKFT JR316_0000120 MSKSTNNLIFRNFLTDDVVSTKATAFTPDIIPHFGNKVDPAVLI SEYDLFMSLPLQPSEINNVFFRGQTTSSVTAGSKTAVVLRAVPSELILWPQVWNQVKP TGPGPLVIDTSTPNSVVALGTPFAFKPPNMGGFNTTLIATQSPVPAVVNSKTRFRDAL QAPPADASNWQDMVDFINNDTSTVFYNVVVADPNAPVISVSTRLRVIDDGTSPLNFLI TLATSTMPAGTSVSMSSPSGMINLDKVPLTDDIGVHVSLSGGFDDIITLNIFPAAEDT IETFAWVSLQASIVVPQTARRGLIPISEVFLLGSLNVVFHDDATTNLRFSSPSANQLK EHLKVKKAEAQAVVAKEGTVGTTDIITGAATGWWFRDDIGNINLFPRTTTLSHSPDIQ PVGTVPRSDSQTILGGSNANIDWCDANAVAVSEGAPNYIYLRGNCTLGSNYVTEFRLF CVPNALLLYPSNYSQFSVTDFDDDGDPFVATRTITSTSANSFNVILTAFDLLNPLPPP SGSDHYCLIAESRNPTAANPDPDWPHEDTGAFASAAGFDTWIAGSPDVCWRNITYRAG GAQMICTAICEIPAGVYPSSAEWTLEVDAENAPVGSAWALTGSGPSIPGVSIGFAQTT ITATNESQGCHFTGLPSSGYTFSIVLQWFSEGHAPGAGMNFSFLLFTTTPPAANVNAS DFVKQPATLPDNAVNWPFTVGIYHPGVENKGEGKKSNEGYLGRRYKEIGSNQWPYARV DKDDNLKSIQPSIVYSVGGDHWNFRFS JR316_0000121 MSTRLRIIDDGQSPLDFNIMIENSLMPDGTRVSLSSPAGAINMA PTLISDNTDVGVHVALDPGFDETITLTVHLPSDAVVETFAWISLQASIVVPQASQQGI PITEVHLLGAQNIVFHDDATTNLRFASPAANALRKYVQATKDVAQSVMSGDAAASLFA STFSATGWWFRDALNDSNHFPRTESLYISPDTQPVGNAPLSTPASILGGSNASIDYSA SHNVTINQGEPNYTYIRGSCTQGNGYAVEARMFCVPNNLLLYPTKYAQWSVQDFDDKG EPYVAIRTITSPSANSFNVLNNPFNILDPKALPPQNDHYCIIAETRHPSAECPDPDWP HENTGAFSSGAGFNNWVGSTPTVSERNMGFKTGGAELLCSCNVAIPYNVYTSSAEWTL QVDGYNVPVGSAWKLVGSGPAIPGLTIGFAQTTVTASNMVQGCHFTGLPSSGYEFSVV LQWFAQGYAPGAGMKFSFSLHTTSPPAGPKALGTGQFRAAATLPKEAANWPFTVGIHH PGVQHLQDGKKHSKAYLGKVFKDIGPNPWPYASGDGDIDAYDAIGPVPVYSVGGDHWN LV JR316_0000122 MDETARLKAQLVAMERENAELKHRLKEVELGMNDTNQLVLNGNM QVEFQQADTKNVLTLYKSQKRARSSSLDLVEIGRDSKVYNLPVPQETPVKNLGHVDVN PHDKTFKASPQTPSWPVKLSPRNFKIKKGSPSESKSILKLEKDDPEIEPVCLMTDRYP LITRSLQDAIEGQGSEENELVLVARAPENGSNSACNVEEAQNSSLAPHSSQAGVYNEP EHNVHSTTPINLSAIAKEYLQSSNALIVSPSPDTLLVPRKYLRLIYGGSDQQFFQYIQ DNLNPSGKEKRRMVFPQLESNPSMPMRPGQPGLLYSSRYELLHGSYPWTVFCKPDASK ALWLYLASQYNGQESKTKIEWAQRLLKAKAHDVYISMRARIALRKYGQLLPSTSKADE ETLIRSEIKSIKINKGMHLTENDIIDAFSKGEEGIDIIRMTCIQYDHVFLNDMKTRYS SYSALCTASREKKNARESDRGKEGRSLSVKQAPKHVTTQKSSKRRKLHDGDASSLNEG CQEYEEPESSSKSSIAPRRSSRKSVANGMLSILSSNIAASLTVV JR316_0000123 MATVATKQSKRPTNPTTLATASMVVLQSTKPTPTVAAVKTDASA SKPKRRKKPRAERIQKQNAHFEVVLEAARAATQILRARGLSCAIFGSLASKLYGCSRV PKDVDLLVSQNVDEEPPAHRDDIFVPQPQLTAEELKDLILRANSQHFYLKMPRDPAAE YRILWYRQKYQGPECKVDILVPGTMHLPFLNPQRINWVQELPLVPFSLLLFHKLKGWD DHCKAEEAHYRRRQQQDAADVAKLLALRHWMKSLKGSKLLDDEELFDEEFKVLTKERV KLYCEAFNDRAKEWKELGFETGCI JR316_0000124 MSDASIPQVYQDVETGGVTQVSDTEPQDVTRAPVNVSTKEGRGW ILTLIRVLRLHSFVISLFTLFLLLSIRYILYHAPLQNHWGFGGPKRATTVLAAWMFAA VLLTFLAAAITVFKRKMSIWPHVVAILIDIVLASGIIASAVLFTHEYPSPSWCNSWLP NGQNKPPHHECWNWKDLTWLLMKMAFTLSIILSVILAALIVCHIIAICEGRRMSGVQL AYARSKSAFSHLGSFVPYTVKINISFERKPDVQVVSEAPLGQGPVHI JR316_0000125 MANTNMAAEQLLELLLTLKKTTPAAAKGILNSQPAIAYALITLM VSMNAINIEVFQKTLAEFGASTGADATSGPAAVPLTSASSTPTPMAASNSAAAHINNT TPQTMPPPAAPAPISAIPLHLQAQYRTGTPPSAMGAGTPQLTAHPHTPTPPYGYGHGH SNGGQPTPPGQAGYAQPAYGQQPGYGQPGYGYQQQGGYQQGGYQQGGYQQQQQQQQHS GYQQSAPSGYQQGYGAYGSGYGQPPPQPAHAHQATPQSATPALPESLAGIPEEQKALI MRVLAMTPEQINMLPPGERATYIQIRATLGVST JR316_0000126 MAYRDSTSTSTTITLQPHTPRYTWVCWNQRPNDPLSILDRNSAE PELTTKQTPKSPSSISSTTSTSRRPISASIFTKLIKLVLAAMIFIGTTYGEGMIRALA LVALFVVYVREIG JR316_0000127 MSSNLTESDSTTVASPPDDDKGTVSFPYKGGSGGNPFDDFHPTR KVITQIRVRAAKNIDAIQVFYDDNTIPTQIHGGTGGDVYDFYLEKGEKIVKIDGRAAK LIDRLQFHTDTGRASPPYGGSGGDNFSWQAPEGYFLRYFTGRSAKMVDGLQGFFQTE JR316_0000128 MAEGHTKLSPEKLGEYTILKDVAEGTFGKVKMAVHTITGHKVAM KYISKAVIQREKTKTRVRREFEYMRTLRHPHIIKLYEVISTPTDIIFVLEFAGGELFN YIVNNGRMDEARARRFFQQIISGIEYSHRLKIVHRDLKPENLLLDDDLNVKIADFGLS NEISDGDFLTTSCGSPNYAAPEVIRGGVYAGPEIDVWSTGVILYVMLTGRLPFEDEDV QLLFQKISQGNFHNPSYLSPDARSLISSMLVVDPVKRITIPEITQHPFFTTDLPRYLT PLPPPPGPVLGTLSSLVTPPKQLDFEIIEGLGKIEEDVVEELANSMIDVDKDDIWECL KRDDGVQGNAVKVAYMLLRDKRRLGKDLAEFAEAERDAQLAAMDPRNAISPTILSPVG AVALEENPFEAEFNAEYESDDPESDELDFISPPLAALDPNSFSPSMIGSTPNGLPQFA VLNSSLPDQLPEQHHLTSYVSAKRSGGERREREKKQHKTKWHFGIRSRSPPMEVMLEI YRTLKTLGMEWKDKKNLGGLGGSRAREARAAMAAASGQATGFPGGKMIERNRDLDGPG SVDLKAASSIYFVETRARVQDVVVLMNLQLYMVDSINYLVDFHHKKSYRASTDPEAGK FDMAVFDPIFTQQEREKDLIAAGAIVPGGAGSDSGRSLKEKEGLYLREDEVVSPFVFM DVACRLILELAGGGDFQRVSD JR316_0000129 MAPKSILRNGMSMQSRNMLQKSGALRSNLKRPAPLPLSPLSQNA SFSVLSSPTGKALKSAHVHFPPSPTQLAATYTTHGPKSYDRGPISVSPNPLNLPGWGE HGFRLSAVPRPFRTLTYQASPVITEFEDPRSPKLPAPAAVGKENRNNSIRFAPFTSVQ TSTRSARQSLSSLPRSPYPSAPNSPAAENSDVDLEMETRGRPLTRNRDVNNNVTILDG PSRARARAASIEERHNKRNKKGLTLGGRVSMNPISNVIGNSQFTPGPSPLGRSIFSPA VASLNRPNKPAPLAMDSLTQAFWQSVSLEEPASADEPMVTALEYPESAVTYEEKLDMT LRAAAQPPLMYAAADGALWSPALPVPGAKVDRIRESLMSPGVAKSFESKVVRRDFTAP SPNDPFAAFPSFAAALERGAEQIRYPPRAAVQMS JR316_0000130 MDMPISTAGISHISTHFSNGEMSGKENALRDILMDPDKRIGKKS RTRTHFKESPEWYSGSTSDSAAAAVVEGISKYRARRSRGGTSHDCTSANPFCESGLDG CLGGF JR316_0000131 MADSQVVDLPARPDTTVPVTPVNQNPPPVQETPVAVKPTTQIHA MSLPLANEAYAQISNETRGHYLVGMDPLEFLDNFLPWNANTPTHYQQKPISETRESKL KSVPPIKGARQPELSMYKHYIDALDDWVTGIDNSQKLRFAHFKNPDADCASLNVDVST YWQSDFPSIPSDGSCAFSRQQTHEEFKVDHSYDPFAHVNDEKPNTKDPIENATSEPDP SNSASADVADSTENAAEDADEPASSLHVVNENERDAEGLDKDRDSTGKGVEDAEKPAS SLDVVNEIESDSKSSEEGSEYAPSNDSQDALKENVKQPPVVKAADASKQSAGSRALVS EVEKDTQRAIHTRGQIAAYAGVAMSMAFRSHFFSLLILGEFARFIRWDRRGAVVSTRF NYVKRPSLIFGFYLRFGQLSLRQRGFDTNAVRVPNKTLPDDVASAFDKYYEQSWHKGA KFSHHRNNFDGRPRRPAKESNFYRVTLHDSAIRQTDAFFVPAPTYRPNILNPFTRGSR RSLAFLDHPKPSERKMCFMKDSWQEGSARTAPEADIYRRLYEHGVPNIASMRLGDDVD DLKTETQEWWGRLNHSGRFKHFGLMVCHRLVLNTVARDLSTFTWCKVLLSCLADVVDA AQAAFKAGVLHRDLSAGNIMIVQNPNTKEWRGILIDWDMCLLLDERHKHKQMCTGRTG TWAFISARLLQAHPSEPVMHSLGDDMESIFWVLVYQVLRYTRHEDGPHALYKKMDELF NDSVIDRGVVVGGDRKVAAIIFCSLGRVSQSMGKFKVSALNRIFRTLGTHFLPRYPKE QNGDLDSDDHTPPADPVVNWDSPDEKWFSNFLRSTAESMAPLGITPTALESLTNDSKP PLPPTLPKRAKISLYPADYFEMTWWKDENDSKKSNENAFSKVATSSRLNHMDGLSAST SKRPLEVDDDDDDNEGHGRGGDSRVRVKRQRPL JR316_0000132 MSAPLGRPKAQVDVLRILGTPGSHFDMIQEGPFATVSKTWTTIT DGVPQWIVVKSATTLRKFAREPHDIVKELRLLSSIIHPNITLVLGSFRDEEQNMLSIY MPYFPISLSSILTSPYFSPHRFPPPQTQDVDTDEDAAVHDEQFCTIARSIMIQTLSAL AFLHSAQRRIGHRDIKPENIMLTTDGCVKLIDFGVSWCEAEQDLEKNHDLWPEYKGKL YFEVSTRAYRAPELLFGSRNYDHCAIDLWSIGATFAEFFTPLRLVSDDEDDGDDDDDT EPDSDPLAPFIVPKYLRIGYPSAQWKRDTLFNGERGEIGLAWSIFKIFGTPTKENWPD FEELPGSTSVVFNVVPAVPLIPLLPNLPSSSNTPMVDSSNPNPNINTCPSSSRSTSAS IPANSLEKNATSGLSPTSVRDPLSPRQFLTSPLPPSSTSASSSPLDPISPSVSVPSLP RENKESISDQPEPVPALIDLISRFLVYPSASRIQAEDAMRHPWFTTPGAVLLLPPGYS LGWNLLLEEQSSRTETTSGEKRVKHVWNGRSLGEWIKVILEGEP JR316_0000133 MADENKGASNNERLLAAARDDNEDLLLEIFEQGDFDINCQDGVM HGSTDVLEHILSHEDCDVDPINRLDKATPLHLAVQIQHTDLRLHIFDSLLEAGADTSI KDKNGETVIDLLPADDTKLRALIRKHQAQATISRDDVASDGEDEGGSGSGSDED JR316_0000134 MGRWTQYDEDEYRLPEGVKRIGYDADSGRYLFRSGGSIWQGPVG AEYGELTKVDSVPSTVTVAGSSSLEGIDDIFDTDDGEDESSGRRGDDVEASPRTRSRG YQLLSDDHNRTIVPRTAQSSKGANTRMGAYRTLFPFFLIIAVLLLLMWRLLISPSLSS PPPASKKCPEGTLSRWVQPGDSCWELAKESGWTLEKFKEVNSKVVCDPLMPGTSICLP PSKQKMERMKMMQRQQLPQQQAKRGQQQKRRT JR316_0000135 MVPSSLLATLILAAIVSANPILINTSPVTLPLSRRTNFTSVHNL LKRDQTRAKFFKAKGIAKATGNNFHEDFINEPITNQAVTYVANVGIGSPPTTYSLLID TGSSNTWVGANKAYVKTGTSVKTSNTVSVKYGSGSFSGVEFTDTVTLASGLVIKKQSI GVASEFEGFDGVDGILGIGPVDLTIGTLSEAFDTAVPTVTDNLFTEGITASNEIAISF KPTVVEDDVNGEITWGGIDSSKFTGPMTYIPMTSTSPADQYWGIDQSVRYGSSNTILS TTAGIVDTGTTLILLATDAFKKYQTATKATLDSTTGLLKLSSANFNALQSLFFIAGGT TFELTANAQIWPRALNADIGGVAGNIYVVVADMGTPSGQGLDFINGFTFLERFYSVYD TGRGRVGLAATPFTKSNIN JR316_0000136 MSRFQAPSSDDSDKMFERPSGRFQAAPDNAHIDTDSKDERSIAN RLSAAEKNERHAEKHADDKTVTDPLAPARSHGNEPSRGAKIDAELQREEEELMRKKNV JR316_0000137 MKLITGITLLSALVLSVVADSTVMLCSDANLEGTCVFIQYKNNK CHNVPARMNDVISSVAPGGSGHHCTLFRDYDCSGPTYDVNSYVAFLSGFNDEMSSFKC SS JR316_0000138 MPRENSLSDSEGRSLTSNESEEDAFAISPASANYSQLPEVASPY RADLSRIKTQSRKSIHSKVSKAVPSPAVHQAGFATMTPKDKFRAAVRKVMAMRRGTTL LGNVGGIGAEPGIDPRRPAVDAAYSHIKEQCQIEIMDYSAIRNTTRHMDNAQFIEFMG DLDSPELPQRDPWVKVRWINIGGISWDVIRALSIKYNLHPLALEDVFHGHSRNRSKAD YYSKHLFLRILCHELLDDEEKRSRAAFTQYTTGPRSNSPEPLESYPDEEEEKVNMDDS EELKKPTSSFPSRAATLVQRRGQPSLLPTNRNDLKGAYNTGKRSPTGSNLSTLVAREG ALQLSREEQKQDEAAIEALKKGSRINVDVTPMFFFLFRDGTIISIRPIPNLSLTQPIS FRLKSRDTVLRKSADPSLLLHALLDLIVDKAVQVIEAYHAKIHKFEKEILLRPQMNTV RDLHILSGDLILHKRTLDPIKTLIYGLRRYDVDRCAALIDSSDPANKDVRVVGFMSHK AKIYLADVYDHMDYILTSLDMFAGIAQNLIDYTFNMSSYEMNEVMRRLTMATIIFLPL TVLTGYFGMNFSSMWSVDKHTDLLFWEIAIPIMVVTLPLALWGDLKKFWHYIHKRSAA TKAIKTM JR316_0000139 MARLTSNFTPSQLSAVHKVDTMADIVASCRQNFNGLSACYAGVS FNDVSISHFQSNPLNYTIVADAGLIHIDVEHHTGDIENRILPLQWAIDKAIIELRTDQ TQQTPLQWPYTMQSNKDQTRLIRLSYTRGIREIIVLAFFLAFSAISYQIPGSVANERA LLITGHMKAMGLYDSARILSWHVGISITYLPGWIIVGLLWKFRIFVETSAFLIVFVHV LLGLVLASWSLFVAAPFGTSPQLAAVVTTFLSVAVAVIGQTLNTARPLVTILYSALFP PSFYIFAMKAICGYENNQLATNPFKGDPDRNITLFPFIFVAILNIFVWPCLAVRLERK LYETISPKTTAKKQEDGLDYIPPNVAISIRNLTKVYSSSVFASTGDVTAVSNLSLDIP KTGIFVLLGSNGAGKSTTLSILAGLSSITEGTVTFEGGFKRPPRGTMGIVPQKNVLFD ELTCLQTLEVWRAVKWSHNTDEHEDLEQLLIDCDLEKKIHANAATLSGGQKRKLQLAI GLLGGSKVVLVDECTSGVDPLSRRALWKILTAFHEDRSIVFTTHFLDEADLLADQIAI LAAPGKVVASGTPVSLKRDFGDGYSVQVSFKSQMSPLVSEFELLEGIKQICPQTYITS PSSSQKCYHLRTRDNTLIGQVLEFLDTHVNNRQIESYDLLGTTIEDVFLDVMSENDAN KKGSFDGTTSSIYAPEDLSPDTPLLEKLPGGIGLTNGRSVSPFRQAFTVFHKRALIAK RAWMTPFLAIFITVIGACVPLTFIKGKDQSCTVPSKDVTSIPLYLPKSTPLLSATLPT PARVVSSPPNIIESLGQMPLFMLDIINVPDNASFVGMITSNHTNITSGGVSVDQATGA SLVAWEATSPGIRGPSMLNLATNVLFNRARKLTGSANAVPNNIYANYATFPKVAAATL VYLKWIFFFGAVMAVYPAFFALYVSKERRSSVQAMQLSNGLTNPVGMWLGHLMFDLIA AVLLSTIIIIVFASFSEQFHGLGLLWVVFLLYGISGTLFAYALSLMVDSALAAFAIVA CYQFITFVLYLSSYLAVFTFGKITESTRLITIIHFTISIVSPVTSVVFSTNLFSLLCD SGTQNVTTASLFSITRFGGPILYLIIYAFVSLGVLIYVDSGSKVRHSRHRKKYLLGTG SDTLPGADVVAAAEAVATSDDLLRVINLSKSYHGKRVTDDVSLGVPRDSIFALLGPNG AGKTTTFNIIRGDVYPDCGDVIINGASIVSDPRGARASLGVCPQFTAIDSQLTVREHL MIYGRFKGLKRGAELNHNINMILKSTSLTIYSDRLASNLSGGNQRKLALAIALMGNPS VILIDEFSTGVDPKMKRDMWNTLRRVSIGKAIIITTHSMEEASALANTVGILAKRMLA IGTIEDLASRYGAYEVHFSCRSRQDVIRARQMMSRIPGSKKSNDVATRFEVPVNGEFS LAQLFTILASEGDFMEYTVERASLESIFLKVVRGAEQKESLFQFNIPSNARAFTPLRR TASQ JR316_0000140 MAPLGEGPSSALDSTFGAMLIGVFFAIFFQGVLSFQAWNYYENF PNDPLKFKLLVSVAWGLDTIHTVIICQFMYHYLVTNWGFQAALVDATTELIIHILLVG MSCFVCQVYFMHRIWILSEKKILVLCIALAPSLTALILNIHLPLKMIFAHFSIPELVL TEKESAALYFISALSDVVIAGFLCYFLRLSNRRTQFQATRLVLKDILHYVVATGILTS ACEIGAVIAAIHFSLGRLYTNSLLAALNSRKKIRDRLQGNASGIGQSIHSHGAGKQKD IHMVSIKAASDEVPESKRVPQASMVRPSEITFTVERVIQDDNGDTSKRNSDQRAHAIW QTSTDYERRT JR316_0000141 MPFVLETRIGGWSRLRVSAGNLIYFYNNTDPFDNPFGRPTSPGY PQRTSEVSPLNGTPTDFSPTPIVNSQLANKSQNADAGRV JR316_0000142 MKVDPGTYDRKALKGFRNPHRYVSVAMVTSGHSFGSRKEIITMH SMIDTLVLYTLEIGSLTRILVTVTPTRLGLTLAMIFVGHTHQH JR316_0000143 MTMEATENNEDSRRPDPNSNGLRLFKAPTFNIEQLPFEILAHIF QLYVIEDKQERTASSVRPNGAFPLGSVCRTWRRVAWTSQHLWSSLSFWMRKETTENQI QLAIEWLGRACQHPLEISLQGYIEEDLSLDSRSQQNFVAILSPLIDAIGRSLDRCNRL ELLNLPSSTYARLTLSGKPPVALQYLTLRCADPIARLDLALAAPVFLQLEGIPMDCLS IDYANLTEFSVSEIPINQVQQVLAGAPRLVKCYLMDIDDTDDEEWERKSVVCREMTEL RACPMYYHNDVVADLLRSITCPSLRVFSVVDHVSLNLHTLIGFLLRSSCPLTHLTIGE ENTTCEDLVRIATALPSLTFLSLTKYEEAAVALRSSSSVNSFCHTLCLDERYHTVSDV DSVNQKNSMPVMLPGLRHLLIETRSLFSWEYLPGLRSRYCYEANGTRLREEMGRSALE SISIIYEHPDRGYDGRDSDIHHKIHVDESDSEKYLIQNWDTFSQLVKLSGEIRLDLQV ERGFWREHLFALSYTALKRTSGRPPEDVKQFMCSRTWAPQRLT JR316_0000144 MIIAHFTIPELVLTEKESAALYFISALSDVVIAGLLCYFLKVSN SRTKIPATRLVLKDVFHYVIATGILTSACEIGAVIAYFIRPNGLYFAAIHFSLGRLYT NSLLAALNARKKMKDRLQPRGPNIVRGVNSHGSTITQPNMHTVSIKAAEGDDFDGKPP QYALPGDCDKSGETVLLKVPTTNLIEKSEHREVGVQDTSTSPNIMIKGNQLPFEILAH IFQLYVDEDNKASYDIRPMGAFPLGAVCRMWRHVAWACPKLWASLQTFHVRQATTHGQ IQLAVEWLSRSGQYPLTIFLRGYILSDSSDNMRAQMKVGALLIPLMDAISHSLNRLHT LGLIGLPGSTVSRLSWASVPATALKRLYLDTPQSTCLLDLSSSAPSFLNMQTMSLDAL TINWNNLTEYGAAEMVLDDVLQVLALAPRIAVCHIVDLEKSDDFPLQSTGRPVVCHEL TNLYVNPMYHTEDVVSELLQKITCPKLDGCTIDDDATLQPSTVLDFLHRSCCPITGLV LGEEICSGEDLYRIATAFPSLTTIWMRKLDDWMGVESSLSLLPFFNALCVEFRYDSQT HPVLLPQLKIFTVDTRNPIPWGKLPGFRHKRLFTSDGECNGYEVVRPGLEKITMYTEY GYFQPQMDSERRTGEGEAENSKQPPLGLIRDWQSFSELVKLAAEVRLDLQVKGRDLFY LSYVALKSVVGEAPDDVKQFMEGRPVPMDINDSGASSPFTFKGVLRLHWPIMLKTIFF IALLVVELAGNPILVERSPINLPISRRANITGGLDLLKRDRARVGYIKTQAVSRGPAD LRSVRDFFDFIDYDGVGYVAKVGVGYPTTYFKSSNTWIGAGRPYEKTFTSHKTTYRVE LNYGSGSIFGDEYIDNLFLTPSHVVARQSIGVVNKAKGFEGVDGILGIGPVNLTLGTL SDNSIRVPTVTDNLFSQGTISSNEIGITFQPTTATDEHGAKGVITWGRPDSHWFTGNI SYISLTNKFPSSEFWGFDHSIRYGSSTTILSSGTGVVDTGATFILLARDAFNRYQAAT NSQPDSNTGLLKLPASSYNSLESLFFSAGEHTFEFTSNAQIWPRSLNADIGGVAGSIY LIVADAGTPYPYGDVAYGYVFLQRFYCVYDTANRRLGLAETEFTRDTSN JR316_0000145 MSKIILVTGGNAGIGLALVRLLAEKGHIVYIGSRNEEAGKKIKD ELISEGLTTVKDVQLDVTQVASIQAAKDKIEQAEGRLDVLVNNAGISRMDAQQIATSV EVSVMRDTMETNFFGLVQTTTTLLPLLRKSPQAVILNVSMEMGSNTLMSRPEYQFLHK FVAYNTSKAAMNSYTVALAYELKDAGIKVNAVCPGFCSTKLNFFAEGGKTAKEGAELL LPYALLDKDGITGKFVDSRGDDSIW JR316_0000146 MLKASNVPIVDADVIAREVVEPGMPALEKIKKAFGDDVLLPDGA LDRKKLGSIIFNDEGKRKQLNAIVHPAVRKAMLWQVLAFWIRGHKYCVMDVPLLIEGG LWQLVAQVVVVYCSEELQLQRLMLRDGSSREDATSRLKSQLPITEKVAYADIVIDNSG SKQDLERHIDGLLQKLEKQSGWSWRLSWLVPPIGLLRALWILLWRRVARRPKRSSK JR316_0000147 MDRRYGGGHRGRDPLDDYGGAPGSTPIRGPHGSREHHDPYSHSP LPPSFPTSYSGQPPSSGSSSSQQSQESPTRLQLRAEVSSLKRLNEDLTAKLGAKRHRP WAALQDDSDGHAEGRCLRKAVSLFDSIETLHLAQDVHHLDPETLSMTASQITNHIRNF QSFKLLLSYVPTLRPILSPTFDWRVKSDNLAFLRNVEKKGNAARSDDVRRMKEEVATF LNQMYNPSQHFFTKSRDQRGLQNTITGRLLCPIMHDWSNEIVRAKVCAGDVDLKPRLR KTCFLGALYPRNHCLDGHSLDYLFLRSTLLVKTYCALFTGPASAEAFHPDDTVGVGAM RLANFRRSRKATKRSVAAIIGLDGRVTPRTIAYAAVILIFNLTDATQWQDEYYYINFS RLYNFVVDYFEDTRQHSEEHKKHITELLKWWNDMVFPNGPVTTEVGEDSDDEDSPFSM LRESRAVHAGEGSA JR316_0000148 MPRGISTNVYPVILSSRTRTIRDEVIESSQVEECELHIPPASVG DDFDIHSEMGPAVVADCSITSNTLDSDDGIVIFEDHSNGAVERAVEEEDEINRSIERV VEEESQLTVDWSEESMERHMANLFGLDTQEHMAQLSQEIREAVEQEMAANSSACSMDP AQASETENKSDHNNQRPKIIPIYHFTPVDFPEPPAPLRLGTPRCNAGKAARKARKIAA EIATLEGVLNDLRKRHRRYLRESYL JR316_0000149 MSLGKGGLMHIFIPSIVGAQVPNGAGVSFAQKYMGEKTCTFALY DDGASNRGQVFEAYNMAKFTGMGTSAARSSSNTEYFKRGDKIPGLQVNRMDILATKHA VEYARKWTVDNQNGPLLLEFVTYRYGGHSMFDPGTTYRTREEVQRMRSTQDPICSLQK YIEEWGVANEQELKQLDRKAKAEVDATVEEAKASPEPLLTFAVLH JR316_0000150 MHMKSCPHLRTTRTRAHCHSESYQTNEDEAPFSGYGDESLDLGL ESPNPQQRRSRRLANRKPMQNMEPIPIAPPPAAPHNPQPVPRCVILHVLDRYDTPMDT FRVWRHYPRRPTRDPDFCIRPSDLAKQPPIMPLLQTVQDTFHSYMEGLAPTVRRLMTW ANNGQTTKSHEQINELVHDVLLADDFNPKDLIDFEARNQNAKLDQAIAGSALRSQFTE SSVDILVPSGTVSRPPVSYTIPGLLHRSITFIISEFFTGPLGHLVHYSPFVLKQQSAS NREERLFSEVYNSDAFLEKHGLLPPGQEDCQLERVVAAVMPLSDATHFTNFGNAKAWP IYLMLGNISKYVRAVPGSGALQHLAYIPSLPDSFKDFAAEHNTKWKTQKSQILTHSRR ELMHSIWCHLLDDEFVYAYKYGMVIRCIDGIMRRIYPRIFTYSADYPEKVLLATIRDK GMFPCPRCMVHRSKLDLMGLYRDLQTRVKQLRTFMVEKVKAARDLIYRQGYGIKSQQV EDRLQEFSGVPTQNAFVERLNLDPSPMLAPDILHEFELGVWKSLFTHLIRILYAASKG SDDLVSELDKRYRLLSTFGQGTIRNFSNNSSEMKKLAGRDFEDLLQCAVPVFEGLLPE ADDYRLRKLLYRTAEWHGLAKMRIHTEGTLTELEKVTTELGKLMRGFRDLTCSHYDTH ELPREIEARTRREGRKSAHASTAAVLPSSSEVSIPTIVPKPTRKPIKLNLFTIKWHFL GDYVEYIRRFGTTDSYSTQLGELAHRLIKSFYRLTNKKDANKQISKKYNRMNALQLVD ASQIKSEKNEADAAAQDNKTHYISKSQNQRVPIFSFIADKDPAKVNFLPKLQNHLLAR LLGQKFDGDTDEMFTNEDRYTVRIVNNTMYRVNTLRVNFTTYDMQRSYDTVSRNQPFI MLHSPETEPGTHPFWYASVMGVFHAQVQHIGPLSTDLAPQRMEFLWVRWLGIEPGYKF GRKQAKLPKVGFVPDCDEMAYGFLDPSLVIRGCHLLPSFVDGRTNNLLTITTPTEARC IGETDDWCNYYIGIFVDRDMLSRHFGTGVGHTNRTGAADIDDHDNDDDDETMEDCSGM GEFDSMVEVDTNSSDESESGGENSESDTDLSEGDNGYDDL JR316_0000151 MLHTIFLITILVAELVTGNPILINRSLINLPISRRANITGGLDL LKHDRARARYMKAKTIARVYGNSFHTLDVIGEPVENDEVEYIATVGVGSPPTYYSLAV DTGSSNTWIGAGKAYKKTGTSTQTSNQVDVGYGLGSFSGTEYLDMVTLAPGLVIKNQS IGVASTSKGFEGIDGILGIGPVDLTVGTLSDKSVRVPTVTDNLFSQGVISSNEIGISF EPTTGQNKHDGVITWGGTDSTRFTGTISYIPLTKTFPASEFWGIDQSIRYGASTTILS SGAGIVDTGTTLILLATDAFNKYQAATKSQPDSNTGFLTLPASSYSNLESLFFSAGGH TFEFTSNAQIWPRSLNTNIGGVAANIYLIIADAGTDAPGDFVNGFTFLQRFYSVYDTA NGRVGLAQTPFTKSTSN JR316_0000152 MDDKKVTEFMELAESLVKDLQTTKSQSQDSQRRFQKTEQDLLNQ IEALRSQLEACTSELKDTQEGWQKTTAELEKTRAELVPLKQNDTALQETRESLRESNA GLTHARVALEQKEAELEDMRGLVEQMAAENEQLRRVSFVIIFLYCIGESVCKKGEVIS APPGPADATYSCVYYTKARSPSVEIVAVRTISSKDTNNSSSTDTPTTSLKRTQSTKEP SPLEVKRRRVEVMIKKESESEVDKPFQEKTELLETTPLASSTSSQVVQTTRRTLAQHS QPSLPTIIPAQQPQIATASTVQTPHQPQKETDKSTPSPKTPSATSNATHQTMAQPNPT LQNHVAPTAPVIQSSASKTSTSPGINASNPHINTPSTLTTQPVASNSTSQTPATQSVI STRMLSSQSKVAPDVHSSPSPKPKIIIPPRASPTKKESKSTDLKLASSSSTVLKHLSP CQPLPISPAPTAPEVSRRFLTATYGGNPQSFINVLKKNPTGPGTRRIVFPMLDCNPFV PRNPGEPGLIFASRHEILSDPPWTVFVKRTNAAPAVWMYQGEYECTLCGTMTVEEFVS QTQKVQDRWAQEVHDAKTYDVYVIMRARVALRKAGLIPLKDKVEETSLIEEEMQNIKR GKGRVVTLGEILSAFHKGEEAIDIIRMNCVKYDHIFADDMKVQYASYHVSPGKNSSSS LSVVKKKSRSATVPVPRPPSVKSNPGGTGKAREYSRATAPIPTTHSETLSADRDLDLE LDPDAGLRRSNRPRSTRVVSPDNWSSASENSDMDYDFDE JR316_0000153 MSADACFESAEFRQHIRALLYEYIITHCTTDYLTFTEGLVSEFL QDLKPVPLTDPYSFIIPVEPFQTFSQTHNLESLPPYDEILQTTHEALLYLKNVMHSTK GVPKTLRLEWSERSHDVLRLNEPLFPALTRRSRTQTPKLGKVSSSVPRKLSTLVQVHK LEPLAVEPVQEEKLDFEGIMKVKHHINPPDLPAVQSILKRTADAMRYMPSYKNRYLDP ESLCQVVLKPPQVPEDPFMPLFPRSRKPGFGASGQAQPLPTMKNFAELPAALDEKVKV EDIDGDISMQNLVVVSGWQAIQSSPPSTQSGHNSDSEDQHDQLLFPSSPDTSDPPLVE EIEKTKMEVLIPRSRKIGGKSGIAPHILAGKTLQAFLQPLLVPTSDQLEVDPKPDSNS NVPERTKLNASPTPSSVVGQASDNGTSTAENCNSELNQERSLEDEIAALYSGPMWQEA IMNEPLDDKRDLLMEVHAHEPSADSADAFSLSPVPILPEPNVHPPGDLDIPKTYTGFL VPPPESTKQETNPAFIKPEPAFQFLKKVKGKQALSLALSWVPFTVSKKLPSVIELLGV DDLFGHGEGQDGEQPRGDVAEAARLLEALEVSISQDNGHRFSWTEGTGYRPIIPPKEF RLLLNRQERRRVVNDGVISEGEEAQCVGAMDVDVDEIEVVDEVRDMPLSSSSVSVKRQ RSLCVPGDDAEDFNVKGHAEDDRFAKRPRLDEHHIASSLSPSPEDVSCVRPSLPLLPA YGDDEYGRIDPGYLSPVSCYMQEEEDKENWPPFSSSDQDIRDEYFHDRFERRNPEAGG EYDCYMAGYDRDEGEESFEPLSFDSRYLPSGAEKETQEAGFNDDEFPNRHPDATPANQ HDILNGERGTTSANAQDEMLFEPDIASRSLGILAFAQLRARKISAPTVPSSPLSAPAP VAPSAKDVIPIYRGAPEELFDADTIIVSGSTGVVELPVHRYMASLALIQKHGLVRALR SAECAIELIERETLGGVDLIVDPYCAVLFVSLFTLPSMCAAEVEKVAQLSWRFSYLLV VFEAYPENCAKRFVVDKDKNGGGEASELYAYTPPILKAIKKFRRDINIADACGTKRGV TVVQYSFADSVRDAAVAARIFGSQAEERDETFGVIWATRPWLSADFIESEEQALAGLD GMNHFSASMILSQITLEEFLQLEHEERMQVCAGLLHEEILAKCSADVERRTRAMYSSS DT JR316_0000154 MSGHSSPPVLSQPVGILGAGVAGLINAYVLLQDGFTNITVITRD RSVGGVWSRDRVYPGLHINNVHGEYRFSPMPMPPPEDSEETGGHITGMGMCNYMETFY TTFLKNKVDFAFETEITTVRRMQKESWEVEVKDLQTHTVKVLSFARIVLATGGCSNPK IPDELSLERAKEVKFSGMILHSSEFRIRLESILEKVKPKEQTDDTILVVGGGKSAMDM CAKLTLEGRRVANVLTTPDTFIASTFRIPDFIRKSRFLGLLSPHITLNTRLERFLHTT TIGSTIARFILNKLEQTSLNAYKVSDNSPLRPNAARNSILWGIRTNDEGLANPKAYYS LVNEGKIKVIAPARAIGYDADGASVNLSTGEKIRASVVILATGWKSSWADIFDETTAS ELGIGRHPPTYDLQDVESLWKDYKTLCDPPPRHAGHETHYVTSIYRGLIPGKNLNNRD FAIAGAIFSSNLGYTGEVAAHWISTYFQGSPMRLPSSPEAAVAEAELRSAWMKFRYPN MLSWVNESYSTTLDFWTWPQAADELLADMYVPNMRSGGNWFTWPFRVIDLKELATLGE ERRAVRERMM JR316_0000155 MAQIHDAEAIEPLDQWRTVDIIDDEVEPPASEPSNTTSDTEARL ASTMPGNIMVDSGVRSTSGDQSSDCASSGASTHERGVQTTESLGSEYDFCRVDRPQDV GATSIVNNGTLNLTVQKFYQVNATPASAEVGRPPPEIENAPQRNNVWSSTFLPFIRNV IDTVFSSLIENWVAEDRWGSLWKWLILVLRLFLGQ JR316_0000156 MRRAQSVRNHARPSLALAADDLGVLREGDESNEDVLRRQLLEKD RECDRLQMTITALQTQLAQRPPIEEVQRLEKEFKNLDLILQGTQRENEKCMADIERAK AREKMLERELTRLAGDNWQANLEIPSSSNVPIRSSSGLSGGLSVLHQRSNTISSPISF SMARNHSPTPSLRGEKSTAIPPRSSGSPAPSSSSQHPHDNDQREAQRQAALAQIEQVR MLILGMDQKLDTREEKLNKMLERAEEEGRKFEAKVVEARMAAGSPGH JR316_0000157 MLVMDMEDSSVYQPTRDTCTTGSSSSFRENATMPPNSAQAQSGR RISGPRRIRSAESAVFTHRSHSSSDQGNKDIVHRRTTSVETIRSRFSISKNSTDEGSH ETTLLTINSAGAIKHKLKSFLPSSVIFLDFGVFEKGPRKPTVENSVDTKEKVSVSKPA LKDLNVDQTHHVTATGAATYTTPYLSIDVSDDLSCWDMDYLPPLPSSPGSAASSSGST IDTTLPSNNAPPFSAPIEDDELLENEIPFPLNRHSKVVMENMGVKKAKTLARSRSESG VRTINRPSAMLKPNLSLGSDDPGAHNSLDRKLTGLPDPLPLRTLCQNREPQHPLGTPS ATVPMLPVKVIQSAKPTKVANPSASKQDAWGCMHLGAADCELSIVFPQTSHFEVWDMG DNSNVAFPVMLCIMAKDPQRWSGKPLSLPDVSLIDIRCEDIPFASPMSRNDPQHEYAT YYSGCKTGTANDDLISSDRPQSGKGISQSGITLDGKWTRTYTKPGIERNGRTRVHRRD GLNALLGYNEYGGAASVRGWYLQFWIPIPTRLFEKRETRAFNIHARVWMMGDEQRALS LDKNGDGQVFPLLADAEMTVSHLRREREMDRLLW JR316_0000158 MARFPTSLVSFLILACAFQANAAPLQGRGAGKAGSVNACTSAQT SLVTQIKSANDALIKTANSSPKDVALIESLTAIQKGLKESLSGVNNVADSLSKGSTPS LTSFSLIASGLDNARTALSGTKAVDASKATQLLKSVQDKINKALAAGTKVVEACGTSS VTTTLAIESKSASETATAVESASTTESVSASTMSTDHASATTTAAAESASVTESASAS TTSDAAATTTAIESVTESASASTTSDAAATTTAVMSEVVTSSSSAAETSSTAAAATDT CPPQVTVTQAGAAVTKTITKAAIQTKTVIKAGAAGKQKTVTVTAAAVTVTVTADATAT ESASVSEAASTTETSTSAAATETKKKVLLEKPKVAEKAKGPKRVEDKGPKRADDKKKG RKSSTSEAATSTVETATATASETAASETATASESSTVSASETSSVAETAVSTSAAATS TTAASQETGRPDHPGTNDDLPTVGKRFFDYIVKHPARSATN JR316_0000159 MLAWRAIVRRPATLIRQGPQIPRRSLHSSLPRPQLYQQRGGSLL AKLRFRADGKPRSRLMGATIGTLVLFNILTLATMYDLVEEGEITLGLLVNVIYLQRAD MSYSNINLEDSAETLIYFKKLYQAFARIPQEEVDDLFKDLSRMIKAGETEVEAHRIMR TAAEQIHLAFQDLDHDSIASTSNYIFDIMREALENLIDLIPENVDDESDSKYTFQLIR DHSKKDAGAVLKDYESLG JR316_0000160 MLHSGKRHRALLLGITLLAASSLYLTWSLVEFAKSVKNLVAVKA HARRSHVIRPLDSYSFIGGDHPKRLPIEEKLVKMVVQESVHFDLVAPESEQEWLWTAS VGDGHIRLGKEKRMFAVAMFHELHCLRAIRNVIEKGWNNFTLPRQHHILHCFNYLRQY TLCSADATLEPGDFTKRNFTAERFGATHTCVDWEPAYEIAEEKWSEWTEFRVAHGIPW HDDVT JR316_0000161 MSESSNSRSLTIQMHTLIDSVVLDVEGTKTGADLLDMYASEMGQ KVGVEKDVILKTCISVTPFYPARPSPAPVTTSSSVGMPAPTNASLSTIFAGETGLCMV NVTSAHHIFRLLKNYEQKVATNNQLTEENTMLQEKVTQLQHQLALAELLQEEDTKPKK PQRKKGKSQKSGAASVGGSSTPSDPLALLTETVANLQKAMTEEREAMTEEHEARQKVE ATLANLQKAMTEEREAMTEEREKVANLQKAMTEEREARQKVEATLANLQKAMTEEREA MTEEREKVANLQKAMTEEREARQKVEATLASERDEHLWQLSDVTGRTGELEQWAVTAD PEILDRIRLRTLVDEGQAKLARFAGLVSEKETTSYASMTWRLKLKGEDGEPAADDARL LTARSLLTGRGGIIPQAIQVLVDNKDAMRLLTETNSSIRNWGNHFAHHLASKSLLRDI VGRTVKPAEDKKGTTLFEHSYYIGSYIGGIMYGFELSVYFMILESLFRRGNESSMHSK RFRAVYSTIMVLLTTIDVACNAVWGEEMWITYRERPGGVAQYIKTEVSVGYETLGSVS VVGSVFMGDALLIYRLFLVYGRSFVVILLPALAYMVGFALAIQQLVLAGKPNGDFFGK DSVRLAVAYYSITICLNIVVTVFICVRLFRLSRRFSKTLGKENGQIYGGAAAILIESA APYSLLGIMYLIPFSLEYGTGILFGQLWSKMSGIAPLLIILRVVNGRAWSEDGTQIRT PLTFLPPDVTTIPSPLHSVAFLGQNRSSQTILEHSSSKHFAP JR316_0000162 MVLLTTIDVACNAVWGEEMWITYRERPGGVAQYIKTEVSVGYET LGSVSVVGSVFMGDALLIYRLFLVYGRSFVVILLPALAYMVGFALAIQQLVLAGKPNG DFFGKDSVRLAVAYYSITICLNIVVTVFICVRLFRLSRRFSKTLGKENGQIYGGAAAI LIESAAPYSLLGIMYLIPFSLEYGTGILFGQLWSKMSGIAPLLIILRVVNGRAWSEDG TQIRTPLTFLPPDVTTIPSPLHSVAFLGQNRSSLEHSSSKHFAP JR316_0000163 MPAFTVQRVQNPTGRKTSFLHGVIRSDSIRSSEEEINASADLFY DLMQDNQGAISLSGGDKSLMKLQALAMLRAGILAGEYYTATNKDGKLIGYTLWMPPGR EIFSTEEERQLGFNEFMARLPDQGKEYFRTTFLAHFPGFVNSILGPTGKLDSWWLHMA MVDRNYQKMGITRALINLVREKASVSGDTLACSTTNDNNVPVYLALGFTHRGRKIMPS PWGDWPVHLFSLDTSLTS JR316_0000164 MAIEASSKTAQKWHTTPTTVTTAITIGLAIFFIFDIMAFVYIIM ILKETSRIGAVDSLPFKNPYVGLDQLYNLHKIKPSQYDRIVNEPRLATQISQDEPQKI FPVDLHRWLSDFGLLSPPDRNFKVTSTIHTILQFHVLDYGMEQCSLAVRLPNREDILP HPFSLPVTGDTVRLDICELAASRPLKESTLSWSTRPNCIRSLGSLDAKIGGEVSMEPF FCRSGSFIAYQVSCAKGFPGCEVDVWTNQNATWGELYCLSPYCFRSPDCITTFKGVYL NQYQTT JR316_0000165 MLHSSITPTATVSISEMFSAKLYIVVALIVSVTALPVAQIESVE TEVGRADTAWLREVEAIRADTAWLREVEVARADTAWLRRSTQQDTQ JR316_0000166 MSSALTTFEHSFYIGNYMSGILYGVELVMYFLTLQGLFRKGNHN SAKSRRFFAIYSTLLLLLLTIDISVNAVWGEQMWITFRDGPGGVPGFIATQTSVWYQT LGSTSVVAMIFMGDALLLYRLYVIYGQSYLVIALPILTYLAAFSLAIIELVIAGKPGG NFFHGKSINFGTPYYTITIAFNIFVTLCVVLRLHKLGKAVSRALGRDSARMYTSIASM LVESAAPYSLFGIMFLIPYALGNGTAISFGQVWAKLACISPQLIVLRVVTGKAWGREI ITQAQSNVEFIVRPNAHSSGIEMQQSVHYSHGGTTLGDTATEKWNGSTKSLSS JR316_0000167 MRSFPTPMRHPSLSITNLSLIFVCLSLALKVAGTWWIKRQLAEL TREHSYIGNDYPEVWPIERKPVLMTFDNPKHFRLDKEDGIAEWAAISPQNGVVHLGPH RQPYTVAMLHQLKCLDVLRGEMVRDRSESYAGPSVLVRHCLNYIRQIVMCRGDFELES FQFASHKNPIDWHGIYECRDWEAVYNNVKQNQEEYDAWVKMGKKH JR316_0000168 MLDSGNCSSARKVFSSSHKQHYGAKKGSSAASQAFISTAITMQP SYPTPKRGAALILSFRLPNKTVVILGSGSLAATRAFAALEADSSVIILARGGVNSACE ELKWRAQQKQLRIVDWDDLPSSSSSEASNYGDILDAFLETIPNVALAIVTDTLSTNHT RNHASAQTIYRVFKARNIPVNTTDMIDLCDFSFTSTHRFEHYETGQKTSLQIGVTTNG QGCRLAARLRREIVAKLPREVGAAVEQVGQMRAMAKGDAPLPPNEDISIPVDEDIDEE ELAEDGGVLTPNRPVPSRNNTENAAETRRRRIKWVAQVSEYWPISKLASMSEVDMKDV LTANQNTMSLSGAANILGAPQAQMPSLHFKSFPKHGRILLVGSGPGHPSLLTIATHTA LTQLADLVLSDKLVPDAVLSLIPKHVEVRIARKFPGNAEGAQIEMMEAAVEAAKRGLT VVRLKQGDPVVYGRAGEEVLYFRSHGFEPLVVPGVSSALAAPTFAGIPVTQRGVAESF IVCTGVGRKGKEVQLPGYERGRTLVVLMGVARLQQVVNTLVDTTDAENRRDGPAYPPH LPIAIIERASMPDQRVISSTLKDIVRALDSNGEQRPPGMMVIGWAVLALSGPGDVGVL EEGAELDDEARVRRWLGGPESLSWKVKEGIETGWDSV JR316_0000169 MKHEDGDSDTREYPCLVRVSDGKSTKFSTKVEPGELFKFQAYYG NLLKSSMSTLRKRDKKREKLRSEEAAKRKKAMTEPIVLDGPKRGKGRRTHQRKVRALL KQQESQRKHQEREEEAKKKVQLA JR316_0000170 MSLAPVPDEAYAQIANETRGHYLVGMDPLEFLDNFLPWNENTPT HYQQQVVSETRENNLKSVPPVKGARHPERSMYKHYVDALDDWVNGIDNSQKLRFAHFK KPDVDCASLNVGVSTYWQSDFPSVPSKGSCAFSLQQTHEEFRVDHTYDAFSHVNDEKP NTENPNENATGDQDPSNSASAEDASQKSAKEANKPASSLDVVDKIAGDAETFNEDLGD VSEESAEHAPPKDSHHDHVNNELLKNKYPFMDVIGYWDSSISTSSANSTGNGAGNADK PANSLSVVNKKGDSESSEEGAKHAPSKDSQHELKEDAKQPTVVETADTSKQSASSRAL VSEVEKDTQRAIRTRGQIAAYAGVAMSMSFRSHFFSLLILGEFARFIRWDRRGAVVST RFNYVKRPSLIFGFYLRFGQLSLRQRGFDTNAVRVPSQTLPEDVASAFDNYYERSWHE GAKFSHHKDNLDGRPRRRAKESKFHRVTVNDSTINQTESFFVPAPVYRPSVINPFTRG SRRSLAYLDHPDKTERKMCFMKDSWQEDSARTAPEADIYRRLHEHGVPNIASMRLGDD VADMQTETQEWWGSLNHSGRFKRFGFGYMVCHRLVLNTVARDLSTFTWCKVLLSCLAD VVDAAQAAFKAGILHRDISASNIMIVMDKETKEWRGVLIDWDMCLLLEKREKHLQKTG TWGFISARILQARSSEPVTHSLGDDMESIFWVLVYQVLRYTRHRDSPCQLYHKMDRLF NDSVTTENNEVIGGSVKRVTILDCSVGNVDKALGNFQVTDLVDVFTVICEQFQSRYPH GPRKGRMREFLKIQPTAATIPTVQVDWDSPDEKWFSTLLRRAAELMQPLGVTPTALES PSNDSKPPIDPTLWKRAKISRYPPDYFEMPWWKDKTKSTTANENSYSKIVTSSQMNHI DGLSASLRKRPLEVNNDDGRLTDGDAIDRAKRQRQR JR316_0000171 MKALDTNLPSTGPTSASASASASVSPSASASASASASAFVSASA TAGRRGPVRMDTQDGPWSVSVAETPYDAFVWCLYVKTPTHNLTLTRTAAELIELDVKL RSAHPGLKIPSLPIDPAGLPPAQQKKGKEKKRKSTFLNTLSRLASPGGDVNGSSAAPS TTNLASTVSSSDALDKDGVVSKEDNETSESHTTTGHHAHTNATPSSTAIAAYLTTISN THVLRMDRVWKRFVRVRTDDLESVRVERAIKRVRSDLAAHGHGHGHGHGHGSKDKEKE KEKEKKNKENPDLDLVVSQNGPGGDDADGSPIRADEEAQAQARARDQDQDGAQEVSVS APGTLDADGGVPPTPATATPMANEHAASSANPNDSTTTTTNANANATSTSTAAAATAA TANRVHRSQSADPDKSHRLSRVYASSVPSQSGAEGTPTELSRSTDKGIADKENANAVT TTSSASKEPRKSQRKVVIDDFALMRVLGKGCAGKVLLVRHKTTGELFALKAITKRHVL AHQELQHTLTEQAVLKRMAAEGRDPFVVKLWWSFHDKENLFLVMDFHPGGDLATQLAR WGRLGRDRARFYAAEIVEGVEGLHAAGVIYRDLKPENILIGADGHIVLTDFGLSKEFS RHRRTSPHGGDFFSMPGTPNGSGGMPPATPPWMKPDRNGEMAFGWPAQPVGHQDSTST FCGTAEYLAPEVIQGLSYSYEVDWWSFGTMLYEMLTGITPFWANNHSDMYVRVLHDEL QFPDDRAIDQDTKSLIRGLLQRIPSLRICEPRIKKHPYFSMIDWTHVYYKRYIPPYIP PIDPSNASDTQNFDDTFLDMEPVLDEFTEEDLEQEQDTDQEPQTDADRTDGEGYETNT TPSQSRSSSIRMGQSQSQLQQGQGLSQPVPVQQSPKVEYDDDVFDGYSFKGRHSVLID DDEEDEDEEGSEEGSEEVSEEYEEDEGLEGSVLEGETDRLGLGQLQQQQQVEEEDTAM EQEDGLEPKTPEARPAALPPVATSTEPEQSSTPQMHVSELAQEPATKEPQAPAPRHSV EETTVPSRRTSRDVPSTAPSAYANVASKVEREAHAHPRPSTPPPKHVQPVVASRGSGA TRTRREKSGVPALDRYLSDGVDEDTEATEAERDEEDDDWDFIEAADGEDRNGAKGTSL FARGVVDRYRLAVFRKASTPGMGSNGGAGGGTGGSRRGKQQRTASGMSAASTATTVDE SPSPVQQRRGRAPGLNFRKHPRQFLRPKSPAAPPPSSFSAKSARSVSQSALGSASNSN TLSAGSMSSPGLGGSSGMFTPSVSGGASTLPHSLKSKESAMSVGAMSQSSEQSGNGQS AVFIDGADTKMSPNGAEPKVKKQKLKKYKNGAEKVFSLFSSPRQTSTS JR316_0000172 MPAKATRFVFSDAQMARIKEYIPAFRAKVAELDPEWRGHCKQLS DWKAKTVNSLLAEEIFAGKLSETETLKQWSSAIVRVWTNHFNYDIKVKQGKKGLQKTP TISTAKTPFLQLSSNATPRDHFVVENNDRIVALYRQISQERGIPGGAARQIAIQQLWS KEDHKAWEEKVRHMRDDIDANRAEFDSVAQEALEGLLTGGQLGSCVLSLSYAFRKGGN IFGGKVSAAFDSNEGALIDDGKFEYDTASLERWNNHAKSVLPHYTQDGDATIPWDAIY SNPDMYYDTETFNLPIGALKSPQVLEPDEILALTKYLRLLPDATPFKFYSKDRIAQHQ RQAELQKLQPSPSSHSPSYDDASPSGTLSSPSRLTNVAHFLTPPAKTPSRPSLLPPTS DQAASFSTPPPKTPPRASLSSLSPMTPLPSCSPPMQHTDVSSNVPQTVIGPSAAGSPA SKEGHSAKQGAGDDITTTAASTATIKPKKKPKKRVRTEGPPVAVRRTGRPRTQAALGP ALVTDPSLPPPKKRKLRDTWYMEPVSEFHRFGQLSLRQRGFDTNAIRVPTQTLPDDVA SAFDNYYERSWHEGAKFSHRKNDVNGRPRRPAKESNFYRVTVNDSAINQTEAFFVPAP AYRPSVINPFTRGSRRSLAFLDHPDKSERKMCFMKDSWQEDSARTAPEADIYRRLHEH GVPNIASMRLGNDVDDLKTETQEWWGSLNHSGRFKRFGFGFMVCHRLVLNTVARDLST FTWCKVLLSCLADVVDAAQAAFKAGILHRDISASNIMIVMDKETKEWRGVLIDWDMCL LLEKREKHLQKTGTWGFISARILQARSSQPVVMHSLGDDMESIFWVLVYQVLRYTRHD HGPEQLHKQMDSLFNDMTITENKEVIGGSQKICTIMACSIHRVDIALGKFNISRLHDV FATIGQEFHPRYYEPPKKGGLRERLKIERRPAPVSTVDWDSPDDKWFSTFLRREAEGM QPLGITPTALESPNNDSKPPVYPALWKRARISRYPPDFFEMPQCSAKDVYNFSKKDKN EKANNKVVTSSRLNYMDGLSASASKRPLKVDEKEAEEDGGRERDASAAQPKAKRQCLV JR316_0000173 MSRCITPEDLLKDPSILLRKKGHDSSEGLRSFEGQVGAVVWEGS HFVTSPNMDHIWEPPRVSRITYMEDMRYGEHDPLQWPQPFIGSFCYLAAIRRRPDDLR AINRFFIAFYRLEDSDLLAQEGVLSGLYTLTPRLVSLAKNFVQNRVIAKSKEYESQDD APKNDILLKLQPSILRTVNHLENLPMTRRKIKFLFAELQRYFLEFTAAFEYLTIYLPR IKGTMPAATAANKKLIGAFVQTAADADDLFRAGIPVWVIREAKWAGNDRVDSLCEVQK PQDYLCLDSAFLHFPVDYRDSLTSPNKYITFGRFARGFLSYGDAYNLRAYEAPIPQST GSEQTSSTFKIGPRVATSREIRKSKQPYPIPQRQDFSEPVSVYLPPSQEAWASGLCKI NTDAKRSLLVSRSMAQGGYILPNPEAFIGVTTSNKRELYITNWLKYRSALMYCLTESQ GRCPLSSQLWRDLLRLPVDALPSTITQPAATASSSTSTRTSSKSSQRGEILQRIFENF DFHASGVAVNGHEHQVTWRGQALIKGQELDINLVREVLWELSELNFRFDLIALDHHLY RRTLKVEREGQILQSREDDILLCFAGGPLGTSPFLAHIANADIGIAAGDWMVRRAYII YLRNAMRDWEGFDSAAKQCHEVDLFTRSSDILSFAEHQYLLFEVVLVRLFIQLFYDAF GRAPVIPRRLH JR316_0000174 MPPAPPPASFGPLSGAHPEYRGIYKTAALEKVKQNIKLFLLQHT KNPGPQKPHKPDHPESRPQLCFGGGSHRANAGRWLQVCQKQSCYMHQRPRFVTEPLSP EVLSGLDALFAIQDEIHAYMPRTMTSANNPVARPCVASPSSSLPPSSAPSASPALSPS PALSSSPCRRNRAVPAVFSTPTSQPSSSKRRLSPPPFASTSQASSSKRRLSPPPFTPT SQASSSKRPLLPLPEPDCVSPFKRRKILDVLDELPPPNVPQGIDEAEIRRRINILLDA LEPAAAPTSPPVAPPARSPSTPEAVDEAEIFDLTRPDHSQFEETLYPRHDGRLCLNDY KLLLGRQGFEQGLYIEYFCFNTDEWTPCLWATRHLISGHDQILRFRYA JR316_0000175 MKLTAKTLLKHRAQQEAENESPKRLRPTAPHLTEYTCQNSTSIT HTTILADNGHIPSLQSKEKVEAKLPPSVSEPPAKPSELTEELQAFQDNKDELLDYLFE REHHPLIGTPCQCGQGTRLVCCTECLGHNATCRLCFIAAHLSMPCHWALVWQEGEHGG FFVKRDISQLIPGGNFAIPLGHGGLRCPGPETEEENVFFQIIDHNGVHDTRVHFCTCL GRPNRVRQLIQFGFFPATLKQPKMAFTLTVLKQFHLHHLESKESAYDFIGALRRLTDN MFAASTTNPYPQFLRVMRFWRVLIATKRLGQAHGIDQLLPHRPPGNLLVFCPACPEAG LNMEKNWDQTPKEFSHLNQMQLTLDGNFHANRYNKNSSDDDYSLYEGRAYYPTDSDFK SYLRSLPAQDIADKIDCPIKAVKNRNLVMENLSETGIINVQCPHVIVVSTVDLQRGER FANTDYAVALALRRIRDTGKNNSDQYLTWLAAWISYDMVCSYWVNIVSRFKVYFPDLV EIVKRLSFLIPLVHVHNHKENCEYLYSSAYQSGAGHFHGETAEHEWVELNQLAPQVRQ MNNGHRQDTLIDHHGDWNFKKMANMASSLETDIVHSRKIFHKKRDDFIAKTALYSDRA PLWNCLDRELRTVSADKEIQCVFRHKTGKVPSQAKIYEGLVDRLKKLADKSDNTFLNM ESSVRLINEGIIIERKQQELASKLASEEYPMAPKEMLSRRTKLAKLIKGWKTLQQDVM GTALCSVETSSIDKPECTKLYLPSSFSATDRAKYDLEGLAADELLLRKGNIFDTIRNI QDTSKTLSSMRTERQQNDRGQTARTRSKSKLEDVERLLSLQIHIYNLCRQALVSLGGD EYSSMFPEMTVADTYWVPTHLRREIGTSRKSDGRIFNPGITGGAQGRAPGVSNYLEST MDVIQTQGSKPKPRNDKKLGKDKKGTNRGSGISPPKNDEKVKKDGWIWSLAELVNLTP EDIEAWSDESDRVKWFRAEAEMRRWQEENEINQAQFLRCIRSFHVMSKHWTQLAKMNA TDPGRVAYAKKMSARFSRLESDAKERLVRAGYRELVDNYTGENAPLLSEHMAANRTAM YAPISDLLVSFSTTPSSLNPSQV JR316_0000176 MSQAPVPDEAYAQIANETRGHYLVGMDPLEFLDNFLPWDENTPT HYQQQVVSETRENNLKSVPPVNGARHPERSMYKHYVDALDDWVTGIDNSQKLRFAHFK KPDVDCASLNVGVSTYWQSDFPSVPSKGSCAFSLQQTHEEFRVDHTYDAFAHVNDEKP NTENPNENATGDQDPSNSASAELASQKGAEEADKPPSSLDVVDEIAGDAETFNEDLGD VSEESAEHAPPKDSQHDHVNNELLNNKYPFMDVIGCWDSSISTSSANSTGNGAGNADK RASSLSVVNKKGDSESSEEGAKHAPSKDSQHEWKEDVEQPPLVNTAGTSTQSAGSRAL VPEVEKDTQRAIRTRGQIAAYAGVAMSMSFRSHFFSLLILGEFARFMRWDRRGAVVST RFSYIERPNLIFGFYLRFAVTPWTLFKHRSMT JR316_0000177 MHYLHSLACALALAPSVAFAAPASSGFLENPYIGKEAYANQEYA NKLEETIQYFNELNDDLNAARTRTVQKIPTFAWISASSDVDKIEGLVQETLAAQIETK KQQILQLVVYNLPDRDCSAGASDGEFKLDEDGLSKYKNYIDTIANELSTPDAEKINFV VILEPDSLANVVTSLGVPSCAKAAPAYKEGISYAIAKLQLPNLSIYIDAANGGWLGWD ENLKPTATLLAEILQRAQEIKAGSTVRGVAINVSNFNEYLALVRENFTELSNSWDESH YAQSLAPHLEEAGFPAHFIVDQTRAGRGGIRTEWGQWCNVRGAGFGTRPTADQAVLQN PYVDAIVWVKPGGESDGTSDRNASRFAETCAGPVAHVPAPEAGSWFNEYAANLVMLAN PELEPTF JR316_0000178 MHPHPRGIDEEADLKHPKSVAPVFRHCQSRIIDSKKLRTHNSSP ETEAEARRGTSYWKPSPCAKPLFSPHFQADSYIDVYHQPILVASQPAPALLTVRYKNP MLKSVNLVDKPLFTVKLSLMQRIQNVAPQLTLNVNLVPWEPIVPRKKAAQLTEVISID PQPRGIDNEFELKDPKSPRSPVPYKTPEAQERTALHRSSLPLKISISSGGEAQDAVDN QHAFPTFSISCGRLEEAAYPQFIDQPRRVRLDATLAGPFLAQALSFFIQFLRG JR316_0000179 MNSSPPQTVSEPIYLPPPPAPAVAPQGPTQLARTDSATSFSSEE DASMSPSPVAVMNSARRTRKRFTNTQLTMLENLFHLNSHPSREDREAVARDGGMETKS VTIWFQNKRQTERKTAASNNNNNNNNGANGGSSHGATSHAVPNITSTIHTFSLHGEGA HGQGPSSRTASPPFSISSRSSITSASICTATTTAYSSSRPSLDRVASRSELRAAAPRT PSRRPAHPGTGAIWDNMPSSPLAPPISPPAREFIDFGKNARTRRTLEWACAAARLVDK DGYASGMSAGFGAGGGSGGMYASSSAPAAPVRPRARTVSREKMRVRAGPSQHHQSHYS YSRDPNGAASDSISSSRSRERDTYAPAHTHSYVRSRDTDMDLTDEEDHEAITPPSTWG KDDRRWTPAGAGEGRPTSLLAMTEAAKGGMSPRDIRARVDDDDMFRAALALCGLGRRT JR316_0000180 MRYLQALACALALAPSVVFAAPSISGFQGNPYIGKEGYANQEYA RKLDQTIQYFNQRNDYQNAARTRTVQKIPTFAWISVSSDVYKIRGLISETLAAQTATR RQQILQLVVYNLPNRDCSAGASAGEFQLDNDGLNKYKRYIDNIANELNTAEARNINFV VILEPDSVANIVTGSNVPACSRAAPAYKEGIAYAIAKLQHPNVSLYIDAANGGWLGWD SNLRPTATILAEILRQARAINSSASVRGVAINVSNFNEYIARVREPFTEYSNSWDESH YAQSLAPHLEQAGFPAHFIVDQTRAGRGGIRTEWGQWCNVRNAGFGTRPTSDQGVLQN QYVDAIVWVKPGGESDGTSDRGSPRFSETCAGPVAHVPAPEAGSWFNEYAANLVRLAN PELPPTY JR316_0000181 MTEPEYTPQTTVQPTLSDLLTIEPSASIFYSYARELEMSSMLSK QDSKLTLFVPTNKAVMALARKPHQGPEQPIEVEISDEEFHNRAKKHVERWVSAHIVPE YPLSLDGNNHPTLLDGKSISFKPISKVSGHGAEWSRVTLDNGAKIVGKKEGLNGDLYL IDGTISLD JR316_0000182 MPTIDKGDKILVTGANGYIAAWVTRLLLERGYAVRGTVRSEDKG EFMKNYFNGVGIGEKFETVIVDDISRDGVFDEAVKGVHAIAHTASPFHFRSKEPKEII EPAVKGTIGILEATIKHGHDVKRIVITSSTAAIMGNQAEIFTEADWNNVSVKEVDGKG SEASPIHMYCASKALAEKAAWNFYEKHAGAIKWDITTLNPPYPAIHDVRAVESLNTSL ALWYDYVVKGSIKSKEALADSNSWVDVRDIALAHAIALENKEAGGERIIINEGGYIWQ EWLSIANSITPSPLPSRAFLLGFPEILEGNPVSKFRFQKSKEEQILGIKFYTKAETTK DILDDFARRGW JR316_0000183 MAPISTFLVGFIGYLLLSVGASPTEIGSRDLIGDVINAIGLGLV TKINAYISVETFGNNLLSVDFDIKNPLLLELTIDRVVSKAGINGTVYASFDHTFTTPV VVKALNSANSGKVPDVLLDQGVEKSLDIIPLLKLDLISTDVYLRAATIKGKLGIPIPI KGLKQKDIPTSYQFV JR316_0000184 MFGLRVLLAALVAGAASASPAAPATDLGIADIINLLNIGLVKDI NAFVTLESLTTNVISVVKNPLPIELTLDSVSAVAGLNGTIFATFTHTFPKPGLVVPPL ATKNSGLINNVQLPQGGEASLSIIPFGILDLPDTNANVRAATIGGALGIPIALTGLKQ TAVPTNYTLELD JR316_0000185 MARFNSFLALFGGLLAVASASPAATASDPFSPAQIINALGVGLV KDINAFITLDSLTTNVISVNFDVKNPLPIELTLDSVSAVAGLNGTIFATFSHTFPAPG LVVPPLATKNSGIINNVMLPQGAEASLVIVPFGILDLPDTNANVRAFTVGGLFGTPIA LDGLKQTAVPTNYTLELD JR316_0000186 MASSSDSSCYTVVFESSAEYPSASELRSALEKGSDEVKIDTLRK IIISTINGNPQPTLMMPVIQFVMPSRNKQLKKLLHFYWEVCPKYDENGKLKQEMILVV NAIRNDLQHPNEYIRGATLRFLQKIAKDQELLEPLIPTCRACLEHRHSYVRKNAVFAL YSIYREFEHLIPDAAELMYTFLIAESDSSCKRNAFVFLAHCAMPKAVEYILSIYDSIG TLDEALQMSIIEVIRLDCKNDSTHRSRYIRCIFELLNASSHAVKYEAATTLTTLTQNP AAVKAAAASFISLVIKESDNNVKLIVLDRLDNLRSKHGQVIDGLIMDVLQVLSSADME VRRKAISIVLSMTSSRNVEEVVLFLKKQLQKTQEADFEKAPEYRQLLIQSIHVTAIKF SEVAASVVHALMEFLGDSNNPSALDVVAFVREVVEKFPHLRSTICEKLISTLSEIKSG KVFRGVLWILGEYVESVADIHSTMREVRKVIGEIPILASEQRLLDEAGGDGEEESEKP KTEGSSRPKVLADGTYATETAYTSTSAARLEAVKAAAKPPLRTLILGGDFFTGAVLAS ALTKLVLRFDKASQDQVASNMLRAESMLIMTSIIRVGQSKFVTVQIDEDSNERIMTCI QTLSDLESQPAVQEMYLEDTKTAFTKMLGAQEKRAAEKKDAETAKAVTVQVDDLLSFR QFSKRTADEAIDYDEDVGRATGSAEVQEDFMSNLSRISQLTGFSDPIYAEAYVKMHGF DILLDVLLVNQTGNTLQNLCLDFATLGDLKIVERPAVYTIAPHGFQSIKATIKVSSTE TGVIFGSILWEGPNMSEACVILNDIHIDIMDYIKPAYCNEAQFRSMWTEFEWENRVNV NNPMSDPREYLKHVMKATNMSCLTPEGATSGECDFLSANMYARSLFGEDALANLSVER TENGTITGHVRIRSKTQGIALSLGDRITMGKFACMLPPMNLRVADDAVLLAQKDSKPL SV JR316_0000187 MFRQEFMWLFSLGLGLSSAGDILITVVLFFLLQGSRSKLPNLNL IIDALILYTFEIGSLTSVAAVATMICWIVLDQSLIFLGLHFVIGKLYANSLLARLDVV SKNYNHEYLNYKAFSLHARKDFRRFGPMNHDLPSNNSRWASPFQFFNESDSRTAESPG TQILVTKSVEYDSKV JR316_0000188 MVQYNVTTLCGNLDSDDLPFSIPKLRFVKACIAYSFGKGKQQAA STSYSAAYLLEELIPTELPFIKYIHNADAVPLLEESEPGYDTSKFLCFVQHVQFVESH GAGDLLTDPQVMTHPKLKDGNGNPLQNLFGEGNVEEAFMKFPSQHKCNEYCTWFDLEP INTE JR316_0000189 MAENTFEYELPDGYILVLGPDNRDYIVPEFMAQSLEQALAVQLA KSNIGVTKASGIDPAAKNTQIYNTLGGNLHVPPEPPLTDQERLSLHAEVCSLQQRLGI SYKDAAHRLYLAEVEKLQLANTHRKALGALDRHIRKSLQFIAERHSVNEGQKSGTNDK QQ JR316_0000190 MASPFPNASALRLVLADIDAGVKNAIKSGGIEEYIKLVKGKVKQ LFEAQKLGIFREVFEEFGTFLWSVIVEYRTAQKQKTFDRETFLATYRLKLQVLEASSK GSSGSPANARIPADARVPASARVFNTTQNPAAAARVPNAAQVPAPARVSDTQISPAAQ VPASARVPSTQVPTAGQIPAASRVPAATQVPATGVNSAVPQTKHDGSALVARSGSGSK REVAPTTSAGGKVSSSARAIKPLPKTGLPQTAGPKLTDLAEMVDHLEKSVGKNKSGKK KNMRSTPSDLDPNLPLVEKYHILAVKALDQPDSPIHDFQKKKQASKKADWETRNDWND SASEWKDSDPSDGENPASGSKRKRKHNAKEESTCKRCVEMEYECKQRDSVWKKGSSKA CFECWRDKKKCSFRTKKSESGDGGIDGVGNSKTKPIKQPSKQASSSKSQAGKFKSAKY IESSDKEDTPAPPKKKKKISDNNDKEDAPRAAPKVKASENAHSEGKAGMPTQEIIEIQ SDRSDNDIQLLQVPQKDKIRKAQPDDNTISHKKIRREENTAGNHSGSQILALKDISDV NSVETTEKSTKVILKKPPTPTPTPQDTPQIPNTLSSYPFIFEMEKRLTVLENRQSSYE EHCADIYTQLEELKNEFEELEKSAGFQQVKIWNQDEQLNSMERSIAHHKQLADGTSSS LNRTIDLLKAVRKDVSRFQGQIDGVVERLENVEGRWVDYADSNVEYASKHDGFGAFDS IQATSGPNDASNASDASDASDAPSNASASEASDASASDTSNVSDTETEEQQAETGVTT LNLYDIETNADTDTEDNSDADAEADPDPEADVNDNANDNANANTNNQGDADADVPANT DIKPDDPASSTTGIIPDDPASSTSGIVLERKSDTHTSGSRKDVNPATSNTEGEALNSV NAHANADSNEHATESGSNMSLDSESSNLNGEIIPPKTTVLPDYKSDNSPVSTPQSDMV L JR316_0000191 MDATGSLTEDYKTLLLDDVFRTHDRRRKVYNHQEREKIEAFKQQ YLDAGSNRGRKEVVCCILPVLFNYWIETGSRIVSQTEEFAQQEAKKLLKWIRNNWRSA KPTEAELTGHPKKRTTILWRTRKEEVYKEIATILGIDSVTAGTPGIFENRMKAMGNIL ARMSDNELKQLDLEGKALESVEYSDEQKRANANKHAFRKLDEASKRNWAEMGLMTITF VTQLTESGQLAVRVHDEIANILGVTSTSFEDQKSAEVTQLKRLIGLYVRGLLNAKNRA RDGGGDGDGSSILMLDQDAQGFPKLPRDFEADKLNKRQLETLMGLYFSQHYSLATNGR SKHPPYDYIAKKQSAFISPDYLPHGLKLAPPRNMTIEDIRMLLTHLRQRQETFSLNQV FRFRKVKKHRKGEEIMFSQYPDEDIRLDEPKRPIPAPKKSRRRAKKSSKPTQVEARPI HIEEMPGASGLLSFEVPSTQITSIDNMHIDPQLLGHEVSVRPQETFPSNPSPNPIGLQ DMSEIHQFSLASGPTSNLDMSNPALSHLQTFVYPPPESTAINSSGNGGIPLANIPTPP PAAPQPEPPASDSVQPAKLPNSRPKPRPRRKPVTPEEIAQAEENHRLLDEARDIERTL LANGSLTADPSSHVSNESAQPKGNRRKRPEVEESLIISGKRMRRVRERTS JR316_0000192 MTKNSRKSKKKTLYEDDPDAADVITYKTQSRTTRNGRRVEELVK VPLTRTERYHVNGDQPPSVSVDDNDNDSGPAPMDYDDVNVDDTVVPKARKTQRDYILQ FVERVDDLLGALLSREALNVPVEQSVCTHCQANNIAIWRCRDCSLPSVMCRKCMRESH KSNPMHRIERWIGTHFRSAELWEVGTYLLIPHHSGTAICSSLKARIQFLEYLEDPKDR SEQAQLRSLDLSQVPWRSDEQRLANVDSDSRNHPLDNAEPGEIPGENGPSDSEFEQLL DGLLEDPSMELPPDILDDDDDETEVSNGESEIGNIPQYLHNPTGPTPNTSPQAGQAPT VDGLNNSYIRIVHTNGLHHLAMVSCVCHGSDTLPLDLMASRMLPTSFYHTRTLFSAQL LDYFRLSNLELKASAYQFYSLLKRITNPMAPSSVVDLYNEFRRMSRLWRWMKKLKWAG FAGHNGKSALNVGKGELANYCPTCPQPGVNIDPNWKDDPNRWVYKRIFVADGNFKADH VRSEKPSRDIWLSEGGGMMPPREEYHEFLRTAIEALTGAPCENTFRAIQNSLLSSSSC DVTGIVGVACARHGCYAPNALVNLFKGEQQKNVDFAFLAALRSTGVHPDQGTMMIYDI ICQYIIHLLKRIKHHLPDGFKIDRAIGMFHVHAHKDECFFRYAPTFIPGAACVCGEIL ESLWADLNSISPATRTATLAHRTEILDDHASDSNHKKALGITKYLCRRHLEAVKARET YRTSFSNLTKAADPEALKLWTKQIEDAEKRRLEDPAAMDIYVAKRPGRSTSTHQESES SAKSLTPIESWIQFSLLVEEKQLDIRVRARRLVNHDRLTDRVKLQKLRDALKPLLSQL GLLQTKAGVVTTSVHGRGFSEQLFVDWEDDEDVLAPGSAPPAYEAIDHQLLCLPSNGT ADNIYAPYELQARILQARSLLNQLRERIAERSFQYSDVVRHAPRKGVRTRGYTAAKEL RDQISLYAQAYSHCRSCLVQLGADEITLREFRVLTKEDIKSSTAVINPNVVGSTKFRL SWIWYSVNQRLGPRWALDPNADSAADPYSIGEDADPATVLEFKRVHWLRARALYNRWL EEETLVRYEMKWTVLFFLHKSKWWKDTINSDIPLSPGAKAYAHRQSGIQKTPTIRVFR QPSVLAMDKVPTIRPFRAYDLQYGSNPQHHEFLQSVVFCAGSRFREALIHTLQSSGQR RKRWMQQALQKELNWVLRCIGRAFAIGFNIEIPLVIRCLADTLGSVLTESLPLEIDMA FAEFLACGTRDWAFEKRVGSYNYDWWNRNSAPKPSELLGTATVSEILTYHKAEFYKTF DPEPTTTFDELLKTHIDHKIPEIPPNMSLVHPVQIILDKVGDTCDACDAFQNLAIESI QQLSSIKNHTSIMFSTADNTLDRISRRAIGFFELYEASQRAADTAKGPAPTDSNENPV SKWTWGRQLTTKEVCSLGDGKKASHSGYAESLRDGKLRSRSVDKPIHSPHTSDVFESV SSVAADNHLSNEAIKHPQYFEDGTDSGTIASMIEKAATSYESDSDASDTGDADTAPSQ ATQSVAELLAHVEYISSDSDDLDGSDDSMHGEDGGKGTDTGKKRQNIDTSANSGAVRR TKPRGEDGQSVKDQDAPHTTAYFTKNFFSCQLDEDLFEMDADEDEDADEDGDSEGRAM EPGYAHRPGYAHRLEHPRRQWKAPSAPTTCRPTPPAHGPRRQWNAPSDRVGNGMHQAF RQPANQPRLRMDRVGNGMHQAFRQPANQPRLRMDRVGNGMHQASRQPADQPRLRMDQK ANGIACKCAVQWSNGSIEST JR316_0000193 MSSGNLHISTQFTEDVIARTPSKCERPSCQAIIQVGDQRIYLAS QNPNVSGKYVCKACFDHYARKSVTLARVVHEDSASSTSIQMKQREESNAVASAKLTPV SARLINISDVQQMINEGQRKGANTPQGRVTPMPPSSSSVVGGPNIHNPSYFQNFFSHH STPSISASGYSASHALYAQEHKRWSTAAYKGSLAPGQIPVNAQTKAMVPIVAGVGYEL LLGKTEQTGIKEGISVDYGLTPQELAQAIRSCVIKPLTKLFPGFPWDFSKSRVRETAI WQDILANRDLTEPYFQGRFLKAKSGKSAAGTYTFSPPNKPVSFIVIIDRNQWIEAERM TQDSDAAYVPEPKRLIESVASDSSEKHTHQHDHAFPLTRKRKELASPHSTTDNSDIRK RREPANSHQTIDTSDAFSTLSYVEDEYSFDKKNQNNTNNTGESVQFKSLDANEVTRGL LLGGQQSVLELKQRFDAIRIESILCYPIVFTPFHDLGSNNQSSIISSKGYFAQLSFND HTDFISKGGFKTAHSALLEWTSASPSGLLGSWLTSPISIAMKRLYTQRKDPKTGAMVL KRFAYADESIKTLMEATSLAYADSILQFAYGFINNFLNKQGSNLRVACNIVPYHMLEW L JR316_0000194 MRKPDTEVVKLLQEEHIDRAIYGIGLTSYKAIRRRLGLLSARQQ GHTVESIKESMVALRKRFPKAGARDMGSLLFHHYGMLVPRDLIVVYFRVYEPELIRER KARRLRRRRFWAAGVNDIIAVDQHDKWKRFGLALHNGGDPFDGYIHWYKIWWTNSNPK LIASYYLNVVEKNKCIPLITQSDPGSENYGIANAQTTLRHMHDPRLIGTIQHRWMRKK KNIKPEISWSQLRSRFTPGFEDLLDYGVNNGLYDIGRPLDLLVFRYLFIPFLQVELDA WTDMVNNTQKRSDRNKILPHGIPQHIHLFPERYGCLDFKIQVSQEAIDEVRQIFAPPE DPVFQLVPPEFKHYADILYQSMESPVLTSENIWEVYSELLYRFENLDNAVDFIEECQV YIEAMELQDNEDDENSFKPQGRDLFGGIDNSKSDGTYYMGGVNNGHGLDNEHYRILDA MDENDGELEIDEAQFTDDENEEDEGEDVLI JR316_0000195 MLHLENGACKSGINRAKVDRFVRENDRHNLITDPSRMICGPSGS HTETVIHIATELSWNEYRQAWECCLCYATFRSLKALNQHLASPRHQEKMYICREPSCN TRFTALSGFVWKARLPYSVVCTK JR316_0000196 MHYCDCGDYFYDWNALKEHWVQSPRHDYCQYCNEHVGHILDHYE ECHHYCGPCRRVFRSEFGLHEHLRQSERHRDMYCGSCRRLFMSPNNLNAHLNSSIHRT KDVICPFKCGATFVSNSALVLHLENGGCKSGINQTIINRYVREYDTNNVITDPSRMIT GGSSVGDITYIATERSWNGCGYECVLCHKVHHSLLSLNQHLASPKHKDKIYICRGPDC SMRFPALSGLVQHVESNKCGVARFKSVQNAMNSMLGQVGRITM JR316_0000197 MSTLPIPLPEGTPSPQLHQGRPRNVTDRAPVEVLAHIFQFYIEP LDSEATIFNHPCVQPKDVLPLGAVCQRWRMIAWDWPRLWNSLTFRLHSTTTEEMVQLA IEWLGRSGRLSLSIFLREDFEYFETKTSELLIPLADTVSQVLHRCHYLRLSDLMPSVL SHISVVHPIDSPTVLKTLIVECDENLYNITPLLNFGTAAPLVLTIAYIKLDRIVMDWN NLTELVAYCLYLDEILQVLALAPKIVKLHIFMEFHSQDNHNRPVESRSLHCANLRDLY LDTFDPYRRPGSGEIVSTFLRTTTLPSLTTFRVQHSPPFPTLVFLDFVCRSGCTITKL SLNEECISVDDLVMLAKGLPAVTSFSFHLCPDDSHIQLSPLHDLLRVFCLDDQFHDHD DVLFPNLKHISVGSIKPVPWELLPGLKWKYSYWQDGTRSVQRGRPNLESIFAIHDFED SLYVGSRLEYAEKYHPPENSDSVPEQLIQDWETFYKLWQLTEDVCLTVGIWPDAMASD YAADLFALSYRVLPKFVGEPPEYIRKSWEAKKWPISWTIPKDQQRY JR316_0000198 MSRSRSRRLEYPTRAHNRQSGKAIKYHFKLQPLLFDSQLQSPFT FNSINEVMTAKTQPSKEYKTNGMPFRRLGPSGLRVPLFSLGGWLTLGGTVVGDPVKEI VKTAFEHGINMIDTAEVYSQGQSEIEIGRVIKELGYRRSDLIITTKVYWGVRYGSPND TGLSRKHIIEGTKECLERLQMDYVDVIFAHRPDNTVPMEEVVRAFNYVIEQGWAFYWA TSEWSAREIEEAHHVASKFGLIGPIAEQCEHNMFHRERPEGEYDPIYKKYGTGTTVWS ALAGGLLTGKYNDGIPEGSRFATHSFMQSTVKSLQRKEGQEKIRKVRELSKLAKEEFN TTATALALAWVAKNPNTSTVILGASKPQQIIDNLKALEVLPKLTPEIMEKIEKILGNK PKATHPRGRPPLDKLAKL JR316_0000199 MATPLQDWPVQREYDPKGMPFRRLGPSGLRVPVFSFGGWLTLGG SLDKDATKELIKTAFEAGINMFDTSENYANGKCEEVLGYSIRELGLRRSDLVISTKLF WGVGRKSPNDGGLTRKQPDPHVSMEETVRAFNYVIEQGWAFYWGTSEWGAREIEEAHH IAAKLHLIGPIAEQCQHNMLTRERPEKEYAALYKKYHMSTTVFSPLQFGVLTGKYLDG IPADSRLSTSAHELDWLFKELESPQGKQNMLKVKELMKFAQEELQTNLATLALAWVAR NPNTATVILGASKSSQITDNIKALELIPKLTPEVLHKLDKILDNAPEALPSYGRPLLD RFGRI JR316_0000200 MSVLQSWPVEREFDPKNMPFRRLGPSGLRVPVFSLGGWLTLGGT IKGDPVKEIMKVAFENGINMFDTAEAYAGGKSEEEMRLILNYRGRVIRELGLRRTDLV ITTKIFWGPRHGPNDQGLSRKHIIEGTQESLARLGLDYVDVIFAHRHDQNVSMEEIVR AFNYVIEKGWALYWGTSEWTAREIEEAHHVANKLNLIAPVAEQCKHHMFHRDRPEKEY GPLYKKYHMGTTTWSSLAGGLLTGKYNDGIPKDSRFDTHSNFLKEDLKRYESPEGKEQ IRKVKELTKLAEEKLSTSVATLALAWVAKNTNTSTVILGATKPEQILENLKAIDVIPK LTPEIMREIEEILANAPDAAPTFGRPLLDRFGRV JR316_0000201 MVVLAASICTKGGKAVISRQFRDMTRTRIESLLASFPKLIPTNT QHTSVETAEVRYVYQPLEDLYILLITNKASNILQDIDTLHLFARVVSDMCRSADEREI LKNSFELLGAFDEIVTLGYREQVNLMQVRSVLEMESHEEKIQEIIARNKEAEAKEELK RRAKQLEMQRREQQRRAAGGGGGGSSYLGGGPTGYSSIPRYETPEVSAPSISRTNNTS SSTPTRAPAFKGSGMKLGSKKTKQAELLDALGGDVLASTGLAEQAQAPATPAQETHVV QKVSGRGSLPEVEAQSVHIIIKEQISLSLLRDGGVQSMELKGDMNLQVTDPANAHIKI NLANVSTDFGGSALQFKQHPNVAKFTPGQPRVVALKDSAKAFPVGHSLAVLKWRYAGT DESNVPLSINCWPSPSNDGTCEVSIEYELENEHVTLYDVVISIPLPDGSYPTVSSHTA EWSLDPSSHSLAWSIPIVSPSDDSKSGSLIFNVGGVDVGAFFPVEVSFIGQGSLAGVA VASVERTNGDGSPDFSVDAFVTADEYLVV JR316_0000202 MADFTLVDPKGWEYDLHSVYSAYIGYFQMYNVPWYERSWGHLFE SFEEFLAFSWPVITVTDASSGRAHIVTRLNSIGAFIKMIKTRFGETLPQAPNILQISP FENSSRHLRHVSDYSSYKKLHSTLPAVVLSALKTRVRSGEPKAIKDLWGKKDKTFLAI DFEWNERNEKTVLEWGYAAVRCGHLESLGHWPPVPDTNYRKGHYIVAEHVDKVINKYC PTYPWLFGESQVIPKAKLPQIIQAVISSLASPDSETTPNQLVIVGHNVHSDLMRLEEM KIRIPHNTLIVDTMIYERNLYANGFRGVMPDPKSDKPRLSGSNLSLENLLYSLTLPTS SNSPSPDSGQRQPSPLPPVVLPQCTLHNAGNDALMTLFAFQKLLEPRGTQVPTLVKKA KANGSGFSNKFNAIHGGGMPVGMMPMSMPMSMPSVNGAGAGVNGTSASVLNTSVSMPM MNITGTTPASFYAGFTAIMPSLSLPQANGNGTSNGSLPRRSSTYDLADEFGQMQLGKG QRPNNGSGTAAPQQQYVTSPSRLGPGRATKRVSSFPTARADE JR316_0000203 MDKEDTDFPFSCDIEMVQGDQLKEKRRQARVNFLAIQVVKLYRK ALPNMTYMRSCPLPNTLINSLPTELICEILGYLHPTDLLNLTHIHTSVSALLKDEAFD FVWKSSFSNYPDIPCIPVDIVGLKWADMLFGPLICENCGTSPAIPNITFYRRLCDICN TKEFIDYEQTEAAHLLSKPAQLIAIDPYHEYAECISSRLRSKTELNDIELTLLRLQGT PEDARALEKAFIDYESERHNIISETINKNHEVAYWANSIQTTLFEKARSKITTILKNI KLRFKGIGVDPRDLRDTHWDMEILTKLANIHGVARLTRKRWNKIRPYLEHDVAFATDR RLARERKMLICRRMEFVKEQCRKFAEQWLPSAWAYLPPFYVVQGFDSIYKVIHAPHDR ELEEKEVDEVLKHLAEEVNRWHKEKMEQLVSIIPDDEVKEPAADVNCINLATSVFHCF GSSQASLRMGGCLIGWDGAGPHLRCRSLQRCWERRLHFSRRGYEAAISLVRLAGLDPL TTTKWEMDALDRRFVCMNCPLAHTNSRQVYDWTEAVYHGMLTEDNQAHMTFLWRLLGP EAEADIKRREGPDPYIWDTNWICNHCSAHFENRVTRRDVIMHTRERHDISRPVDNLDY VYFAGDRSPRPPALLPVVDAREYVCLWCAPVKRRLYRSKTVRSHIRDAHGIAEPVETN DWKRVDIFSPPGD JR316_0000204 MARAHRVALLGTVVVISYVLTFFKILSVPLLDAKVADQIIPVLP WWLLVSFGSYCLWSIGMGLLTLRECPEAYNELLGEITEAKNDLRMKGVTVD JR316_0000205 MSVKQFVEDSISGNKVTIFSKSYCPYCKKVKTLFKTDFPEEEPK IIELDERDDGADIQEYLYQKTGQRTVPNVFVKQQHIGGNDDTTAFHKAGKLTPLIKSD ANSRL JR316_0000206 MFSKLGLFALFAPLVSALTLTFPSNATSGGEVTITWTVDASDPS RFSTFSFELINESFNNAYAIANNVDPALLKLTLTLPVVPVGAGYTLQAVNIGDINDVF ATSSSFSIGAAPTPLSTTVSVSSTNLSSLRASSASVTSSGTRSATTSGTSSATSLVVT SSTPTSPSTTGGSASNTAGAAGTTPFSGAISTRFGGNPAGIAAVLLSAAAGAAMIAI JR316_0000207 MDDSRKDQDSSASTPQPTSAFERWRRKAMLVTGLGVTEEERLED IRMHHVRQCESKKEYLINYSPIVVFMLKHLKLSGCEVPPSNILCAPCDQTRSGGFTPD PGAVVLCAGNFYSEQHMESTIAHELMHMYDHCRFKVDWANLRHHACSEIRANNLSGDC RFTRELRRGVVSFSKQHQACVRRRAIESVTANPACPNEAAAERAVNEVWESCFNDTRP FDEIY JR316_0000208 MPTKPSPTVTRKQKLQPPAHSHEINVSILENNRVLLVSFNRPKA LNAMTPQMADDLKRVLDWFEEEPELWVVIVTGEGRLFCAGADLKAWNTDQQNGKTSEQ EGVIALVHGFGSISRRQSNKPIIAAVNGGAYGGGLEMVLNCDIVLASEGAKFALPEVK RGVVAIQGGIPRLAQIAGHQLASELLLLGKTIDASEAQSRFGFVNKIVPPSELIPAAI DIAQQIIANSPDAVQSTKHGLLLAQHMNVSESFLTHTWSAHSKRVYKGDNIKEGLKAF AEKRTPVWTSPKL JR316_0000209 MPQRNAQTPKNELSMRHLPDISDASFSFQIPGSAPYDNLLAETD QDFFRGVDVSSLSPSKPLTRRRGDGLLTIGQLTPRSTVETRSRTRAQQQQQQQQQQSM VSTSAFAFPSPSSPNLLAAEQESGFLTPAPAPDTDAMSPQKSKPATRAQRRREGNSLA IGMGGALPRPRQRQATPSSNLAPPTPPSPLPPLPPPAERPSVSSARSGGTCAEVDHHM DEHLPAQISSASSAGSEQQQHTTPDFAGSGLPNGNSRTTLEKKESYFNDEDETRGKHT RNKHHLYEGEIIKKKAITKTTEKTSRVLAPAAATAVIPSTKPNSIIPPHQPSSIISKE PDAEDEDASMAETTMSSENPAEGVAAARLVQYSQKLISSFGPFSFDKAVPIPKANANV NVNVNANEEAHHQTKRVTLPRDPGPEIGTGTVKTETETDTETGKGAFEHLHLNRDPQD HVHTHTHHTHDHDHDDHDKPLTVSQLSPRKRCDAEMNSNSNSNPTPTPPGNVPISPLR SSSKRPAPFYAEGDESESESASEARRKKSRADGTDVHGFGVAGSRKASASASAATSTS ASASEQEREQEEESSEMNVDDGANEGGNENGDHARARRQTQTHPVSTAAISVRAGSGS GASRRTSSAVNVIGIGIGIGGRGISKAKTKTKEKAVEKAKIQAKADAKTRAIDGRRRG DTRRAAVPAPPAFNPRSGGDAGMGMGMDDGICQESKLTSTSSSSSSKKTSSNPGTGSG TEPAAVLASRTTETSKSKSSWSNNASSAQSQLQSSQSSQSSRQCAGISERMIEPVNSL ASFNDEKDNGKEKSMDKDKGKGKMSTLASTLDAGRTAAAAAPAVKPEFHIPNIPPSTH AYTSLTRPVEFQFGTEARASARNGSRRGGHGEKDATSSSESQPQPQPPLRTRSRTDSH VHVHSQSQSQSTSTSTSTSQSRSTSRSQTERARPERKPIPDFKSLHAAQEAKLALRRK ENVHPTIPIPIRWETDVRARERERFDAMVKEKEREREREEEARRRAREEEEEREVREM RRRAVPKAREVPEWYKDAPKKGNGGKGKENVNVNVDGADG JR316_0000210 MSRTLLKSVCKAMERIAPLRLAEKWDNVGLLLESPIEKQKPGNV LLTIDLTSAVLAEALTQDASVIVSYHPTIFSGLKSMTLSNPLQKSLLSCAAQGISVYS PHTSLDSVWGGINDWLAEGLMKGKDDGQIRALVGEKLSPATGESEGAEGRLITLNEPI GMDVLEKRIKSHLNLSKIQVGYPADGCSSSTVRSIAICAGSGGSMLLGKEADVYFTGE MSHHEVLAAVAAGKHVILCGHTNTERGYLPILAAKLQAELRATNSEPRDHGMEEVRVI VSQKDKHPLEIV JR316_0000211 MASTLFRSLARTTRAAPKSRLLSRNLSSSSVLRQADPTLEEARK SGFDYHTVEDLHGMTAHEILAGPQEDTKMRHFTVNFGPQHPAAHGVLRMILELNGEEI LRADPHIGLLHRGTEKLIEYKTYIQALPYFDRLDYVSMMTNELCYSLAVEKLLNIQVP DRAQWIRVLFGEITRILNHLMAVLTHVMDVGGLTPFLWGFEEREKLMEFYERVSGARL HAAYVRPGGVAFDLPHGLLDDIFKWATQFGSRIDEIEEVVTGNRIWKERTIGIGPVTA KQALDYSFSGVMLRGSGVPWDLRKVAPYDKYAEVEFDIPVGKNGDCYDRYLCRVQEMR ESLRIIGQCLNKMPTGAIKVDDHKLVPPPRAMMKESMESLIHHFKIFSEGYSVPAGET YSAIEAPKGEMAVYLVSDGTNRPYRCSIRAPGFAHLAGSDFMMRRTYLVAVIPTYHIP L JR316_0000212 MFSRQRIRTRPLLSIFFLFFLISLGLADDKPCTLHEKGKFYDLN PLKASQDYKLETPSGEKIKLNVCRSVKTELHGIKDDSIKEADVAAFIRRGHGDFILGK INTTISLLDSKPRLVISGGSKCKSGDIRASTVIQFVCDVSLGNSGPRFSAQLPPGDDE DACAYFIEWKTPYACPTSEGSGFWGFITFLAVVFLVVLLAYAILGTLYNRYVLQLRGF DQIPQFSIESMKYHGSEAWDWAKDMFAALNITGGHTPGGGNMYGRHPSSAGRTPNPVS HQAQVSGFGGDHAEEEGLPFNGGGGGFVRPQVGKNRSTSFTKPETNPISHQSQVLEAQ SLSYSSPSPLTSQSPPQVSVNNTIPQNQNRRTILETRGPTKEELDFMLGEDDEEEDAQ ELVDVKSPPVHTTEESASTSPRNPPSGTSPPANVSSSTSPEPESAAAARGRDLGGGDT IRL JR316_0000213 MSPASADVMARASASASTSTSTSTAPLPSPPPMLTIDPTSGLTL REFLPDEDAAHFSTAVLKTMTIADQSAFVLAQREALEAFNVAKAIRLSEETAALEAKR RTDAAANANANGEAGSSTITATATATTAGVADQADLKPSASSIPSPSSGPISDNVALP ITITKSVRHSPSSDEAAAFSLARSWRVDAIQPALLEDPNSLPLLSHSPPTSSSSTTKK WSTASQSSMLHLQSLQQQQQQYESSGVRTPSSPIAKHHSHSQSHSHSHSHSQSYSHSQ SQQISLSTSPTSFSASSSASASTATATSTPASASASASSSSMSMSISRAASQLTNSNS IPMSASMSASPPVLSTASAAASASAAASAHHHHHHHQYHQQHHPHQQQQQHHRIEHRS LSKRDPSPPSASVSASASASMPPPVAISTSPSSSPSSFPSSSASSAAAALRNHTYDAP HSSRRRAELYPPSLSLSTSASRGSNHNHNHNQNQNNANGGGSGLVAPSMSISESKKST ASARDVRLGLIMNPIFENASASASASAFASASSSGAGVGVGVGVSASSSAAPKAMERR SHSHSHSRVGSTSTGGHGHGHGHGHGQSQAQAQAQSHGQAQAQAQAQAQAQAQSQAQG QGQGQSQGGSGSGGRALRHSSDSDLFTASRNCVKCGNLVASPRPKPSFEHDPFALPFS PILHLSCSACRTTHCRGCFTIVRCPRDCTGGAQCTVRTCCPDIQAIAIFEALSSFDHI YAVEAGVAGQGKGCGRGTQGRMRRAYVRLVMSKADKSMRKFEDAFVRTLRILIMWLEV PMKERYRADADADADADTDVDADAEGTQAKRRTARGVHRSVTYLFAASYLPEVIHAFL SNTNVRDWIAHSETYTAVLETLRKMFDLGLTSILMRPLRHIDESCGLQGVVWDKGTIT WEVDGASGRPVESASLCELVRLLERKRVPLMGVAEKMSFPATVEKVNDLCDNISYLLL QQLAI JR316_0000214 MSSAPPNPSGGYAPPPGPPPSTTPASQSPYPPPAGAPPPPSGQQ QQQQQPYSVYPPPGAYAAGPPPVGGYYPPPPPGGYYPQYPQYPGYYPPPPAPGQYAPY PPPPGQYPPPAAQYPPPAGSYPPPPGGAPGTPGAPGAPGAPAAGAGAGAPPAPAPATG QYPPPTGPPPPGTAPYAMPTAGTGAPYLPPGGSAPYYPPPPPTAHAPQDPLVYLGAAI PNPEAPPVPLGVQKVHGWDPAHDYNSIVRAISPDGVGDEKRLLNIVLPLNIFQMDALS DYCLAKTGVTLAEKLQRCTSGNFSTTIHALALGPLFYDVHLAKKAIAGLGTDETLLIE LILGRQAFEVRWLKTAYRVRYGRDLGDAVRGDLSGATLEMFNMALAAQKPTNPYTPPT KDDPSVVLDAKRLHEAVKRKQKGWEVVLFEIFINRSDLHIAAVSLLHCFIPSTHSPTL TPIPPSHPTHPPLPPHSSSTIREALLYILHGVKSKRDGHGFWRDAKLLEKSMAGLGTR DAQLIYRLVRAHWDGRRLEGVKEAYARRYRKGLEGRVRGETSGSYREVLGGIVRGREG MGGGKF JR316_0000215 MLMLDLVPRGIDNGHVSIFKRPQTSLLDSALNYIQDHSFMHPTN AEIQERPPPVPDSLYNLDLVSFRVENTVFRVPKQAFDLEETIFKDMFALPAPVSEIQE GSSNEYPIYLEGIEADDFRSFLRVLCPLGQPPVTELKVWIGALALATLWHFTEVRAEA IEAISQKMKGMGVLQRIHLGRKCNSEKWVQDAYIELLNKQTLMLDELSGPSLEYSLDW PTIAKIFYIRDNRDFFNLPLPPPVEDSAREPNSYWGTWDEPCTPRKIKKSKHSAINVI DPKVAVINIIFAEEFKDIQQKAVG JR316_0000216 MTDIGKWEGILDLATKWQFTEIRDEAIAVLTTELVGTKNALRKI QLARKYDIESWLPDAYIELVEKEDLTLDELSQDPAYPLDWETISKFFYIREKCGIGYG SKIACRRRSNKVET JR316_0000217 MVKFGDFEPLCKDTPSYPWCNLFYRQLQRGAPDVLRGLSIPSES APVGVDPTCGIPRVGHDNSVGNVANIAACGLSIFFTAFLIFACNRRKAAVGRIELRTF LTLYLLTLPLQLITTGSFLEQGSTPLVALTAIHAGAVVALFWSLLANAIVATQVVEDG TLASLVPFYIFTAIALGVGIYISLDIALGVTNVIGGLSSPPSRLGSIALFVITSIWPA ACAVLYLIIMSYIVLHVLNETRPMWYYILASCLFVLSQLAWFLLGRVICKGSNSKIDG SFVATILESAAVGVLYLAWKSITEESWDDEYYPSYPS JR316_0000218 MEYSMFDFYKVDCDYEPMIKNYPEGRSHENSIATIPVCYVFKNG VKVDQEIGTHGLPVGRSSVIRFGYLAK JR316_0000219 MLTAHFVTSALLGSLAYVAAAPALVELSARQSITALTTAQITAF RPFTHFASTAYCNPSTTINWSCGANCAANPDFIPTASGGDGSSVQFWYVGFSPSQASV IVGHQGTDTSSITADATDANAFLESLDSTLFPGISSSIEAHSGFANEQAKTANTILAA VKSTISAHKATKVTIVGHSLGAAIALLDGVFLPLHISGVSFQMIGYGMPRVGNQAFAD YVDAHLAVTHINNKEDIVPIVPGRFLGYHHPSGEVHIMDNNQWVNCPGQDNTSDECST GDVPNIFDGDTSDHDGPYDTVTMGC JR316_0000220 MHPTIAPAAPSLSLPPLGRLDAHSTERVSSALQNLRLLYFPNVM SETGISSSELPIPRRKIEHSIHDTSVPDSGYASAEEEDDEILDESTAENFNTDEDTDT DNDELDILRADPIERAYAIKWVTGFVTRSDSWISASASDLETEERTVVLNDATAILSS FIGCEDDDNVALTRVFTFSVASNDITGTRPISKQVQVELNDAPLSKEDHTSVGLQSWG SSIILADRICLEPALFSIVPPTGDESLRILELGAGTGMLSIVASKILGPSPAVDIIAT DYHPDVLQNLSKNIDTNFPVSSSSPSPIIVEALDWEKPNYEAPFDKAFDIILAADVIY HPCHALWIKKCVEQLLMRPDPSGECTGGIFWLIIPVRTTGRHEGMDATVERLYPDATQ ELRDSEGLSLAIYHREVVGRQGGIGRADEGAYKLFKIGWV JR316_0000221 MTLGNYWTSQLNDVGQQLSIRCRKANALEGLKHNHDLSNSVTLK SYALSSFTFALTLQEPLRVSGEKSRTTKWRLSDQRVRLLPKERRIQALAERGEGQGSY YDGIAPGSIPATSNTSYKWSFASKSRADDEALRAARAEVGAATYGRESSSKYDGAGAD RGNGSGLGSRPSGSGSSRVLGPAMPSASDLTLAEELTKEQRDEERAYKRKREKLEAKE RMEEMVGPKPVGREGMLEKKKMRREGDRAFRERGDEGLELDESTLMGGGDSFREQLAR RDMAKKRYEKKNEEKINTMREKSAAFKEKEKATMDMFQQLAKQRFG JR316_0000222 MERIGAHSHIRGLGLDDRLEPRENSQGLVGQGKARKAAGMILKM VQEGKIAGRAMLFAGPPSTGKTAIALGMAQSLGADVPFTMIAASEVFSLSMSKTEALT QAFRRSIGVRIKEETELIEGQVVEIQIDRSLTGATKTGKLTIKTTDMETVYDLGMKMI EALTKEKVLAGDVIAIDKTSGKVTKLGRSFAQSRDYDAMGADTKFVQCPEGEIQKRRT VVHTVSLHEIDVINSRTQGFLALFAGDTGEIKPELRNQINAKVAEWREEGKAEIVPGV LFIDEVHMLDIECFSFLNRALEGELAPLVIMASNRGMARIRGTKFRSPHGLPMDLLDR VLIVSTKPYSEEDIQQIIQIRCQEEDVQLTPDAANVLTSMAMSTTLRYALNLIACGRV VARKRKAEQVDVEDLRRAYTYFMDEKRSVQWLKEQQGSLVFEEVGGATDELMDST JR316_0000223 MLSSVRGSSSALLRAAPRCIRASRSTPTVVTQNQVYTHNRSYVT EIWKNKTSDIGTALARSKDLFKTYKPVSPGIRHLKRPLNPHLYEGRPLRSLTVAKRKN GGRNGHGHITVRHRGGGHRQRIRIIDYKREAPGVHDVVRIEYDPNRSAHIALLYNRDP KAIGDKKWSYIIATEGMRAGDEVRSFRQGIPSNFLASHGVSSLTKTEKKAPTQNKTSE EAAAEALDQASDHSLALGLLRSLILKPGYVLPLHLIPPGTVINSITLSPTGPARLVRS AGSFGQVVAYEEGAKYVQVRLQSGEVRKILRDCCATIGKVSNPLWKNRNLGKAGRSRW LGIRPAVRGVAMNANDHPHGGGRGKSKSNKHPVSIWGWGTKGTRTRKPGPKGPKNSNK MVIRERPRGVEKRGTS JR316_0000224 MAPAAIKITYDLKPPAGVDYGDKPTQKSQEFPIDASSGGDANNT AFYTALRASLDKARIQVGEEVTAWRDVIGKAELNKEPKKVVSEEDEEEEEEEA JR316_0000225 MWEELGFYEGFALTWRAILVKQSKEEDRAFQHIKHLLESISHFP RVNPSAIDASSELDVTKLLRQIRSRYKMLCTSLGVRPRLRSSTDLAANDDKNNIEDAG MSDDDASRDVRTPERPGSTLPQRVAPGSAIPVWKIDNAGVKKPVTNQDLDF JR316_0000226 MSTPTLYKIRKIPSMLLTLDHNNLPFPPMDHSTDSQQYISTYDP NPPRSRQPSGLLGSIWAPQPQPSETTWPRTLDSFSRVAEKDTEQVERTDITNIGLQSF ISREDVFGPPQPSQVGSKEVGAIGDGRKKNSPDFGDKHVEQLLRTLNLNSPAPFGHSR PSPLAVSVENASNSPDFSPASVSSALLTPTDLSPTGRSFDPVKLQSPYEVNHPGHQFI SHPALIFESNSPGKHQERLAPNFPPPRQPLAHLNHTRQAPHSTNPFFEPFAEIATPAS AVQVHAQVTNPTDVANAYYSSPPTRRVDHTRLPAMDWRLNQVHQPHSQTQLPSDWRHA SEKPVPDFNVNVAQAQEEPLRSSFTYQPSSTSQSFQNSHEPINFLSLLHPSSSPPYHV FVARIIKSSDQQASIFLQQKLKVADLEEREKIVDAICARGFEMMAHRFGNWAVQRCLE AASTAKERQKIVACMRGRIVDLAVNCYGCHVLQKALDCEEDIRLVIVSELLLGDPAQT LVNKHASHVWSKIMELSWTPPAPPIFAYVNKSLKGKWASLACHETGSLVVQHAFENLE AEAKDGIVDELLNHGFAIFSEVTKSQWGSYCIQHILEHGSEKHKRMTLDHLLDGLLEF ATNEQGAKSVTKALKEGGVETLDKVVRRMCEPAKGARRAMIVDLALSVTGSQLIASVL PTADKDQRALLYECIRGHIVTLRGCKTGSKVIWLLCRAYYGY JR316_0000227 MPATLTSLPLEPLHDIAFHLVAHTPLGPPAALLPLLLTCRHVHR ALTAGGHPAPSYPPSYLAKQRRAQSQSQSQSQSKAKGKGKEPADTDADTDADNRKRFP PPLTIAPTLSPALLARVFRLKFDVGAVRRRLFVPRAADMAEQLVVACRVLRFLRRFRA RLVRSMSSDYNNIYNDNDNVEEDEEEEEEDEDDIGMEDHLYTMLFMMLDNDGKNYAQL VHAGIHPFLTYYLKHHIWPPTPSSASPSTHLNIPLPLPLPHPDAPPTTTIRIPLPLQT NDGWPPDTPATRAALWLLWLTADRAHLLAEPVWEREDVVLAVLPFAVLPQRYAAGWAP PNHFEVPVKVGREGGLGREGRREGGGNGQERQGRRGHQLPITIPLPGSSSASYPLYPS FSSSSPSPSPSLSTETQTQTQTHTTEQPLTQSHAYFSTTLNSTIPPASIPAKLLLVAR RELRDMMVPPHLPLGPPPGGLPPAGNPGGGGAGAGAAGAGAGGAGGGGGAGNVGGGGG GGGNPGAGGLTQADYHVLNRAKAAMLPRGACWDWEEGVAREVNSRLGGGGSAAGGGGA GGGGGGAGGGGGGGASASGANVGGGGGGETQPPQTKPPKPKKIKAGDEESKKWDETFW RMRLCGRWVDVDAALGGDVAGGVDALGGGDVVGALGEEGREAKGGEGYGREGREAKGG EGEWIGEDELMFRPGEVYVPGSMHGIWQGKIYIPQGPQFNHVLQNPQYPSLICPEAVA QVQVQARAILLAQAQAQVQVEQQVQAQGEQQAQALGEQQVQAQVQQQPQAHAQAEQQA QAQAQDPHQLAPHQPPQQPPQHQTLTLTLPGGGTNPRLFSESSLQLVMQPVCLEIAEH HRVCLGSCCVSVGSSLSASSLLGDGSGAAANASASGSAANAPASAASGSSTNEKEKKR KDSLSPLSEAKAERGCGVLPLPPLVEGRLPLGARVYVAGSVAPSTASNTEASSGSGST ASGSTASSSGTAEEEEESGSGSTASSSGSESAASEEEEEEEDEEDEDEDEEEEEEEDE EDDDDEDEEDEDEENSQESIEEPAQAQAPPTQLENANANANSGEGTGTAMVQAEGSGE PHEAAVEAGATAVPVPLPPDLGGAGAGAGGGAGAGGAGAGAGAGAPHNQNQNAGQGQG QAPNQGQAANQGQAPHDEANQEPNQAPNQQQQPAPLPPLALLDYSMRNAWFDGEPGGV AVVPRRQIVRSGSGSIGSDGEGTGTRMRREEVVVSTGFVRPDLNVPSGCVGQGRAAGA GGGGGEERKTYVYETYDPERVSSHDAHLVFAPSSSSSNANANGTDANANANGMMNPEC VTCAALARARREERAREEEEARRMLDRAMRRVGRGGGGGLDLELGLGRGLGDGKMDVD EDDEEEGGEMGMEMEDIDMADFARGFEAAVGGSTRASSSSSSSSSEDDEDDANSDEDE DEDEDLNANLNIGTDMDLDPTHLAAFASHVCIPGRVHRVRVRRVDEDLYYHHHHDDDG ERRRERKRMVLGDDVPRGESGYVAFLCLGGGSAVDEEEEEEEEEEEDGEDGSSSEEEE RGDAGRERKRKRAGVDVDEHMSGYVSGRGRGRRDGPKRARRSESASTSTTTTTTTSPT HNRLHKIHPHPHRIHIIPPTRREFERARIGAACTGIRDTIVTGGVPGSSSFPAYSSSS SSHLSPSERRRLRQRSLVMSALYQDVVVYGRVRRWDGLVGMLRISRSAHQQAQAQAQQ GATTTPSANYLFVFGYVVGKRNFVGEWRMAAADPLRPGWGGAFVMSKLEEDEEGEEEG V JR316_0000228 MSTPLPQEIPLPQLNQQTLTVRNVTDRMPVEILAYIFQFYIDLS VNATRGVGVPPEDVLPLGAVCQRWRKIAWESPHLWNNPLFKIKPRSTTAAQMVQLAIE WLGRSGNRPLSINIFGPLEPGPLEPELQQDLQIFAPLADAICEVLHRCQKLILSGLST SMLARISAERPFDSPCLLRELGIYCILEDLSDPLAVINFGNAAPLSLTLELFKLDRFS IDWSNLTEFVSSYLSLNDTFKVLTLAPRLVKLRLFIYSNDVVQEIPNGTLAGTTSLRC ANLQNLYIDAYSEDPDSSAANTPIVSAFLRNLILPNLTIFGVQHAPPFPAEDFLDLVD RSRCTITELSLNYGCISVDDLVLLAESLTSVTSFFFHLKPTDSDSAWGQMAHLEDLLE AFCLDDQFHDLSSEDDVLFPNLKHIFVASGAMPLPLELLPRLKLRHCYMAAQVGKYSP JR316_0000229 MTSTRHIYDSKAESSSKYEEGDATGNGVSKTSRRVRRLYQIHVG QLFDSVGRVLVKDQVVTVDRDRGIIIDVSDEQLKDWEWVVGKEEVNVSVERIDLEGLV LLPGFVDVHVHLFLHPYSETSWDDQVTKESLSERTVRATVHARRTLLAGYTTVRDLGT EGALDADIGLRKCLAGQHPMIPGPRYYCATRAIVTTGSYGPKNTLTPSQQGVDGMRGA EPADGVAQCIQEVRKQIGAGADWIKIYADYRPRSLTAPVSFSTATRSIATFHEDEMKA MINTAHALGVKVAAHASTFGAIKNAVALGVNSIEHGGQICLGEEEDEEELENGTGVTE LLEELVKPGGNTFFVPTVAVYYTIQQSGTVYGNQQWEMAKRTFEAALSVDSSQFDTSN ATYLDGEKPDLNIACGGDTAVFPHGQNALEMSLMRKLGASWERVLGWGTYSGWKCVRG MEWEGVKGKKKLERLQAREKNRKFNLSGGDEKGGGKEDLDLDRGVPFGAIRVGWAADL IGIQGKLDGTPEDFEEAVMRGVQFVMKGGVIYKKDGKEVLQI JR316_0000230 MEDIFLKDCTATFKLAPSYGCDGRLYHLSGRANHPQHGEIAFIV GYAIKNRQHWLDYGDFMQIMEGENSDLRKLTHDVFNNSLELYPWLYDGTRRSGTGVWG EELNRGNILLIEIIRVDEKFIRKGVGSWLLKKFLNSPHCTSAQINRQKPQKFDEDPLV RQMQEAIQRYPVFARPQRPVPHAHIFCLPGTIGRKFVTEDDIIAFFRKNGFRRVGRTQ FLCYSSDPDHPSHNLALAEDPLYLAQEFPEEPAPDTVDLHATIAKKDLPNIADAIRKQ HSIDPTSIHQKNIVGFTPTHVAALSRNRNALEALLELGVQDDLKLTDNKLGATPLEAL EESMCLYRATKEHVWNTWKGYPSADLRCQFLLKKALNMPFSAATEEEYSAKYRLGCTC GQCSQGWLSRRMRYRLAVTANDLYDTPHELFEMGCASAKESGKPFNLQDFSFSTDLAH IPPVMHSKMDEEFCRLWLKLFQTIYVQLKKTDGMMIPTPAQLSQFPIARALIGKGAKV EYALDLLTNTARDQSPFGDGTFDEMGLEDYDKLPRCDNDLAFGFVREKLGLDPRLQWG PYSSIASCMGGSYLENLGLDAERAECFERLLNMGDKDTLGDDEDEDDEDEDDEMEEDD DDDDDE JR316_0000231 MKFTFALAAIALAANAIAPALALPEPAPAAAAPTVYSGEAARSL ERRTNSGTVRVDGLRYRRCPKTSCDAVGQYSIGTHITIVCYTRDGTTVVNGDAGWAKL TNGYWVALANGEYVSWSGSIPYCT JR316_0000232 MKFTIAALAVALSVVAPVFALPEPIPASPEPTVYSGPADDVVLD KRANSATVKVDGLRYRRCPRTSCDAVGQYAKGTKISITCYTRQNTTVVNGDAGWGKLT NGYWVALANGQYVSWTGAIPYCS JR316_0000233 MPLPLNSTGEGWPPRNRFNANLTIGAIEIGVLVSTFLFGLVTVQ CYIYIHRFPKDPAFLKALSGIIAPFISSTSNCPLTCRLQHRLLELAHTICICQALYEI TVSQYGHPELLDIPPESLNVAVLFSGFIGPIEQCWFAHRLYKFSRSLPLPLFCTSLAL LRWIGSVGISIVALHRLTVEMYFAHWSWLLTAILVVGAGTDVILAAGLCWYLRKWRGS GFKRTSRLVDRLMIWSIETGLLTSLNARPALAQIPTQDEFIRTSTFRPEISPVTVRTP MLLPSTACEGRLKSKPPN JR316_0000234 MNTDDQNWGSNNNGNNGSGRSPARDGGREGRNGDAPRRSSRSRS PGATRGGDDRGRNESSSNPGNNLHVSGLSHKVDTRDLEAAFAKIGRVKKASVMYDPHT RESRGFGFVTMESAEEAEAAVAALNATELMGKIINVEKARRGRARTPTPGRYYGPPKR SDFERPYDPRPYDSRYSRDYDDRRGGRGGGRDDYRGGRDYDRHRYDDRDRDRDRGYGR DRYDDRRH JR316_0000235 MTTPLRTFFLCSLVAVGFISLYHILPFDILANPFSDAIMAGKPA TQVGRLAGFIARAELLPPSRFDPPPPRKDIALIALLNAPVEQNGVSLVLFTDHTLLSA SGAYYKLTADDYTALHALARDITHGGVLPVPEDSFRNTWRVRHERTGRPIDRFLVPKR TLSEVPEQEYEREFDEVSVYGWDREKRELQTPVGAYTELPDQLYEAGAVVAEARVGAD GQRNEDTLKKVRGILGNVF JR316_0000236 MELKFKVLVIGGAYGGLGAVTNLLDLTHGKRPRFDSSSDPLESE SKFPVEITVVDERDGYVHLIGNPLALADRDYAHKIWQRFSDIPGLRNAPNLTFIQGTV TSLSPSTRTAIISKASSIPGGPVETVSQSYDYCIVATGLRRVWPTVPSAHTKAGYLSE ALAHLDRVGSASAKQHGVVVIGGGAVGIEMAAELKHASAHHSSDHGATLPVTLVHSRA RLLSAEPLPDKFAETAAELLKKTGVELKLGARVLETTKVSEEPELWELRLSTGEVMRA AVVITAISGQHPTGREFLPKEAVDEAGYVHIDANLAIKNLPEGHFCVGDTVKWSGIKR AGAAMWMGGLAARNIHRRMLVARGEKKAGELKDSEIDPIPPMMALAVGNEAVGYSDAE GVKAGADVRKMMFGDDLGWAICWNHMKLGEEFKP JR316_0000237 MSLREQTTLISWPPSRPVGDELDESKDSFNSPSFLLDTSSSLDS NSSLLRRGSEPPQTSPPETQASAESQSRFLETQDPESQSFGNYSDASSIARFPSFHFN LHTLTSLSSLVERKFKGSVKISCLLAVLEVEGPDTIRIKNGAEAGKEVSILKIVLGDE EGTVCKLTASWREIAEDWGGAGKAVATKRGDIVYLENVMASCDPATSITLSPSPYLKS VLTICYRSMPYSHEDGRMRPDLRLGFSDPAVRKVAAVVSWFEDLAGLEQI JR316_0000238 MGDKAISHPKLHCFYANFTLRFYQFPDFFNLISLRNLTTLSLEF PIYSIPADPLINFLERSGPSLKSLSLAEAGPTLLGEELINVCLTTPQLTTLDLWFRPP GRYDVEEYLGPFFERLCLDPEQRDIPANEVPILPLLQTFILEMHGDFPWHLLPGMISP FNNGIHSTRRPLQKVVIKCYVDLEERMNDSDGSESSHSSDSGDDEGDITPDRKSTPKH ERRFSIDDRLATINKFRRLREISYALIPDWDTYDMLWDFGRQLSTFQLLLSSEYQSHH EWDLFELSCLLLDLDDPQ JR316_0000239 MSDSPSSAPHSTLVPSATFVRRLKHAAAVVVHHGKKHTGVGIVC AVAYFDPGNWGVDLQAGSQFGYHLLFVVLLAGLFAVFLQVLASRLGCVTGIDLASHTR LLLYNRPKHTLLYRWLGLYPLYVLAEVAIIATDLAELLGSAIALCMLFPKLELWHGVL ITAFDVILILGMGDPLRGKPVRMFELLIGAMVLAVLICMIVIISKVDVNWAHAFEGYI PSKHIFAQGGVYTSVGILGATVMPHSLFLGSALATQDRVSFRSDKDTRTLTTLVTKDS QESLTQVPQVPERQSLWHRIYEECRYSVLDAFRKPPASIFATAATRHSERQNNTYEFI RAHIYHGTFDIVGSLLGFAVMINSLILMLAAAVFFYGKEMPQDKDAASLFDAYDLIRD IVGKPAATLFAIALLAAGQSSSIIATVAGQAVCEGFLQWRVSPIVRRLFTRLLAIIPA MIVAIALGRAGIDVLLVVSQVVLSIVLPFITFPLLFCTSSKAIMTVRKMPVDNPGSSG FIESTVNAAPSEISTVTLSGLENADDNGELVDYSNNKLTMAVGAMIWLVVVAANMYVI VELALGKGA JR316_0000240 METSDTPTNPRSKVRIVTPPESPSRPSAHRQLTHDIAALYSSNE STDDTPFVSGIFTSLTVDKYMRILAVQRNRNSKQTWIGEHTSSKGKEKRVSAPAIMSS YNGVHPSPKAPIMNLANDVGSPSAAIYALPHSHLISPPCLPLTLPHQVQRSNRPVSSN SFNMNRSVGTDLSPIMEDIHAFAGQAEANEVDSSGSSYGQLLTEMTIDYFNTNTSQYG AFGKMEWGNDEKSRVTDYSAPISTQGYGDIQDPSWAFDHLVDPMDQNVPIPELTLTAP TPDLGSPEEKLSDAEPRPLLIDDIIDHPLRQKKTSTVAASKKEGSADLEMELANEISR GDLALGLSSNRGGCGDQIDNSNAARPKRQGKKQTVSLKTDVARPNKRPSSFRWSISRS DRKPNDQAMKRTTSIWKRMSQILGDMTETSSQVRHPGSNRTQLDPPFVEVAGTWTMPA SQLETQKRCPRHNAPGKRDSDPQTPATPVCIHEEGEHAASRLFGLPSTREASSFLKTD AQHLPRIPDKSEKRRDARRHSFGFNMKSLAKRRRYADSDKIKEA JR316_0000241 MAAEMVVPIDVNAVLGFDAGPDNFYNAPVPPWIPGAVPGWYYGP NPQKYPDLWTLHDFMCRFLWYYPNALHCPVPKPIPSDGYIETFDNITAAVQADDFMTF GLVETVDDCKAMCDSVPGCTFVNSFHDVNGKFCHTSADADNAGGQSQPDGSIDFIINS DGWCKVGNATTTTTTTTPSTLTTETTTVPTQTTTSTSKSTSTSTSHTSTSTHTSSTST HTSTSTHTTTKTSTAPTKSPTGIAHYYQCGGIGYTGSTFCCAPIVMRICSESLVPNSR NEILTPLTQGKSSLMMFARFGE JR316_0000242 MAYSHILDEIFAERNAKFERYGIHEKREQWNAYNKLLRDSVEGC IDYIKKSPISVKSYQFWKELPDDRKKKLILDVYTWEEDEQAKANGKYKPSILKIWIHN QIKIHLQFASARKNDTTRKGLPLVDYSLADSQKDANHKVDKYIRKNQARTANQCDSSP RKTRDRLVYGGLRDDIVAVAQIHWS JR316_0000243 MSYSHILDEIFAERNDRFEKYGIHEKREQWNAYNELLRTAVEEC LEQIKSSPTNTKEFQAWADLSDPRKKKLILDIYKMEEDDQEETNGMYYPGKLRLGIKS ELQCHLVSLSSSFVSNPFYNKSCQKFAAKRRNDTTRTGEPLVDYTFADSQKDFDRWLK KFSKKLKGKAKPKTDISSLEAQTAAN JR316_0000244 MSYSHVLDEIFAERNARFEKYGIHEKREERNAYNQRLRDAVEEC IEQIKNSPKSAKSFQFWTELPDDKKKKLILEVYEREENINQPERNGKYLPSILRISIW NAIKIYLRLAVARRNDATRTGDPHAHYSLQDSSKDFGRKLERFVRKHRAGREGPIDAS PRDVNVACGSGNDSSVVSN JR316_0000245 MSYSHVMDEIFAERNARFEQYGIHEKREQWNAYNELLRSTFEEC IEHIKRPRAVKSFQYWSDLPDDNKKKFILEIYAWEENNQAERNGKYLPSLLRVRMWNE IQVYLQFAVARRNDTTRTGAPLVYYSLEDSHKDFHRKLDKLERRNKAAAEKELNDPRR ESQAVFHRNLR JR316_0000246 MTSTNQAQDKFYFNIPFDNPQDLNIPYVLKEFRTFSRMMRAEKI ESPTRRLWNNFTGMRVTMKLNAYNNQDFEFAYGDVQPAITMYVFEHISGRERYRVRKQ LAIQMFNIFAECMNTLGIELDDTSTGESGSGSGSA JR316_0000247 MSPARSRSSALAAFVGAKGRGNSTPDAGSKSKTKSKSKAKSKAA AAQSKEIEIEFETEAGKKWTKGKSGSTACAPFKPANGVEKEVMWEAQDTAVAVDVSLD VTPASKPVSSHPPPPGLPVRTGSLKARADASKGNDGKENEGTGKKEEVDDDDDMGLSA RDVRAYEHERQRVKKAEERAARMARKEIQKAHIVAGGGSGRGAKAWEDAEYVVHSSAK AHKRAEDDRHTVVTPAAKRSGEEISLSDLVKLKPKSRGQRAGREEEFELVPAVRAVIV LDEAEFGSGKRDMEVDDWECVDVEVKEGLSYAQVVLGLHID JR316_0000248 MAPNFLQKFVKSSTTSTNSSNNTPRERVSSDVYSSLRSPSETGS SSSQAHSSGSGSGTTSPGGRARAATIQTASGSSSSLTSPTTPTQASVILKGVQQQQQQ AQSGRRSPSRRSSTNNSSDRERETSRIPSIITTLSGRSRSKGSKDKEKEKKKKSDKEK NANANRDSLTVQNHHTPLSAGDRTSWGSAYSSQPNFTIVPPSPLVRNGDLYSSDSDAY DNENDNDAATTHSNTNTNFNGASPNTAEDQDSAATPTLPSFSGSASQSGASKNIHATP SNSTSSTSSTPNTSSSFTNNSSHTSSSNTNTSNTSDHDASDHDMSNLAPGAYQVRKKP SNRSIKNGAAPPEINVAAAAATLGGAPQAVNGSTANGSGEGAGGEEPVNGAVTQRPIV ESPTDLKFPAPASSGSGMTASTSTSTTASSANSNLGAPSSSTTLQRENTTSSLFSPDA TTKSKRPWKRSTTRKPTGLASAIAASGLAMANPSLSAAQSAQMPVVPVPPPALSAGSS KDKDSNDPYLSRSPAQSAVSTGKGRHTKSRSGETSPRSSKSRKSSMGSPPRSGTGRSR RASSAKSTDEFGALHPSSSNRANGGNDDTGSNISRRPSFYKSLISHSSSGSSDSDSDS GSVDSSDLDIGEEDIPVTGFAVASNKRNADFHELFPGVPEGDYLIEDYGCALQREILI QGRIYISENHICFHANIFGWITDGDGGHDAVQVSASSSFTLVSSSSGSLLTSAVAPDA NCSISSSLDTSSSSKTNADSSSPSPPTPTTSLFAYLTHQLSIPIYEITSLEKKMTAFV IPNGIQITTRQAKYTFASFLSRDTTFDVIYNIWRLARPEDNVSYLSSGRGSGSVEANQ GAVGRKAMDGAPSTNASGGAPAAAAAAAPSALTGVPQRKATQCTCGKENKHYSEMAMD VTFPGTPEKINSLMFTSGFIKDFMVGNQKLLDIQMSDWSPVVPGSKLLTRNFSYIKPL NGSLGPKQTKCELKDEMVYCDYDNYVSTVTTTRTPDVPSGGVFSVKTRTCVTWAGPIS TRVLVTTQVEWTGRSFIKGIIERSAIDGQKVYHAELEKCMRSYIQEHKSEFLPEGIDA SAVTPAEAAPAPSAPDATTAAAESPSEKPSPTQDELKQRERERNARGLQWAWDTFDGA WQVALRSTKGALELIRDAWDQSSSTTILWFVIVVLVLSNIWTLMRMGSGRAQADRKIE VRKVEEREKWVQSIVTALWDELNEKAAEAGKPGLPYRHGHGQPVRPVASEQVPLETIP TASVLPYPEDDLEARRVRKAHLELEVQQLYQSLEALEQRVQSIKQTLANDLVIDQLD JR316_0000249 MEKLDSPIETLDSELFNNYGVQGPAEILPLQRQYENQGVFLWAD ETNGTSNPSPTDIISRITADFTPKQLSMVRRLDTMDDIRQSCPQNFNGLSSCFAGVGF SDSFALDASAPAVFQAIVNYTIFADAGLSYIELTNHGSDFEKRILPLQWALDKAIIEL RTGIRQATPMEWPYSNYTNEDQSTRYRLSYIRGIREIIALAFFLCFVGVSYQIPGSVA SERALLITSHMKAMGLLDSARILSWHISISLTYLPAWTAVAITWKFLIFIKTSIVLII FLHILLGLVLASWSFITAAPFSTSPQLAAIISTFLAIIFALLGLVLDINRTAPMVVFA VIFPPSFYIFALKAICGYENHQTAVDVLKGDPDRGIIVLPMLCAAIVNIFLWPYLAVL LERRLHDVHQQTSRRQSHVKLPWAFWGKRTLKEQETELATVTPQVPDNVAFSIRNLSK VYKAWKFFGPKNEVTAVMDLSLDVPKTGIYVMLGSNGAGKSTTLSVVAGLSSISSGSV LFEGGHHRPPRGVMGIVPQKNVLFPELTCLQTLRVWKSVKWSETSEHDEDIEQLLRDC DLGAKINANAATLSGGQKRKLQLAIGLLGGSKIVLVDECTSGVDPLSRRAIWKTMLRF REDRAIIFTTHFLDEADLLADYIAILAPPGKVVASGSPVTLKREFGQGYSVHVSIDIS KAEYPSEVTDGILDSIQEIVPQACSSTISPQLVCYHLKTRDANTVTRVLDVLDNAKTL GTISSYDLMGTTIEDVFLNVMNENQVSGKLSLNSSTADTLTEPVEKVSDLTMDLPSGR QVSPFRQAITIFHKRTLIAKRSWLTPLLTVLVAVAGSCIPLLMINGREQSCTPPLGDI VPPTPLYLPNSPLLLTMANKSATDGLYASPPGIVSTLGRSTDVLSVTYLPDYASFQDY TNDRNMSLGGIYINQTTGESTVAWEATAPGYKALTTLNLASNILLNDALNSTGGAASL PSLIQGQYIMFNKLTAATLFYLKWIFFFGAVMAVYPAFSALYVSRERASSVQAMQFSN GLCNPIGLWLGHLMFDTIISTLLSTIIVIVFALVSDQFRGLGYLWLIFFLYGITANLF AYCLSFLVSSPLAAFALVAGYQFVTFILYLTAYMAIFTFAPILKSSRLVTIVHFSTSF ISPVTSITRAALVSVNMFSLGCRGTNFAGTRYLLSLTKLGGPILYLVLYAIGLFSILV WLGSGSISLASLRKRPRPRSKASHILSLPSKKIDADEKGSSVSSTSLLEVSGVSKKFK HKSVTDDVNISVERDTIYALLGPNGAGKTTTFNMIRGDISPDAGDVFIDGHSVLHHPR LARLALGVCPQFTAIDSQLTVREHLVIYGRLKGLKWGRELDESVNMILQGTSLHMYAD RMANKLSGGNQRKLSLAIALLGNPSVVLIDEFSSGVDPKMKREMWETLRKVSSGKAIL ITTHSMEEASALANCVGILAKRILAVGTTASLSERYPVYEVHFSCRTREDVVKARSIM AQIPGATMADDVATRFEVPMSSIDSTSEDGSIISINDGRGCSLAKLFGVLSSHDDGSS EYTVEKASLESVFLKVIKENNVKEEDKDRTRKKTWWKCC JR316_0000250 MVVKAITSLAEFRTLVNSGKPILVDFWATWCGPCKAVSPIFERF SNDAPNGIEFYKVDVDAQADIAQEVGVRAMPTFYLFKGGNKEGEVVGARPQELEALVK KGASLI JR316_0000251 MYRVFLGAPSLSEINNDPSTYSWRTVSSNSSGIALKLTKSTKSF LYPTQGTSESRLIQSSIVIPLATLEEASRRISLIYKDLVFSEGPEDPVEESFATDNID GTQGEYSGVPAEHTAEVSVFRGKEQTTLISWPPSRPVDDELDESKDSFNAPSFLLDTS SSLGSNSSLLRRGSEPPQTSPPETQASAESQSRFLETQDPESQSFGNYSDASSIARFP SFHFNLHTLTSLSSLVERKFKGSVKISCLLAVLEVEGPDTIRIKNGAEAGKEVSILKI VLGDEEGTVCKLTAWREIAEDWGGAGKAVATKRGDIVHLENVMASCDPAASITLSASP YLKSILTICYRTMPYSHEDGRMRPDLRLGISEPCVRKVAAVVSWFEDLAGLEQI JR316_0000252 MASNILFSRIRPQADQPIVDSEAEKQSDVESRTPARPIGVVDEQ DAQIIDSEQFTPDAQAGVKKIEAVTTVWTKGALYLAYTMIWIIYFVDSMQQSTTTTLT PYVTSSFGKHSTISATGIVSGIVGGLVKLPLAKVLDIWGRPQGYLMMIVLLTVGLVMM AGCNNVETYAAAQVFYWVGYNGLTYTLGIFIADTSTLRNRSFMFAFATSPYLATTWIG GPLADAFLEGPGFRWGFGSFAIITPAITLPLFFVFYLNYSKAEKMGLITRTPSGRTLS ESFKHYAVEFDVVGLFLIISGLALFLLPFSLYSYQENTWRSPMIISMLIIGGLLCIAF VFWERYYARVTYIPYSLLLDRTVLGACILSGTLFVSYYLWATYFFSFLMVVNGLSITE ASYVGNTYTMGSCFFALFIGVIIRYTGKFKGLTLWFGVPVTMLGVGLMIHFRQPGVNV GYVVMCQIFIAFAGGTCVICEQTAAMAATSHQFVAVVLAMEGMFASVGGAVGQAVAGA IWTGVFPQKLLELLPEESKQYWPVIYGSLGAQLSFPMGTPERDAINAAYGHAQRYMCI ASVCILAISWASVLLWKDINVKKFKQVKGTVF JR316_0000253 MVAKLVCFCVTLLLLIGFSSANTEIINFSVAEDDELDLPFTETW PIFYPGHSQAKFEVTSAKLGTVLSSEICPDLPKWSSKYPQRCPHETWVVLDLDRGDWK RFNKFTLRVSWAAFHPTDFSIKVHDPTALAIHSQRPAGISSTRRKYARIQLVHAGVLT PPHNDTLDAMVRQLVPFVLTVEPLHLGIIPESVIPVIAAIMLAIVLGLPLSAWVYTHL LSIAKQAKLATKVD JR316_0000254 MSSLFWRQFKALFYKNTIVIRKHWLINILRCLIFPLAYGIFLGY VSTFFSKPSNFGLGTPARVFGVGDHFDGSRPIVWSDNSNGTGYIHGKDIMSHVTSGFS SRQLKGVIEVEDTASLFDICISNANGASPCFVALTFEDIPTASTSDKRPLNYTFWAGS GAYRVDVLKHTSDLETVLLPLQWAVDQAIIELTTGQKTPTPLQLPFTHNVDSDTDQSN RLLFLSIMGNFGGVVFFLVFTGVMYQIAGGVALERAAALTSHMQAMGALDSARLLSWY FSSSILFIPTWIALAVVWGVRIFTNTNIGYLILLHLVAGFGCTGWAFFASVPFSKSPQ LAAVVTTGAAIVLAIIPLSITAENNSAVGPVLSFFFPSMFYVFMLKMFSGFEVNLQHV VLSQKDPTYFFEGIPMIIAAVVGVFFWPIVAIYLERWMYGISNPSNTGFWSSGQLKGS AEDTTSDIAISLRNVKKIFNTSMFSRKLNEVVAIADLTLDIPSFGIFVLLGPNGAGKS TALSIIGGLTKSTDGTVSFSGNTTRPPRGTLGLVPQKNVLFPELSCFQTLKLWNAIKQ EEGESSDADIERLIRDCDLQSKAHEPAGTLSGGQKRKLQLAIGLVGGSKIILVDECTS GVDPLSRRSLWRTLTTYRNERTIVLTTHFLDEADFLADRIAVLAAPGKLIASESPVAL KSSMGEGYSVIVKFESEDFAKELLSDVRTVAPDATMEIKESFTSCYHLKTRDSSRVSA ALRLIEQRKKMFTIHAYDVVGTTIEDIFLDLLQKEEGEDGEKNEKDAAKQHALLDLTL SSGRPRSSLAQALTIFHKRFLIMRRSWLVPFLALAIGISGAWWPIRFVKGGVASCAHK FIDPTKGGFFNPLYPPLLSNDTILASPSNLPSIINASLPELFVDPLLQFYSPNFPKPS GPFNPFVPVADQQTLVEMINANFTEFSTFGGFSMDFEKNEYLIAWQLSPFSLSMFSVA SNLFYNRALNASSSPSGSTRIHPTFHQLPSVQTESLATLQWLAIFGAAMTVFPAFFAL YVAKERHSSVQAMQLSNGLSNPLGLWLGHIMFDSMFTVIVATVIAIVYAVVKSKFQGV GYLWFVICLYGFAATLLSYVTAIVIKSPLGAFAAMVVSQFIIYLLYLTAYIIVTFVAL PLEAPRTINIVHFTLALIAPINSLVRAALISVNQFSLICDGENVATGAALGTITRYGG PILYLIVWILTLLFILTIIDSGIRFPTASLRRKANISGSLSGNNNEPRKPSNPDVVAE AQQAASFSNQDPLRVLSVSKSYGRNKVVDDVTFTIPHNSLFVMLGPNGAGKTTTFDMI YGQLKPDGGDVMVNDTSVLSNKQKARVSFGVCPQFTAIDAHLTVREHLLIYGHFRGLF GEDLQHNVDNILEATGLNTYSDRLATKLSGGNQRKLALSIALIGNPSVVLVDEYSTGI DAKMKRELWGVLKQVTSDKAVFLTTHSMEEASYLATKVGIMSKRMLAVGSPQELEACT ASYEVHFACHNRQDYVKVQAVMARIPGARMADDVATRFEIPIGNSENSSSDTSVASIF EILSNEKGFPEFTIGKSSLETAFIRIINQDSQQGHDNHGVDAKAEGKLWGIC JR316_0000255 MPPRRTSRAPSVTAEPVSAESLPAKRKRGQTVEQEAEVKETAAK PPSRARRSTSARPSAPPPSKTRQSTRSKASLPDVAESENEEQSDAPPPVKKARPSIES QSEDVVKVEEEEATVDGRPKRGRRAASTAKATRSTDMEVDEEAPSKTTGRRTSTRKGT ASAGSRVSVASARSGETSGSARIEEAASEEEEVVKPTKGRRVPAKAARRAPSKAIQSD DAEESADAMSVVSEEGDDDDSKPVRKGRKAKTPVARKGKGKAASTKLAPATVIEESDD ELDLPSTTQSRRKHTSPKVEASQKQGNTTDKEEEEEEEEEKSLFEPPPLPAVPTMSQT IPEEPTGPKSRLVIHKMALINFKSYAGRQEIGPFHKSFSSIVGPNGSGKSNTIDALLF VFGYRASKMRQGKISELIHNSANYPDLDECSVEIYFREIVDLPGPDAFEIVPNSQLVV SRTAYKNNASKYAINGRTSNYKEVQTLLKGRGIDLDHNRFLILQGEVESIAQMKPKAP TEHEDGLLEYLEDIIGTSKYKEPIEEALVEMDRLSEERQVKLNRVRLVEKEKTALEAK KKEAEDFLRMKNDHVRAQSVYYQWILYKAFEAEERHTNTIQKLRKELEAQTEQNKDDI NHLESLQDHYNEREKSYLEVKAAAADAAKDLTSSEKREIALQEKRKHSATRAKKLKKS LQEDGHARKTALNVIEDSTAKLEKEKKNLVGHEKSLEEEEKVLEGIRDSLKDKTQVFH DKIQVKQKELQPWTAQINEKQAAIDVATSERDDLAKKAEALKAQCAEAADTLKALLEE RETKVAQQEDLKHEKTQLQQNIAQAEKNLRDAQARVNEWKGKAGAARGKVDEARANQS ENRSRNAVLDFLTNQKAKGQIEGFHGRLGSLGTIPDKYDVAISTACGGGLNNMVVDVV SQAQNCIEKLRRNNVGRASFMVLEKLGKNVVENVQTPEGVPRLFDLVKPKDPAYAPAF YKAIGNTLVATDLDQANRIAFTGKRWRVVTLDGALIETSGAMSGGGGQPSRGAMSSKL AAASVTPQVLQGYERESEQATQQLQKATNDFRDSEQQLDSLKRRGPEIDLALQKISMD IENVKRRTAEAEKRVRDLSSQNKPNTGDLARISKLEKEISVFERELQDLQAKSGRIEQ DIKDLEKKILDIGGSKLLTQKSKVDGIRLHIKLTNDEITKAEMAKSRAEKDAVKLEGT IKANTEALAVLEEEIEEFDGEIATLTTYIDNLREKVETAQAAAENSKEDLDDLKSKLD EQEGIISQFRQTELKLKQSIGDAEKDLKDTVASINAYEEMHNDLTLEDIDEDDDEDED EETEAAVNNEEKADNENPEASVKVENVDEPMADQQPAQKRKSTGSPHQLREYLPDELR KMKREELVAEMEYLDEKIKRARPDLSVLKEYKEREKDYFNRAKDLEAITAERDSQKAK YETLRKQRLDEFMAGFNLISLKLKEMYQMITLGGNAELELVDSLDPFSEGIIFSVMPP KKSWKNISNLSGGEKTLSSLALVFALHVFKPTPLYFMDEIDAALDFRNVSIVANYIKD RTKNAQFIIISLRNDMFELSHRLIGIYKTSNATRSISIDNHALQSTIPRPVPQSAQAM A JR316_0000256 MPLSFVPLTFPSSLDSTKFKDFGREVVGAAPGNLTEEEFKAVEA ALYRHDLLLFRNASLTPDQQYSLVKSFDPQSEHYGHGNKQLDKTKQSIIHSYVSSLPN TPQVQIIGHGTIKNHEGIEERILKHGRHPEFHKTRISEEDEAKGFTRFFRWHMDAALY DLNVPKVTALYGIMVPKGPTQTVRYDDGTGDELSVSLGTTAFVSGKVMFEILPAELKS LAVRARAKYAPHPFQWLRNAKAKSTGISLETEGLETPLHDLPPWEEEKIKVYPFTWKN PITGDLHLQVHPLLVMEIQVDPLPQGHHTESALYPFGGTISNLKEIRDLLYRMQRPGI APNLVYAHPWEERDLVLFNNRGLMHSVVGVSLGP JR316_0000257 MSEARTRKKLKKDPTKKTQSGSQSTRGNTNETAGSPSSSFSTTF ILLPIFLAASAYFYLNPSFLISFLDEFIGFSEHYDGAPVAHQPKLTYLPADIEKRDAV VEAFKHSWHAYERNAMGNDQYHPLSQQGSNLTAAGGIGYMVVDVIDSLQIMGLQDEYS RARTWVAEKLTFERDDRFSTFETTIRVLGGLLSAYHLSKNDPLFLNKATELADRMLPV FDTPSGVPLPVINLAQRKGYQTEDFPGVTSIAEIGTLQLEFRYLSQLTRDAKYWQTVE NVMEVIRHARLPHGLASVFVNIETGQYETSVIRLGSRGDSFYEYLLKQYLQTNNNEKI YLEMYEDAMDAVHNHLLRKSMGNQMTYTSELVPEDDENGDLTWRLTPKQDHLVCFLGG SLMLGATRAGALVEKVSIPPQPDELSEKGKRDWNTGVELIKTCMNTHDTATGLSPEIV YFRVPSDGMDAYPQAPTDWYIRGAAAGEFPPYDARYMLRPETVESLFLAYRLTGDRIY RDYGWGIFRSIEKHCRVPTGGYATIVNVDENPVRQEDIMETFFLSETLKYLYLLFSDS NVIPLDRYVFNTEAHPFPIFDPVAI JR316_0000258 MFPAVPTLRLLAGEYGLRNKREIWRIALVLSKIRRAARELLKLD DKDPKRLFEGNALIRRLVRIGVLDESRMRLDYVLALKIEDFLERRLQTQVFKSGLAKS IHHARVLIRQRHIRVGKQIVNVPSFVVRLDSQKHIDFALTSPYGGGRPGRVKRRRAAA AAKKEEGGDEDEEE JR316_0000259 MPHSFGYRARTRHMFKRGFKEHGPVKLSTFLINYHVGDIVDIKA NAAQQKGMPHKYYHGRTGIVYNVTPSAVGVIVYKVVGNRYIEKRVNLRVEHVRHSKCR QEFLDRVKANHDAHVIAKEKGERINLRRIPALPREAHTVSIAQNAPQTIVPVPYETYI JR316_0000260 MAIELCPLPLPVSADAAMLADFGREVKGVNPAEMTPEQFKEIEE ALYKHGALLFRNAKVSSEQQYKFTKAFDPQSESYGHGNNKTGETKKSILHPDLKTIPS VPQVQLIGNGTVYNHEGLAEAKLKHPSHTTFHKTKVSPEDEAKGHTRFYRWHIDAALY DLNPPRVTTLYGITVPQGPKQVCRYDDGTGDELEVPLGTTAFVSGKVMFDILPKELKS LAVRAKVRYAPHPYVWMAPANAMSTGLGIESEGLELPLEELPEFEESKIKTLPILWKN PVTGDLHFQVHPCGVKELLIDPLPEGASREGALYPDGAHLTELKEVRGLLYKMQRPAI TPSLVYPHDWREDDFVVFHNRGVLHTVVGAFAPDQVRAFHQCNLAASDEPVGPSAEDV KTYA JR316_0000261 MSGTRTLVNATVISVSKQGPPQLQTRDVEVDAFTSGGRRILSID CSAVRIDIAPQPATTIDANTTPLKEEEVDLNLMDVDRKFDVSKVTKKFKQIGEPGGSH GPDDDDGFAYAYPSPNSPDGLLLAYIRQIVHEDLQRPSSDRIDTVHIKSPERHHWLAL SGLSPKHLTLSTCDEDKGELASLDRLEQPWTELESLRLEDIHETLWDPEDWATAVSTV SSVTLAHCKSTRLVPTTKFPNLTKLRIIENDALATFVLAAEKVTGFSKRLEVLHLQST DGRDVKGQSARKRFLERLRKCTRLRELTLVLARADQDIGLVHHIPSSVENFNFHCSCS VTMLEDLDDWLKKARDSKWLPNLKSFTFRADAHSFDTRRTEGSEIGSRILDVPVPPKL EEDEGNLSTDVADTSVDSIDIAEVNARKVLSAEDLEDDDEDDEDYMDNSFDPDELSEE EDDDDDDDPEIKMEDDDDDEDLEFAAADDHNPILLPHISSLIATVLHRLKERNPQLEI VG JR316_0000262 MVISGIVCLSLLQYAAAAILGRTAGPVVDLGYAKYQGLVVEDAV SNATRTHFLGIRFAAPPTGLARFNAPSLPSTVPGIQQANAQPPMCLQSPYGSAPTNPY RVDKSQDVHKRDESTSSEDCLFLNVYIPGNVKKSSKLPVVVWIHGGGYVLGSISETGY GGEYDGDSILRQSQDSVILVSIQYRLGLFGFLAGQKVKEGGALNAGLLDQQFALKWVQ TYIERFGGDPRKVTIWGQSAGAGSVLQHVIANGGRTNPPLFRGAITSSTFLPSQYRYN DRIPQAIYNETVTRAGCSSSADTLNCLRLADVNVLQAANVAINTAGFFGTFVFVPVVD GTFIQGRPTQLLKQKKVNGDQLYSVVNTFEGTIFIDPSTENTVQVAQYISQLFPTLES KNAQAAAMQYAGLGSNFAQVISIMGEAIFVCPTYYLQTSFGGKGYKGLFAIPPGNHGT DLIYYFPGSVSPGGDLPFSNPDFIKAFTQTFPDFVTSLNPNKKRESDITPPWSPWGGS NEMLFNQTEAGAPDVRPIKSSKALLERCRFWESVGSLTGQ JR316_0000263 MLFHAHAIAPLLLIYGAVSTHAATSAPIVNLGYAKYQGEIVQDQ TSNATHTRFLGIRFAAPPTGAARFREPRPPAPLSGIQQSSPPPICYQASLSTGANNPY GGEQSLLQVREEGGRISEDCLFLNVFVPGGGLKEKANLPVIVWIHGGGYVGGGSWNST LGNVYNGGDVIRRAGEGVIVVSIQYRMGLFGFLSGQKAKEAGVLNAGLLDQQFALQWV QKHINKFGGDPRKVTIWGESAGAGSVFQHVVANGGKTNPPLFKAAITSSLYLPPQYRY NDPIPEAIYDEVVRETKCDSSSDTLNCLRQIDAEILGPINFKVNDKAFFGTNAFVPVI DGKFIKDLPSNLLKQKKLNGDFVYAVTNTNEGTIFVNPSTADTLHVGQFLSQFYPTLV PEEISALEAKYSGLGSNFSQAVSIVGESIFICPTYRLLSAFGGKGYKAEFAIPPANHG EDVNYYFPDAFQGFVSPVFNNSEFQKAFAESFTNFAIYTNPNKKWESNITPLWNPWAG SNEIHFNKTEDGSPDVRAVKTSSSLLERCRTMRLVLVPVNYVGALASVLL JR316_0000264 MLGLLAFVALSLQSFAGASLISGPTVKLDAATAIGTNVGPLSKF LGIPYAVPPVRFRPAQAAPPYRGNIDARKYGALCPQQKIDLPQSGIPQVDTILNTTPE LRNAPGVESEDCLTLNVIKPAVSHSSRPLPVVVWIHGGAYQAGDTAGYDEIGSDLVKR SIALGKPIIYVSMNYRLTAYGFMGGVEIFQDGSGNLGLRDQRLAIKWVNKYIRSFGGD ASKVTLWGQSSGAISIGLQMMTNGGDNEGLFRGGFLQSGSPLPIGNITKGTGQVYYDF ISADTGCSTSNNTLECLRNLPFPVLKATVDKTPSFFSYLSLALIWHPSVDGIFLKDNP QSLVTQGKFASIPIVSGTTDDEGTMFGLSSLNVTTEDQFRQYISTVWYPRNPVSELDQ LWTFYPADVTQGSPFNTGNKNALTPQFKRISAFIGDSVQSGPRRTFLQKASTKNKVWA YISEVAKSTPGVGSYHGSDLVSGAATDYLINFVTDLDPNTHSTPHWPTYDAHSPQLLT LPQSGKPFLTPDTFRLDAINYLNNLSFAHPFVL JR316_0000265 MSAPSYSLFCMGNPLLDMQVRNGEQLLEKYGLKANDAILADEKQ SEIYDELVKEHQVTYVAGGAAQNAARGAAYILPPGSVVYTGAVGDDELAEQLKAANKR EGLDQVYQVKKGEKTGACAVVITGHHRSLVTTLRVAEKFEKSHLSSPEVAPLVENAKF FYIEGYFLTHGVESALELSSKASAAGKTFVMNLSAPFIAQFFGEQLNQILPHTDIIIG NEAEAEAWATAHNYASTTDLASIAKQIALLPKSNPARPRVVVFTHGAQSTVLVSADRP DEARVFDVDVLADDKIVDTNGAGDAFAGGFVGALVAGKDLEGAVLAGHALARQCVQQV GPQYPWPKVDIL JR316_0000266 MVRADGVNAVPAVSVWKPLPYFPGSGSGTAAPAPTPQRQPRSSR MPPSDDRPESSSSDPARKHTTRKRHITGVWPCKINGCNKQFAREADLKRHQRTTKMHS MPSLHDGVVIMTPEMGNDEDENGRSRSGTPSSSKGKEREINNIPVQTHQGTPTHTGPS SYYRSHTVILPPIVPPPPRVDAAGAPLAWTTYPNSWANGTLAPTMGPIGYVPQHVYYP SPHYRPHTTEYPPADPPAFKGPPPPTAAADASSSAGASTSRGSSASQAAAQQQQQQQP PPPSTSSSTIIDPHLNDNSELTEAEVLAAVRAVLMQAEAKDQQEREEQERERERERER ERERERDRERDRDRDREQQKGQRKRQREQSDSDPHQHQHEDDNTDDLSDRTAIDSMEL EDVVDSLRDYVSSPLELERPEHMEHMLTEDGEPMLNPAELLTQESLASPPPS JR316_0000267 MSGVMDPPIGSIVTVPQGRGVVRFKGPTSFMTSGKWVGIELYEK NGKNDGSVEGIAYFKCEMGYGVFVRPSQIRSIHGSELDNLPSPRLPSTARPAGLGHQR TPSSSSLLRRNSVNIKSQPSSNASTRSASPAKPSTSLAVPPSLSRSTARPPPVSTSSL TSPLQHRKSLITRHSPSQDIPPSSPNPASRNGSTSPVKPSASSQPYSVKRTSSPLSQP PLQTISQAQRPPSRLASSPPPSPPPTEPAPPPPQPPPPHDDTELQELRAKIRVLEAKR TDESRHIRELETRLADTEAFVAARPKLQAKLTSLQSELIATRRELADAQQLSQLAESR VIDAQEQLEMVMLDKEVAEERAELAEAELEDVKEKLAILEVEVDVLKEEGAETGDTPA KDSMAYIQLEKQNERLKEALIKLRDMTQESEQEQRRRISEMEKDVSDAENLQASYDEA MIKLANAETQIEDLKLQLDDALTAEDMLVRLTERNLVLGEKIEEMRITIEDLEALKEL SDELEENHTETEKQLHEDLEAKESQIRELQRKIDSLEETCQDYEGTIQQFRDLVMQLQ TDLDQLRTQTQTAQSESASAASQAANIMSLNLKLQSSAQKNQARTIDLEVKSIEAKEC RELLNIVQPYLPQLYVESDSDATSCYLFFRRMGYKADLINNVVAHIHNLPEALNGDVT DTIVGVCEMRGRISGLSTLCKRFAAVLRRCDVETFLNIGRLYPEIAPLEKRIDMHIDL LRRDEFRDMECVNDIVKIQAQFDHLAETYFDGFDTDLAERELGYVTAFDHDLDMFAAS IGLTRTSVASVIKEDDITLEMGGYDIDQELFEPLLVLINRCKSAKTLSKKLIKRLEDL AQDSAAVKPHIIPQMKALSNYVAEMVNFGISLAQQILPHLKDVRALKCPFQLTSILAV VKQSATSTVAPEMKPGASAWEAVGDFISLLIEESTKLVQPVSEPENVLKITGIAPWVA RVAEIKAALAVNVEAERKVSSLNDEIQGLARTLRTKDQTIQESTVKIELMERRLEAVK KQADTIVELENELAKARKQERAYEEAMEQLQADLDTLEQDNAKLKTMTAGQERQSVGP MQVETENVPVEGSLETSHLLEQIEALRGTVRFLRTENSYLKGHDLLREIETLPPLPRP VARTPTPPLVVSGNSDTEDSDAEDPPPAPTVLSLSTETKRLYREVMEYSSAPRVVDLS VLNAKRAEAKGGKVWLPRKQMPGQQVLERRLQGERLSRRVQGLLEKARAL JR316_0000268 MSTSQQQLPPRNNGPKFTTGTTRWPRVNGSSERTGSSDSDTERD FRSASRSDPSSRSRTHHSRGPHGRRSPDHRAPASIETAPDAPAVPYWSGKRHLTCGNP TPGPPWSAKNTPWRGSAPGKLKTSNAVLIICLNIGVDPPDIVKTDPCAMLECWVDPHS MPAKKALEAIGTNLQHQFEGLSTKISYKPILDPEIEELRRFCIALRKQSKEDAVVFYY NGHGVPKPTSSGELWCFNKDYTQYIPISIQEVQSWIGSPGVYIWDCSAAGNLLHNFNV FAEKRDNEIISTHGGFPEGAQPMMNSLQLAACGANETLPTCPELPADVFTSCLTSPID IALRYFIMNHQLPNNITQDMVTQLPGDLKDRRTPLGELNWIFTAITDTIAWTTFSRET FTRLYRCDLLIASIFRNFLLAERIMKDYHCTPHTYPPLPATNTHPLWATWDLAVDACL RQLPDLLTKPGISALASTGTVPGRIGKTSRDDPAGTVKTPEKPYVYVPSRFFADQLTA FEVWISRGGSALTRRGPLSLPQLPSDNPNTQNQPVDHDPIADRNLVPRKPPDQLPIVL QVLLSQPHRLRALILLSQFVDLGPWAVHLVLTIGIFPYISKLLQAAGQDLRPVLIFIW ARIIAVDSSVQTDLYNTQGFRYFSNILSIRNEDNLPNSSEHKAMCSFILASIARDFPQ GQIACLNERVFDNCYELMEENDFLLRQWTTLCIAQLWDGNDEIKVWGVDRGTQDKLIG CLSDVSAEVRSAVLYALGTFLGASGSADPNRRGGGGSGPMSHLEEFVHFKMEVAIVTG ATLAIKDDASPMCRKELLVLISCLVKEWRGYFVICAWLYWEEDRKWRLNASGHHSFFN DEDITNQAVADWLEGFGDDHRAREENRVVLSSFFTVFVSLLDLSVDPYEEVATNAQTL VDYIMALLLESPFSRMESSSLNSAPMADYDKRGSPKPHNPRTRVSSLQSSPNPGYQVP PSPGGFDRPGLNRNDSVTTTLVGGVASTIKRTSSFANALKQFAGGIAFPHSEDGRSSP SISGTLHHHHHEAVDISRPPSPNMNLAKYTSPYSRPPSFRQSASDYDGSPTRSAEEQV QPPAEFLACDVMEALMEEDLERLRARRRSAYQRGHGRGSIPSPSNSTFSMDSTNSSVI LGIGTGAGIHDCLPLKSTFFDWCAEYFKEPQMRQTEADEPGSTQYNYQVWRQQRNDQV IKETDSQASMAEHHRWDRSVASINISGHPMTMAFHSYDKHLVIANEHDMISVWDWHTR RRLNYFCNGNPRGTSITGLHIINQEIGGIIVTGSADGIVRLYRNYDPTLDQGPLQMVS AFRGLNEMIQSRQGSGLVMDWKQSGGLLLVGGDSRVIKVWDASTEQQGLDLDTNSESP VTSIAHDIGSSKMFVAGFADGVVKVFDGRLEEEDAIVRTYSDHTSWVQNVRWHPTLNG QILSAGIDGEVKLFDLRSHDYALRTWNLHPNGLSAFDVHPTCNVFAANSTITPVHWKS QRIVVQSINKTSPLCTLNVGTGLTTPPVRGLPSPFFPRASSLVFHPTEMLYATGGPDG CVRILGCHFA JR316_0000269 MATIKLENFRGCLALAGQAVDNFVNTDPSFANLIRSGALKPSQK LYHITVITKDELRMISSEQIQKITSTEVDPKSLFSLGIGGKEQAGIYWVVIIWAAGQK LRKQAGLPPKHFHITLSSNDIHDVDKGINSLISRDLPEVSGVEALDHTIFTLQQFAQY NEATEYSSRLILTDPNSHKGFLRMGDACFANGSHKIAMLSYACAYQRSGDQKVQSYCM KKLTECSKETEWGLVFQEHEKEQIENLSEISSLLLKPWSQDLQERLSDQGNTPSLLLE PRQPLYIPSTKNMGAKLHFYRLPRFFRWLIPYHLAIMSTPKNEDDITALASAPLGIRH VLTLTEEEPLRPAWFQGKSISNTFLPVPNYYPPSIEQMDLVMRLFDDQDKLPLLVHCG GGKGRAGTVAACYLAAFGFNKPRHDQDHPELAATEAISSLRALRPGSLETSRQEEFVS RWCSTIWKRQSVYPDIPSEPSPSPMEVEGKLSADNDLFVLVGLPGSGKSWFSKSLISR DPSKWTHISQDDSRSKEACETEIGRSPKGKHVLLDRCNTSAADRKIWLDLASNWCVSP VCILFDYSQELCTSRAQMRAGHPTLPPGSRVRNAVDQMQKIFMKPTLKEGFKAVITVR SFAAAEEAVLRFSSPVSILKFPRTPHLINTGAASADDVHTDLAVFTNTAAGHTVITEK IDGANMGFSLSSDRSRIIVQNRSHYVNSSTHEQFRKLGLWVERHDQELRRVLDRDPYF PERYILYGEWVYATHSIPYTSLPDYFIAYDLFDRSTKTWADTATLRHLLGETSIATAP IVHEGTMPTESQLLRMVQQPSMFYDGRVEGVYVKLEVNKCVKLRGKVVRSDFISGNEH WTRGGVRVNGLRLDQTGVE JR316_0000270 MAPIPKFPEEVESLISAIERRYKHIVDVEVPNLRQCVGPASVQQ SLAEDVREDTVALTRQIESLALMVDDQKGAKTRKELKEIADDFQKKLESLRSESRAAL LASKKAMDQRSKSNREELLSFSSAMTEKQNSSEKTTEDALMKANSDVTDALRRTIALM QTELERSVLTTQMLDESTRTLNSTSTQHDTLNNLMYTSRQLVTALEKSDWLDRILILS GLAFFVLVVLFILKQRIVDRGIRIAFWWTRFIPSFGDDAELLRAAEKGAASVVVEASS SISSVAASLASSVIPVASSILASMPSVVPVSPVLSESSSSSVSLISQAEPTPAETPVV DEAEVPTIDVEATVPSPEPEAVPDAAVHIEL JR316_0000271 MRLLLSITFLQFYAVFCSPLDQVTVSPTVTLDSATVTGINQGAL SKFLGIPYAEPPVGELRFNLPRAVTSYNGSINATSYGPTCPQQTVKSPISLSQFFNFT VPFIKDPDRNVTRNSTETPESEDCLTINVIKPLNASADAKLPVVVVDSNGEALEVTMI KAHGLSTAWGFLASQEVQDAGVGNAGLVDQYVALQWVQKYISVFGGDPSKVTLWGQSA GAISASMQMLAYGGNSSDLFRGAFMQSGAPIPVGNLTGGQQYYDQLVNATNCTDSDNT LSCLRNVPQDQLKAAVDDTPNYFSYDALVLAWSPRADGVFLQDNPQKLVQEGKIVNVP VVSGNVDDEGTLFSLSSRNVTTDEQFREYVSTVWVPGASESELEPLWDYYPSTLSQGS PFNTSNFNDITPQYKRISAFQGDVVFQAPRRFFLQNISGKQKIWSYLSWRLKFVPVLG SFHGSDLEINLLDDYLVRFVNNLDPNGPSPRLTWPEYTTESPQLYTFPITGRPELSQD TYREAPMQYLMNLSLSHPL JR316_0000272 MTESNLKSRRRSDYAFFLSYRTRWSDNDQYSHINNSVYYHLFDS IVNTYLIEKCGLNPTASPLIGLVISSYCNFFSPLSFPQVLDLGLRVNKLGNSSVSYEV GVFEEGKDAPAAVGGYTHVFVGSVSRKSSPMAEETKDGLAKLLNHRTDLACVRRREAR SSRISLKMSSDLQWLLLRKNSSFIVKRVPEGPVFSKEPGNLRNLNSFKYSGLANSKTI DVKEQAGSIKIVTRKVKASPHAVGKAYATTSIRPRSGGRRALGVASGIAKRGYRPDLR TAALARVSALIAAQREPKPSPAKKVRGKKAATFLG JR316_0000273 MAQTATISHAPASESNNPNYTKCGLANDNSWAHLNPVKVGGRRL SISAKPKPHSHVETTNTDTSKVDEAAPDYPRPAPPTGGDAPLHHNYQHNEEEIPKKEK IEKKLQELAHRKVEMTRPTREANISKSHGMGMRISQPAGKSLGV JR316_0000274 MQGLWSAQRRNKLNLNAPPSPRTSTPSTPAAVPETATVNPDTPG PSTPQETPPTTTVESTAPEASTVATVNGKRKLRSSRTGETSSSSKRSKTTVNGGGSVA KEYEPPTARLADLGGVEGCIEKMLELVVMPLQHPEIYLHTGVQPPRGVLLHGPPGCGK TLLANAIAGVSLPVVNLWLAYELIDLFKELGLPFLSISAPSIVSGMSGESEKKLRDTF EEAKRVAPCLLFIDEIDSITPKRESAQKEMERRIVAQFLTCMDDMSWEKTDNKPVIVI GATNRPDSLDPALRRAGRFDHEISMGVPDDEARAKILKVLCTKLRLEGDFDFLALAKA TPGYVGADLAALTGAAGVIAVKRIFQQLSDGTLVLPEPEAPAMVASDYQDVSMAVDPT PQTPQPLAEAPAGNTSLFSGLSYQAASSSIAHFLIAHPEPLTDAQLAPLCITSADFTS ALKQVQPSSKREGFATVPDVTWADIGALHSTREELHMAIVQPIKRPELFSAVGIEAPC GVLLWGPPGCGKTLLAKAVANESRANFISVKGPELLNKYVGESERAVRQVFSRARASS PCIIFFDELDALVPRRDDNLSESSARVVNTLLTELDGLDARKGVYVIAATNRPDMIDP AMVRPGRLDKLLYVDLPTPAELSEILRTLMRKVPLSQPEGSDISQTRESIERLIAERC DGYSGADIAALVREAGVTALKRTLGYLDAMADDAQSPPVLVELADFSKALDKITPSVS VAQRRKYQNLRSKFAGLPVRVGKDEEDKRVDEKMGTNPPTA JR316_0000275 MLRTSSKICRSALSRSYATAASPHALVFLEHRAGVIDSGSLSAL TAAGQLGGKVSALVVGSSEHVQGVVDQAKKFKGVTSVLHASSPQYAEPLPETLSPLLE KLLADNAFTHVVGATSSSAKSLLPRVSARLDAPTVSDVTSLEHDAATGSTTFTRPIYA GNAVSTVRAPGSLPVKFFTVRGTAFAPAPASEADAAEVKAVDPVEVANPTTVHVKTTI AKSDRPDLGVASRVVSGGRALKSAETFEKTLYPLADALGAAVGASRAAVDAGYADNSL QVGQTGKVVAPELYMAIGISGAIQHLAGMKDSKLIVAVNKDPDAPIFQVADVGLVADL FEVVPELTEKLKK JR316_0000276 MSAEDIITAYTVAVPKKQKQDLPGQDKDMSPHLEYTKLEVWDDN GKPSLVEYKGSGKLKGKTAIVTGGDSGIGRAAAIMFAREGATGITISHLPEERDDAKD AKKMIEDSGAACNVVEVDLMEESNCKHLVDSHLKKFGKLDILVNNASKQIMNKNFEEI DLKKVESTFRSNILQMFAVTKYSLPHLKRGSAIINTTSVTAYRGSAGLVDYSSTKGAI VTFTRALATQLAPKGIRVNGVAPGPIITALQAGSRSAENMEGLGVGLPLHGRAGQPAE VGPAYVFLASSDSNIVTGQVIHVNSGEFTGGS JR316_0000277 MARLMGQTDSKILHSIFILAAETFLANPGHQEVHRDIGERMSST EYEDEIWEAERECGGILCGYADLLLDDDDQVDEDEEEDSDDSDDSEDRGPMNVDHEVN EDEDMHEDEDDDGFIVPDTYVEYEDDTENSTDSDSPANSGPTAKFTSPRQKKKGRRKS SSTASRKNDDDDDDIRNFVVPDTYVEYEDGFELDSDANFAEADDSPAKSHMKHKQSSP KHSRTTKTHSTSSQKDDIRNFVVPDTFVEYEDGIECETDSSSTESDGTIIRTAAKSHH RKQTHQQAKTSRRDHRTSSKKGDDDDIRNFVVSDDCVEYEDGAEPPESDSESSDDEFT CGAAAKSKPKSRTKRRRSSQKKGTPRTTTISSRTRSKTKPYPKKKGSQLKRKYRNDAE YDGGKYAPDDEDELVESRDEALETSLPTTVSYTELDDESEDEDDDIPLTQLAEKSLPG HSSSDSESDEPPAKKRKTKNIMSQDDFGRKFENRGLDIIRLSFGQSKRDLTGFHLVSC IQIPTLPGQPLCLPYQASQAVESLYTFDEEAAI JR316_0000278 MTPLGAYPLPRLACLLVYSVYRDQDPAFLIHTASGTISGSKRRY NHIVSVIVESNAVYSLVLLVEAIITVVPGFSIIESPAWPVSEFIDTILFTVTGLIPTV MIARLALTSSENSDSSGIITHVSALDFSPDKGSTRNVDALSASGEIMNSSHSVHGKSQ HEILMIGSEKKPDDSQV JR316_0000279 MIQQPPPVQLPTLDAHDAAAAQSDPNHAYYWAYGDWAGGWTLDF GHYKGMPMNELPYYDYLLWTEENCHTERVSFQHALYQYTMGLQDQVNKCPGDFIIPFE SQHCGKCIKDCGDAFLRFLVAKRKTPEEVQKYELFYLALQNYLNQLANQTIKNLGSSS RLNFGLLTPSSSQTQQDLQLSPRKQNAKGLQSPRNQRLTQRKRSVPTNRAQYQ JR316_0000280 MGWTPPSGREPAALDPALIDQDDPEYDSSYEAFYGEHAGGWIFT FVYQEAFQRYRNGLLDIIQDKYDDFRVPMGKRHRRKRLRECRDKPWMTWLISWANRHE VVKDKALRKYLDSPRHQGVYRDIGERLLPEEYEGDLEGEDEYDKEEYSQEDIDDADEN GNLRDFVVDDDVVEYEDDANQRSILNDTEDDDANSRTCRSHTNQSDSNDDDSDSCSDS DSDDSYNSLVSDNADYIDHDPFPATPRKCPPSPPPERNSSGTFQTVSQTPRKKRIVES LPTPTRTPCTNKKHITSTPCSGDPSPKLQSRGYTRTRVLMSDTESDCASDEDEEPAQS VKANVESAQMFQPKTCMNAVYLPQTPTKRATQKTSATLATPQTDVVSDPEDDTPLPEL YERYASGNGSDVWTPKSIKHTKGASQKALQPTLPPTPAPTPARKKRKAQEAIESDSEY VDSISPRRYAQRMESLRTRNSQRRKE JR316_0000281 MLGLRSSFEEEEAQLQWDINPIVIVTPESIVEKPHSSGTPSTKA AHVDKGSNMFEQRDVYSGKSFFLPFEEEVEGKVESRVRSVQLSD JR316_0000282 MLPYALLLSLLPLCVIAQDGAISGPTTSPSAAGYSCDPTKCKLP DCNCASTDPPGGLKPSDVPQFIVFTADDAVQSYTLDSVNQFIGQRVNPNGCPIKMTYF TSLSYTNYTLVTDWYVAGNEIADHTMTHVGTPSAQEINGNLIALNALAGIPLSDIKGF RAPFLNYSVDTLKLLAQSAFTYDSSAAASIPVTDPNTDAYWPYTLDNGMANNCLSVPG TCRGEPKLPGFWEIPMYAFFDERGVVGPHLMDPWLDAANGASTVNDTATLEYMKKTFT DHYNGKRQPIGLYTHPIHLSRTYPGVNAPMSTINMINAFLDWAQQQQNVWIVSNLQLL EWVRNPVPVSQLSNFAPLKCTTPQVDSSAQICNGIPKNENGLLSHCAFSDFPFYTCYG CPTEHPTPDQPNPPQDTSQGQPERHRLPANCSTPFWDPIAGKCLCTASTCTFTDLSRP IGPNGANLTGGGTGDAFNQPDSLPSYTPFSAGTPSALLAAGAWPALFISALGALVGLL GVMARL JR316_0000283 MSNVDSTFPVNPLKRRRTEHDSSSNASTPEISKNTRFWFEDGNI ALQAEDTQFRVHRGVMARHSVVFRDMLLIAHPETGSVDGCPVVSLADDAAHDWIHVLG IIYDNEIFYQTSEILSIPLIGAMLRLGRKYQFDTLYTSALARLKKDIPTTLEGWDASM GDTWREEYTEDFDLLNLLEDFRIQTLLPTVYYYCAIEYNLDEIMDGIQRNDGTTARLS HASAKQILKGKMKMANAVERYMINAFRALPLQNVCASCIDLQARLFLRHSRNNLISIF LPRPSDLFLKRSEITAALCVPCKDRMCSLLESKRRLFWDSLPELFGLPSWTELSDTPC HTSNQPS JR316_0000284 MSSSRSSSSTWSSFSPSLLLPHPNPYRFSKNPLARAPSSASMTT TASSVASSFSSISLLSSGVSSIVSSVKGKTSRKDRRRRRNPPSPPPMLLPLAFPAEPI FDPAAFRASSSSSSSSPSPSPPSSPSNKLKKRRSGSSRSSRSRRHHRNNSASSNINHG GPVPSASRRQHNEERIGPGVTYTHMQTASTPKTSTHAAPAARARHGDDDDDDDTHEPY LVLTPCSHPQSPAASQSECLGLYHHHHHHDQASQDAALSQRHQPQPPAEHKAHSSKRS GPSFFFANTNTDTPHHHPSSSSSSSFYNHHHHHHRAYTPSHAHTDSADTITAFSPSAS TSASPAKLSKKRHHLPPPIHTQPPSSSSSTSASPSSSSTSFVHLSAGSTSPNTVTIGA SGASSGTPSSGSTFVLVTPRAVSASSSLGTGTATARSFSPSSLSPSSLSPSSASSSAT RMPGTPNSAVFISASDVPPPHVVDQLQLQAQTRKSSKRASLLARTFSLRPSRRRASES NSGSSSSSHLNPSASANGSANGSAVVVASATGTTATATTATATNSTSTPSSSTTATAE PSSSLSLLLSSSSALTSASQTSSLGQISPDTYRVRESGLSPPPPPPPPPSSSSSPSPS HLHHNSHSHPSMDTTTTTTKMHAHAHPSLQRNLGSEASTSESASTSASGSTLITTPPS TVSSTSSTSSFARWKRWTTGIGSSGSSPSGNGNGNGSGNGNGELVVGLGGKGKEKEKE GEGEQGGIGGVGGGVEKQGILRVTLAPPPTHTHPHPHPHPPQNKNKIENEDENEPPNR KRRASLVKPHPQSQAHGQSHRHTRSSSDVGGSSSGGGIGRVRALIEHHTRSISPPPPA SSTSLVVVERRKISKFMLGSESDFDLPSTSAGKEGKESVNGKEGGSGNANASGKLRKK RDTVTVGTAVQSGTPFISLNASPTSKLAPSGVSSANANSNSNSDSHKNAHAPTHAPTH PHTPTHTHPHAPPRPPLLRRHAEISEGEELGRRRWTLASAMTDEGIADEGLVRELERM RELGRWTRRMERVRARERGRERVRERRNRNRSESRAGLVRGREEEGGRGELEHAGERG DVDEEIVEEERSFMEEAVWDEDEDEDEEADGVLREIGREIWEDIADISPSPASSSASS SSSSSNSNSNSSSSADRGNATSSPSSPTTSTHHPPPPSPSWLAVQRAVLTCRELILTE RHYLAHLQSLLSSATATPPPPLMLRYAEELAAVSAAVLREMEARPSVGGVARAFVDRE EEVGAAYVRWCAVVGGWFVDGNENGGGNQEGGMGLRKRKSKSVVAGTGASEYHAAAAT GVVGDGVDTDDGHLSSNHSHGHGAFGSSSALAALGSSHGHGSAASHSGHGHGHGHGYG QHLQPSHPPPVVSPLKRTVSTWRRSMPSIASLGLDGGVMSIYGRKDRELERERERERG RGGPEVALRVLEGTVDGGGGVGNGGEASPGKPVPRKQKPPAVRELAILPTQRVMRYVL LYRDLLAHTPQNSGSRVLVERAVEAACRIAEKCDRAQENAAFIGLVPPGGGVVGGRNG GTSSRSSSARRKVGKDKDKEKDKDKDKDKDKDKDKDKEKEKGISSSESSVSGGASSSS SSGVTSLSSVESANGSSEPSNSNSALLSATAATPTTKSKSRSASTSSASASPSQTAFL SPLSNSNSNSATPVRQKKAKRPSTADSGRKRASFAVSLGMGWGRVPFSEVAEGGGGRG JR316_0000285 MGAGADTGASASLGRVRVCVPTCARVLDTDLRASLASSSISGAV DAHVIGNVDVNANGEGAGDDAQAESKGKVEGEGEGDGESDDAQAKGGVQSEGEGEGEI ANLEGFNPVPVDPTSIGGKGSGFSPRTPSLPPARAREPAPTRWVSASVSHITFASSST NDSRRPSMMRDVAIEQGATISLCVRVRRGVCAWFAYARVCVFAYIARASWYGTITI JR316_0000286 MMSSLPIVLSDVNQRVRKNAKVDPKNKKPLNEVPFYNYLNFCRE ASKRYDVFFMALEAFVDEASRTGNWGEHKYPDSDDEEESESIDGEHKVMLAIPDNLES DKFDRNGFPIRQVSPPQTPKKSFEKVKLFKNEADHRPSLGLLTPEQTPVRSRQTSSRS AEVSVKDIKKESSDLFGPSLTVVNTVLQGTQAHPASTSPRLKKRKAEDSDSEYSGFTC MPQCPPTPAASPVVKRKVVVKVESDDISETLIEEFNTVVS JR316_0000287 MRLSVVVSLLFSVIPLGLAAAPPAPPPPPPPPSPPAPVSFTPDF TNVTVFTPPSTWGSKRTSYARKTVLRHDCSNTILAVFSASFPGPASIPVFQSTDGGKT WSMLSQIFFNTGNYNGGSIAQPFIYELPEAVGKFPAGTILASGNGIPQGGASTNIEVH ASLDKGKTWEFVSLVATGAAPNTANGAHPIWEPFILYHQGQVGVFYSDQRDPLHGQKL AHQQSSDLITWGTVVDDVAMANYTLRPGMTTIAQIGNGKNTKFMLSYELGLAPGPVPY AVGYRLSDSPFTFGDKADLQIVASDGTVPAAGPYTIWSPVGGPQGTIVVSDSTYAQVF TNTAGGDPAKWVKQEVAPDQGISYTRSLTLMPDSQGKKVLFGNGGYYGLSSAFVTVGE WIIPGAPVKDEQCVPGA JR316_0000288 MTPTIPRWKRIIETALAEYKNQTVIQIATTDNTRPTPRVRSHIF RSFLSHPSHPSLPLLLSSTDIRTPKVSQIANHKAVEIAWWIDGTQQQFRILANVYIVP EPNHPLLAPFRKQLEESKLEAGDAISLYPSTEEWESQRKSMFASMSAHMRASWCRPTP GSPLSLHGGPEAVKNWPTRVVQPDREKMSPEEFKEAQKNWELALSNFALVVIDPYEVD FVDLGGAKGKLDRRWLFVKAREGEYGWKWTEEELVP JR316_0000289 MSDADVSSAGVTTTADHSTPDGVVNGTLGKQPGEITTLDEQHDD EEEEESDDYDENDEADYEEERQIVGDEDDDEEEEDEEGGDEPQGQNNLTHLLLGNPNA PVEGDDVGDEEDDEEEDDDDEYVEENDEAPAPSTKKRNFEEVADDDDSQGAKKAKA JR316_0000290 MADIAPLSQTIIATATSTMSPDGNSSTFSAVPSTATGVETLKPG QTSASFKHPLDPLSAEEIPVVSLAVRQHIATKTEIKAIKFITCTLLPPPKKVVLAYLG IPLGPGEKPEAPTKIVRRAEVDFLDVLNGRAYNSVLSLNDETASWEVNTFTLLEEHFH PQISVEELIACEVVVKNNPIIQKLAADVGVLPEQIFCDGWSIGYDDRFPQKRRVQQAL VYARFSEHDNLYAHPMDFIPVIDANSEELLGVDFPPHWIRAEDGSVKLSTYSTAPPPL AADALAASKRERIPPPRKAWDFLPDLMAETEEGGYKPRTDLKPLHVVQPEGVSFKMDG HVLEWQNWKMHIAFTHREGIALSTITYNDHGEIRPIMYRLSLAEMVVPYGAPEYPHPR KFAFDSGEYGMGTMANELSLGCDCLGQIHYLPGAFVAHDGSAMVIKNVICIHEEDAGV LWKHSDYRPNGRSQTVRRRRLVISMVCTLANYEYIWNYHFYQDGSIEFEVRLTGILSV YLSKDGEPNPFGTTVAPNINGHYHQHMFSVRVDPMIDGLKNTVIESDIVPLDAQTGSK DNFAGNAFYSKDTTVEVESGRKYDFATERRWRIVNKNKQHYSSGKDVGYTIGVKGGAT PMMAKADGWAARRAAFLKNTLWVCRDEEGEDSGTVRMWPAGKYVPQTKEEPEDSVGSW VEGKKNVVNEDLLVYVTVGTTHIPRPEDWPVMPVEHLTVSFKPNSFFKANPSMDVPGS KDPLSVPAFNDAATGTKDSCCH JR316_0000291 MSDFPLDEDLNTPPISPAASESTLDVLLNPLSPDLTPTQLTFNL HRSSGHIPFVLYDDDYMDLEAEPLELQGFSISDFSLASVNASGHASRFRSASLSRNGS DIQQRSSIGHSGSERRSSTATTSSTISADSANGTSSSSRTTVAESLYLGLNTLESSNT ISSVGTFGPKHKRHQQSIQPDHDVVEGSPPLPDSPSLSQQFPNSVSLSRGSIGTGSDQ STIGRKRSSIVASRDLSAMFASPTVFSDGHSKRASLVARMDSSEPDSFSAEHSVPRED ISALKLASDSESQTDTSFAVDAQDDSDGSESDSTTPKKPIPKNISWISTRDWDYKQYK EYIAKVEGLEGGLPRSPSPVSHVQDVGNTTVSPRKRTPPRLNVLPMEHHRDLDVIVET PRASLFAREIYDHRPEALSQGQTSPSAAQKNSLRQDEHNWLKEVTVQFLIDQEGFRAA HPSFKFGGVARIRPSQNSKAPLIVMAQFRPVARQAFHFHHAPFESPPILRRVTVNFDE THDYLSRQALLTLKNNGVYVLHGHEISHTSPELESSKLFWQFEYLIDDRRVETSGRVM EGEKIMTPLTFSCTPALLLPGQGKRNSIMHVFKKGVAPKLVAERLQPPGLSTAPKKTS DDPQTAETDGHMTFFSSKAHAWNLHRRGQSHAVHTQKHTERTSRTTHEESENIEPISP SHRRAVSGGDGHKPAGVVPRFDALTSPSKRTQASPFVGVPAPARHIIPPQKLAELLDA SGSPERPPAHRPPSIRNSNQFVPLTPRPRQPNSGRDLAHIR JR316_0000292 MSSNVQELRFRGPTNSQQKPKPPPAPRHTGILQDQLRRAQRRPW APSFSLAVRILFLIRISGAMYSNINDCDEVFNFWEPLHFLDQGYGFQTWELSPVYALR SWAYILLHALPPRIGNIIVAGDKRAAFFGVRIFLGAISVLVEAKLYRAITERINERVG RYFFFIMLFNAGMWNSATAFLPSTFAMYTTALAFSYACIPSSTGNKRRTLAATVIFAL GGIVGWPFALALAIPFIFEELFVYGADTVTPENWNSWFAGRVIRLAGAGLTASLIFIP VIGIDSLAYGELAIVPWNIIRYNIFGGTERGPTLYGTEPWHFYIKNLFLNFNVLIFFA LFSLPALVITYFVDRKRLGLTKPSPNQSSPFTLLGLRLAPLYVWLGILTLQPHKEERF MFPAYPLLCFNAAVCLYLARGWLETAYISWTKSPYQASQTLIFSRFTLSVVFLSSFLS LARILGQWFYYHSPMTVVFELQSAELPRLLNVTGLLPDYPEGMDEEERPRIDLSPIKE FDLTLCYGKDWYRFPGHFLVPNHIKIEFVKSEFDGMLPRHFEEKLPTDERESSAITEL ADRWWLRPETRFVPKDLNDLNKEDASHYVPVSECDYLVDLDFPQHPRTSALEPRYAVM SDTWERVSCHPFLDAENSFILTRMFWLPNSAWQASNEYGDFCLLKNIEKIHEKEVRIA NRVKSGSVQK JR316_0000293 MPDTQVTADHFIYVTQVYFCDAVVLWDWIISLTREYRFVWRTHW TPVKVAYLFCRYWVIIVVPYLLYCFVVDHSRETCERIYKIPVGLAMWNQVGSESVLLI RTYAFFSRNAVVLWFLVSALGGVVAYQLYVDTTQMLLLPFFKPPFDSGPCFPMSKPHS AHLLGFFIAPLLFDTVVTMMTVWKAFTIRRRNGGPNSRLIQTFLREGVFYYILISIAN LINGVFYLQPRQVISAINIPLSVMLGPVLACRLILDLRERGSETVSHSEGTGIAAFTT KSMTQQGSPYTPPGHRTKSGSRYGRSRGIVSTISSNVVLTTLGSIHPDHMDIDIEQDV VEMDDIRYGMGMPLGTLSAAVGGDDGEPLPDGDGYSQSHRRDSPAPAYQTLEMGNRGR RRSPSPSLENHSNYSVRGIRVDVEQASSSR JR316_0000294 MLSDVERTSIQKGSRRQYSDNVTELETGAGRVHESNGLQSNGLL SFPPEVLLHILSYLDLPDLALIARVAPSLIPLTDDPVLHTHRLKIVSPSRVNHNLFGK SPQGHQLRPTVSDLVRRGVIKGIAIERRWRSGCYLYSLTSVLQYETGKRILRQQASHK LAVQLRRRTTAGPPARSLASLHSSHVLPDVECSSTRVARSLLPIVRKLKWSIQRDRLA RVFKHSAIRTGIGAWMESGEGFGRKVIPDSEKVRLALCPDINRARSFFERLSVAN JR316_0000295 MVLASSPTPDVPSSPDTDTLHTNATPSELSTPLTQSGRTSPNSV EIEVPDESATTTQKKKKKKKSKKSKAKDASVAHSPDDADTENRPPVLCISRNKHWRYI SSYHGPWLQLPAELLDSLLTLNLDPGTLSGPDTRLPPLLPPSSSVSSSKQRDRGFHGF GDFSPPDSPRNPFTSMPLPPPFPPPKPGKATPPPIDPGVFRSVRNIRRLIDEAAELSV RASSGLSAAELGSMRSGSGPGGSPWAAAQSLGINPLGNNNSGGRNVAMSATRVHRLRA LAVQKLAQAYKADEIASSVMVMQGGSVFDDLAERVLRVDPNDPDARYVHFFHEKIPSR QLAESTTTNVLDDLIAMYPQRLEYYRTRGIVHCFRDEFPQATKDFTHALKEARAVRKA KMAHHNNGSQNDSRTTKHGKRRKGSSAAHTNGQAPPDGTSAMENGVEGSDGEPFLKHP SVLEDAPDPIEPQLLFLRGAAYLQHAMHLIETAVLNLEGVSKTPSIDGAELRLCYIEH GKYGGIEIGNPDGPLGKRDGPKLAAYSSTLGEKAFRDQVTQLLKRSLRDHEKFRAHFD SLESPNAIPDGDLAYQTEYAFLLSECMRPGNHSAQPPPIPDAPAMFTTYHPLLVESHF SVLICELMLADFVNILPTFIHVASVVDGLEGYPIFLPPRSMAQAEFIEVLERLASGWK NGVQPHSLSAQRGKSRLAIDLPPLVRPTPPPPTPTYKIVRSSSYQGSDNSHRVNGSSS SSSTLNYSMSNGSLYSASSSSQHTLSPTGEEKTNESNSYTYKYDHDHLLTSGPAPSPA STTSHTRSDAIEALDCARILLAPVVKRQRERAERAAALERSTLGRKKVMPINIPLHGP RVEIVLAWLAAVHLPELDDAC JR316_0000296 MLDNNLIAWGTQYELARGVANGAWTWANVRENIAKLQGKSADSA YRVRSVLRGQENMLKESIDLSLWQELDREQDAIFENQGAGLGLNQSNQNWYGGQIQQL ARLIGENGNYKIILEPMEKRRSHRFARFYGSRRFLQLRIPEQTLQKESHQVVKFLTRS FVLCGRIFVPFHSKEGSLYMVETDQNYGRLSMDFFGDQYRMPFCKFINWHNPLAEPKN YNQTITKYATRFAIGLSNSVPALEFQAKNIIFIDDITTDDWPEGKPNPPAEKLMTDGC GYINHSALHAIVKHMDYEALPAAVQGRIAGAKGLWILHPDDTSDEPKIWIRNSQNKIK YSEFDRSHRIFDLLGPSRPSSSICLSEQSIVNLFNNGVPAETLIRLMEEGLEEDVAPL MDWDRPHAMVFLWDAINKCGNVSGSRTQRISVALNRALGLKGRDWGRDNDDIDADEDG VEGADDGPTLNTGRNMYSGAPYALHEVAAELIQAGFHPAEMELLYDKIRWIVDSAMRT SIQKYRIPIPESLGAYIAPDYFGVLEEDEIFYQFSKPRKDPASSMLKHTLEGPVIIGR YPIMLGSDMKKVTACYYRELELFQDVVVLPIKGYRSLANQLSDGDQSIVIWAEDIVAP FKNTPLVEQPKDFMKDNFESGSKVQSVRDFCHNKYISPRATNEAFMAHLLANLNDSEV GLYNMMHKNAAIAYGYHDSKTIRLAYIFTTLLDASKTGHRLKQGIKAKDLAKYSNTSP DIQALKDKAPNRDILFLLNDAAKKKADELFERYEAKRPSKYDNQDKDLRSPYDDMFKF ALEAKESQKMPKFLEELSNIRAHVNKAKDKWIIACAKAKEKQSPVKGKPFKKAKNEDL MLECTQAYAAPIEGIFLLKKQLKQIKASYAYCDSPKFAFTVAFLDLCHIKAAASPGGL APSLRIFDDAKTISPSFLRALRAVDEDSIYS JR316_0000297 MYTSSAAQKAKRRTHTRPELTDEQKQEIKEAFELFDTDKDGCVD YHELKVAMRALGFDLKKAEVLKLLRDHDKENSGLMDFQDFAKIMSERILERDPMEEIR RAFKLFDDDGTGKISLRNLRRVAKEIGDRLEDDELKAMIDEFDLDQDGEISEQEFFAI MTDDA JR316_0000298 MNYQLHSQDYYDPALELDFTQSWSPESKKQIPRAASYYNNSYDL ATDDWGYPLPAPQYPDHDQPRIKQEDLYDPHAFHQPLQSHPHSATYYPPQSFHSQTPS QPQPPSQPQPQPQSQPLLHPLARPAFQYAQTIHPAELSPVTDSCPSVSPLSAYTDLST AVHDPSHYAVCQPQHTTIDLKSWNDDRRASLASTASLESDLSSVPSHHSHHMVPLDMG LDPIDGMALGMAGVVVGGLPIDMTGVPQEAVCAPEEDIGGEEDAEGEDDWDHTQQQDV QQVQYQQQQQQQQQYMSEGVESVADGTDRDGEGDEEFHSAVDSSIQQHQQQQQQHVLD EDGEEEMPVEESDLSEDIEAEAEPEIKREYEDSDLSETEEETEEDEDDPDDPEFVPRP RRRTSLRRQSTFPSADGELYAQYGATVPFSNIMNEGRTLRSRSSSARYTPYPSNYPEG STYNGNGEHGFDHHGSNDNSGAYNERARGRRYNSTSSTTSSSAHPPRSASSLSGSSGI TTTTTTTATRRRLRPSNTLPVPIPVPNLTKKSRGRRVPTMSSLEDVRSAASGAGRKRQ NAGGKAARMYLCDVDGCGKCFARGEHLKRHVRSIHTYEKPHKCLYPGCGKDFSRHDNL GQHMRVHKDYVQEGVTVPNSGSSGSSRRKSTQS JR316_0000299 MTSLSFAGFAIVAKEFPNSQFLVRERAKSNPTLHRRPESLATLS KLQPTSILQKFGSSAPLHVVGGTHASRFRMSALAGYILWLDRDSPSLRPFNFQDLSIY ARKTEWKEGPTPTYTFVSMSIYSVSRSRAIPIQVPPVPSTLQDLSGIKFVITQFGRLR LSLGIHRILRGIKECVCT JR316_0000300 MSQAIVGVDDLPDEILLQIFDRSDIPAATLLNVALSSKRLHFIC LPLFLAKFEIMNPSEHCDFILRSPQKTPGSLDALTGLKVALFIPSVKHLICKFHMPGD IEDFLYTAMHLRRLNDFILKLSSINTVSLQFSNKRCGCCSELDPGMTLDRDLTEWSDA IGSVLSTILEKGCRTLTVSGGKYMVHSYVPETSRKGRIMSRWRQFLRKVKLAGLPNSY EWIDVLHGDSWIYKRASDTGRTLVLTPITRAAQSRSALRTLIIQSSMFASPPVLHWTI SVLRLPTIQNLHLKHLAITRTAWMIFLALIAESCPHLTGLKLSHIRHITASQMLRFLG RLSKLETLSISSSVEWYNNDSPEVGPFPEFRNLTTLTTPAAWLLKLLSSQINAIPNLR SIAIVYNLRNDGLFDWLETPARPNSIPNLLLRSSRHSLLVHLRVTLGRNPGWALLEDY RRYEAQDPPHHLRYVINLTLLIDRKIKPNEMGLSTTLPQWVGLFCELRSLELRSVVLK REELIELGSVVLKRAGLPELKRLLVNGEEVIPGSR JR316_0000301 MTLRPPLTPPYLFITLAISQVLISLVAFGNDLFKSDDTEAAILD ILATVVPTAYAYIAGTLPLKTYKPSLNVAKPNDVPSSKLTCPEDNVNLWTWVTFSFVQ PIMNVAVKRTLHDTDVWSLSPFFSHKNLFQKYQEYHAKYPSHSLLRFLLWSNSLDLTL DVLLEMWSATVGFVSPYALQRILAALAINTQESRSEAYFWTLVTFIANLSFGQVDLFQ NWYTRRCYERTRGQLFCMLHYKSLKRQDISGKVHREGEENDADLGKILNLMERDTYAV AQRFWEFSGLFTSPVRIAIALYFLYRILGWSALSGVVVVIVAFTLDYPILRYTFYLSK SSAKANDVRMRTVNELVQNIRFLKFYGWEFQWSRKAEKDRETELKWRIRRNIVETATN FIWVWMPSATALISFLCYTLVAGKHLTVSTAFTSIALFSQLQTPMVALPDQVKAMLDA YISMQRIEDFLKEGEVPGWASSLSSEVVENGNKDNYEVGFKEAAFEWEESHNSSSAPS SRFRLGPLDFVFPPGNLTIVSGATGSGKSALLVALLGGLELATIRDNIIFGSSSPFDE TRYQAVLDACALRQDLSILDAGDMTEIGEKGITLSGGQRARVALARAMYSQAKVILLD DPLSAVDMHTAQHIVSKCLIGDLARDRTIILVTHHITLCLPVASYLVELDKGKILHHG TIPELEARGLLLKVIETEEDTFPQAKSPTLPSFNEMDASQDLSSSRLVHRQPTDGKLV EKEARAEGRVAFRSYITYLRASGISSWVATITVAVLIRAINILNQVYLSDWGEAYDNK YPEVAFVAQFRNLGFRPPWKDLPPPDQNVKPWLMIYLYISITGALTVLLYIVIGYYAS LQASRRLFTALLRRVTRAPARFFDVTPIGRILNRFTTDIGTIDNALQGSARSCLSGVL NFMASFGFILYKVPSFIPFAFFIAWLYVRLAPSYIHASRDLRRLESISRSPTFAGYDE LLRGITHIRAFAMEKRYQDNFYARVDKFQSFDHVYWLVNGWLMWRYDCLGSVVVFSAT IFALLSGLTDGSAAIVIVQAGLFADASRQLIKVAAQLELDFNSVERVVEYLGLPQEAP PIIEKNRPPAYWPSTSGELVVENLVVQYAPKLPAVLHNISFVVKPSEKIGVVGRTGSG KSTLAMSLLRIVEPTGGRIVLDGIDISTLGLEDLRTRVTIVSQDVSLFSGTIRSNLDP LGQHTTEECIQVLERCHLTPLLNHKPNEAQPTVLDIEITQDSLSAGEKQLLALARATL RRTSIIIMDEATSQIDSNLDDQIQRTIREELAGAIVITIAHRLKTVMDYDRVLVLEDG RIVEFDTPHALLSTPRGVFRGMCRKSADWQLFMEIAGESSRRSERSERSERSERSRSS EGSL JR316_0000302 MSAPASREPLWFCHECHAEMRPLMVPDPVCASCHGSFVEKMENE ADDPRQFAHDIGGEHLDGPEDGEPGPMPLEGLFFALQAMMDRGIPRNGSPRPSGAAPA VAFEMRGPGGRTVRIGGTNILGGPHNGVPSSRPDSPNAIPNMSTFLGGSNPHAFGGAG GRDISGPLMAQYLMALLGHHELHMPGGIPENGRMGDYVFNQEALDNIITQIMENSNSH RPVPATEEIIQKLPREVLEEGSATLEHDCAVCKEQFKLESEDPDEQIVVTLPSQPEQH PPPPRNPGANTSGGSGSRPQSPGSNASNQRDSGSGPGREQGGGGIFQSIFSGLSGLAH HNNSNQDSHSTPSTGNRSGARSPPRSNTQARPESPSHSPHRRSNSDPFSRSRNSPSSN SNSRSSGGQGQSRGNPNVPGSWSEDLD JR316_0000303 MLTTLLLALSVAANPVLVDRSPVTLPIARRTNFTSVHNLLRHDQ TRAKFLKAKGAAKAAGLPLQQDAVINEQVDNQVVTYVAAVGVGSPATTFNLLIDTGSS NTWVGAGTPYKKTSTSVQTSNKVSVTYGSGSFSGTEFTDTVTIASGLVIPKQSIGVAS TSQGFQGVDGILGIGPVDLTLDTLSPATNSLIPTVTDNLFANGVISANEIGISFNPTT VEDNENGEITWGGTDPSKFTGSISFIPLTTTSPANEFWGINQSVRYGSSTSILTTTAG IVDTGTTLVLLATNGFTKYKSATKATSDAATGLLKLTSANFAALQSLFFTAGGSTFEL TANAQIWPRALNTDIGGVAGNIYLIVADLGSNSGEGLDFINGFTFLERFYSVFDTANK RVGFATTPFTTSTVN JR316_0000304 MAAPTQNGNGVQHSSGAAPSRVTLHLGHLPSKKDIKAPWPRTPT RVDPNNPPWPAYRGYHEYSFAHATMQSRLPTILGKAIEDATRTLNSQSSEERVVDLVQ CIDRMGDLMIDLSGNAKLRPIIDDDEADVALWNKEIAKYFQGKDFMNAPWLFAEAYKY RRLHEAFSISKFWRDYDVFYRQKCDTFSRSSDAVFELSLRFAEPFKINESLSPKEKLE AERLMFLELTQVCLWGNSTDLSLLINMTEDQIKSLQSTGGDSLAATEKNILGNDMHRL WDRVRQLREKTGGRIDFVLDNAGFELYCDCVYADFLIQSGLANQIRFHGKRYPWFVSD VTKKDWEWLLNTMVYGQLFPKASDAERESLRRLGLRWKQYEKEGKWVYEQHPFWCTGY TFWDLHSEAPDLFLHLSRSDLVIFKGDLNHRKLTYDCAAPASTQFEDAIGPMASSAGA PVIASLRTIKSDVVVGLGPQGDEISDELTKNEPGWKISGKYAVVLLSEGRPGEPVRFA JR316_0000305 MSTWNLGIGDVSEETRAYKDKIASKDGQIAAQQDRLVKQAEELE EMKERLNEALHKLTAESQRALQLEVDLNQRSEDLRNEKIASENAKTALITAQEKTKSS HLELRQMESTLERISNTSDDHKGRSEKLEREKTILESRVKELESNLRNVTQPNATATP GRRTISRARSSSLSNFRITTLEQDLLDVRDSLAKKECHLQTVSQKLAQASQDLMKADN EKSAIEKQLGSQINTLKALVEEKEEELEYMRQQQGEGSREDELLKRIEEDDARIAALE LMLREEEGARELKERLRKMENALKEEQQRGADAQERCKELSKANQKALDQLIETRQEV QRLAGDLQEMERRERTLKDKARKSLEACEDPLMNEDSCLIDMDSMDACAAQTAPSAAE SLPEQEPDLDAIAQIERLLGAIDRLRGERDALRRDVQFLETESRFAIEALEAKLSASI STNSDPHTIATIDQLRTEMDDLHAQFDAANRKNAATILQKNIQIKRLGVQVQALAVIL DRVGSFGVMQSQSHSQPDVKDLEQKYAGSVRSLQDMANQRDGLLVQQRDKDINWEREL EALRVAEQDAREHLEDAMHEIAELNNHIDAVESERDSLILQVTNLTSDLQLAQEELTN AESRYTNLQFHQLSNMTSNEATRTLREHIEELEGRVMRRNELVGILQHDVRRLDTNLR LQEERLMEMTSELEMMAAQKDAMVEDCADAREARNEALLRIESLEIDMENMEGKKVLL EGQIAALKEQQAVLEGAKVALEDQKAALENQKTALEGQDADNQAIINNLVSIFKESVN HVREAFLRVRDGTASSETRTEDNNLQQLQSDITRLAESPNNSDTRRNELTGISAALKQ CQMEALELSTIARSLRLKTTNAVLSGDENNPVSKEPTVDVVNPETQHEDMKDKLDELK PKHSDAVSALEQRLAEAETSLEDLRARYATAVRDHQKAQQESANSIHELQEKLSAAEQ QLSHTQQLHEQLQTVQSGNEHELSELKAQLLAAEQREKEAFISRDALQVEKDRLLADI DHLQQEQSALLTAQSDKLAAQQDLERKVHSLQGRFEEETRLLEISKEEAARLEHRLQK EVNARSQDHKSHASALASANEQYAQAEEIIAGLRLELSALQKELQVAQTNFASSEEEK TTLQQEITSMEAEIQKSKSLSRYLESQVKESEHLVSTLKGDIERLQSDLARSEKACKA AEVNLSLQNAQHKRETTEFQRELSALRSRPNLELALSELEERNTEMEELLRAKCTEIE ENDDRVLEMLKEKKKLSAKVETLNRKVQNLQAKLAAAKASVSAPPELHIGTTPPISSR ASTSAAETPQHAPAVISRPRSATVTATPSSSVRTPQEVASESRRKSLTRNTSGPSSLP RPKTPERSRAIAPVFKARTPERRIAPEADDLHSEVVIGKKRAAPDDFEACENMPAQAF TPDGEDVENKTPRVRRVLNSLQSGFTPVRHQRPTVPMPSPRRAAPARTPPTFIADLTN TPHMQPMPSSAKPSGSKRSWLGKIRGSSQDKPSSAKSIFERGEVS JR316_0000306 MFSKQSELVLPDLSPDLRDEFDWKVGSLRSFDFPLNITSLAIEP VNGLLGIGTSSGDVHIFGRPGVESILHLPQPVDVRFLQFSVSTSNIVCLDGNNQLHVY SLAEYGRPKHVSSSRFDQTNSITLSPSHTHVFLASQSGEIKTYDLTCLRKSPYTTPNL WKLYEEKLAASGMPSLAPNFPYVVEIVIHPRNLDLLFVAYSGGVVLTDLTERNTIRVY ELTLSPGAPGGSGYAVDDILTHRRIMVTCLAIHPSGHMLAVGYADGSIAFWAVEDDNK PILVRTLDTIDVNVIQADLLEKHLSNSGQSKHPSSLPEPIFKLSWSSFENSSDPRGGE TVLTVLGGLDSDKPPGLTTLLLPAFNPPEPPSDSPVPGQDTLHPFFRDAMHQSLTPKK SFYYETKGVIQDYLLLPRASPHFGGSYDPYGILLISESNEMRTVEGYQFPPPGFITTA ATSSKVVKNISTDEGTLSPPSPTPLPVSPSHVNHTPLPMTLPTALLAGHAGILGGQLI KLPNDIYDNFISHKTIFDIRLNLQGGQAQPDPAKANELKLSKYQPRRVLMSYNKDLSI RFFDFSTQLLIPSAASDHLENDWPEPIPGLTIQLYEILEDPGIAQIFGNALPSLSIQS VLIASEALELAILMKSGEVIVYNSSSHRSMVRSLNQTTDPQIVMLDHLCPRPGCRLAP YFMFVPRKGPVEACALADTGFLAMSYKDGSLFAIDMRGPTVILARGTDKRQKRTSALS SGHLVSPLGHGSGLDVVMSLTWAVAHLEKDPSLGVRLIAGHQSGHAEVYTLVHSGNHS SWSIAGEPMVAKVMPDPITGGTFVLDSKSGAQCKVDRTRLGAAMKGTNSSRDSHCILV SVGVKGARTTVDITGAKVGKVDWGNKHGSVQDARIVEHADSRTLVIQTDRHMAVIYSL PHLEHVHTVQLPVITSLPLSIDESGDFIAWTLAAQARSAGVMQSATYGTFFDVRRAYT LPVIDFMIGRGTIPPQPQPVPLGPTSILGSWFTYNQTKTGQQIDELLGGPSRPIPVKP SPASTSKDTPAEGSSSPGSGIIAGAAAVQANLYNRFAAAMNERGQLLGDLNERFNSLE EGSRNMVAQAKSLAAKQTAKSWFGL JR316_0000307 MQRTLPRSIFQSLNISKTTKVRMSSKVDSSLNSAGLKPDQTKAL SERKMLPQEGPIIAAIKELYSCKPTSNTFNIYAREAVFHDPVGIAKGIDSVRSQFFGL AKIFPRADIPKFRILETPPTLPSNTILIDQDVAYYRDAKSTSPTKVVNSLLTLKLDNF NKVISHNEEWNHQKTTTGEDGFLGMINEQRKKLTAGLTDVFVGGGSKGKN JR316_0000308 MSSYDQLHRQCRTLENLFDAKLTSYSQLASSIARPGQDIESSGS GERWRDLEIELDDLSSKLEEINDQLRALASNPELMSASMLRTIQRHRELQQDNMRELK RTKTNVKHALDQANLLSGVRNDIDSYKSSAADSLLAERGRIDSSHRMTDDVLQFVSYS EWKNILG JR316_0000309 MSNPAPTQNLPSPEILKHIVDVHCHPTDAPAGVGASSMQNLSIT VCAMSTMQFDQHKVRELASSYPEKVVPCFGYHPWFSHLICTGASPSSSSSNKEKHYRN LFLPNTAPTTSNGDQDCVQNDGDQEKRALLEAQFQTLLAALPEPRPLSAIIAELRKNL SDFPNAMLGEVGLDRIFRVPIDYFASPRVLTSFTIPLEHQLTVLEAQMDLAVELGRNI SIHSVKSQLATTDLLAKMRSKFGNQWNNISVDLHSCGLSPQTWRELEKKHVNVFLSLS TVINHKHANHRALIAQCSSNRILAESDYNDIDMCTPQTWDIIKIIGEVKGWPVEENWI DENEIEENDWGVVRRLEKNWFRFKNGHHPLPPKKKPKMKNYDTEDSDTGEEK JR316_0000310 MTPKTKRQKVDKTILEPVPKTGQDFDPPVREGTREDVSNSEKGL LSLPVELRTEIIGNLLKITVFTETPQRDPVLPAKYRERTSTLRALSQVCVAYRREYLP ALWEQLNICWDIRGIVIGASALFHINIGKALDRNCDGLAISPHLRPHIRTANIVLTRY KAATSIPKFRALLHSLENLHTLYLLHTHTQLGKFLNQTFHDGVTFPQIRTLAIQGYCQ HILRCCPNLTTLWCNIEEIRGFSGDEKIIAGLTKAAPNLRVFEVWDTNYSSFLQHLPS FKNFTKLIIKTSSTYIDQHN JR316_0000311 MTRHLYLDASPPPYNGTRDFIDREAFKKTISVLAARVVPERAGV FLKAKELKSCLMDLPKIRTVVHDSSNPDGDRLVLLRMANKSDIPPEAKEYLDKESKGL VDFQIDLNYNYWTADECLRAFLPEELREGAPSGFALTGHIAHVNLNDEYLPYKHIIGQ LILDKNSQVETVVNKLDSIDTKFRFFKMELLAGKPEYVVEHREADCKFTFNFAEVYWN SRLHTEHKRLVDSFKPEDIVADAFAGVGPFAVPAARKGCAVLANDLNPNSAKYLDKNV KDNRVTALVRVSCEDGRDFIRRSVASAYDDPFPAYTGPKKSRVQEERERKILQKLAAE GHPPPPATPTPQPRQKISHFVMNLPDSALTFLDAFRGLLNDDKGRNLSGVYDEMPMVH CHCFTRELDPVLAEKDIRQRAEEKLGYPLPADTIFHLVRSVAPNKEMYCISFRLPREV AFACS JR316_0000312 MSPWTRILPTVHCDSPDGSSSITKSALQSVRTAVAVPESELKRW RRTFEANAKVINGEKFLDADGFIDAIAPAGDLSKIGRAQFGILFRIADTSRRGLISWD DFTVFETLLKRPDADYWMAFQYFDVDHSGYIDYNEFKTVFSANLGPDAIPFDFDCDWI KLYLGKKNGSHVLGYNEFTQLMKGLQGERLRQAFKYLDTDQDGFIRPEEFKRIILEIA GHKLSDSVIDRLPTLCTLSPGQRISYSEVVAFHNVIREMDMVERIIREATAKSKDGRI DQADFLNHAASSSRYSLFTPMEASIVFHFASRGLASQRLALIDFGQLLDPRWRPPHEE LFDAKPAVKVSFLQNFLHSSYNFVQGGVAGAFGATIVYPIDMGEFCFHTKGMQNQRST VVGQLLYKNSIDCAKKIFRNEGFLGFYRGLGPQLVGVAPEKAIKLTVNDLVRSRAMDP ETGRIAVGWELVAGGLAGGSQVVFTNPLEIVKIRLQVQGEAAKVEGAVPKGAIHIIRQ LGILGLYKGSTACLLRDIPFSAIYFTAYSHLKKDVFQEGYNDKRLSFLETLAAAGIAG MPAAYLTTPADVVKTRLQVEARKGQTNYSGLRDAFVKIYREEGFRALFKGGPARVIRS SPQFGFTLLAYETLKDVYPWADKPIKVETALTSRPDEMSKVRARNALKILLDVHGDFG HRAAAFAGKPLSLSNPRLSS JR316_0000313 MKSIAFGLSLVSAAVAQISVVGISMVSVDPSATASVAASSSSAG ASDSIITPTPTPAGGYGGGYGGAEPSIQTQAPQSSIDFYQYMPYSSYQNGGYKTLQCG YGYSKQSDGSCKRLSWYTDVESCYATTIINIENNNCGGYGYGYNGGYNYNSVQTVTVT STSVSTTTCTETATKTELSTQLVPTTVTMHETVFQTMTDVQSVTQTQVVTSVEVVPTT RVWISTEIVDKTHVIEHTMTATETMTQTNIYTQTATQTDTATETVKETMTDFVTRTQL STIIQPTTYTKVWVSTQVIDNTKTIEKTMTDTVTSAVTSIQTATLTETMTDSVTVTQV STYVQPTTVTSVWKETKTIDNTQTVVNTITSTQVMDRTYTTTWVSTATATATATTTEI KKVLETGLSECLGKCKSQYWLTTGNSNQWNTYASPPAATTTAASYGGSSGSYGSSGSS SGGYGGSYGSSGSSSGGYGGSY JR316_0000314 MPLYIADGSIDPLSLLSVPEKFVIFYSSIVDGQMWCPVCPRLSF ELIRDLISVDQDCREIDQLVQNTFSEGGPAALIVYVGDRGQWKTASNVYRQEPWKITS VPTIVRLKDGKEEARLEDPGPIQQGIAAFVKPQ JR316_0000315 MTFPATKITPNLWTKLPLSMARGIATQVELPSTSLNLQRRAQAR IREIDFASPVNKHLIDTFNRQHNYLRISLTERCNLRCFYCMPSEGIELSPDGKLLTNA EIIRLATLFVKSGVTKIRLTGGEPTIRKGIAEIIGDLNSLRKYGLKSIGMTSNGLALH RHLPQLVENGLSHLNLSLDTLDPFKFELITRRRGHDAVLKALKVALESPLASVKINVV VIKDLNDSEVLDFVEMTKTENISVRFIEFMPFTGNKWDKKKMVPSSELLERIRAKHPN VERAPDELNDTARSWSIPGHKGHFGFISSMSDHFCSSCNRLRLTADGQIKVCLFDATE VSLRDKLRTGASDQELLETIGRSVSGKKEKHAGMEDIDVITNRPMILIGGRLKKSMYP TLHLVHSPSGSVHRHQKSGLRVYSTSSSPSPSLTHIDERGRASMVDVGEKQPTKRTAT ASGRIYITKLAYELVTASYPTEDSSSSSAESRAVAKARHKGDVLTVAQLAAIMGAKQT SSLIPLCHPLALSKIGVVLQPETTTRKSGSGEDVTYSILCTATVACEGKTGVEMEALT SVSVGLLTVWDMLKAVAGQEMIIGEIMVTHKAGGRSGDFSRPEIDTMLCDT JR316_0000316 MSIMDNNGGSVIAMVGKDCVAIASDLRLGNQALGISSNFQRIFP VTDRIFLGLPGLATDVATVHERLRYRLNMYTIKEEREIEPETFAHLVSSTLYEHRFGP YFVEPVMAGISKTPSGGVKPFIAATDLIGCLNFAKDFVVAGTASSKLYGVAEGLWEPD LEPEDLFETISQTLMNAVDRDAFSGWGCVVHVITKDRVVTHTFRVADHIYGHTLNTTV VILNWSRFDNVKLITSTICKNLLNDTVQTIIVWNNNPIPLSYENFMNGSCPQWALNII NSPENLYFEARYVACTYAGTEFCFIQDDDYFVLPTVIKMLTLRMQEKSLNSIHLQPPH DMLSSQLRTINIDSSVHTTFAWLGYGTIIKRSLAVEFLALLEKLQLSDEVHKMSDNYF TILSNTVPERWFDPGTLLGGGQPFTVGAEGEQRNNQHIIRAASILNSITQNDVHALDL PYVRFPPQSKTEDDSSRAPCQRDLCLVETSIRLFPQEFDKSLVSGVSDILELEKRRLE HLGEIRKFHYLEFPPSNMVDSRYDTVFRSFDNAKAGDWIILDMFRPFTSSQSTFVLVV GRETEPILREAIYEVSRDGKIWDGFSNALECFDMLKTEHTAIAQLMACKIVCEGGGQR FYRVRLLDDIQQKWVIHEVFVE JR316_0000317 MLKAGSARRERYYKGMKEDSNLRVKLSGNWETLVGDQDTFIHIL EYENYAGYDKTTQLVRSTEHLEAYRAMLPFLTSRTTQLNQEFAILPTAPPHAEGGIFE LRTYQLKPGTLLEWENTWRRGIEARRKSVAPVGAWFSQVGRLHQVHHMWQYPDLQTRR DIREKAWQIDGWAETVSKTAQLAESMDAFILTPLSFSPLK JR316_0000318 MEWHESDQSDDEHHHLDESQTTSRKRSSRGTSFPMSLNISISEP LITACDQCRKTKSKCERSGGDMKTCPSYKRGPPKGYIHAIEQRWHQVEALLGSILQCP DPRVQSIVSDLRQDDLAREILGRVDSGPYGPSGRRTQRADATKEDFFASILKSNESAH GDSSRARRQSRVSREIVSSNQDHGLSVVPTKEWQDNLSKRLAFGPSASTSTRLPDDQG APSSRRRRLNDNNGLSPSNWNQLYTIDPAPDETDELKNTSEGMGQLSLDENQEVRFHG QASGLHLLGRNERTDDRIEGGVWRLPMARVWPPSKFGIDTQALPYPRVDLPPQNIQDE LIDLYFINIHPVFPVIHKTRFLAEYNSRKSEGQDFRDSPGTSSGSTYSSPRPEPTQEV TRLLLFSMFAVAARYVADQPDSREGNKMWDAGYEYLDHARKILTNVFHVSRPSTVQAL LLLGYREFGIGSMEQGWIFIGSGIRMAFDLGLNCDSAGWKMHGHDLFSPEETQTRRQI WWACTLTDRYGSIYMGRPTMIKDEDFDTPLPGVDPIQDRQPWQPSLTRDNIPYPPVPG RVMSAFCATSRLAVILGAIVTQIYPVRSRSSSTRHTMLADLESRLDQWYITLPDELHY DGSSKKLTPPPQICYLHVRYWGAVLLLHRAFIPNWKGQPQALHRSSVGTRAFDLSHSA ACHIISIVTSYRETFTMKKASPFLTSYLLAAAIMHILTLTVRPDNIEASVALQNCMTA LKEMEVVWPSAARAWELLNGVHLRAQAHPLAQPMRSPPQYAYTDRNKRGAQDAFGDEK PSDYLQREVFRPSASGTPDHTASGGGELVNESNLNANGVQDVSTRLMAHMLGLEIPGV EPSTSYYPGYEWWPRMNQGGGYTITPQQASQQQQQQQQQQQQQQQQQGGQSGQYATSA EFGGAEMQQSLGAAGGGGAGNLDGTNWEQSMAGTGVGQVGDYGMTAGNSHTGNLNYTY DFGQYGL JR316_0000319 MSHLHDLLNPDSTENQSPTYVSQSPIVPVPPLNFPPSSRLAVET EPEPSDSQSSEGEDEISPASEDEIDELDEESPEEEDEGPPPATRQRKGRTHTAVRRAR EKAEKASGSRPAAEAESPQEDAEAKDDNIISLKEEARPEKALEPAPRRRLEKHDRKID LGLHDHKAHADCPDTLACLPDTPGRPQHTLPVILRCAILGSPRKRLTIREIYATMESK YPYYKSAGQTWKQSVRHHLSLNRLFERQPRPVTDPGFGSYWTVNLSAPPGTKRPRKRG RPQKEGEGARSGEMSGENSPITLMPAPTKFQFQPQTHPAPPLPPLPLPQISSIQTSIS PLSLGPPPQPHPQSLSNPQLSPSSRHPPPTPQSSSSHASHVPIVHNSQFAPIQLTMYD PRQHHPTPPGYPPLLKSPTHLHPHRPYPHDGRPPDSRALDIQRQQEAAHRHHEAQRAH DAHRSSDSQRHSYDTRRNSLNRPPPPPPPPTSDLVSHRKPATPMTPHSSKESEAENGM DTNGPIVSDDEFESEEEMRPPYVRREAAFVATRPSPIFTLPPFSKLEHNKEEIMEHMR QEIASLRRTSAEAVSTSLRLTEQLANANLEVSRSREAVRDLEDMLQREATQRKEAERL KDQEIERRRAAEHALGSLANRSPIARARPTTTT JR316_0000320 MAVPVGSGRPKLKTAFKKARTIAPLYTSGAVAITLDGTRLVTCV AEDILLTDVETGVQICRFVGDTQPISSLCITPSGSHLLVFTSSLSLRIYELPPIPNSN TNASSPPEQLKKRVPPVRVVGRAHDAPVHVCRADPTSTYLASGAADGVVKVWDIRRGF VTHVFRGHGGVVSALAFSFPRVKADALGLDSVGGRRTMHLVTASVDTRIRVFNLTEGA STSSGGGKPEAVLEGHVSVPRGLDVTPDGRWLVSGGRDAVVLIWDMHSKSAAASTTAM KGKTKKASGKAVGMTPSLAKTVPVLERVEAVGFMQEEEEDVEKGQLRFYTGGEKGVIK IWDAKKGQVLETFGREDAATTTATASADEDMEEQRQITNILYLPSKSTMVSIHADQNI IFHSAVDGTLSRQMIGYNDEIVDATFLSHPSSSSLSPQQQQQRDTHVAFATNSSLIRV YSTANLDARLLEGHSEIVLALDRSADGTVLASGSKDKTARIWAPVVVDDDASSASWGY KCVGVCEGHAESVGAVAMARGGSAPEGGRGGLKFMFTGSQDRTIKMWDVSDVPTTVAS SSDVDVVRCKSLTTHKAHEKDINALDISPNDRFLVSGSQDRTAKIFEIQYITSSNGSV RGELKLVGTCKGHKRGVWTVRFGRAERVLATGSGDKTVKLWSLDDYACLRTFEGHTNS VLRVDFLCAGMQLVSAGSDGLVKVWNVREEECVATLDNHEDKVWALAVSSDERTIISG AADSVVTFWEDCTEEAETEKENKRADAVLKDQDFMNYVALRDYKRAIELALAMAQPGR LFNLFRDVAGEGESSSLTGSTAVDEVIRTLRGSELAKLLRFVRDWNTNAKTSVVAQQV LYAIVKLQRAERVIGAFGEETAERAFAEGEEEEDATSGAKTGRTAVKEVLEALIPYSE RHQGRIERLVQESYVVDYILGEMDDGMDVDT JR316_0000321 MEGAAGLTPYQLLTSTRYDPYLTSFGWNNDKDGPSPFFLLPLHL ERLIEAADTHSWLYAKTLLRYEYLKASCLDAILEQRIRGHTSTAYKLRITVTKEGRIA ATATPLAQNLTEDPTTVPEPSAIAIAASDNDEGLDAGPTGPPMKVYIDKEPTEPSIFT ETKTTLRDVYERVKERNAGQCDGSGWDVLLYNGDGQVMETTIFNVSFYRGGQWVTPGA GTGCLAGVMRRWLLEHGRILEDTEGILTRDTISDGEWVLLCNGVQGCRLGKIYTESA JR316_0000322 MGAFDTTVGVLLLGILFNTYLYGLVTYQFLVYRNTKFNDALWIK SIVGILFVVDTIHSAVAVYAAWQTGVTNYANPAALGSVGWTIPFTAVATSVAAIFTQF FLGHRVLLLTKNYTIVGIIGLFSLTGFIFGVYAGVRSGIIDKVAYFAPLTPYVICWLG FQTAADLTITTVLTYVLARSRTGFRKTDTVVNRLIRGAIQTGLFASLFALADLFSFVL HRNTNLYAMFAYPIGRIYTNTLLDTLNSRAELKAASANAQNTSEIGNGTSANAFRLQQ QSQTFAAQTASFLSLLLKNLDANTSAQVDADAKIAVEV JR316_0000323 MTSISELSSLQKTLNAAIDTFKAELAAQNMPELSLNTSKPHPID DLAFIPTPAMFEARRAALASLGLIKSLIQSPYDALTANTWMVMEVAGVRLTAEIGLAS ILGDSEEGLSIKEIAEKTGVDELKLERVLRLLITQGWFREPKQGYFANNRLSNLIKKG LPGFHLATYMNELFMKVATNFPEMINHPDPEFRKNTDPLHTAFQLAYKTDISYFGADG WLTKDPEEAVKFGQSMVAVGPTSDPGVVADFAWDEIAKDKDGIVDVGGGQGSLCCSLA AKYPNIKKFVVQDLPETREGAEAYIASKGLTGRVEFEVQDFFKPQQRKGKYVYVMQRV LHDWSTEKGAIMIKQIRDVLNEDSCLLIVDTVIQPGVVSSEGQDLVQSLLKLNKSVYQ PVPPPEFIPVDFGEASRIQHQINVALTALCNSFERTLPQLQEMVELGGMKIKKVNATR GWASITEVVPA JR316_0000324 MFTIKITYRGLTRRHTFPDTNTFPSYDDISTQPSRILIGKEVHN AYEYNKCIRQFRNRSWPHAMLRFTIIDVSSRVAETTSLPTTSTIYTRFPPVGPRVAFS SANAIESQTNAMDVDSTPAPSATRSVSMRQTRPQPVRPAAQRRASDVACCSAKSANED MRVILTEFKDIVDSALATNLSMMTNGSNTANEAPPPSCDSCHIVDKPAGFCLSNMGTH QMKLASASDARGSNLPIPHLPTPWDRFMTGPPSSSTPQSSSPSNTTPQVPVDSSNPTP VVHVGIICDVCNKVVEGVRHKCLDCPDYDLCDSCIGNGAAEAHNPFHEFFDILEPGRV IVHTVFNGDSDRETARPSQRRSSASASVPAPEPAAPSSPAVHPATCDLCESRIIGNRY TSMLATPVSALHRSNILDMLLPDFKPLKIMSVRAMSLTQCITLLVMAVTNQFMVADSS CEALPIPVHPPLHPMLKMRLPESVIPTVYRVGERQVIPETTPRVVTQVKTPVAESPAS KPTVPPKSPVPVPTEPLVNPFLHDDERVKTPTPSAKPSSPIKASPAKPPPVPPKPEMI SHASWASIPGFFGSSAQWEIRPPHPYEHYPPVSDNLGDIFQPKASLGNPFADIPLLNV STISAAPTFGPVPKTTPNLPPVASSEITLPTVPNHTPMNPWPTTNAAERQELLQLIAN ASGSHGPPVVPAEQTAKPLTPPAPPVEHLVSIHEISDSNPEPSSKSEKSQTVSPRDFS NLSLSHLLHDLEDRVASLKANSDVDEDKLPSVTGSSLSEEALLKRPTSEPSMSNNTLS YPPSLAHLIAELPTLVPKAADVLPPSSLTTDAKEATLPLVPLSASFIEDVTVPDGQVF PPGAEFVKCWRLRNDSTRDWPESTQLVFVAGESLATQKGELAVELGKVEAGKEIDVWT GELKAPEGPGRYVGYWRLKANGELFGSSLWIEINVVEADHHSSDESSMAASSVIMPQS SAIAQDGASRQAVSSTVQSAAGDTSTISTEDDLSDAGSDISLISMPSSPSDDEDEGLF HDSRSFITAESAAAASVVASSSRTRSVQNSRLSSAMDYVLLYDDTSSSED JR316_0000325 MQPQQTKTYLVAKPHIPKCGSWAERTTKFQHYTVAFNKNAKPLT QFSHIRELLTAFTDAVHAHHEAFESARMLHRDISSDNIMIDENSRGLLVDWDQNKSTI GQQASLPERTGTWPFMAYRLIGEREDDASPTHVKDYDLESFCQSIVTIKSLMRGVYND VLHTETGTWCSETKYDRILNTHTVRKQKFTSRPLETILRKYQQIISNRYQLEDRDKRA FMLWHEQVLNDFPDATESQVCQKLDKIIREHIHFPDVRASHLLSLYLKKGWALQLCRD VCSTTTEADWKIGGSNKFRPELFTFQQSSRNKRKSDSQYGMSSSSDSDTKKHLQTKRS RKDPPHS JR316_0000326 MCLQTTKIFLPTKSETRRGDRAYKFLKYQTFKLTFDKNFKPLTQ FSNVKELLTAFTDAIQAHHEVFELARILHRDISVGSIVIEKNGGGILVDWDLSESTLG RNESTIAEHTGTWQFISYRLVAPRKVDEPMPIPTKEDDLESFWHVLFWVAMRHAEHGQ PISEIKSIMKNVYDNRQYTEAGISCPETKYDKLLNPLTVRKQIFASRPLETILEEFQQ IISSRYQLHERDKEAFLKWHQQVLEGSPKATESEVWDKLDSIIKQNKRFRYLQSRCAL SLCLKDDWALQLCRNVCDTTTEAEWKIGGTNKYRPELLNSQQYSR JR316_0000327 MPSSKPLEEFYNVKKLLGAFTDAIEAFEKTHILHGDASPGNILI GENGRGLLMDWDITPEARGVDPNATRLVPADPALPAAVKSALDINAEGPFYRITIKAM SFIIAAPQFMATASPTGRSTRTFKAYDMQTKKYVFMKDSWRILSRSLKPEHETYATLA AAGVHHIPTVLTYDDMDLQETKTCLDVKKDTKRGDRPDKFRSFRHYRLVLNEYAKPLE EFKDMKELLTVFSDTIQAYHEACELGHILHRDVSAGNVMIDDDGHGLLVDWDLSKSTK LPIEQSEGPSLPERTGTWQFIAYRLVAESGPNDTLPKPLHNKDDDLESFWHVLFWTAL RRAEHDKETEHIKHILFDVYDRRRILADSKYTPSVTKKYILRQSQYITSEFQFTSSPL ATTLENYLAVINKRYIITEASKQLLVSWRDAFLKQNNEAATDEQIGLHLLSKIYSHNM KTKDLNDRIALIDPNEFLLSLQPNWAAKLLEDVFSTTDVSQRNHGGSNQRRLELLTYQ KSSMQKRRSESQYSAMSSENDDERDGKPRNKRARKTPALSSINEEGGKFGNPV JR316_0000328 MDGILDRFTIATSFPEKDTGEPNEQTYVSHLKVWQGAGREGDSM QCRYIVLSEHHDGSACLHKSKLNSNGTLSVGKTFRLSGLRKVVVSSPYLFSLIFSKTY RWRSEARADQTEFLETLISTFRKVTKGQIPLQLTGLHDCELYEMKTLFDIDVDTPTDD VPSHSAPDSSQIDGKSPSPSRNCNESSYRQISVSQDNSIASMQTMFAISSAPVNPANS SSLKQDPPIHSALCFFCDSRIVGDRYHPDHAFVRIKASEDHIRARPSVKQMHNTTCKG CSMSIYGCRFKCMHPECPDYNLCETCEALPIPVHSVLHPMLKIKTPGTLIPLVRSSMH GNMACESPSFTSPTDRTTVIPKLELIPKLEFESTVFQLPTDTNPWSNSLTNKNVTSEL PKRRSLPVPLTVTNLVDPLQTRVSLTNANTFTDMTIPDNPNSVSTSAYNPKSAIPMEM RPSCASNLTLTKPSKLPEPLQFNTNDSSIAIDHPFITQSSQLGCEELSPSLTNGKDGY SRSTAHSTSLVVSPEEEDDIDLVSVSSSPIDDDDEGLFRECRIHISPEPVLTGRMLRE SESAKDYVLVDDFVYKH JR316_0000329 MNMAFPEFLKNVVGRYQSSPIPLTRPRVTLTFAQSLDAKIAGAG RRQLILSGKESMLMTHWMRTMHDAILVGIGTAINDDPQLNVRHLPPPTSTPHHLPRPI IIDTHLRLSPTCKLLRNFQNGIGRRPWILCGENSLSSQGHDIDKQARMIALIAAGAKI LEVAVIPSEDRKTGIVSIANALKTLKDHGVQTLMVEGGAQIIASFLSEAVVDSLIITT APVLVGDAGVGYTYPANMSDNGESLPKYKEVHTELFGRDFVTALLCTPTML JR316_0000330 MLEPSKTISANSQDTWSHQTIQKEKLMSSERLLSRYLRFSNISK ESLAEIHRNVKNVRDDLRYHSFWDDTWGRRVFRGKVASLEEIQGFLYASPLWDKKTER WRYIPHEPDSEQDLHIPFVELISTVLGYFGDFENRSVHLTHKDIVFDPTTSEEQELFK LVPDITIMGTGANFSSSGTPGSGILSSAYVNCVSPIEVSTSQRTSQDFEHYLVQMGHY ARQCFIEQDNRKFVYTLLLNERYVHICTFDRSGSHSSSAIDIHLKPRLLVRLILGICS PNDSVVGFDTDVFWNTDKTRRFIATVDQNEEQVCYELVDTRPVFSSRSLRGVGTRFWS AKDANGKKLLIKDSWHTKGNIPEEGFLEAAEEFVAVGSMVASQQGDLVSSIRDFARDA IPSHPENVFWDRVFSRTTFEDGSTLLCKISTSRDFLLNVRNVIEGNQSLWEGGLLYRR VNLNNFIVGEKENPAGEPGMIDMNLSFWLNRSTSLLGVQVRAGSPAFLSINELMNGDQ EKGFNKHDHIDDIESIFYALCWVTIGNVNLQDEVSPFPSCLNDWGDSDLKIAAYSKQA VLLLDEFLPARQVTSFFGPVFQHLLRQIHTFLRPRIARKLISANFDIVQPVISTAVVD YATILGFIDEALEELCILDERRPEMVQPQVDTSYVSATVVSTSRKRSALELGRFSEAR AIGKKRPKIYANGSPSSLPQQRRC JR316_0000331 MRLPVWICYFHVFAGLVTSRPVEYQRTALRDTRDLLGLLGDDAN VPANANIITPTVTSTAATPVPTTTTAAIETRASPTRVKMTAASTVASGASEHSVEATS SQASASTTFPSVESAPESSSTSLSITSYAPGVLEDASTTPPAELKQWKVIGIAVITIT FLAVLAMAISFFDAWWGFVRAAICGKRRKMGYGGETMIPDWEKRSWEFQLANEDGHRY PTLASLESIVKEKEKARPFASLEGEKKNSFA JR316_0000332 MTNIPTRRISLAQEKVAPKVVMVAIKAIRPDTGAILEAVREVTP RVIEVQVTEPMEGATITKMATMEAGTEAGARAVMAEVIKAVAGTVMTILVEVLEVIMA AGAAATLDLAAAVKALEAAVPEAVITMTLGITEDLLNPATVNMEVSSQAVKAMEAINL IRSIEHKGTVSSVIKDDGGCTLTIADSATILGDCHIGDSIAVNGACLTVTEFDTQAQG GFFKVWLANETLDRTDLGERQVGDQVNLERAMAAHVRFGGHFVQAHVDGTANVVNRVP DGDSLRLTFQLPVPTTERPSLLPYLIPKGYVTIDGASLTLTGVNDSERTFSVMLIKHT QEMITLSGKPIGSKVNIEVDMVGKYVQKSVVAALGGGEDQGLKSLIEKIVEDTLVKKG VHVNNAKLGLNANVDVNLHARSFFGQPYTFVLNPTSSKWIREPLLDRRLIGWSLVQVT VKLNYDKPSTSTVPHTRIQVSRPPSPAKSYVSSSNTELNFRPKAKVNSSATTRKAAGS TISSSTSTTPRANSPTKLNPRVKVGVLSPTNGVNNGTLRSPTATSAPATPELRRSAKT QSISSLRSEEPRARTISTSLRHAPSMANFRQSASSVVSGSGSVVSGIGSASVSPRLLS TNSAVGVGERSSSPTPKIRSKVSNLVKSASESSARPPSPSSPPTVNILSSTRLAPAQR TRTPSVSSSVNLINQQHPPQSSTSPPQQFFPITTTVPAANPHRFAPSRPPAAQRINSS PGHHVFQSFSQPSSTPLVDQSSLSSSRPRSAGGLLNGKSPSAKVDPTLIPLPPHSPPA SAVSFSSRSSASRSSANASHLGAGGDASLSSSTESGSARSPGARFQGNSEGLRATLDN LVEYTSGANSTSDDDDSGLDRDTDGEGAEDNNVKAEAKSNRKIADLEITNRSLLVINA SLEATKHRQAKEIHELKKKLRESRLILPPRTYRSLSSQDPKELEDDDDEFEGSDIEDT EGDEIYKRVKLILETLLVSGRTALETQPKDFPEAGKGAAKVLSPEEQRDYHGENELDQ DEQGSSSSTPPPEPDSSEASTQNHEHGGANIPNGSRGDMDEGEYDTSNYSADGDSIDD AGNETITSEEEVEAMTISALSPPSSPPPPPILITQPT JR316_0000333 MADTKNPGNVARGLKATIHNANVSEEAKENAAQRLAQMGEEVPG DFSSGAQSGNDTYKPDSDDLSGSRMRGTRSSASKANDEGLFSPSEIETDLDYAQGESV GEDVQHGGETNRVLGGYKATLKNPRVSNQAKQHAQEMLDDAEI JR316_0000334 MAGTNDKNQDYVTRGLKAAIHNDNVSDEAKKNAAQRLKNMGSEV PSDFSSGGGRSGGTNFDDSRSLNPNQERGYKSVLSRDNTSNEAKDHARAMLAGDEPGY TDHDAEGDAVDVETSGKETNRVLGGYKATLKNPNVSDQAKQHAEDVLREACD JR316_0000335 MAPSKKAGKKVTPAAKKSAGQSKVAKADWKEGFKKKQVGVADMT LLTTISNESINDNLQKRWTNAEIYTYIGSVLISVNPFRDLGIYTDEILKRYQGKNRLE VPPHVFSIAESAYYNMNAYHENQCVIITGESGAGKTEAAKRIMQYIAAVSGGQDSSIQ EIKDMVLATNPLLESFGCAKTLRNNNSSRHGKYLEIMFNSRGEPVGAQITNYLLEKGR VVGQVENERNFHIFYQFTKAASDEQREAFGLQGPEAYLYTSMSNCLSVSDIDDTKDFH DTLQAMQVIGLTPEEQHEIFKMLATILWVGNVQFEENDDGNSSIADTGVTDFIAYLME VDGEQVQKVLTSRIMETSRGGKRGSVYEVPLNPAQASSGRDALAKAIYNNLFEWIVSR VNVSMKTRSAHAQVIGILDIFGFEIFEDNSFEQLCINYVNEKLQQIFIELTLKTEQEE YVREQIKWTPIKYFNNKIVCDLIEERRPPGIFAALNDACATAHADPAAADNSFMQRAS GLASNPHFEARGAQFLVRHYAGDVMYNVSGMTDKNKDSLIKDLLDLIASSGNSFLQTL FPDRVDPNSKKRPPTAGDRIKQSAGALVDNLMKAQPSYIRTIKPNQNRSPSEYDSKAI LHQIKYLGLQENIRVRRAGFAYRNTFEKMVERFYLLSPNTSYAGEYTWSGDPKSGCQQ ILKDTGIAPEEWQMGVTKAFIKNPETLFALETMRDRYWHNMAGRIQRAFRNYMRYKHE CARRIQRFWKNNKEGIVYSQIRDYGHQLLAGRKERRRFSLLSYRRFMGDYLDINGKSA LGEELSEAAGIGRDNVAFSSRAQLLVSKLGRSSKPSPRYLVLTAKAIHILVTTAKDGQ YLTTLERKIPLVTIKSVAMSTLRDDWMAINVGSSEEGDPIISCVFKTEFVTQLVKLTS SNINVVISPMIDYVKKKDKRAQIKFIKDETVPRNDVYKSHTVHVPSGEPPNSVSRPPA KRKPGVVRPITQGKLLRAGGPDKKSQPARAKPKPATQPLPGQTTSSTLKSTPMPISTP AVASNGAKSKPPPAPPRNVAPPPPPPPPKQPEVPLYRAKFAFEGQEGEMSLKKDDVVE LVEKDDNGWWLVKKDGQEGWAPNNYLELIPPQPAAPAAPPPPPRNKVPAPTAVKITPS AVTANPSAKPVSVFPGMAAANGSATPWKKAPTSDDNSPASSRPSSSLGSKPPPPVATK PKPTPPPIGAKPGAPKVGAKPAVPSAPRPPPASSGPVKGSVTKPSTAPGQVDLAAALA KRAQMMGNGN JR316_0000336 MAENTDDQRLNDILRKIQAGSNYKLLPQEIACLTSAFLSSRSDA SNVRPKAYVVLSALCQEARKTKEKGKEKENDVSTKTLTKIFAPTVLNYLGETDEASLM TGICFLTALFQVEPLAASSLFSEDGLVENMMDAVDLSPSALLCQDVAHLLGQACGHKS CRTIITPQIVRWLEFKSQQTSDPVLQSAASVALIKYKKGSATDNSEAGAIEVRDSQTD DLARKLVDVVVTQDATSCTDAVEGLAYVSTDPAVKESLSQNFTFLKKLFTLIPSSKNK QKLISEQNATQIFGVILIICNIISFRPRISEEQKQVEKLKRMTKAGKELSEAAETASL LDNDDHVKKRIRLLIEAGVLPVFSSAIAATDSAGVRLNVGKCLLSIVEEKENRGKVLQ AGGAKVLHSIIKQVLSSNPDIARQNQPNLTPADLEAIQALAKLAITSSPVQVFGPNVG MIYDAIRPLSCLLQNSGSNLLQQFEAIMALTNLSSHSPEVASRIAAANGLLNKVELLL LEEHTLIRRASTELICNLIAGSDEAFQRYTGDSPNSVNKIHILLALSDENDLPTRMAA SGALATVTMTPTACNALLALQFEKHRFLSLMTQLIDPSVLKKDGNEEDEAPLATDPGL VHRGIVCIHNVFKSINDTQTREKIQKEAADSGLLQAIGNLIKGQGVVKDQVILHQAAE ALQALIGSK JR316_0000337 MSTFKVPKSKQKTKKEPGIQSGDLVLVRLPNGEVKSVKVDKNLS VVIGKFGSFHADELIGQPYGLNYEIVDKKLKLLPPRTLEEFEDTDATNELINDGVFVQ PLTVTEIQALKQSGVHASDIIKKQIEQHANYSLKTEYSKDKYKKRKEAKYSKTFSTIE PTLFNVCEYWWLKDQVRLRDLRIDSLSQMLNMGNVRPGGRHLAVDDASGVLVAGILER MGGEGKLITICHTDSPPPYPVVEQMNFDSSITDVLISLNWATAEEDYTPILPPSELPM EEVRSERQKNRLNKRKAISDLLSNTREELFAGEFDSLLISTEYDPFSIVERLSPYLAG SASIVVHSPYSQVVVELQAQMRHIPHYLCPTVTEAWTRKYQVLPGRTHPMMAMSGSGG FILQATKIYDDPTAEAALLQRKQKKRPKPSPEAQDASVVTELATVISESDSHADIEMR VD JR316_0000338 MPQNLLSTGIVVFVGILAVVLPIISRIVSSPFILLLISPFLLSA LAIVYLLLNVYLGWYLDTRRTHSHNHLHKAARPFAFSTPAAWEAVLTRSQWSQNTPKT LSPLYPDSLEVSDALNDIVSKVIRDFVSSWYKDLSTSPAFPIAVSSVIHASLEQINRR ASHIDLSALVVKRILPKVTAHIDQFRQSEVALRGAGLERSLTQSEELDLLLASRYASK GIKLHPAVENLSTTFTRQTEEMHIRQLVDRALPYILPPKERSSKGLRIVVREIVTCSV LFPVMEMVSDPDFWNRTIDQVAGAAIHQQQLISKVRNVLEAQLPRQRSRITTSTPAAS ASGYTERVTIRTNPKQFESFLRSISNCSSLLDARRLKNDIVGEIRRTRVLLANHEKDD WIDGEKTEDVVAFLDRLYTAKRKVEERIVVLGGEDSSRQNTIQDDGTKSSVTLRDILR NPNSLSYFMEFMDRRHRSLPVQFWLTVESFKNPLETVDSDTSGEEEEIIQDPSTSTTV KEDISMINDLYFLDGKTQAGLGSISKKHVDIIRDFVSDPDPSVQSQRRVRRSVLLSQR QVERDMEQDFEDFERSELWFRAIGDSAFLSNKSEPDPFAKAKDSPSDTPRGDRSPAIP SAQIKHYTISQATHTRESPPFGMQRTISSTSNRSGQSKVSSTHTPSNIEVLMSPVAES SNEPSRAPLFDDPEDEMQRAEEKRMEAIHAALTDIMALEQENSERPSLAERNSEPLFK SVRKSDRPKRPSILNNAPPEPEEEPEDVEHDGLDETGSFQLAAPGDLQLSYEISRLGE NIANLEAQNAMLQTLIKKAELTGDTQELRLLTKSKASMTRELRELHFQKQQYEQQESA NRLISDRTRVSIVNSTVAEEDGKSVVRYLVEVQQLGLDGSFASGWVVARRYNEFHNMH NKLKERYILVKNLDFPGKRLVTSLSGSFLDTRRVALEKYLQSVIAIPVVCESHELRAF LSRDSPFMTAAVPLQEQTSKSSAHFSGTDLVRNVYRSVAESIDDMFFGPSMLDVMIQR LTRQAAEFTGIVGSGINDEDLVAQALNASGKAASEATLLKLTGDLKPLEGETSTSTFS APICDLILAVFELHKKNNWLRRQAIVIILQQVLGGTIERKIRETVKGLLSEARLMSYI NLLRDSLWPGGRLRAWGPPRTTEEKIRTRDDANRKLSSLVPDLAANMIGRTNARRGAR RIFAVLQNRRLNQHIAYTIMDELFAALFPEVAQQHP JR316_0000339 MAEAPPKKARSVSTTEGFICGGIAACLAVTVSNPAEVAKTRLQL QGELSKGGGKKVYNNAFDVLAKTWKHEGIRGIQRGLPPAYAYQILLNGSRLGFYEPFR RSLNRFIGRPADEQIPITSVIAGATSGAVGASLGNPLFLIKARMQAYSPSLPVGAQHN YKNAFDALVTIFRAEGPRGLVRGIDAAILRTSMGSSVQLPSYNFTKSQIVKHNILPAD STWTFILSSTFSGACVCLAMQPADTALTRMYNQPTVIGPDGKLKGTLYRNPIDCLWKT FKIEGIRGLYKGSTAHFMRIAPHTIITLTANDIIVNLYTAARDRDLEEG JR316_0000340 MDKTSPSKNKSGSSSKTRSKAKNKPDDRVPRSSWLDYYLSQTGF SDEEIQVCRELTMVRGSQSSKERDQSVLKLELNGIVIAPLPVKKKRRRKTPQKHTSRG RDVNSIEQQHFLTFSDLTNRYFRFCGTPSYDIGALQEESAILDKNIEHHVFQNNKWFP KHSFGDSAAFSAEIRDFMSSSPLWDDRNQRWLEMPNHPKAAEDLHAPLINLITAVMQR FRGFERRTIQTNRDFYSNSLDATPAQQVCKFIPDIAILDPSLSPLRPAGSGRQISSTY VNCISPILVTLRSKKAVDKNHHLGQMNRFAWQCFLAQDNREIVYGLHLLGPGHFQCYS FDRSGVHCGPVLETSLDAVEFVRTIIALACYDIGALGFNKNVYWGLEGKRYLKTVDAE SRATRYVLTDTRPVFRSHCLQGRGTSIWSTKDSNGKHIFIRDSWYTTGGFPEEGFLEA AEQLVPLGPCITTERKELVSKMRNLEQTQGTIESPHENVFWDRVLTRTTFEDTSAQLS GFGSPKGLLSYLGDIFRWHQNVSRFGFEYRWRRVSTISLPIEKSPVGLPMPVEMNLAY WLNRSTSILGVFHKQESLGILPLNSLKDHLQVPRPPKGSYDHLDTIESLFYALCWVTI GYSNLSQAVYPIPNCLQEWDASNPSISYYSKIKMWTQDEFLHASEVTPFFGPVFADLL KQIYLHLKPYVTYKCLPIVAASPLINADRDYSCMLSLVDGAFKNLENVSAGVAITEEA LSNGFESDITWPSPQNKRPLERDDEDGQKPSSPKKRQRRSSKDI JR316_0000341 MLITVSRLITVVTLPLSLITSVYGLALGFPYGSQKVRGVNLGGW LVLEPWITPSLFDNTHDPRIIDEYTFGQYQDHEVALRTLQHHWDTWITETDFAAIAAA GLNHVRLPIGYWAFDVSAGEPYIQGQLPYLLKAVQWAQHHGLKVIVDLHGVPGSQNGF DNSGEKMTFPQWQTQQSYIDRSKAIIKTLASMFKDSTGTVPIIAPLNEPAGFDGQAIL DATKQYWYDSYDIVRRPYADQARDSNTVVMIHDAFQPASYWKDFMPAPKWDGVILDTH VYQMFSDAENHRTYEEHIQAACAKSSDLTSGTLWTVVGEWTPAGNDCAKYLNGRGVGA RYDGSYSGSSRVGSCVGVSGKAWSFTADYKTFLRQYWEAQVITFEKAQGWIQWTWKAE EADDWSYKAGLDYGWIPRNPTDLRYRNICG JR316_0000342 MMRIRSVFVTLFGAFSSLPMTTAANWGFPYGTQKVRGVNLGGWL VLEPWITPSLFDNTGDSRIVDEWTFGQFQDKATATSVLQNHWNTWITEADFAAIAAAG LNHVRLPIGYWAFDVSGGEPFIQGQLPYLTKAVTWAQNHGLKLIVDLHGDYDNSGQKM SSPTWQTKQNNIDRSDAIIMRLASMFAGNTGTVPIIAPLNDDQLLSVTRQYWYDSYGN IRFPFGTSQQSNIVVMIHDAFQPLSYWSNFMPSPQWQGVIIDTHIYQMFSQALVSQTN AQHISTACGQLSSLSNSPLWTVVGEWTPAPNDCAKYLNGRGTGSRYEGTLSGSTRVGS CTGLTGKASGFSSSYKTFLRQYWEAQTQTYEKGNQGWIQWTWKAEAADEWSYQAGLAN GWIPQNPTNYQFPNICG JR316_0000343 MAIDTLRQRYDTAGQGHLFKFWSKLSAAQQDELKAQLECLNIER VNRIYSKAVTSEAEALNPTTTVDPIQPLPKGASESVSDPAKVKEWRERGLKAISEGQV GVLLMAGGQGTRLGSSAPKGCYDIGLPSHKSLFQYQAERIARLQTLAESEFSKPAGSV IIPWYVMTSGPTRRDTEDFFKTNGHFGLDPKNVVFFEQGTLPCLTMEGKVILETPSKV AVAPDGNGGLYAATRSPLSPGKTDTVLSDLAKRKILYVHAYCVDNCLVRVADPVFLGY SINKQADCAAKVVPKTHPTESVGVVACRGNKFSVVEYSEISKEQSERRDPETGELTFR AGNIANHFYTTAYLNKVESFEEDLAFHIARKKIPYVDLETGETIKPNKPNGMKLEMFV FDVFPYTERFAVLEVDRKEEFSPLKNAPGTGSDDPETSRRDLLAQHKRFLENAGAKVA EGVEIEISPLVSYAGEGLESIKGKTFQKSGPVAAVEELEVLA JR316_0000344 MNTNDTSTPQHTAPPPRQPKPPPAGPAPPAPATAPPAPPNQTNN VNGHHPPPAQTSKGKKRNDVPIDPATMYESLKNRIAALEEEEVLEEEEERRFAEEAQK SVKGLEENAIHSKYIELFAELKRMERDHSKEKQKLVKDKDAAKGQLTKANQTKTKMEN LARELQKDNKRLREDGKRLAQSVEEAQEELARMKSDFAKRADKAKQQDVKYREMPDIV VKVVCRYRAELFFKISRKTKLSRLINSWTERMERSGGGEDLGSMNGSAKTDAASTHYS GSTGSSSGMQFIFTYNGRMLEAEQTPEEIGIDEGDEIVAVEMMDLTEGGGGSEEWEEH VEPRREKLKKNWTDNPQEARRTIEEIFDGVVRERLKEVLRQYELRERHFECVIRSKEL EVLLSRARAAEQKQLAEGEKARSSKQEEENQQLRKDLEDAQNGQTMLIDKLITCCKEI IQKPNAERTQRLFASLREELERKNPRGAKKPVDGSVGG JR316_0000345 MTKEVSGSPERKRQRLSSPTYDDQVEGFTQEDLAAFDEIELRLS QPTNSSRPPVAHLNFDEHENFETPSVSRIKRNLDWHSSQPNESTSFPGFTSANAIDSN LRDDPENPFTIGSSKTTKERSMSAFTPPLLGFASASKLLEESRHFERSPSPEEPPPEP NYDGWFKPAPIGAPMGFQTAAFASTASAIPAFRKASTIANTVDAPSSQPPREDSDMDS WFKSAPANMPLPGFTSASGLPGFKKPSINTKGGGVILPSKEALAKAKALLESWDNEEN MEINMPVNNENDHAIEKPHIFPGLKPASVDESLQSPQRKAFSSVINTPKPPVTPGSGF TRASLSAVQPKNTSSPSLQHRPGAFKPPSFNAPRPSPIVNSPLNPNRMAPSLGFTSAA AQHGHPLSLPPIVASRAVNGTPSSFTTPLRDKAPPRIRTTPAPFKTPFKPGMGPGRPA RPAPVQTPKPSTPQQRHLVGNIAPAAKEPVNRTINERLSSNLPRKTFFNLRLYPQRYN IQDLEYFGVNHTTLAQITPDTAIYYTFHTTEATPPPNTPSTPKTLLDPAAALKELLDR GCTLATKPWVDNHWCLILWKLAGMVMLDPEKEAHPDTTRWRWAEVIRQLLYRYERELN GGTRPPLRKIANQDAPPAFPLVLCVSNIFWSPPGMTEDGLPIEPHPELEVTDGWYRLR AQVDAPMARAVRKGVLCVGRKIGVAGAKLETERKEPMEILDAYNSTKLVFAGNSSHLM PWHAKLGFMRGPCISTLHSLTPDGGIVTALDFVITQVHAIAFIEMFEGEDGKKYQEGP WKEAEESRLNEQWKKKYEMEAAKLRAVYEKKTVRYQGYIDRLERKAGGGFNPGEDDYA PDNIDSLYDELEYPDSAVAVIARISSREAGWLARYIRKQIEEGHERIAEEIEKELMTI CPPRDVRSFRVLIVQDARTIRRPANRKAMLTVWNILGITLEEGSQAGDFQVGQRFLAT NLVPTSASAWMDCEPGSEVYLCTTRNTRWTKIKGTGAVPS JR316_0000346 MDLRPVGFPTLFLELSKLANTLKIRYDQRGDMRDLEEAMYLLRQ ALEFVPVPHPDRPQYLFYLAEALYSHIQPKRYEDFKEEDDVIADEMAALCREALELPP PPKFPLNRLRLFDILRAGLHARILRSGGLASSELDELISIYRERVKFGPPSSFMKLHI ELAVFLFLRYDKRGELRDNDECLPICRRALGILDEANPMLHPILHHLISLFARSLLVQ FKKEGDPNDLEEGISFSRKMIQIGLPPHVDQTEFLTGLAALLFARYKLTCNTSDFEES LSLYKRALDPDLNSSFISAIMLNNYADVLLARFAKHGHRKDLDQSISLYKRASNKSHP SEPSHSVSLRKLNDALGLRSVLDLDLAT JR316_0000347 MEQLPQFHEPEQWKSANALFVKYRQGGDLTSRERAVSLFRKLLQ SFPKDHPELFPTICNLGNAFLAEFTLGISNINDIDECILLYRTALACLPQPASFERPN RLRILADALVTRYQEGKDRVDLDESIALYKEAIELQSLSHPDRPNALLALGYALMARY HHRKHLKDLEDSAAIYKLFPPSGHPLEFPPEFSGDRSTIFDELAYGRAIRLVRGGNKR DLDEILSIMERFEEPPAAHRDVSRFWLESSIAAMIHSEVNQTPANKRLERLEESVAWY KRALKNPVLPHETERRWNVLFGLGTALRIQYFCLGRKAQHLDEAITVIKQALQLEPLV TELRSVTFITLCDYLAIRFQATRSIGDLDESISACKDWLRLGPPTESHASRGFLRLSL LLQWRQMLTLWPGHNNGDEERYLEHLAQKMTKLNIDMEL JR316_0000348 MRKGLTQTVRRSLSHASPPPTTQITTLPNKIRVATESTPGHFSS VGLYVDAGSRYETPVTSGVSHFLDRMAFKSTLTRSDEQMASDIHSLGGQIMCSSSRES IMYQSSHAHKGTPLALSLIADTVLNPAFRAEELEAQRDAASYEIREINAKPEMILPEI LHAVAYNNQGLGNPLLCPEDRIPAMNDALLRHSITEWYRPERMVIAGAGMPHQELVEL VDKYFSSLKPSSATASPQAQTSRISGSAPVPPHLLGPSTPGVAKSLTRAASYLFPQSL TSTSTAIPKSPTSTYTGGYRFIHDPTQELNHLYIAYEGVGINDDDVYALATMQVLLGG GGSFSAGGPGKGMYSRLYTHILNYYTQIEHCASFHHIYNDSSLFGLFASFVPAASGLS GGNTPAQILPHLVNQLSMLLYSPLPDVELQRAKNQLTSSLMMALESRAIEVEDLGRQM LVHNRKVPVTEMTEQISKVTQNDIKRVANRIFGPDSGSKPTVVCMGHEDLGSAWRKDF ATYRIAD JR316_0000349 MPKGITQILPRSHSLKRWILAVSVALVFIHVLGRSREEDHFPDL YDASIPELQDGLDAGHFSSVDLVNAYFARIEEVNLKGPTLRAVLETNPSALEQAAALD EERRKTGKRSLMHGIPILLKDTIATLASEGMNTTAGSYALLGSIVPDDAEVVKRLRKA GAIILGKTNLSEFSHARGALALGWSGRGGQSVSAFYPNGDPCGSSSGSAIAVSIGLSA AALGTETDGSIACPASNNNIVGLKPTVGLISGAGVIPISSTQDSVGPMTRTVADSAIL LSLAEPSSSASNYTRALSPSFLSGKRIGIPRRVFMDPAISGVDAYVYERFAWALRVME KLGAVLVDPADLPDADEILVAHGNETLVCDTDLKIELNAYLEALEANPSGVRSLADLI AFNNAHPELEKPLGYGSQSDLIRAQATTGRNAAYYEALALNRELGATRGIDGALKAYN LDALVLPAPGFVFPAPGFVTGPPAIAGYPILTLPLGFYPAHTRPRATGPRTVYPAPGM PFGISFWGTKGSEDVLLGIGYAFERATRVREGGRGSITPVVQLRHVVGRKVRVRWWDW RGGLGWVR JR316_0000350 MRWPEELRGLIAICNAMAAEHALKSRSADHHAAGSNSNATYTDH DAEESATAPTQSPEDKSTTQSPPSSPNTSLSWAEFWDSYEAEELARGLSREELLRRDI EQAVLDLRNGNI JR316_0000351 MLNLTTVAPLLQKTGVYGPVTATLDWCELNHQFSPYIAEMANTF SNLFTVGIALLGYVQAAREKLPVRYKLGYAGVGLVGIGSFLFHATLLFSAQLADELPM IYVGSMSLFLLFDSKPGFGLANARSQGLIAALVVFDAVFTLSYMLYRNPVYHQLVFAT LVISTTLRITYILQYTSAATRIPPKKKSAIGHIFSTGAGLFAFGFFLWNLDNIFCGRL TVWKVGVGWPGAFLLEGHSWWHVLTGLGSYYMFIGIQFV JR316_0000352 MTLTRRLYSTASKVPISLIAELRRQTTVSLPKAREALAASNLSV PRALEWLQHDLSSTGAAKAAKVSSRATNQGLIAQSLLSPSSALKGTPHPTLGGIRAAL VELNCETDFVARNALFARLAADIAHTAAFLAEPGAPPPSPAALAELPLVPSPSTSTSA GAGEQQLHAPGDTVAAAIRATIVKVGENITLRRAHTVAQDQLLRTSALRLASYTHSPL HSLPCGPTSVLARLRISSPSLPQRWTDPAFTQSLGALERSIARQLVAFDTRAVEHNES LDNPEQALLNQPFITIQGELNGKPVGEALAEWAAAQGLEASEDAPRVVDVLDFTKWTV GEPLPEQ JR316_0000353 MAEFKVPESIPQDLLLIQEYVSVPAPPSPKPKTQQPAKSISDQD ISSSSDDDDDDDIASEEEIAADLITGAATDEDDFVKVPSIAEPTASTSESDQDSDSSS SDSSDDEEEEDAKKTSGKDGLDADLVEDIDDDEGGAVPGTSSTTYFQTKHEIAEAEIT IPDVDEVGPDEVLEKVGEVMNTLDKVAIVRGLPSEQLNRASERALDSDTLLVFEDRKV MGYIYETFGPTTQPLYQVRFDPTKFPLDPARVRPGREVFHVPARSRFVFVSQIKAIKG SDASNVHDEEPAEDEVEFSDDEMEAAFRSRMKRKRGESRANSVAASSRQSTPNPTLMR DQDLAASSSFGFAAAADEAAFLSRNAYDEHGPYDVDYSEVASGSRSAAADAYGVPGRP PPIPYDDPYGEEYTAPDLVEREREASAGGGAVGTGGYRSDEKARSAYTDEKAWTTRGA YQGQSQSQNQGRGRDGRERGRGRGRDRDRDRERGGQRGRGRGQNHNYSQNGSQGQGQR HAQGQGAYADQQQYRTMASWQGQGQSHNQEYGHTGGGEYGYGRAYAPSSEWAYGVGAQ DQQQMMYMLQQQQQGYNPAFNAAFGMGMGGGFGYAGASAGYHAQGQGYAAGYATSGGS GGGGSAAAAIPGLGAGFVQPHINPRFASAFGLGMSGGERMNEGSGGAARQAMPTRPRH TTNHFLFSRLLTPLSLSSYTLLFIRIVRLGLMH JR316_0000354 MQSISQSPLHHYHQHPNQNPKQHHLFASPVRADPHPRKSILPSF DEDNDLSSEPRSSYIIDLDLPDFDSRLIPSPQFHAVVNGLSLGVSNNVDADDNSKSSN TNNNTKASTSPRPSLESLLPPQAIHATQDADAKHQSFLELEADCSALQLDSEVIVLTR PRTMSKIPSAYLHSPYPLSSRAPSPAPPAMRVQVQMQQHQQSVQLSDPGFSRGTGAAG GTGHKYQRKAPPQLQLKTSNYRRRSRRISAQLQLGENTAAYFVPHPAANLRGVGGAVA GVGTSSLAPAPSPQHSVVSHSRTMTPISIKSFRFSYTSSARCVGGAARVQFSTKELHR RRILKLQRTFGERVPLELVTVSPRRRRSTSHRLSVVSYRAPAVFYPPFDVNANGTPVS GVARDWALDQIGPSVSAGGAGGALQSVLKLAVAPRQTAKVPHNTLRKTQMVIKRKEEE EEVAGASSPSVLEEHDEDRGDNSIWLDEDEPARPKRKRASARASGLLVFVNKSQGRNL DRVSRIAGVQYEDGQVVHPVHATAMSTVSMSRPVSTQYHHFRQRSSMLLGPSRLGASP SPAPGSAHATATATAISTPPAQPQAGPSRLAIASSASAPFDVDAAFPEGSELSYCDSP ASSPSMPAFRNIYEIEESEENAELHRHPIDVAIDLSSDSTGSPVPPPKGSAGVGAASA AANNAAQRSVGHTPSNSYTSEGHASGLHHAGGGLLDVPDKFGSGTRRRERRQGWSGEW NQPTIMDVIQKLREI JR316_0000355 MPPPSNSPALNLIVLPEPFFVVKLQPGEEIPPCIFRDLTHGRGG FFSVTRTTEEVSLVGEAYKSMPASYKEQSTWMCIKVQGPMEHNLTGILASLTAPLKVS KVPIFALSTWNTDYLLVPKEMLSDAVRTLERDGWVFAQGIKGVRVARL JR316_0000356 MVLGVLEALVYTYFPTQYTVHIAIGIVSILALRVFSQGRRTTRE RDLHNRTILLTGGFTPLGLTLIQSLAQRGAHIIALSPDPIDSENITILVDLLRTTTSN EEIYADECDLTSPASIRAFCTKFLTEKEQRLDAIIFAHEHKHIGVPSIFSRSSKEQDQ KARDANSLATFLITTLLLPALLVAPPERDIRIINVVNPFYAAAAGLPFSPSFVIPGSE EPSTSKSIFLEEGRRSLRSVVFTRHLQRILDALPTAAQVPKTEEGSTSVPVVSPKIQK SNIVAISASPGIGRVDTVSNFLNADLLSPTGSYFGLFLYLVLQPILRIVTKSPTLAMQ SILHVLFLPTPFKILSVAANTANSKVATQGPLDTSLPEEVLLPGALYANCAAVKLNVK VPEELVERDREAKAGEKRKTGKGKSKATEEILDIADDGEYGGELAGRLVWEEYEGALK VWESQNPGDVEKEKKKYASSTAKAPPKVSPMTDAAEDPNVY JR316_0000357 MDTPSSSTVSAPGRQKRVLPSRSRRGGPGVGNCDSDVLILETYK RQYEIEPLIPLSTPFLLSTKADAAKNTESANEAGIHINVVANERYFARPEVLKAFREQ QLIQTPEYESIGESSYVGTRTRPRPEDATVDTSDAAYEKRHRKFETLEKRQRLREKEK LKHEHYKLKERIEQLRAMDSAAFMTLPASSFSPAPPQATAELDDLITSGSPYAQINGV VSNSEGERRRKEMLETAYKLEKRYAYLLPPDRVRKTADTPNESGAKLPSDIRDGEESD TEKIPSNASSRREESMKLRIPARSSASTTPAPSPKPVAPKKPRASAVVPKQPNSRLVR GSVTTPAPQEQETPPPAQYNDVEMNEPDNVRPLTPAPPSSFDYDHLPNTPLSNVRGAS NTAEPEVHDHMQNGNEQDHRSVPPQVVSPILPSAKPFIPSGGQDVDMADDGESEKAPS EDEATEETEHVLEQQVPPEPEHAPEPQDEQEPPAEPEPELQPEPRSELEAEFQPEPQV EPRPEPEPEPILPAPKKKRGRKKKVVLEQLPAQQQIESQEVRDLSTMLQNATVAEPSQ VPADASKQQETFPQPVPEMESISTTSKRPYKRKKLSAVAVEPSARTPSAQPAETASTA GPRKYLGARGKPYTTYTNSAGEKETTFCLLLMAAIRKGTRTTDSVRHTTSFGIETPKF TQIDFEIPEWIHFPESEPGTPRVAQPDPHREPTEFSLNNSALPIDNATQADGNHEGMP MDVDTAEPVAPKPTEQEYNEPFQERGDKQVDVPDEHSMEEANTLERSDDAVQADAPTV APELEHKDVLPDDENDTTTPAPEDMESETDSRIRPRILSRPVDDDEDELLEW JR316_0000358 MQRVGTSRPGLVSSVSRFCRYSTNKPDKSSQPRQLVTEWGGIVI PSRSEIQEKEKEQIRKLEESKTDLSYLKNASNHSLAARLARRKDAAPASPPPAKVEPI SPEAMEEMIQKRREIRLAREKAEAERAMRLYTPNAALKNSLETVAANYQSTPTVLPRT QSLRMGNVRPPSDNAPRTRNARPSRQDVPRVDNAPRRSGNVERVRERPQVSVHPVISD STIENASHEAPVEDVQDDGEQPAVTDQLTLDDITRATIRTNDLERADDRSSRRGRIAT SQAKKLEKRIRDGLVDEGEATKFKNSGIVIKQKFVTKEAIQVNIEQRRQARLDREQES EANGRRQARRGPNTRLTKRETDSTSMQPLEDGAEVDFSAEVNLGEMSISEDVVNVDPA YTEEPESISATLTDIFKGTSAFRSAGFKKVGLRKAGLIKENYSIYVPDSTAALFSVPA RELSAVDIANLALAHHSEIDVPRRKVALDLIAAATRPRSAGIQANS JR316_0000359 MTLLQNVRHRTLEEHRRQKVTLRDELDTEDCLKDNAVCKREVPG EGIGEGRKGKMADRVEADSPGEIVVRELVARQRPTSSETLKPSNRALTSLSTSSTASA PGSSASLGSANDNNTSATTSGLQTGTIIGIIAGSIAALMVLGAVISFFLRRLRTKRRG DEIFNASEFRRSAVLLEEKKPDVRPRPPSMIERRNVQGTPSVVTNSLPPPPSMAYPYS DRAIPPSPSVPPSLYGGNGSDNGHNQQHYAQYGTAGAHFGNLPSPAPPPSAGGMYNGP PPPGAFGAHYDQAGYGAPPVPGTYAPGAYAPYGQDTRYQQMQYYQQRQQQNYQFAGYQ QQHPHPVQYGPGPQSYPAGYGAGHHDPQPYHAGYGTGHTVPQMSNNPSLANSFVQPAV AQSASSVSTTPSTGKQNLHLASAPDDVLEGASGSGLRRQDTQSSGAPPAYVDETGESN KYRDVKMRPVVMNPPAESSTAQLSTPSTSAAAAGVTTATSNPAPAASTAQKRTSTRST YSLYDQDDIYGGI JR316_0000360 MVTKRVLYSTGGTYWDNPSALELIMIVMNYVACIPVLLAVGGFS IYHFFSLIRNTTTIEGWEKDKISIMVKRGKTREVKFPYDLGMRRNVESVLGRNPLLWC CPIRPPGSGLKFQLSDSDGAPWPPKEDKTKTWSASESSEDAIDMSPSASPWTYKNGSV NPALSASNSQMRTRRRRTPKRNIPGTSSLPPYHPNYKEEEEYHDYYTTSSEEEEISNS VPGNVRVRRGSEGYEVLPSGREDMLRRYLTEIGEEPGRYIRYIPQPDETDSDDDKPLI YTMANGEQ JR316_0000361 MSHQYPPKCWGFEDDGSFNGKCRKSAAECRFTHPESADWAKARR LPNQSGHKKSGSFTGSNQGKRDSDYSDAWKTSSDARASTATSTNTTILPESGSRWGKA SSTGGGRSEPAGSHASWEKSSGKTSKPESMNKPESSGWGSAVSGGRGNQTDSPAWGKS NSPGWGSPGWGSPGWESGSGWGGGWKDSGTKSNGEEKEKDDGWPGTSTGTENWSEGVK PGSGWGTADGTGAEKTPTHKIPSPHHTQSAGNPSMIVSEDVIMRPPSPKKMLPLPQRS LTTNAKSSKPGSGQSSTNTFPSHPAEEAVNTGLKEHDTDSTVKSSTSAMSRLPIPKIP KKVHNQISSQPENLKDVYKNLVSVKQSRFGTSTSQSYPVKYVGHEGRIALYTDILTYM QDVVIAEIRYVEAKSKYDKWKEYLASSSYSRATPATRKILEGTRDQYFKDSLEAKEVR DAALLKLGNLPDFLPSEPINDPAVTKQIVMHYTNELRSWFLDLKIHERLAIKKQESEL KAKELEAQAAMIEAQQQPSAQELLHRGNWNWTDLKAALKLAEDRVTAAEEQVYIKAWT NQDLLDEQFCHLPAVEEPIQETIGPDTRPGTYLALENMLKAVDAHLSAQSIQAGTVIE KVNNLEEEIASLRAGTSEINRVCDEAEEQFPKFEEENTELLKQAESLQAHLTNLHLHR WSGQRSTSPELLKEAVEPILNELLPYFQKRMEDELRGILDLLRQRCLENQAELGRQVE EMLKPLLANTDEIERICKTFMASAQDLPPPIASTSISTVQ JR316_0000362 MHLKQALLTAFAVSGLSYANSVNHRAECKCGPTDECWPSTAKWA ALNNTVDGRLIKTIPIGSVCHNTTIVDSVEINTFDAEKCAEVQANWHFPQWHELSSSS IMTPWWSNNSCNPFEPTESICNMGTYVNYAVNVSTVAHVQAAIAFARQHNIRFVIRNT GHDYMGKSTGYGSLAIWTHHVKGMELIANYNTSYYSGPAVKGYAGTIVNELYSFTAEH GYLSVGGECPTVGWAGGYTSGGGHSALSSWKGLAADQTLEFEVILANGDFITASRTNN SDLWWALSGGGPGNYAVVWSMTAKIFPDVHVTSAVITAPQGTISNDTFWDFISFYHTL VPSYVDAGAYAYAFYNVGYFQLWPLFVPNKTTEEVNDLVAPLTAKLAELGFNFTSSID TYPTFKQAYDASFGAINTGEFQFGGRLIPRSTLLTNGTALTNTVRHIAEGGAAIIEVG IAPSEAVGGNPGNSVLPAWRDSVMYIIPAAPWYDAAGSLEKNIAVRKTITYDWDEKLR QLAPNSGAYMSEADGDNPKWKEDWYGANYVSLLKIKAKYDKDKFFYAEKAVGSEYWSV DVDGKMCRAKTPGINK JR316_0000363 MMAAISDSVLIEVCVDSVQSAVAAVQGGADRLEICGNLGLGGGT TPSIGLFRSIQRAVPNASLMVMIRPRVGDFFYSKEDVGVMLEDIRMFKNFGHVRGFVV GALTKDGRVDVERMRLFVDEILPLEVCFHRAFDMTRDPLQALSDIIDIGGVARILTSG HQPKAPDGVNTLEKLFARRKEIVGDAVWGLTIMPGSGINENTLPVLMSQLLPLGLREV HLSGGKWIPGDMSFKRAGMAMGVDQETEWKIWRTQKDEIRKVREVARNMWLQYSSSKS PVT JR316_0000364 MSQAVKTKSASENAPEGAQPQVWWSNAVFFILVHVAAAVGVYYL PPWAVHKKTLLLWFLTWQMSDFGITIGYHRLYSHKAFRATLGVRVVLAILGSAAFQGS IKRFTDDPVHDPYAATRGLFYSHMGWIFYKPNYTRMALIEKDDLESDPGPSLADWDPS KWIIIALQQLGLVASLRRARKEDLDEAIHHMKKKASTGVDEPEDRRWDGETWNNVQVV DFAKAKTGRCIVLIDGYAVDVTAYLGQHPGGAKLLREYSIRLTSDIDSWRKADWAFKG GLNNHSRAARRQMREMRVAKLVD JR316_0000365 MSKRELEALNGLGDHDGSRHNKRRREAGGSSSDVDVTMSDPVVP AATNGGGSIGQVKEQGLKLWQTVKDATKDDIFLRKPSKRLYPDYYVIIKQPIALEDIK KALENNEYSSLEAVKNDFDLLFGNAKQYNLPESIIYQDAKELLKLVHKTYNKMVPKEE DGENNKPKPPSLNRLIKVRLEKLVAKTTSDGRVLSTEFMQLPSRKLWPIYYKEIKSPM AFDIVSKRIKQKEYQSSAAFAADVEKIFSNALAFNAEEAQIWHDAMTLRDYFRQLMSD LPPPHNLPEYTKPSNKIKIKPPHATQPTASSSHLPAQKQESAGLTLRVPAPHQHKASP KATTSTLPIPTPTPVPAPATAPTPAPTLPVAPVTAAKKVASPKISTPKTTTSQLPSSI KAPTPGKAPTPVPPIQQTISFVNATSSHYPRAPYAPPNATSVPSPVPTPLQPNAIRPT SAVSIAHSASQSPAPVVLPLSHQLKSINLRIQPSGRTLSLDHRDGVKGWALRLMPGET TVYVSNIMFMGDEEDEESSEEEEDDIDMDVDVESGSVSPKNGRKKGKGRGRGRPPKAT TMAAKAAATKAAKDAKKKTVTKIGEVQLKLNKFAVKEQPDQTGEWSVYLPVGSNVIEV GETGGMVWKVYAERLGDV JR316_0000366 MSATPYIEFSVNTPEFARAVVEAGANGIEIVGTEGITTTFHNFA LIMKATENGLTARGGDRTSFEVTMVIRPRTGDLVYNSDELKEIREDIKYFKKNPLVTG FKVGAMTVDGDLNFDAMLHLQKISSPKKCLWIFSIIFYPLTCLPMSVCFAGYAFEYIN QQKLSSVLYKLQLIEGIAWIGSREIVNIEDIQSSEPNHALFLVKKAVSLNEGFTPLKF AWEVATQSVGDAFFKHPYYFFLMFYFGRSELDEAHLTSLSRRLLLTGCSSLETFGGRS ATIGVITNVIMAVQDAWSHYVKSKRSMSGPSIQLDLLLSKYESKANVRISDIVELYAL D JR316_0000367 MAPTAVAPSETKVEAQLKKPIATTKIETKGDDIPSEEIEGEENE DGDEEVAGEGATGGEGKKKKKKKKPKKKKQEQSDPPRVGLSKLFPSGIYPEGELQPYK DDNTYRITSEEARYKEKLANEDPETTYNDIRRAAEVHRQVRERARKFIRPGMTTTEIV NYIEDGTRALVEENGMQSGIGFPTGVSLNHCAAHYTPNAGDTTVLQQGDVLKVDFGIH VNGRIVDSAFTLTFDHTYDKLVEAVKAATDTGIREAGIDVRLGELAGYIQETMESYEV EVGGKVYPVKPIENLSGHSINKYQIHGGKSVMLVKNDDQTKMEEGEYFAIETFGSTGR GRIVESGECSHYAKRVDAPHVPLRLTSAKSLLKSINTHFGTLPFCRRYLDRAGESKYL LALNHLVNQGIVQDYPPLCDQRGSMTAQFRITLPKEQPTQRSPAQSLLHYETGSPPPS ARVDPKLKLQPRRPLRLWDYWKFGFIVAAKATEVTSDVVSHHIWGPRRKSWGIEMTIV TSLIRGAERHSAMVDIGTIRMLMGIGGLVPLPSDALVSPVTFRVKKLNLRGILADFDA KETGQRELSGEWVVGRKTWQRMQTEWKASNKPTVRRSHSVSSSEPFDLNTVSNSDSMK RKERVILYIHGGAYYLSSAAAQRIISIPLAKYTDSRVFAVDYRLAPETCFPGPLHDVV IAYLRLVEDLHIPPENIIICGDSAGGGLTLALLMYLRDNCYTLPSGAILMSPWVDLTM SCESWDSNATYDVVPFPTADNHMNPIALYLGEHTEEYLTHPYASPLFGDFRGLPPLLV QAGDAEVLRDEITLLAHKATMAGVQVMHELYEDAIHVFQAYPFLDASRRSFESMRNFV RDVLPKYQSRSPQLLASIAELGMEREIETERSVFVGGDGLEEKMQDRKLSVDNVNCGK DGGIYERTIGMDDSEDSDTASWVRSPAWSSRPLAAAKGSPINSLKVFEADKGDFEHIE NSDVKDVPYFYLSPPIESSLPRHSAPVLPSRPTLSTFLSNSSTNDASSPDPSPAPISD ERGPRRIQSTSSLLKAFSSAHDQQLAEVQSSQPHYHSMRSPIHRSFGFNLFSSTHSLS AKRQRRSRSTASLIGDTTPPPSPLPSPSIRKRRLSSALAMTSCNGSKTLSTTEATASA YTDDCQEDIKTLVDEWTRTGPANQTVVVSAHPSIANR JR316_0000368 MINIDKPSTCSIEVPFKIAVSDEQIDQLRRKLEAAVFPDELDEA GWDYGAPLADMRRLVSRWKGGYDWRKYEAQLNEELPQFTRDIEVDGFGKLNIHYVHKK SEVTNAIPLLFVHGWPGSFYEIRKILPLLTDSSSDHPSFHVVAFSLPGYGFSEAPKKK GFELVQFAEVGNKLMVALGYNEYVTQGGDWGFFITRRLAQLYGPKHCKAWHTNLPLAP PPYLTRNPLTYLSHLFSSYTAAEKQGMERSQWYYQKSSGYFQEQSTQPQTLGYSLADS PVGLLGWIYEKLVVWTDDYPWEDDEVLTWISIYWFSRAGPAASVRIYYEVSKANPGFL GGNPKPQPTTIPMGHSYFPKELIIPPRKWLKAPNLVFESDHQKGGHFASHERPQELTD DLRKMFGRGGPAFGVVPGKNGYA JR316_0000369 MPDESRIRVLTLNCWGLKYVAKNLEERIETIAHELAHSDYDIIA LQEIWVFAHYERVQKRIAARLPHSKFFYSGALGAGLAIFSRYPFISSSIYPYSLNGTP LDVAAGDWFVGKAAANVVIMHPILGQVQVFNTHLFAKGGEDGPEYNRAHRLVNAWEFS KLARQAAEVGRYVLALGDFNSIPTTLPMTIIFQHAALKDSWAVTHPNVQASHVVSAQQ AVQQLGITADSPLNSWSAGKLYARGTWGKRLDYILYRQPNRPGQTSPSLKAVDTKVVL TETVPGRSFSISDHFGLEATFEIITDLSNEETDPSLVPPFQQPSELSGSTINATIHAL TACFRFSRERSRRELIIFGLCLLILVGVVVGTAWLPHSWINPIFILFTVFVAWLATTM LYEGYIFGNWECNALMNVIEELEIHRKGQEILNGRPGD JR316_0000370 MAATPSTTLTPNDIEIATRAADHFTRIYYTAYDSDTRLADLPPF YRANSSLTWNGTPFQGVEGLKDLVTKMPATKHEVQSFDCHPIPGAQPPSLLITVSGNV IHGKGPSGNPPDTPARAIEGHPRVFSQTFILVPDPNAPPTKPGEVAKYYVSADALRFV G JR316_0000371 MADSDLYQLEFLSLINKITQELDNHVGVNDKTVAEFIVALHESS GKSLANFKAKLQENGLSPPDSFVETVDRLILTLHPKYKKRQVNKSAKAKGKAKANDDE VSDLELKRRMFPGLAMKDKEVPPAVSEDDFLKELGDLVAGKKRAASPNMDASPKRRRP DLSPPRRGRSRSPRRGDGRVNDNFGDGRDARYGDGRSDRNGYRNGGRRQLDERPVLFK IYDGKVSSLKEFGAFVTLEGVAGRVEGMVHVSNIQVGARANSAADLLSRGQHVKVKVM SVAGNRIGLSMKDVDQATGKDLTPHLRIKSEAEMEEERIRAERAASSGANAVPLRSKE DSAPVRSAKRLTSPERWEIKQLIASGAIDASEYPDLDEEYNNPMAHAEIEEELDVEIR EDEPPFLAGQTKRTLDLSPVKIVKAPDGSLNRAALAGASLAKERRELRQQEANEEADS QARDFSQPWLDPMSKDSDKIFAQDLRGNLKGQKAGDVPAWKESSFNKTTTFGQITNLS IQDQRKSLPIYKLREPLLKAIEEHQVLIVVGDTGSGKTTQMVQYLAESGYADRGRIGC TQPRRVAAMSVAKRVSEEVGCRLGQEVGYTIRFEDCTSPETRIKYMTDGMLQRECLID PLCSSYSVIMLDEAHERTIATDVLFGLLKKAVKRRPDLKLIVTSATLDAEKFSKYFFG CPIFTIPGRTYPVEILYTKEPETDYLDASLITVMQIHLSEPPGDILLFLTGQEEIDTS CEILFERMKALGPKVPELIILPIYSALPSEVQSRVFEPTPPGARKVVIATNVAETSLT IPGIYYVIDPGFSKQNAYDPRLGMDSLVVMPISQAQARQRSGRAGRTGPGKCYRLYTE AAYRNEMLPNSIPDIQRTNLAATILQLKAMGINDLLSFDFMDPPPAQTMLTALESLYA LSALDDEGLLTKLGRKMADFPMDPPMAKMLIASVELGCSEEILSVVAMLSVQSVFYRP KEKQGQADSKKAKFHQPEGDHLTLLTVYNGWKAANFSNPWCYENFIQARSMRRAQDVR KQLLGIMDRYKHDILSSGRDYNLVRKAICSGYFRNAAKKDPQEGYKTLVEGTPVYIHP SSALFNRNPEWLVYHELVLTTREYCHNVTAVEPKWLVEVAPQFFKVADANKISKRKRQ EKIEPLYNKYEKPDEWRLSKIKRSARSSQTFG JR316_0000372 MPPKRGIVKSGNAGNSSKSDKNKTPASTTDTPGPPPLFPPGSKY PLSILQERCQKLGWEKPVVDTRSRGSSGFSFVVTLSRRNPKTSEIDRVRMEPHPPYFR PTAMEAKHWGATYALYRFCNGLQLNQILPPGPRDYWKELAAEHKSIREHQKWMYEADP FSAQKAVEERQAKASQRDESKSVAGRQAESKSSGHISSDYPEVIMASSLRELVEDAIK KSIELYPDTSDSLRLTLSPEVIPEIAQQLGHLDFKKSQIQDATKFLSEESPLTFSLLS SLSPLEAAIEYLVLHLPECDLPQRFLPSNNASNPFITSAHSGQDDLKKRWIEEKAVKE AGWPLNAVNECTKVNPELIFKWDSLMVALGRKLVGSPIIASNADESAPYSIEVEEYEA LGAHLEEEGHLILPLFSAPIVVHILFSETEKYPRPGYIPIYITSATAPAYIRLHLLSK FLKAMESRSELEHGEGFFMTVMRIIEEAWADIEDNGPPNISVVLKDLIPTPQRFIRSI TNTPTSPVVKGPNSVRSRRIDIQDDSDIKRAFDMLVQTDKYKAMLEKRMKLPAFQARE DFLDKLERNRVVVVVGETGCGKTTQLPQFILDSLIQTNRGSTASILVTQPRRLSAISV AARVSEERIEDGSVGYAIRGESRQGKDTKLLFCTTGVVLRRLSTGDTLKDISHIVVDE VHERSMDGDFLLLELKELLKVHPTLKVVLMSATINHETFVKYFDDAPLLTIPGFMHPV EDKYLEDILSETNYRPPVVRQTKEKLQTTRRLKEEHEAEGISSLVAEGIQNIMRSDRI DYQLISALVNHIIATAKTIGGILIFLPGVNEIRQCVEAVRSSVGHRDALVLPLHANLS NEEQRRVFQTTKNWKIIASTNVAETSITIDDIIYVVDAGKVKETQFDPETNMSRLVET WINRAAARQRRGRAGRTRPGVCYKLYTRKHELSMAPFPVPEILRVPLESISLSVKATR EDEDVKAFLSRVIDPPAIAALDRAWTTLEEIGAIDQNGGLTALGKYMSMLPVDIRLAK MLILGTIFQCIGPVATVAALLSSKPLFNSPMDKRDEAAVARARFLYGDSDLLTDVNAF DECMKLRAEGKSQSTIRAFYDQNFISAAVVREITTLRQDFISSLAEIGLIPLNSKPTT QSLNTCSDNLNLVKAAMLGGLWPRVARVQLPTDKIKYDKVSGGTVQRDNSAKDYKILD LKEGRVFLHPGSVLFAKSTWKPPFLFYFHKYMTNKIFLRDATKVPMYALLLFGGPVSV NHIRGGLTIGSKDNFIKLAAIPRIGILVNQLRRLLDAQLQRSIEDGTMLTAESGNPVV HAIMALLTHDGRTEDLGTNTPTNREEDSKTPSNRASPLTR JR316_0000373 MSTPISTTPPLIQINDDSPKGDKTAFVPALLVIDMQNDFVYGSL AVPGGESLIERINTLIDLPFKARIATRDFHPDNHVSFAQTHQKPTFSKATVFHPGDEE EKEGAQLTMWPIHCVAYSGGADFVPGLKTTTFDAVVHKGTHPRIESYSAFRDVWGKTE TELPGILEELGVTDVYLAGLAGDYCVKYTALDAVDYGYNTWLVRDGIKSISKEESDKS FAQMEKKGVKFTTIKELQKLLAPSVPVLN JR316_0000374 MPRKPLHENTNANDHQLTVLEKNDDRAPILILISAQALGHLGLA VSIFAIWFGWLLPASITATVVPEEPEVKKTKPRLPSRHVRRSTVDAGRASIPPPTPIR RASAPVNLTPILVPHPEDAQQHSRRVYFTDSPPAPIIRRNTMPEQKSNDSGSLVNDPV PSSACGSPRSSTSTLPTPIGPPGLDGLEEVVHIAHESDSSPHSSKASLPKPSRLQKFK LGFHSKGHRPEPLEKLVDQASIASTETTVSEPGKRASGGIVAAWTLSRNRTAPDVTAV ESASPSPSRLSFARRMSPSRPATSPATPNSGRCNDCLSPTFLNRKAQKRVSAPIPRTS PYGAPYFATPPTVVNPDYPAYLKTLPQFEDEIRHTPTHQSDSEDAERHRGRTSSIRRV KLNAAPRSPTKRRSASVDWTPRQESSS JR316_0000375 MQQDTLTPENPTPPYTSRPHTPKLFPPQIGCIGLGNIGFLMTRN LALNAPTDDGPLPPIMIWNRTVSKAEKLIELVGDQKCRIAKDPEEIAKECDIIFVNLA NDDVVRSIYNRLTSSLRRNPPTREKIFVETSTLTQHVAELDSMLSNFPRVHLISCPVI GSPIVAEKSQLLLVMSGDYRSKKEVAYWLVPAVGKRVIDLGGDLQKALTFKLLANSLI LGNLEILAEAFTFAQKSGIGENHVDALIKEYFPAPSIVNYAEKMMNDSFDGSQGFSID GGIKDATHIRRLTAKHNSPMPAIDVAHQNLLTARAHFRRMQQQGHSPYEELDWSGLIA GTRVSAGLYPFDQRKDFGLTMVKEQN JR316_0000376 MAHQQVYQAYPQNKGTLIPGQTISVNNYTVQVERYLSQGGFAHV YLVRTPTPVYNTTHHVLKRIAVASESMLTEVKKEVDIMRLLKGHPNIVHLIDAAWHKL PNGTFEVFILMEYCPGGGIIDMMNRRLRERLTEAEILQIFVDVCEGLAYMHNSRPPLL HRDLKVENILQSSPTSFKLCDFGSATTVSNPPTNMQEIRALEADLNKHTTLQYRAPEM VDVYSKRPVNEKSDIWALGVLLYKLCYYTTPFEEHGPLAILNVQYRIPSYPVYSQDMN MLIGSMLREHGAQRPTVFELLNHVHRLRGTKSKFSYNVPVPPPLLARHPTHAKPQPAQ NPLEDVISYKSPSSKPVISVYDSKVHPQTIPPASNQGVQAREKVLEAIAPMRRGRPSA THSSSRPASPQKLHPTREKTTDWMDSGFENEKDHLWKSVTEKSSSMGTDLNNIDDGWS LKASDKKSQGETKEQSRGFGDDFAEKLWSSPDPNTVTAPQPPKSSSRPNINSTKLNDA PLTPLAFTGTSTIRPKPERLIQNRDKDAFEGLGLMSSMPKAAPTLGEARKLRTGLASM TLSSAHENYYRDNGQLNTHSARPSPSPQPRYLSTTPLQAQSVSPVPTPGSGSSYKHPH YSPSVPSPSPSAGQDGPIESKYPSLEELDAQFSPTINALYPAVVNDSSSHYMPAMSRN AASNRAQHQAKPTSELSGSVNTLLRPSVPQAVNPHSVEGVRSEQVTGIAMRETKESRK SEGSQSKKNVSSQNIDEAGSSKFAIKPMVIRKHPSSVTMNPSFSTSKSAEKDTPHTPQ AVNITPSENLVPPKFPARPHTNVQPRDWLTGDDFDDIKRVPTPVLRDSPSKRASFIEV DDFEIPQSSAITQYAIAPERIIDEPPSADISPTVSKFKRAFPAIDKIDTAPSQQPTAS GLTDNWSPVARRGGKDADEDSSSADEGPEDVRGIPSLDRKRHASRTKGRQSSVHELVY QYGGPALVKEKESEKEANTAAIQRTREQESVKERESEREREKERERELEREREINRER QREKEIKEAEREKEIVREREKLKNLELEREKEKERDRERERPPMHYSVGDYKVYKPKA PGLAPPAAQDLDRKTPSPTSNTNNFSSTTMNRSPRLQTIPLNDKPLAPINGTKQTSSA RSRPQSMFIFPSKSADSSSTPTTNLMPPQETRPRATRRTSISDMVQHYEAIGGSVKSP TSVPPTPPSPINRPVSTKATTMASGNGRMINNKVSTEFTKLKPVTSQFPVSIEASVRH TEEFSTKSEPPRHANTNTGLSRTPTTKTVRKTTLDNRRDNFVRPSSPETSSGNVSAKP DPPAASYSKLELSSSRPAKVSHPSESFKPSSPRKPPISINEDILSKQEEPISSPPEQP YQGVGRLIDQWQKKSAEAEQSRPVPGKFLSKRPGMLRGEDR JR316_0000377 MTFEPHLHWSFNNEHVLLPSIVLTSFGNFVVALLLIVSICLLER YLTFLLEKQCSPRFFKLSRISFAFWRTGLYSIATLLRLCYMLVAMTFHLGHHRKISAI LTYLDALRQVITLSAAQFIIELRNLPKARDVYPHQKYESISRPLLSDEEQTYPPRTVK TRPRSKSKPDDIFIHPTQSNIARADAVALEMGLGGETERVQANTYPREESGWEVGKGR DMARELLLGSKEKKKSRESFYINDSDSDSSQR JR316_0000378 MSGYSSDDFHDLDLSEFTAEDFAYIDANIASLSGSGRHGTTEAE PKLSEERYRLNSADSKAFDTDDEDIYCANETSFRSDTFDLNLSTLTNEELDALDEYVS KKIPKPSAGPSIAIEIEGPVDVSGTSKHTVGENKHINGTWKPRLSNSPLNQFRPYMTL SVTDLTSPAWCEVQYDYGLRGRRSRPISERPQTFQSSSGKTIRPEPNIVKKNDIQTRQ GLAVHKELEREIKFEELQVDITSEETRWALRYVAANSLILLHLQREMPVFGVIHDEVV VGIMDEVIKEEVPKMPNSIAFKSSKRPSDHLQPSSISKKPRTSLPYPQTRIDSCFESS KKEKKPSQSPSLVVEEEQTQTRSSSEYVLHIKDNKTREKPYIPSEADMQSGRMQLMLY RRLLSQLISTNPPYDFSPFWSKLGVNSAAIFPTKFLVQAHLIEESSGFQSTCLDDLVS LWHSEIKDLNIVGVDPTLELVYRLRPSPRRKKKKHGLTTPRPPQTISDEERDLMIAIA ASLEDVSASQTQVDMSHIGPSTHFYKKNQFDSPNSGTEDTKFQTTLAESIIAQNVSKT FDNSGIDFADLIISNAYLQLPLVDLPEIECVDVTEKIGKGKEKEIEAEEQGIRRFKII GTKRFLHNDEELDKYLDHVLEWWRGERRPEGVPLDRTYRCSYCEYENDCEWRAEKAAE IRQKKLPDP JR316_0000379 MFAVFCRHFVVLALALASASSAASPTYTALDNRVPVSKADAVNY PRQYDSGAGSYNDPGNSANRWGDNNGGGDNYPTPSHRPKPRNLKSRLLSSVPPSELAE SICPSGLYGCPIAKPGSLSSLPTSLSTWTEQGFECIDTKADLRACGGCASIDSKHDCT TIEGANDISCAVGTCVVDSCLPGYSLDSKNNACVRK JR316_0000380 MPQSIRTIPHTRRSTSTFKADHDMQTSSGLNFTTNSWRILGRCT TLVAAAAREERGISINIDNEDMKSLYTHTPVDSAACKWPKQHFKSNKHKLVLQERAKT IVEFRDVRELLNAFSDAIIAHGKAHDKAQILHRDIAPGNIMIRCDGHGVLLDWDLPEL TSGQANEAHLFKRTVPYFSFSESVTSFLIIVRQGALHFMAYRLGGKQEKGEPVPRHNK DDDLESFFLVLYWIALRCCNHGYIIGDLKAHMKNNYYTAIVVGGKHVAPLARKDLFSN SMSIMNARFASPPLIAFLTVLHQTLRLRYLIREDHKILLISWIDAALKCKKTLTEREI ALELRAKMYAQDSDYTDPTALDLSFSEIRHFIQYLKSDWASNIFVNLFGHNGEKADWE FGNSTKLLPSTQIHLTEKRKFHSIDMCTNNNDHDDDGQY JR316_0000381 MTDSTTSHDQPAQDSKSSTTPPNSSTLPEVQGTPVVHKRAGMTP HAAEDAKRAQNFESFRRDISGKIIGPMPVKDFLKNFLPSDSNPVAVPDASHLDKVNKA KTESEMYNPFIDAFESYVTSGPRFVNSHKSTLASWDKSPISPDIILIDDSTDTTWIPD PKSPTKFSNPSIESIGIMLEFKTKGATTDPFTDDPQADSFEIGAKDGKSAKESSPRGQ LLGQICSYATAHMANQFRTHVFSVLVFCDYARILYWDRAGVVVTEQISLNPSGAQPLA EFFARYARATPTERGVDPNVEPISGSLIDERVKARLVKNSQGPFYKIRMCATQSQSKS YIVAVPRFMGTASPTGRSTRTFKAYDMQTKTFVFMKDSWRVIGGSLKPEHERYSALLA AGVPHIPTVIDYHDIEDQQTRTSLQLHNTKRSGLPDKFRTFRHYRLVLKEFAKPIEDF KDVKELLVAFSDAIEAHSVAHDIAHILHRDISAGNIMIDQNGRGLLVDWDLSKSTIGP HPDDDAVQQPERTGTWQFISYRLGGKRNPGDPVPIHSKDDDLESFFYVLHWLALRYCE HTYHVEEVKTTLKTNYDAATTLPDKQVVPECRLGLLRDPYIIKSATFASPALVAFLTT LHKFVRLRYVLSEEHKNLLTSWRNAALEKHKGSLTETELTVEVTTQMIIHDIANPTSP VALAHTELSYFARSLEPNWASSIFAQLFGSDGEKCDWKTGSSRVFRGELSTSTRLSLN KRKSEISDYDDDEGKPVNKKVRKDGTLPSVHEEY JR316_0000382 MTDQQAWASLDLHKGTKRGELKNKFCKFQHYRLVFQELAKSLEE FKDVKELLIVISDVIQAHHDAYEKAHILHRDISAGNIMINQNGRGLLIDWDLSKAINT HDDGTHQPERTGTWQFIAYNQGGKHEPEYPILIHSKDDDLESFFHVLYWIALRCCGHG MTIDELRAILEHNYDLAVPMGDKPVVPEGRKVLQNSRTIPNARFKSPAIDAFLEQFHN IVSQRYILQEKHKNLLISWKDEILKANPEGLANDEIVLELEAPDKGK JR316_0000383 MAKPLPVASSGAPGTSKSTIVRRQHIPEHPYPSRHRRNPSPKSP SSLFAPSSGLLVLLASVASASTVSGSPAPPSFLCPSIDSEDTVDAPRERRALPSSSVT VTPTPSAAYNILLPRHVPDQYRQGPDGIWRRLGTYTLYGSTVSACDGICRQPTNAVSA VDDQIQVSGNTNKSDSTTYDQLDDIRDSLPPGWKPTRTSENRTPLILAVSLVLAFLIC SFIIGCLFWRKTVKKNHRSYDVEAKARRKRSAISVESLVAEKEHKTKQKIWARATARW KANVRYTARQRRGRRFGSRASIANQSTLSLDRSRSRLAGSNSSIRSTASSRRSSTSSI RSQLHDEDAIPTSPTSAQVSNSRPSSPAQPTINNPPAYQHRSQIPPIIISSDDPTGGE YPTSTPQFGRSRRPSHSSNYSASAAITNDGHVVELSPTHLHAAHVATDDKSLLARLQD LASAPPTDDSLPSAGTSEIQVSAPEWQDDDIEAFAPELTTSPGQSSTSPSMFPPPPSK GVLAASEFYTHPYSFEEMEDLDAECGPSAPPFEEGASPPLQDTHLLVPSAPPLLGEDD HIHDMCPSAPEWDDSSPALDSCEECIAGQDHDRIPTELTAPHPSEPPPPRAPTPSIGS STPHPTANDNIALPDIATSWRGVVRVFRDEPHLAEITCYHFFANAIELCKSFWKNKTL YSRFPTYFIGESHTMGKSQKKKTMRRHNPMRVPDSHLPHGLASASQSSSRSNEILPIM QKMESAEASERKWACVAVSNLIQNDPSTRRLLQGKNIVGALITRLTDSEEEVVVEAAG ALRNLCIDGGYDICAEMYNKNILTPLKSFIPKISDTLSQFLADPKASTEAIRRIVYDF ADNVITTLWCLSETSNKALNAINDIRLAPFLMSFLGAHDKLPLGPVTAAAQCLYVLTD DNYPTISDIRADAGYISCLLSLARQEVAGAVIPKTDPKSVTLAVLAAGTLRNILPIPP PSAASFVDIDKDVVLPLLLPVISSISLPDTSNAVQELVQRQATEPQIQNLSLNHTPKS DHKSEAEKELELLESKLRTVQLALEILTGTCATLPDPEPDVAANEEEGDEEGDEVIED LEDASEDAIDVDMNMDETEGASPEKSSPAFLTTLVVPLLALVQPTALSFPPLASPSIH PPTTSALSAVHICALECLNNVFLSLTTSPNPSVTSDIKSGITVWNGIWSALGLVGTET GLGQERRQQMWEVSVGVLWGIGRVWLGSLPADNEQVSVLIQLCTATTDARLKVKCIGT LECIAQNPSAIEANRVISDYLLSILPTSGKPSAVDAEPIVQAASSLIDIFSDETRPYD VNFRQGQYLQRLAASVGSLKAVVRAIDRRKEGGRELRRRGDEVQENLVDFIQYRKELR L JR316_0000384 MSESVPVIPTNAIPPFPPSFTDPTLLLHALNTANPVQDMHPRSS KPSTPRPETADSTSSGHQRSPEVGRPLNVTDALSYLDAVKNQFHESPDVYNQFLDIMK DFKSQVIDTPGVIQRVSRLFHGNPYLIQGFNTFLPMGYRIDIAVDPSDPNIITVTTPL GTTTQNTNNTAPIPRNARELDPMLPAPAYVNAGPGPYPITSTLLQNTANGPGSRSHTP HLFPTPTGPPPPYEPVYSPGIQGPQTTAAAASFLNYLNNNNNNNVNNVAGSSSKQVEK QPAGEFNHAIQYLNKIKARYGDDPNTYKQFLDILQTFQKEQRHSPDAQVYVQVQMLFK DAPDLLAEFKDFLPEAMPGSMGPNGVHIMPQSSTTSWGPGESSPPHTQVKKPTQAPKR SRKRVPEKEATPVPPSKPAPSRQPKKVKHSHNPDNEPAPFASYPVPLSPSQSHAYPVA QGPPPIHSHAGPHGVHHLSDYQSNTSPGKLLFFDRAKKSLESQEYDEFLKLLSLFSKD IIDLQTLIERANVFLGEGDLMTDFKDLVGYDDRDKVERGPPGSIRTGPPELPTALPVD DGEGPSYRKLPPSETQLACSGRDELCRSVLNDEWVSHPTWASEESGFVAHKKTPFEEA VHRSEEERHEYHVQIEALVRTIAVLEPLNSRIDEMTNEERSHFRLKPDFGGSGMSIYH RIIKKIYGKDMGLEVIQALQDCPSVAVPVVLNRLKAKDEEWRRAQREWSRTWKEVDCK NFYKSLDHQGTNFKQNDKKNITAKYFVTDIQSIKKQQLKKWERKGVKAFTQGTVGHQL EYSFKNTAVLHDAVKMVYTFLEHNHAQYSPPERRGVEKFLRAFIPTLCMSSEAEFWAT VPLDGTAGSGASAEEDAGHDVNKQQPEGSRSGRRSTGSGGQGHSTPIPANDLRKKLLK TAQEKVSANKRGGASVSGSRAESPSASGHGSPRPGRTDDDSSDPHDIWIKESGVKSTM DNVVSPSDKERPYFVNTTFYTLIRLFEIGAQYAAQKHASLLANRVAVDLGLDDPNGPA SVLKQTIDHLGKPAAEDTNIVYMYLLTACEKMFDNELDQGTFEEHMRWFFGTKAYTLF TLDKSIIALIKQVQTILGDNKCQELWSLLKSAQSSKNISTQDIIRYRREAERHVGQDD HLYRLQWVRETRCIRVSLCSANEPSVETDGSELARWREYVNTYVMTHPTEWLPEGKKE SSPVFLRRCVRVGEERRSTASVVEDRIKIRVSYPRYKLVYEAGCEDLVVQRQSSELRG RARQREEERRKCELLS JR316_0000385 MDTRSQGLLVNAAGFLYGTLGFALSVLAALLSAIYPSKVQYAGG IAFHKQPTLPNAARGRRPVRTISDQQVEGAKEKLPLLQVVEEVKKVSRDKTVRRSVSA HELRHSVSMVPVITVDYFGSAESPRRASAGPVLIDSPSISNPVTTLPEHIPFVITPPP TPPTVASSLPTSPPASAPCSPPATISSISTDASVKSDKSGFRLFHIKHWGKDKPKNTP NLARRQSSPHLCPKKLCHKHTNSDGNIPIVVNIETKPKSRKMLRKMSSGPVQEKVTTT PPPRKSEKKRSQTLRTHPYDAPYFAVPPVPPLPAYRPQFREEAIQQTRDPNASPGRSL EKKSSRSRLLKA JR316_0000386 MGIIRPATPGFIVTLVATVLLAVVSFCVPYFKTIFFLKASITVD NISGNITFGTLGYCLELSNGTTCSKPSIGYELDINGLVGNKLPVEIPQVVVKWITYAL FLHVIALAGAAGSAVFGLLAHVREMSMACCSTCISGFAAVIAMLAFIFDLVFFFVAKA RINEVGTATMGNAIWLTLAAWLLLFFSGCFYTLGRCCISNRPRAPNTGKNSSWGGGKW GGRDSESGRNVNGDERLRLDAVKAEADWKARTQKAEVGLPAFAETQPLTGRVEGDHVY IDGEDNASSSQLPSSTTPPARRTAGGYVQGAPGTRAVDEYYNQPQSPASNTYPPQHPN RQASGYSPSVYAPSTFTANVPHSSGPQGASGYESSPYSYNAPVTASPQGQNQLLAIPG QYHQDQRREYGHSAGGSSYHTASPHEQHPSSYSHYDPYSAQPQPQQQSHYAEPSYLHD SYAANPPMPAATNYAGTPNPYYNSPNSPPLPQAQHDRSYTLGGDGYGASSVPPLQDYS HNNTGGHFLTQSPPTINTNLAFEQPTQTSPIKGPRPQPGSIGEDAPPGYDAGTSGVTG NWGKR JR316_0000387 MAHHHGLDDHKQHAFGHQTKQSDKTWLEKYGSQFDQSFSGPLSF SHLPYFRCLENEQESFDIAILGMPFDTAVTYRPGARFGPFAIRSGSRRQRTARGYTLS WANDPYALGQKIIDCGDVPINPFDNALAVDQMEVAYSTLLRRTVARDPEAQPVTSLLS KDGEEHPRIVSLGGDHTIVLPILRSLHKVYGPISVIHFDAHLDTWAAYPGQTSAQSRV THGTFFYLANEEGLLANNSVHGGIRCKLAGLEDLSNDESVGFQVISTDDIDDLGIPTI INKIRKRIGDSPVYLSLDIDVIDPGLAPATGTPEAGGWTTRELKRIIRGLAGLNFVGA DIVEVAPAYDNAEITGIAAADFVHDFLSMFLSKEPPKRQGHHKKSETQLF JR316_0000388 MTTVDVSTGGDTTSVSGQEEVVTLGVLGFCYQSSPTAVKECSTP RLGYNLEALHTFDPTVFTSWSTPISNALVFHVIAFSFCSLEMAAVILSFLKITSIGEW ISEITLFISATTLAALAVDLSLSSSIATSFSSVDNTGQVLEPVISLKQGTWYSLIAFV LLFLGACQFKIWTKRSRQSPSPSVDRTAEQGEKFDIPPSSLIPPSNRPQVDKPNSDVE GLGEIGPGLLEFIDTTSPIKVANNQPNRPRKFLKSLPPTPVSMETAQAADDSDLRRSQ SSGPLFSPPRTMRIIPKRRTGMFAGTDRYSSPDSPAGLSNDSRYTIRSSYSSQSPTST RRTTSIPFAPSSYQRGTSISSSPLPYNPNIEVPEDVVLYLDPSRASSSCLDHIDSASS TKTRKSFGQFSIGGSSVFLPARASSVFMHPNTRPPSQGSNILSKKPSTKSQRSRMSMA PRTPFMDTFPGTADYPDVENSGAIALFQSLRALPRVPEPASIPEVPKMPRYSVLQALQ KQQEMLPESLGAPRGEVSDSFDGPQETLPRDPLHDLPTRQQETPSHST JR316_0000389 MQPTTLPRRPQAHYRPFSTLYTDSDIEPRRLKRSSSLGGLHSFR GMNLGLSFKPKKHAQLCVTPPASPTSQSGTPSQKVSYPLSLKQRLKTNQDGTTSQNSL HPPSIKKKRSVMSLFSPASTHPDPPERPTDIPEESPRPSTAKSVKFKTPDDFDNHSDP DSFVRKTVWTTRFNMKLHPYQTEVPYMQAYNSILLENDRYTDLLLQRLSGGYPSFGHH RAKPPLRVLDLGCGQGTWILYAANIWSHTHFTGFDLVNITTPAFEAMDNTTFVQGNLQ VIFFINFPLPFADASFDFVRLANLVLCVPYTHWDKLLREVKRILCVGGRIELIDDEIF FPYANPPKLRDHLLPSSSPRKRHRSSASSFDMDDDDLFSDADLDGDTLQGYESGEDSM FNHSETTCVSSSFDDKEIDQQQPFLSSSETARPSSYARWRRHASTTSAASSSSPSSSS TLFSPTSTISSTSTSSDPYAKPPITDPYLAQPAHVIWAQRAAASRELEAAFLGMLSGS YGVYPRPAEFVSEFMQNIFGKKAGKTQSYRVRLAEWGSPIGVCADVGATEGADGKVEG EEEREGREREKKQWMAGRDSDKKKARRKEQVLGDAGMASDRASVSMDVSLASPIPDVV KPKAAKQLGMDMSLPFPIPDVVKPKAAKQLGLLIPPPTTTTTSPSKSKGYSSSGSTSP STPSSPVSFSSSSSTPTSAGTPVGSGGSSSSSSLVSSRQHSPVKMTQPKAPNAKTAAL LGMSYNELVAATSKALQASTASTNAQAAARHSQHQNHKHKHSSQHHSEKHQRHQEEQA QEREEQPQQIFRFKPAGLVQSPGLLVGRDRYIAVDARELEMHACKYMHTLLGCRPALA AYVARFQDEMGRLIVEEDMFTYYLWEYETFRRTRLGWPSQIPEKDDDDPLPTIDESQE TASTFNSKPSSSYRAADTPQHQVFDCQPDETVHIRTIRVFQAVKMGSVEEEQEEDDGK EKEWQGEWDGEDGMRFSRLGCELMFDVPFSNGGGSAGMKEWERRWQGQWEKERVEREL JR316_0000390 MNAETNKIKARLASLNASFSELESLLDPLFAQSLPETLVGLEPL QQAKLQTVLPYLVYDLIFIYLKSRGIDPKTHPVVPELDRIRGYFEKISSAENPPTKRT ELDKAAASRFIKHAISQAQTKWKKTAAEDAQDDDAAAPSSSSTATRVPLKITSKMRAR AEYEAEVRRADEAGEEESDLVVVDGDEDEDEDEESTKMDVDVDDEALPTNSKSKGKGK GKETSSTTSISTTITTTSNKRRRPAIDHFAGYGDDAPQPSLSTEPSKKAKSSPETSSS PPESTAPSPAFGESDKSKSSNSSSNKKSKKKAKKAAAAAASSS JR316_0000391 MSSHDEPLTSVESVDDGNAQATVGKGKARTMPVPIRPSSVLHDL FDMSPSAVTSYRTGESSSGSLAFSPATSNFSSPDFDNRYRNHNLSIESMEQLESPGSE SFLSDTTSAKGKGRESFPYLPPLSFSVIRLDYEGDLSPTPGPSSYGALYSPTPVTANL PSSREISIASPSSSPEPDSPDAARSHLLRCQSLSNLSRPISPLLSTALTTPPVFGPSR TPSNISRQLILDQNANSNESPPLDPTAHTTALSSVISSSTLSVIEREPSSYPPAWYTV SKPKSPIVTTQPGTIHLPTPRSSLKPKVRSKSSPYPISVLDFIPATSSDLFEPIPIVI PNYFDLILPKELRLHILRSLIDVHEQDYLRSVREGRLTAAKATSSRGRWVGRDKGVRE LFKLSRVSKGWRSLVFDGELWIDVDLRSFHSLPPAIVVRLVQAAGTFVQSLNVAGHVQ LHADAMTDITTSLCLTVPQTPLSYTQITKANFQGCVSLTTRSLHHFLVRSRSLQTLSV KGLSAVTNTTCDILANFCPSLVSLNMSRCPNLDGHGIEALTLSALFRKEHLLLKELRV SGLKYVSDSVMQALGRAAPYLEVLDLSYSRQLHNSALDAFVACEGYTDNLLALETVLV SPRDLGRDVNDSTKLKRRVTRLRHLILSSCLLLTDTACANLAHSVPKLEFLELAGIGG SMKDTGLICLLSTTPHIRRLDLEDALDITDKVLATITPAPIPVEDASAATTPLKKKTP PPKQPGYALQQLNVSYATQLTDDAFLNLIHNCPRLAVLEADNTRMSAAVLREFVRVAR ERTTRHARIVAIDCRGIHEGLVKELAPMTRPRVGWRAYGARKLGFLDARDDNDDEMRA GHGQDEMDEERVVLKSFYSWQTVDAVKAAREKRRRATAARRTASESSTGSAGGVAGDF ERVFGGGGSGRARWWSPGGRRSPRAGGGNAGGEQDRNSPPILPDLNGEGCRTM JR316_0000392 MASGEQASTSSADKQLDVNILKEIAKKDLVNGAKTLVLDASLAG PLGLVTEVSLLKHHGVDKMFWLEPGPLTSSTNNIVYICRPRIKHVKIIADHIKRHAKE SRKHNYTLLLVPRVSTLVSRILEEEGVLGDVTISSYNLQFIPIAEDVISLEHENTLRE LWVDGDETIIYDSAQALLNVQRIFGHFPQIIGKGDYAQARRLATLLSRQNPKASNGSS PEPLSSSSSKIDSLIILDRRVDMITPLLTQLTYEGLVDELVGIKNCKSFNTTAGATSA PTASTSTTPAAIPVVAVKKDAKKKHHLTTATDPLFAELRDLNFSSVGKKLNQVARRLN EDYKTNLQGQTVAQLRDFVGKLGGLQTEHQALGLHTRLSELIVPLTRTDVFNKSLEIQ QNLLASYEVTAQLNAIEDLIAQGADMQIVLRLLCLASLTAGGVKAKNLENIKREILQA YGYEYLPLLLSLSAPSLSVLFPNPLPPSTPSSVAALKYPFAQLRKSLRLLIDDNPEAL DEVENDISFVYSGYAPISVRLVQCIAQKGGVISNPAEKEKASNEANSAGDQKKLSIGK VQAHPIVGWKGFEDVVSVIPGQTVEIVQKTSNNVVASGPLAALGSTTTTTVVFFLGGC TYTEIAALRWVGRQNKGRKFLVATTGIVSGASIIEGIAGIGQSAGPKTTAATV JR316_0000393 MSASHTIPALPLQPPTIDEEAAQFEARTQEMAEYFAQPRFKGIK RPYTAASVASKQGSLPVTPLPSTLLADKLFGILKKNADAGTPVHTMGAIDPVQMTQMA HNQEVVYVSGWAASSVLTTGNNEVGPDLGDYPYTTVPNQVHRLFRAQQLHDKKHYDER LSLTPEQRAKTPFVDYLRPIIADADTGHGGLSAVMKLVKLFAESGASAIHLEDQLHGG KKCGHLGGKVLVPTSTHISRLVSARFQLDLMKSTMLLIARTDSESGKLISSNIDIADH EFIVGTTTRSPEPLAEVLAKAEAEGKSGPEIDLIEKTWMDSHEMCTFDQAVIKEIQLS TIENKEAAMQTYHEVSRGKSNAEARAVAADILGYEVFWDWDAPRTKEGYYHYTGGVEA AIKRTKAFAPYADLLWLETKSPNLEQARYFSRKIRKVHPGKWFVYNLSPSFNWSQHGF SDVDLKNFVWDLAKESFVLQLISLAGLHSNAVTTGKRALFSFLDAQLMSDAPTAELSA RFKEEGMLAYVKLIQRKEKEIGCDVLTHQKWSVVGVPPYRNMTENCSECRSGANYIDR ILSTVSSGSSSTSAVGKDSTEHSF JR316_0000394 MDAAAASDVLTVTESIDRHPLVSLVSACFWVILWLLSWTKSLVA FVTISVPRFVYAVLSYSMTLTLGFWNFAILFICSAIALNYWIRFKYLNDYMQLKEPPL VKPDVNELHPDVNTGIDPPPTFHNYLDEFLQAVRVFGFLEKPVFHELARHLQTRRLIA GDSLSLDQDKSFYCVVEGTVQVFAQTGHAPEVQHSSWDDEDMNGYQLLNEVGSGGTLS SLFTILSLFTEDVQMSWQDEYPDVSLDDMASFDETNTTTLPRRRARRSNSDVSQYELG GRGTPQKQPIRRSSVSSTASTVNAREFRSPLRVPTEYSTQDDNHSTSGPPTPPSHPSK PPRPSQIHRGVVARATVDTTLAVIPAEAFRRLTKKFPKATGHIVQVILTRFSRVTFNA AHKYLGLTSEVLRTEKAINDIACHPLPPSFYEGGGLQYLRHRFDGTGSSASESESDYF SFSQSHPPPLNSLSSSSDLSKSRDSSTVSLGKINDNPIPIKPSFYKQQGKAATIKSPR QMVQAGDLFVAKGNGNDVFRPLSRSFSLLNTPRLGQNSLDNSDNTKKSVSQRSSRWSA DDFDLREEVMSCIAKSIGLLQPPMSGNESTEELPASPPSEYRSTTTKVPSTFNSPFGS LSLLDLGDDASSMTGGSITSMSNNLRGLDNEVEILFYAAGTTLAKAGELNIGLFYVIE GFLDILLPVDDTKKNQAPSFPKTPRPEEHDEYWRSPSGPTAQNSQQKLLFTVKPGGIA GYLASLCNTASYVDIKAKTDTYVGFLPLPALERILEKRPIVLLTLAKRLISLLSPLIL HIDASLDWMQVNAGQVLWRPQDVSDSFYIVINGRLRAITETENGEVTILGEYGQGDTV GELDVITSSPRRSTVHAIRDTELIRMPQTLFNAISARNPQTTAQLLRMIASRVRDEVD SSSTGQQRKAGKGGAELGYNNTNLKTVAVLPVSRNVPVEAFAKKLQQALEGIGASTAY LNQATIANQLGRHAFTRMGKLKSAGWLANQEQRFHTVLYVADSPVNSSWTQTCIRQAD CVMVVGMGDDPSIGEYERLLLSMKTTARKELVLLHPDRSVTPGTTREWLKNRPWVHQH IHMELPGLVLPIPKSAVPKDPDAVTALKNLKDKVQSEIQKYRRGTADVRPQRLPHTND FSRLARRICGRSIGLVLGGGGARGIAHLGVIRALEEYGIPIDHIAGTSIGAFIGGLYA REGDLISSTGRAKQFSGRMGNIWRILSDVTYPIVAYTTGHEFNRALYKAFYDLHIEDM WLPYFCNTTNIITSRMEIHETGYAWRFIRASMTLVGLLPPLCDNGNLLVDGGYIDNLP VAAMTSMGASFIFASDVGSIDDNSPRNFGDTVSGWWLLINRWNPFSNARHVPAITEIQ SRLAYVSSVNTLEQAKIAKNCLYFGMPVQEYGTMQFGKFEELLKKGYHAAIDILDKME EEGRLPAAVIDCKDGHIPGKKKGRSARRNSI JR316_0000395 MALNTPSLPSAKVIHVDTRGAIILSEESPETRDSRGIYLPNHIE PVSHIAVDVGGSLAKVVYFTRSPDPPSSPSIVATRGTSTASDISSPRSLSPTNDAVSC SSTPSSSKMTGALTPLVLEQPSSPIGNGLNGLTDGLVRDTYLKRSVQHFPGGSLNFER FETDNIQACVEFIQELIQRSAQVNGVTIEEMRKGVKIMATGGGAHKFYELFRDTLGVE VRREDEMECLIEGLKFITLIPDEVYYFSDELIQSVSHPTSSNSNSSVAASYDPSLPPN GRYSSTVTSSASGSSVNPPPLERPSPDPPKYGVTFESNPTPQLPCLLVNIGSGVSLIK VDEDGAFERVSGTSLGGGTLWGLLSLLTPATTFDEMLAFSEGGDNSTVDMLVGDIYGQ DYSKLGLKSTMIASTFGKVFKKGGEKGKFSPQDISKSLLYAVSNNIGQIAYMNAEKYN LDRIYFGGCFIRGHAATIATLSYAIRFWSKGTKRALFLRHEGFLGSIGAWIRNIDYED S JR316_0000396 MSPPFDHWADSSPAPAAPAVQPNANQQSKKPRHRHSPSQLAALK HLFDQNEHPPLEQRSALADRLGMETKTVNAWFQNKRASSKKRVRGGAAPQYDPQPITT AVPCPPPPPSMQKHDMSRHSEIVYPDDECLSVHSRSVSVVKSESFYAGHPDQAHFYTE SENMPRRMRIRPSPEQTEELRKLYNINPHPSTEQRTTLAQNIGMRYESVTNWFQNQRS LAKKRKEDEPDSYSVPKPEYPHESRHYSAFPPPPFTSSSILHDNSPPRSLRRSPSPYG PRTTTFARPRRSRPEPYQLDALKVLFTKTATPTIEERSALALEIGMDVGKVTNWFRNL RQTARKRAKKSGSGDDDDDDSYLGGDMYSASASRFGTPSFGSSSSSSVNDYSVDMDDF YMHNAHSDGSEEDDYQEAVTPSPEHSPSPPRSSINPQEKEYKSLDTLSMPYPVGTGKV SPTGINYDDAMLLISFQRGISGRDLHY JR316_0000397 MSPSKVEEPPTVEELLHSVKSLTSTNGTFQQAWKNSFPDKFHCC EQGGFIYIDFPIHRPIKKVYVQMSSKGMSMNQRTEGPNASIDLNRPGINRKNVILVAN FHTHPLSELVQGRAEPSTSDMNNAYYRGLPGIVISRKGIYAYGPTERNGTSNPKGYAP SVTMTGVPRPRLVINNHPPWTVDNQWPEGTGVEKPRTRELGIEEEKIEIPGLEKGKEI LARASHLLDKIAPHRHHGSDKEQEVQHDDVILVEWSDEGVEYGEHLEEHAHD JR316_0000398 MSTNPAISTTKLILMHASLAYLTDEIRTIDDIIHYPSSTGKPCL PNEILLMIRGWLFPSMTAQFMRQSVSALEAYEYSLCEMLCSDCLAYNVDIYGPDIWNW EQFSCACTPAGNEDPHGHRRARINGTYKQERHDCEQTINWKTIPDSILLDEKHFADPE DWLESYLSKEAGRMISRIGRRGRQHLLPGFSEYCRRSPSVNIWEVVGFVLRELDCEAL VGADRGHFHAERDIVQVIPLKHHTSPEMDCLSIESEPSITQEDLDWRAQAALHLAGRD MGLSLKYPETAGFEATGTRRPFVNPKFTRRLCWPTVSHSKYPGSSKDMMDALGMLRTL TSLAGACLTLPITCATLVLTILCFYSKRPLRIF JR316_0000399 MPRASKRRTAPTQVVEGSSKRRRTTLKPDPRDVIIIDSDVEQSD DELRDIISQIEAQEQVIKKSVRTQDKPVASSSKIAPIDLEGDAEFARRLAQEWASEDN NRGASSDVEIIEGPSTVVNISRSNVSEYSQRLSAGPNLKSPSPHFNRSKNYQQSPTKP DEALEPFKDLFTKTRRCSKCSKSVESPRGCVMLSESLMPLSMTQLLHAPCSSCRTNHC RGCFTPIVCPVTCKGPGRNAKCPVLKCCAEGRAIAIFEVLGGFDRQYNVERTASESRA LAIAKNQSKRTKKSVGPGGTGYGIDDGAYGYYGGGPKEKSNSRQKDAAQKWDKILLSA LNTLTELLPAPYADDPQVYDMLPQASIGHLINLSQIPTLLATLLRNDSVTDWISRKEI YNAMLSLLRRMADCELTVPCLIGERWEVASTCGLENWVWGNGEITLENSKTPPLYAFF KKLTKQSEAFMAGAAQMVGMGDADAEVDEMMIQGTSLSGDIVAARDDLERAIAVLGKS EHAERENRMEGAEGRPSDLQQLKANSSIGKGKGRDVSLDFDKVYAEACERLSFKHVSL GEASVSGDELNYSGYYYAAQLNQTRNSTRVPKDRLHLLKELAVMATSLPPGVWVRVDE VRNDAIKIMIAGPDGTPYSGGLFEFDCFMPIQYPTNPPLMQLKTTGGGSVRFNPNLYN DGKVCLSLLGTWPGRPEEQWSPKSTLLQVLVSIQSMILIDAPYYNEPGHGQANLKAPV SIQYNREISKQTVKWAIVEWLNEDHRNGIWRDVIASHFSIRKEKIRSQIVEWSKSDSS IRRYQTSHVYYRGPTRGRTTWEATPQNDIDLLAEFDKGIKKIESWCKEQNGE JR316_0000400 MASFAMVFPSKKEDESGLSSYYNNKTTIIQEARVFNESPVSPRK CRALLTRIVYLLYVGETFGTQEATTLFFGTTKLFQNKDSALRQAVYLAIKELAASAED VIMVTASIMKDIQPNSEVIYRPNAIRALCKIIDPSMAQGVERFFKAAIVDKNPAIASA ALVSAYHLFPNAKEVVRRWVNEVQEAVSAKSSSSFFGSGSSGGYLGFGGGSQPSGPQT IPSTSFIAQYHALGLLYLMRQQDRMAITKMIQQLGGGKSGAGTTLKNPMALCMLIRYA AKVIEDDPNVQRQMLELLEGWLRHKSDMVNFEAARAICEMKNVQPAQLTKPIAVLQVF LTTPKPVLKFAAARTLAALAVSHPASVATCNIDLETLIADPNRSVATYAITTLLKTGN EASVDRLIKQITGFMSEISDEFKVIIVDAIRSLCLKFPAKHASMLTFLSSVLRDEGGY DFKRAVVEAMFDMIKFIPESKEQALSHLCEFIEDCEFTKLSVRILHLLGVEGPQSPQP AKYIRFIYNRVVLENATVRAAAVTSLAKFGVNSAEPTLKKSITVLLNRCLDDVDDEVR DRAALYLKVFKVKSLEETYVKEESVFSLSALESKLAAYVKDPSAAAQPFDASSIPKIS RAQAAQEVARPSTLDTIGAPVSKVAEAPAAPSAAEKQSTYAQELAAIPEFAAYGPVIN SSATPSQLTESETEYQVNCVKHIFKEHVVFQFNLSNTIPDTVLEQVSVIMQPQSDDTG LVEDFIIPLPALSSTNSPGVVFVSFTRENPDVYAIASFKCTLKYISKELDPSTGVPEE DGYPDEYEVEDVELSAGGDYIVPSYSSFSSEWERLKSAPNATETFALSAMESLKAACD SIIEVLNMEPLGGTENPSSTSVHTLQLSGLVTGGGGKVLVRCRMTFSRGEGVTLELGV RAEKQEVCDLVLAAVGG JR316_0000401 MADTSTHRLDLRVGGKYRLGKKIGSGSFGDIYLGINIISGEEVA IKLESVKAKHPQLEYESKVYKTLAGGVGVPFVRWFGTECDYNAMVLDLLGPSLEDLFN FCNRKFSLKTVLLLADQLISRIEYIHSRNFIHRDIKPDNFLMGIGKRGNQVNVIDFGL AKKFRDPKTHLHIPYRENKNLTGTARYTSINTHLGVEQARRDDLESLAYVLMYFLRGA LPWQGLKAATKKQKYDRIMEKKMTTPTDLLCRGFPNEFGIFLNYTRALRFDDKPDYSY LRKLFRDLFVREGYQYDYVFDWSVQRGAQEEGTQGSGSKSGAPRRKVVQEEDEHRASD RMLRSHTRQAQQAAPGAVGQQRGARRDAELW JR316_0000402 MAYRQNTDPYYANSPYQQPQRQQTYPQPGQQDAYPSYPPSNPGN TGVVDYGAHNQGYRNDQDQWDNKSAKSFQSSYAGSQAHLNPYEMSQVNVNTPPVPNMP YAQQYPPPQQRPGVYRDQSSAGWSTARDKLMKRRSVKQVQLFQGNLVLDVPVPSHIVP AGKTDVEEFSKMRYTAATCDPDDFKSSRYSLRQFLWGRQTELFIVMTMYNEDEVLFVK TMNAVIKNIAHLCSRSKSKMWGPEGWKKVVVCVVSDGRNKVNKRTLQVLNLMGCYQEG IAKDSVGGKDVTAHIFEYTTNVIVTDTGEVSTGSCPVQVLFCLKEQNKKKLNSHRWFF NAFGPLLKPNVCVLLDVGTKPTGTSIYELWKCFDKHKNVGGACGEICVDSGRACGEVL KSPLVASQNFEYKMSNILDKPLESVFGYISVLPGAFSAYRYRALLNGPDGKGPLASYF KGETMHAGGSGAGLFERNMYLAEDRILCFEIVTKKREGWVLKYVKSAKAATDVPASVP EFISQRRRWLNGSLFASIHATVFFFRIWTSGQNFFRKIFLQIEFIYNAIQLIFTWTSL ANFYLSFFFLVSSATKDSGDADAFNFLSRGAGKAVFEIFLNLYIGLLFLVLVCSLGNR PQGSKWAYVVAMVLFGLCSVITTWCAGYTVYLAVPHNVEGWKNFPELIRTNKTLQEIG VALLATYGLYFISSFMHFEPWHMFTSFTQYMFLLPSYVNILMMYAMCNLHDVTWGTKG DNGAAKDLGGAKKVKGDDGKELMEVELPTAREDVDQLWAASRNALKNKPPEEKEHRDA ATKQTDHDRNSRTNVVLAWVGSNMLMIIVFTSTTFTDWVATHVNKSGETAFNPYLTFL FYAFAGLSAVRFTGSFLYLVLRLVGL JR316_0000403 MAMPTDSLSPTWRPPKSPLPPHRLAKLANALGVATPIPAAPPRP AHDPLPSPVLDPHSRLSPTPSTAASARSFAALQPPTSKFLLHVVPPAHLPHRAADPHL RRGTLVPVHPTLHAQLGAIAKEYALPSPAGLVLYLVTQSQEAADEPGPRLSEDIWRHL WTRVLRAEQRDDVLSPSLSPLSSRSVTPATPRTPFALAPAARSTPFLPQENAPATGPL RPLLSISSTAPTDFPPYSPQASYSSSPSSLSDIRAAHNKSAPPSSSTSHSHSEAEEAS TPDTSVVDDASGLPLPGLTSPSLIPILAKVEFDIDKRKAAWYEPWLRSRRANQAKRAR VEKEKKGKAEQREGSESGDAEEEGTRAAPIELLMGKKDTKDPFGLASAPVTVPIEPEE EEEVAAENGYARLSDDDSDDDDEFAEENTARVMPPPPPDADPLSDVFGNDADTWAEIH AERPKSKHLSNPNIVPLALTAQELEDDSHDQNDHHDDNDDETKSTKEEDEVFEMLDMM GNPKLAMSLPSPEKPHSPDMGATPRKIPPPLVLKGKDKSVVSALVSATKEDSGSSAVG SPGSAGLAYLREGDVSPQSQGSTEEKEKAEEVYVTRVRSPTESLEKRAGAVFDDLDFD LGLDPTEDFDEDDPHDRRRSQYLMRAQLDDLEKTMAQLSPRILNLSLEDEPHNMSFGS SATGLSPRSMGAKTVSLSPGRNSDLLPSTTLTGSPRLPRHPEPDPADGPMSWPAVPFS AIKDREASSSSNADAPPSPPRLAVNGVTTSAPRSYMPSRAPTGSGLSESERRKKLEDN EQALYPGSSSVPPPPNSNNNTITINSSGTGAESPVIPLSPDPFGRHGPSPSPSSPEPI VMTGAQWDTMTIGKGGGLSPDAFGAHQQHLHSPFLAQQQQQPMPMSMQGQGQVQGRER SNTTTSRFSADSLKGDEVAASLASGSSSSLATASSGSLAPAKNQNRATLMSVKSIKKL WRKSNNKSQGGSSASNTVPVPALPPIQTKMQNQNQTHVRGQSSVSMPPPTPTSASMSM AQKAAASGRTSPLVPPQRPTRPSEEQLDLPDVPDIPASAHVHAAYPASGRASAQSMAS MTVPQAQAQMPMNGAGVGAGGGRLSPQPSLSGSVGRPSLDGHRPSLEQQQFQQQQQQQ FQQQQFQQQQQQQQFQQQQFQQQQPFQQYPHPQQQQHFHQLSVPSYPGRNPNPGPIIT PHMKAGKAATSGLDRLHFDQESPYPMPARRSPQPQSQSMRQSPIPTSPPPLPGHTIVS SDQEQQNLARKSILRWKSAAASTTAGSAPPTPVELQPRSASARGRRPSAANLGGSSSS SSSGAASARASVTSPDLLPPPSPQVPTQFADHRASQRSRLTTSSTEDAASGSYSPPKR QASLLSQASSLDARSMASSRSRDSQGSRPSFDASQFEFVSPKAGGTLSYPYNTIDR JR316_0000404 MDPHVDDPAASVPVYNFYHSTDHDSAYAMGYPPSLASYASIPHP SARAQIVPDIHPNYLAMQQQQQQQHYPGNVFASSPALSNTPPPPSPDNYEALSPPLSG SDTSADGLYHHSNSSSANSPSSSRGHSLVHRTTPNRYNPPPSPSSSGSRHRRGRSQGD SDDEEMGLAYNAVENLANTRKEATRRQRIEAEQRRRDELRDGYAKLKDALPSSNQKSS KVTLLERATNHIRALEEENQQLQKRIAELEADAQRLRALNEKISLSTEGTPSPEHAAT ALGGRPLSPPPDVLPGSNPTAAAPAHSLVSVRGQHMSSEHSSPSASEGGH JR316_0000405 MIRQIYGGIGLGVAAAAVISMFALSNRSYIWIRVVKFLWPFVIV ICAVRAIIMIVQLQRGQDKITWECNNGGQLWNSTMAATTTTADSGSIPSGFCTLGFAS LNTAFIISLLVDLVFQFYMFFLTWRFSKRLEHYSTMKGPFHGGYYNAY JR316_0000406 MSTSTSQILNIDFDPKAPFPDSVFESSFGYMDSGLDYFQYPPSS PLGVPVGLPQSSPNMDYMPMNEYTYTSPYGPPSPQRPFTPAESSASSSSSTGVAPQTL SYPLSAGELSSDGMASGRISRGSGSHSPPAVSYAATVPRSHRFNPIAVPANRPSTRAA AAHKRTRSTRDHDDSDDDDDEEFKPGSIAGATDAPRDTRRETVRKQRIESEQRRRDEL REGYSRLKEYLPASNQKASKVSLLDRATSHIRYLEAVKEQLEVRLKSADAEVARLRHV NEALMLGRIPGAPVPAAAYADCLPSMNPADITAPTKFS JR316_0000407 MSDTAALQRQLRIKTGVVQRLQKESKVYIEETSQLEARLAKLTA ENADEWDLKNAGKMVDESKKMILDASTRLGKAVEDLQGLVTSTKEESALPADDEALVK AEEVIKEGTTTA JR316_0000408 MASTTEHEVDPTEIDPIWTTSRTARQIYALGEVDKTDAPGDNLP QGEERSEQFVLEVSEYFERLDSIQVAIRSSLAHIRHSRIAPSAINAPPPGFVPPSLGV GLPADGNVLQTNRGLQEERVERDAWKGILDALTRLKDARDQEFRQNSQSGPSANGVHG JR316_0000409 MANSFTNTFLLFTILIVLLPVETYAFGAGDIPDFAYLNEKAFRH GDIENILETLVKSAGGAAMASHGSGLLGKLASTVINHATGGSKFTKSDIKKVYFGNWL RDYSQAMDIAGLSKLSADTLVLVVSILGFMTFGFASQEFEVTADRLGVYLPVEHIDNP KGYAETEGDARKYHPKLRPPVDPRELEIDERTGMKKYMATEDQGWDTSTAFIRRTLKS CIEHGRRAGGNENADLWEAYRLLGTGLHTLEDLLAHSNWCELALRKMGHNQVFCHVGD QVTVNTPNGHAPPLVTGTFGSADFLHSLLGEAGDKLSQASVTDLSQKIDNAAQSGNES KIIALKNILSKFGGGNDDKLNQGEKIQQETKAFDFNPDSIASPEIQKKLLDLLRWRDD VMRDIIKKIEMVPGLSNLLEELSNAMNEYVYTVLAPYLGPILTQATAVLDEGSKAVID NDDQYEVFNNPRAHDPSHSLLSKDHFGLILNEPAGKIAQIVVENTVNLIVQAWGNNDN PDHTLDRILEAFHHPYYASGRSEIQNKMYDHMERWINGLGRDSREILDCLTKESVRNH KNKRPGLDNTVEAGYGSCGHGTKPIHKPAVAVAGGAAAGYAASNTYGQQAHGRPSHAD SSYGQNTSYNQTQQQQSSPYRRNDSSNAYGASIGGYGSQRRNDEDDPYGGSQRQDSHG GFGGQRRNDTAGYGGRRDDIYNQTAYGSQSRRDDDDGRLGGSQGYGRQNRRNEDEERG GNSQGYGHQVRRDDDDTRRGGGQGYQARRDDDDDRRGGGRGYGHQARRDDDNDRRGGS SGYDHLARRDDDNDRRGGGQGYGYQARRDDEDDRRGGGQVYSAQFDRPSYGQTAYGQD SRPHKSGRDRDSDSDDDKKHRKNQPQASYGGYGRHKNDSDDEQKSHGGHRQAAYGQTQ QQEYGGYQQSGYAPSYGRQPQGHGQGSSGYAPSYGGRNDTFGAENLHIGGGRNRRGGD SDSDDDKRKRHGGRHGGY JR316_0000410 MPSKSIFRQPGAKHFQLVHRSQRDPLINDPDASDRVLKPFEREN SKKGKSRADLENVLSPEDIVDARGNIGEASLYGVYFDDTEYDYMRHLRTVGVQEDGVE SVLIEAPSTSTQKSKNRRKNGVEMLDLPEGVLASTSELPRTYESQQAVPASISGFQPD MDAHLRQVLEALEDDAFVDDDLNDDFFGELVADGERASDEEVDFDFTEETENAAQSEP DGEEQISWEQRFANFKKTQSAPPRSDDGYDSEGGDTVGTLPAISVIGGKGKKRRKGTS EASGYSMSSSSMFRNEALQTLDERFDQMILKQYNEDEEEEYQTGSNDENDSDEAPELI TSREDFDSMVNTFLNDFEILGRKMKPKMEGENGVDKLDVLRRALGQDERVRIMDGEEA EVDDAELDAQLFPEDDKKDRWDCETILTTYTNLENHPRLIRARDMTPGPKIVLDRKTG MPSVLKTAESKKLNSKTTRVSFGPSTDESNDTESDEGTVRRSAAVGRPRDETKEEKKA RKAAIKAERQTRRAEKKSTKEQFGAEVKDQKKRIVNKELRLKKL JR316_0000411 MGGPSETQDCHRHPELKRKIYSALQESDEGELSIAIPAEVALKH SSHSSTGISFPNDVSTPEPQTPGFNQSNGLVPEFSPIVVHIAYSLRNPVDGFEFVLPS DAYPFRVPHAYTSPTSPDSARCWVPCLDNLWEKCTWEFEFVVPRYLEERDLQNDDDEL SEASPTIVVCSGELVEQVAHPYNSNKTIFLFSQAVLTSVQHIAFAAGPFHLLSIPPDN IAEEPSGSSQPLMYAFCLPGQEQLLSSSTTCLRSAMTFYTSECGSYPFGSYKIVFVDQ LPTQRFDSATLSIVTTDLLHGEDALEQAIETRHALGHALACQWAGINIIPKSWSDLWL VNGLALYITGLFMRKLFGNNDYRFRLKKDMQRVVDWDMGGMPPICQPQVLDPPDSVTL PFINLKAPLVLHILDRRLGKSGTSLGLSRVLPKLFLSAISGELQNNALSTHTFLRTCR KVSGVDPRSFAEQWIYGSGCPSFSFSASFNRKKMAVEITMRQEAPAHSVLENNEISKL LMKPVPFFEGQMTIRIHEADGTPYEHVLDIRSPFKRYEVPFNTKYKRVRRNTKRYLAR QAAAQAAAEGDAEAAEAMGMVDMSFGLDIWEKEQERENWKVADWTEEDEGIMSGATYE WIRMDADFEWIANIKFEQPDFMWVSQLQRDRDVVAQLEAVNALAEKPTAIVSSTLTKT VLVSSYYFRIRCEAATALVNCAVRKLDFLGLFHLFKLFLRYCYDPEDPNQDLFSHKYV PKPNDFSDLSEYFVRKSLVTAISQVRFENGKTPSVVRQFFIDQLRYNDNTSNPYSDGF YISTIISAAAHANVSTAPPERGELLPSETRTEYTAEDMDLVKQTRTEVDRYRSMDRLI PSPHNIVTISALEFYMMLGIANLVPSHPRIFFPFTREGNFTQVRIAAFDGLFLTKWYT PQIMRYILAVMANDPSKVVKRHVARNACYSLALLVQMGEMKSTSKEAEALLIEEDGNS QEKAKESKRSEMETMIKVLRKDREVGKNEILREFLMPIALNPDLDHEVRWCILKLADL LIRPVEESPPSVKIHIPSTPVLDTAPMLPAVKVPPKVPRVIKSGGPPIKSPLVTLNPP TKLKLPASPMLESTSLKPLPPTPVPEAPKKVVNFAKPDLPPKVPKSLKARPSTTPAPV HSSTSKVAKVTTKPAHVPKAQSGGMSLNDLKATRAALKKLKSNKHAVIFLQPVDPIRD HAPNYFNIIKDPMDLGTMGAKLEEGLYKDRFAFQADFKLMVNNAKLYNVAGSFVHNEA IILETIFEKQWAVINKTLAATDNARPPPPIPAASSPPVVQAPKPQPRIISASRTPAPP PSAPSPAERRPEPQRNETTSSVASTSRPMIKLKVASQSKASVEPNERAPDNTKPLKRK SKPSELPTVLDAPPPPYVDDGSHDILQEVLAIEREKNEQRHRISDRERPESATSSGKR RKLDVEEDILALAATSKKERPTPPGPSNNIKIHKNSPVSTPRPSEPPAKPKKDRLAES SNTSRSSVPIDQPLPPSLKGKEREVSKPSPSSTPTPAPQNKPRKPTQTTPINVKKCKE LMKVLCKLPESGIFLRPVDIVLDGCPTYYDEIENPMDFGTISSKLNDGRYVTMEEFKA DVELVFSNCRQFNPPGTSPTIIVAVVEKVFRKEWPKAMERKLSWTEKRGLQGVLNTLS KDPMCWIFQNPVDPIALGIPTYFDVIPKKDARDLKTIRQKLDTDKYDSVEAFEADVEL MFHNAIKFNGIDSEVGVITVSLREQFRTLVAGWKSGPSKKRKEGDHGTPQPVAKKLKT G JR316_0000412 MESPTASPLVDSVSGQSSRSRSSTKLNDDIASLTSFNPFSEEDE HDQSSYTLVTSILSRVKNSLSAPLTSAAATTTNATTSNNNANTVTNTAEARRPTYATI QTNSSNFSSRTSASDRPNPLVAAPAQAAPPLVSLTPAQSELPTYTVEYDRSTAPKTPY SPGIDLADNAPFGTSIPGFPIQDDARSIKTTTSIHNRSGSVSKVMRRLRGEGLSRDYW MDDENCKECYDCKSIFTTWRRKHHCRICGQIFCSRCASNIIKGARFGSEGMVRVCNLC LEKLAKVEEDDDDDRRSVISSATTFPAHQMGIESFTSLPHPQSPFSASHLFANEPFNL FSIAETRKPLYGSDGSFSRPETPLMREGEDGVWESVRDNPAPFRRALSDEEKEHQESP PASLPVDHTVPNGKIKKQLGIDFPASKPIDIDASQSSIQFPIGSPEQLGTPRLQLKSR TTSSHPYGEFDVATPFIRSRVQSRLEPQTLEVEPGWRMRRESTAYAQELNLASMHHLK IMLRQMLTSEQIPNIREWEETLLRLALRIAREMTFTSLPHRQGQDMDVRRYVKIKKIP GGKPRDSEYVTGAVITKNVAHKRMSRLQANPRVMLVTFPLEFSRVEGQYMHFGQIVRQ EKEYLGNLASRIAALRPHVVLVEKSVSRLALDALAQHNIAVARTVKSSAIQTIARMTQ GDVFSSMDKLALEPRLGHCARYCIQTYDHPLIPGHRKTYMRFEGCNRDMGCTIILRGG NIDTLRRIKKVTRFLTFVVRNLKLETHLWKDSVISLPSDNAFAIPLSESRQLQLDVSM NSLPSANFSAPKLLISSTEPEKKDGVVSPPIDGTEEDLPNEDAEQLRLTRRIDDSLEP YTKTFISISSTLRFPPPYPIRRMKELDNKLLEAKRAWEDEIVRKEERFQSMHRHEETL TALTPTGNGLLTSNIEECEDIFAQIEALPVLNIPSTPLNADGFPTASLADQDSYFSLP TSSPSPSKPTTPALSAVSSSFLTPEEPECLKTVADIHHQSYYTFLKWQHEEFRRIWEW YLRKNADDFVIEKYQCIHVREYTVSSADVNLHRPCFAPRITYIKFYGENDMTLGQFIE KSVNETLMQYQDPKAICTGKGCEQPLARHCKVYVHNETSLSVAVEQWDGQIIGYPSYD LVTTWSACRVCGSATPFIPVSEEMMRYSFAKFLELHFYPADVLLVHGAGCQHNIYQHH VRFFATKGMTVRFQADPVVLQEIVYPPFRIRVRPETQLELKNADFERLHYRNMLWYTG LIDDLKLISIDAATGDEETDARLLADINALITRAESEREDISRSINETYRNTPPTDTL ALNQIHAYRQDKIVAWQMDFDRLPKPRPVQNSINRNSNRSSAFDSIRSMWPRRYDLAN AFDSPHLPSSSVSEAEEVPYKSRRIAASSSAFASSASDTESSADNNERGEKLFLNSNL QENTEGVSENEVHEDLPKSDPDSDSTIGAPREEVANAETTNIIKDDGKFEPTIDELIS RPSRVPRRLNSNMSVADLVKKYQDFLPAQGIHELTRTAFPPKPAMSESEQEYPSQLTL RQPIRSKSRHRIPVRKASTSDFEQGYAANVAPKYLTHSRRSPAVTNGSRIPAPKGSNL ESQSSSRRHSPEKRSFSAHGKEVRFSRPSSPSGKQPVPPGPKQPKPRIVSRTKDKPPP RPSSSSATKSTFRRLPSGTGNKVSNIAKHFERLGRDAERSKSRYAVIRGKRARPVASA RAKVEVLNSVKDAIRDDSESSDSSSEADDEDEGNDEDQPATATSAQTLPEKNEELPTE PVTKVIPTIDLASTEHLPIGQEEPTTSLPPPGQISVPSSPFLSATIRNKQDTTLTPPP SDLELTGGTERNSILKALSGFWPQPVRHSVEGDDPMSDPEHIFRDSSMVVRLDEPTSI IALALNSPQYRDLLAKSRAEKKTAREAKISEGSEAFMPDDGSVGDSSSTWGVVNVDSD SADPTEDLRAPSSKLPWAISFESGGLTISCTVLYPEQFDALRRTYDCEKSMVESLSRC VKWNASGGKSGSAFLKTRDDRFIAKELSKPELQTMETFAPAYFDYMSSSVHADRPTLL AKVFGCYKLTFRKTGKDKGPGKSKSMQMNLLVMENLFYDRRFTKIYDLKGSTRNRHVQ STGRENEVLLDENLVETSHLNPFYLREHSKRILRGALYNDSKFLSDINVMDYSLVCGV DSQNNELVIGIVDYIRTYTWDKKLESWVKESAFLGGAGRGEPTIVTPKQYRQRFISAM ERYFPLIPDRWMKQRDTPEEESSIILSELWPDW JR316_0000413 MDDDDADYMQGSDDEDYGFDYSDNDDANESGSVDVENMYYKAKS KKEDSPEEALKEFRAIVDQEDEKGDWGFKALKQMTKLLFQVLHRPSEALKTYTELLTY TKSAVTRNYSEKSINGILDYVGGGKSGVVEVDVLEKFYQVTRKALEDAKNERLSVKTN LKLAKLWLDRKEYTRLSKLIRELHNATTSANEIDDQSQRGTQLLEIYALEIQMYNETR NVKKLKEIYNASNAVRSAIPHPRIMGVIKECGGKMWMGERQWNRASEDFFESFRNYDE AGSPQRIQVLKYLVLANMLTGSEVNPFDSQETKPYKTKPEIKAMTDLVDAYQRREVHL AEKILKDNRSTIMDDGFIRSYIGELLRSLRTQYLIDLIKPYTRLELSFLAKQLNVDIE EVEELLIGLILEGKVEGRIDQVGMRLELDRNLEKKRYAALSQWTDALESVHGVVVGKT ASTSRGPDPVMLGGESFGVRDDRWS JR316_0000414 MSIYYNVYSKPEMFDNYKSSAANMSNSLIRSAKSRLPIKTLAAI RHYAAPGLAEIQNDPQKEIIRRVLYPANLRNKATPTGTWRPDVGRALRLAIPSVQAHE TIERAWLLHKRHIRKAREAETARKFECMKRAMDVLHEVDQRLFMEANKKDDPRARLPE EVEAMKNMKVSHIRALEARIRGLFPRELRIPTDTPSRTGWKYEWQAINRHI JR316_0000415 MLSPLLRQCVSSPPCTASRARLFSTSVALQYNRKNNASTIRVPS KKAQAAKARRKALLAAKEDSRFLKLTLEDAIAVLRAVEVASPKSTYELTVKTSVGNGV AVPRGRVNLPREAKAKTEDKILVFAEGRIAEEAKKAGAHIVGGTELIDGILTNRIRAT TILCTPALIRAITPKLGRFLGPLGLMPSERRGTVTEDIAGYIQKLHGSSEWRADRTGT IRAPIATMSFPVEDVANNFRQFLLSVKRATGNLKDSENTDRKSGGAKPVTPITRVLLS SSKGPGIRIEDY JR316_0000416 MLRKSFLTPQRIGNRISLRYIFYGALSTTGARRYASPKPTLNLD PSYQALLNDINIALNSNKANPPVHRELEIIEGSSSQVTQELSVQDWLPMQMPLEPTIE DDSDDPEHRKSPAALFGSKRISMVVLPEQLKSTVDQLIFEEGTLALRGDSTRLFKAPE DESGGGQWDTEYDVKYSSREQAMRHAIRDGMAFATVALPAHYSAIMSVLQHIKQRLEP SWEVNRIIDWGAGTGSGLWASVYSFQHQSNLDSDAVQDAVIEKSKILSYVGIEKRVGL ASIGKKLAARVPTGSIDISWKKSFNEQQHKVLREEGPATIALSAFALTSLSTATAQKK IVQEMWDSGAHTIVIIDHNTEKGFHAVAQAREYLLRLGNEEVEDPAIAKLDVVGSHVL APCPHDRPCPLLRPGGVTLTCGFSQRLQRPPFMRHSKQTRVGHEDIGYSYTVIRRGPR PTLVNTSVGRVGAVGKRAMEMARFANEEMRELKVELDANDTVNNILTAEIPETEGTIT LQDDELGDSDLQVALRREAYEWPRVVFRPIKNPGHIILDSCTKEGQLMRITIPKSQGK QPFYDARKSNWGDLFPHAPKNAPVERHQPRIRKGNRGSGADIGKRKDSFKARQKQSYG EIALSVRERKKQSKQQFARTRGDKVWQD JR316_0000417 MSSIASKRYGVDGDEESMEEDRPSKRARMSVEPEDQTVGSRPQD KSTGKEATVIEQGDEEDENETPDLSEETRASDLYLDTINRASLDFDFEKVCSVSLSNI NIYGCLVCGKYFQGRGRKSYAYAHSIHDDHHVFINLETTKVYVLPDGYLVSDPSLDDI AYVLAPTFTKNSVSSLSSPSHLSRLSYDLGHKPYLSGYVGLNNIKRNDHLNVIIHSLL HVPPLRDYLLLSNFRGKEPELLKRFAGLTKKIWNPRLFKSQVSPHEFLQEVNRASGGK FSLEQQGDPVEFLGWLLNRLHKDCGGTKKKDSSVIFKTFQGELRVETQQVLVRPESGP NEKPKFDIDRELKTSVSPFLFLAVDLPPPPLFQDAVEKNIIPQVSIHSVLAKYDGRTA QESPGQLRRYKCQRLPPYIILHFKRFTKNIFVEEKNPTIVNFPLRGLDFKEYVDAPPT NSPTMYDLIANVTHESVAGTTRDKENTVWKAYIRAGGGGGDNEKWFMIQDLIVEETRK EMIFLGETTLQIWERRKDV JR316_0000418 MSAIRRATPFLVASTAGIVSGVYIFRPLILQETEKRRSTGTPNH QNVIGANDLPKGQDSTKNRETH JR316_0000419 MSNPVTSLHNYLAKTQSTSSLSWAETKKGTDHAPTWLVECKRVV KGTAEAPTINQAKQEAAKKALAAIKADLEKK JR316_0000420 MSQTSTGTSRLNIYLQAHGRLTALSWLESCSGPAHERMWTCVCK INGVPRGEGTAPQKHAAKNIAADIAWAYLVGASTSSSGTS JR316_0000421 MSQNQGDIQERIAAARREAESLKEKIRAKRESSADTSLRAMAAE VDALPRIVMRPRRALRGHLAKIYAMHWAADRRHLVSASQDGKLIVWDAYTTNKVHAIP LRSSWVMTCAYSPSGNFVACGGLDNICSIYALNNKDGTSAKGARELSAHSGYLSCCRF INDRQIVTSSGDMTCMLWDIEAGVRVVEFSDHTGDVMSLSLGPNQNIFVSGACDATAK LWDIRTGRATQTFTGHESDINAVQFFPNGDAFATGSDDASCRLFDIRADRELNAFTHD NILCGITSVAFSISGRILFGGYDDWTCNVWDTLKGERVGVLTGHENRVSCLGVSVDGM ALCTGSWDSTLRVWAYLEDTSTHREWFESRDVLRLPFLVIHIDVVDATSRFTNVAHFS FTFTYIAIVGKQLQAFNMSEEDRAAKAARAKALLKKRQQKKAADSVAAVVSSTSGSPV VPSRTFSPAPEEDKRDLGDVFSADTSDTSWLTSLPRVTSSPPPAHIPPKSPPPGRRVS LVSPQAVAVPNDHPASSSATLLSADPATLQVQLGLLSKENETLVATIAQLRQFETAAQ EAQTLLEAERKALQALQFDYSKLQSDTNIALANERETVSLLVTEKAHLTSELQKREEF ESMTVTDNIAPEAQALEEQLETEQTRSKDLTTQASELQTQVQDVSQRLKQLEFREKEI LDRCKDHERQLHIATTAGAESRKEADEAQRKLRELEDQIQSDDRVERLESSLKNTQDR ADELEFQVSKLKQSYASLKSEKEALDSQLNSSKAKESDWTVQRSKLESQVDQARKELT AIQSERNAIIAEKSKLQEVTETQSNTINGLEEKLTLATSALAISAKQAQNLQQDLKNA IRRADDAENTQRNLQAEGSRLMEAVDEMRPKIVELTSTKLELSERVENLEHTLRNRDS VISQLENDLGEAREQNEQIEATWKKRMEEQEKRHKETQNGVTDIQKAYTELQEELNSA LASVKNLETQRTNQHQEASRLLEEVERLTILLQTQGEELDAVRHELEARNEAHEEEQD FLERAQNEIETLRAEISARDSEIEHLRESTKSPQSDAPRSLDDELLSSIRQQHAIEIS AATSQIRALENTIFDKDSINHSLQKQINKLEEELAHLRSNSRLGPPSRPASRSLENDV RRSSLHSHRGNQPPLARTIFDHAMTPETRHKRKVSLSMLKARIESEAKPISQPASRAL SPVHSEGHSRPSSVASVPHIHRPQFLDESHVFWCHSCQGDLVIL JR316_0000422 MSLSTATDPSSNAIDDVVSPGQTRRVTPRSLVISPNLDALLEHE DRIRAQISNTAGGTEPGTPESALRVSPQHGELPGLPPPPRRVKGPKWPHLAAKGGRQT LEMEDKRGAETVSRVMGAASSSLSNPYINPAPTLEDVLMRVEEEEVRDGSESVTLLAL PWTPNDGSNARQQSHPTRTYVAKLDSPSSLSPLRHPRSAVRLLTKPMSQDMPRAAIAN FNAVPYPLGPSPDDPDGDGVVNTPWRRRPLLSHGPQSSISSMDSSNTSSIRPASTEEN GPRFSISSTIYPGSSDQSHQHSLLNEPISYDMARNSFMDIYSPANPAFNFGQHSPSDS TQEPLSPISFAPSPVSENSFIPYPDDTKPKQSTKPPLPTTPKPIFNRQTMKSRQTSPR RSPPPLALHDQTTMDTQLPPTTNFLDVDERADLVRKSRKLARVFGQTPGADAMAQQDT GRDSSDPSSKPRRRKSNAVYLDGMRRHSMPLSPDDVSFLSIVSPTLEGYPAPPRAKSK NSSAIYSGKSNDLHQPISQIKSGSRTSFIDLSDDEKEVPVSASQPSEKLLPESPPHSL LETMSLDDQPDDERRRKRERLAKLHRFLGSRVPANLVLGIEDLEASLPAASMAPSAYR GSSSDNDENSRKAWLRRRRSNSSSTPQSTRPDELDRVKEDLDDKEKALNVRRAQKMEK VFGVAPPLTLYHTRHCPSPSSSTPPIPIGVLSDSALPATKTLNMSLTYIKPKTKKTHR PGTSESNRQLLPKGKDDHGFEDRGAHINIRHSLIYNHYQHSLNSLNDILDRDDRESLA ELHDYLNNTDTPTPKADEDFSTRLDRRASIASTIKSERRRSLPARTSMISLAGSEYSV TTPKAEITTFQLRRRRAAKLTQFFGVNYRELINDVLESIESGVEHEQRRGTLRAEEVE DLRTRLRNLKSKRQGLF JR316_0000423 MLRALFYAYVLGGITFVPLLIISVIFITIYTSVPVGDADVAKKT RERLETTNKEDGEDGGKEQASPEKLSNTEINDTPRTRKGWLTMRRTFEETAGDGSYVT LVRSFLDSRSKDPKRSRPKDMWYVVLKGKVLYLYEDEDMSECEAAVELSGHQVVIYPE DLPDGELFAKRNAICLKPKIIQSNCMPSVTRQMGHEQESVEDRLDSSNGKKRDVQREN KLDSEKVKDEARKEALDPSTPWFIFVRSNVEMEDWYFALIHASEHPAQTPTLSPLNSI FSVDDMNHLVSTLDAQPDVIPMRWLNALLGRIFFSHYRTHNLEAYIIGRLMKKLSKVK RPAFLTEIAVTQVSVGNKPPMLSKPMLKELTKEGDASMEVHLQYKGEVRITVEATAVI NLGARFKSYTVKLVLAAVLKELEGNLLIKVKRPPSNRIWYAFTQTPRMVLEVEPIVSD RQITWGMILGTIESKFKEIIQESVVMPNMDDIAFFETAPYEHRGGIWPEAGRKPHVST TVPSPIPDDVQSVASMPLPDTSSIISKDSTPSTSSKHTEETSTNSMERSVSAPVDIPI ISTDLVDDHQDSANNSTRRSTWFNSLRSEDLNSLTTDSIFPNVKEGSSDGDRGRTVEQ ERNSSPRSQSTPKSSSPRSLTPERSVKDESEQLRTSQSSRRSSSSQFSYSAGNYSENE ESGIKPKSVPNTPRKNSDAAQIARSASPPSFFSTLKSKAAGADKQAISNSAKEAIRKW GANWAGFKKDSASSSGEDGPQVGSSPPRSDGSSTLAQKARASYAEVRAAVAERKERAP HQRGESSDTLQSAASPTTPRARVMSSPNQALPSNSVYSEVPANPSIITSAARLTTPRL TTKKSSPSLSGVETEVDLKDLPEANEPVRHAPIHVQPVAKTMSIPGIHASHRGEVQSM GHVAAPQPPAQSDTPLLKNPAIQSVYRLWKNPSNQGHDSDTRGQPEPNVQQQQQSIDD PMRTETPDDDPPSLAPAAIIVSSPTHTMAKPTPPPLPPRFPTMRPATIVSPDTTTASQ TLKNIVEKDINRSQPINANTSLASKSDPVVKSDSTEELSTSSNIDMDSSTENSHPSSS VAIDVQSQPKSPPALPPRRPQMPAPA JR316_0000424 MFGGYDLEADKPSARVIIINPSATEWWYEEHLDIGGPTPCISPS LVTVGNKIYIFGGYQSYNVDPMPCYSYSVLEWEPSSATSRSLWSWKCCNNPYKQPMPE KTIIGEALSVYNGKFILLAPGKTNEHDKNNKYLRFTNQNLFYFRPSDETFRPLFLQGD TPKDIGWYVICDLQSTMATVTAGNLPPFINLNVSATVGSVPKKRGRPPRNLPSSSSPS PSVAFAPPSHPRTPFFPPIPSIVICAWIAQRETPHGYIDLERTDPVVVNYKPEIWRIT FGPMDECENLDYMQKVSQMDADFQGFTVANGRMYLLGSDQKIKSSFPDSKRLDTWNIF LDLTTIS JR316_0000425 MEWLWRGASGALFKLTEMLMLSTLHALLAQVLSPPHLHTAVLLT PAGELVSFASEPSRPKDEIRIIVGLCGEVWQETREQGYGMVDSELGRIIVLPVDEFTE GPEPVFSEEHQPLMLIALNATDAVEWEELQTKGKALATHFAKPLSKFRDLVTVRKSSM GTTTAMSPAPALTRCQRRNLSVLAQHLEPTAKSRPEPISNQHDLPKTRQRPDPYLLVA SELTHVRKNMLNLLGSAHPGLADMAEYYFLHPSKQLRSLLVLLFSHATNGLGQDWEQK QWDAAYESSSGQLEVLDRPLRLPETLNEWNPSMPDHTKSFEDVFALQRPIPPPAPPPR APLHNIPPSLISPPLLLPTQIRLAQIVEMIHVASLLHETIASTAEEEQNGFGNKLSIL GGDFLLGRASTALSRLGESEVVELVASVISNLVEGEILSMQQVKTPELGILQGPTTLS AAWELYLRKTYLKTASLMAKGARSAVILGGALEGDIRKEVAYAYGRNLGMAYQLLEDS ENLKNIQPGLATGPALFASEECPELLPLIQRNFTAPGDVDIAVDYIQNTTGVERTRLL AHAYAQKAQDVLHLLPDSETRQALDMLTELVTKPTW JR316_0000426 MISPPNVITSEEVNCLIYSYFQDCGFNHSAFALRNEGRLQNSPF SQKHIPRGQLVDLLSKALLYIEVEAHWRNDSLTTNCKSNFSLIDHHICSLDAPQETHD SLQDNQMDIGESDSISTHGITSSLANTSRPYATSQPKDVPSRNGPQTNDTKAPNHTSH RISPPRSLPSAPDINTKRKTSPIPSEGPVEKRARRASTDMDIDERSESAASTSQDLSL KIETNRASTRMETRAQGPGDNKTDPRVMLLLPGHQTEVFVCAFNPKMHNLLASGSKDA VVNLWDLPDPPTTPGEYAESPGEPLVLDNVSRAVQGDLTSLHWNREGTLLAIGSYDSI LRVCTDSGSVYFSHPQHQKGPIFSTRFSKDGSWLLTASLDGTTCLWDVKEKRLHRQYR CHKDCCLDIEWLSEDTFASAGADMRIFVMRVDEDEPIKTLVGHKDEINQIRVNPAGTR LASCSDDGTAHIWRVDNIDEAADSIPGLSASDHGVSLKGHTHSVSTVGWCVDHPAGTN ELLATSSFDCTARLWDSVTGECLHVFKDHRAPLYALTFSPDGKFFATGSGDGWLHLYQ TRTYARIWSWFAGFEKPGIFEIDWQEYDGVNRIAMALECRQVAVLDVNKLDSYRTAIA GEKRTASRIEEVVV JR316_0000427 MADQDDTRESYRRANPSRGEPASLQDVLSALFALQPQNASHVSI DAGGNTRLRVSELTLRDMARLLSSRPVTTADQRSASARAHHSMVADDDDDDDEYIPID DDDDDEDTYNRPARRRGRPFFPPVTEPKKEGLELLASGDFGRVGVKSRGRRNRANIAR TILGRGSHPIPLFNREDILNKFVPNTNGTTVAIYDANMYTAQFSDDFKLHIFDMRAPP LTHSTPGPSSRSMSDSGLTTRMPLRKVIQGHPGRWTITDANLSPDNERCIFYSSTSPD GNNSQIPIPFADHPSGRGHYHSSFGIWSCRFSADGNEIVAGGDGKLFVYDLLANKRTV KIQAHEDDVNSCCWADTASGNVLVSASDDTYIKVWDRRSLGSSRKPSGVLVGHTEGIT YVSAKGDGRYIVSNGKDQAARLWDLRKMRSSAEFDEIRLDRRYYGIPEFDYRYPYYPE PNYPAHPKDCSVMTFRGHSVLRTLIRCHFSPAESTGSQYIYSGSANGLIHEPVIMSAG WENARGGTVIARHEYKGLSKMPGALEDWVEKNRLETEETENRPRRSGRLAQQRGLMPG TFHHDEDEEEDEDDFDFF JR316_0000428 MATPSSSRDDEGVTSECPSDSGLLYTPDSKNSRKRIRDSVEDSY DDGMLWDLTEDGRPKTRRVNPQRLAIRLGPDLVAEMEAHIVPGAKMPTFAVRKDFQER YSVDRRHIYDYFHSRGKGVSLARQIYVLWLNEVAGLRVAKEDKHTNLIRGRAAKAAQA QLQAASKSADIDKEVSPSCNAPPKRPFLSKVAPSARGRPKVPKRQVKAQERKLRVKLE QSPEIPPVAIKTEPDFFIPALCGVSSENEAGPFNSSSGTETDWESSMPCPGLSVSCDT SDEKEEDTVDMSDFLTLPDDEFVSGYSELPVPALQQPFLETESSAIEMDSITAIDHGL LTEAERTELYNLIDNNIPMVLYESVGTYHSFMNEKAQAFFDHMLPMSVQSLRSRSWRM GSKSTKTLLVDSASDCPELHKWLSDDLDICQSSAIDAFELDAIHDNLDRNNALDIASG HYHGTSGGPYHV JR316_0000429 MDVDSDTESEINSVRSGMPPPSESDYSMASSLTSYDVDPSMRSE SPESVLSLTDSMQANFQRQEYGRGLQTYSELYRLPADEQELERLDKQHTIFVDIMGEK YAPPMEAVMADDVPGETKACLDLGCGSGSWSEVDDVNLGLEHFYGDFNVVHARLISSG VKDYHLLVDQMCRVLRPHGLLDISEFDFHMYDKDHNRLELGTHEVAPPWWARWMSFLA ASIKNIGGDVDAATHLHEWVLSNPLFEDVVYREFWLPIVPPPYSPNETEATRRFYRKM KENTTAFLGAGRPLLLGSGLPEEIVDTLEQGAIREMEERKVTQYTRLQCVYARKKVRY LSEMKFIALEPASSNNTFSSQLHAYVSPALQDYLIKHSQMDMNSRLEVIDRIVNPSIE DASKFLARLQLAETPTASEVHNDIEKRLLLPPSTFPDQWLPSYQISVPDLLNAEPAPP PTVLNFIRSGLDGRVTGYRETVPVRQKTGSTSTSLDRTPAPSKNFVRGKSGYVPFWPG GFEDTQLELSKDSKNTEQPKGIRTIPPGFERGLRLPGDPVEDENLVDFEQDVEADVDE AENEADVEDEIPLDQTKEVDALLPASRSNLRPVGLKKRRMKRVMAQTRDWAHVIDVNM PLTNFHELVPEMAHKYPFELDTFQKQAVYHLEMGDSVFVAAHTSAGKTVVAEYAIALA EKHMTRAIYTSPIKALSNQKFRDFKQTFSSSSVGILTGDVQINPEASCLIMTTEILRS MLYKGADLIRDVEFVIFDEVHYVNDAERGVVWEEVIIMLPDHVNIILLSATVPNTKEF ADWVGRTKKKDIYVISTPQRPVPLEHYLYAGRDTYKIVDAQRNFVSQGYKEAGEALRR KQDKEREAAGLPPVQRVGARGTAPAQRGQQRGGGGRGGPVPLRGGRGGSGGAPGRTIH TGADKNLYVHLLGHLRKKALLPVVVFTFSKKRCEENAATLTNADLCTSVEKSEVHVAV EKALARLKGSDRKLPQIVRTRDLLSRGIGIHHGGLLPLVKELVEILFARGLVKILFAT ETFAMGVNMPAKCVVFSSIRKHDGRNFRDILPGEYTQMAGRAGRRGLDPTGTVIIIAN DNLPEQTTLHTMILGVPGKLSSQFRLTYNMILNLLRVEALRVEEMIKRSFSENSSQRL LPEQQQKVIESERSLSALPRLDCPKCLPDIEHHYDQTRDIIEKNQSLLEMALSQSQGS KILNAGRVVILRDGHFRLNNVAVILKQAPIQTLDSGLLAKVKTYFVLAWVHPNTKSGG EDIESYAIPPAWPIKPQQLKVESGVYELTAVPLTSIVMVSNRMIQVQVNDIVDRHLIS RMKDAISSLQSVVEEWSSGESIPEVDWSRMRSLEFQEVLRSRDFLVRQIQNNICVMCP DFDHHYRLIHGQKILRANIAFLKLAISDQNLELIPDYEQRIAVLKDLKFIDENSTIQL KGRVACEINSANELVLTELILENTLASYDPEEVVALLSCFVFQEKTDVEPLLSPKLEV GRDAIVAISDRVGRVQDFHKVSVEEFRSNLKFGLVEVVYEWAKGMPFEQITALTDVAE GTIVRVITRLDETCREVRDAARVIGDAELFKKMEECQIKIKRDIVFAASLYF JR316_0000430 MSMWNSAKAKVRSLPNDPAHSLSVQRLRTLQQKKEAQAKAARRD IANLIERGKIETARIKVETIINEDIYIELLELLELYCELLLARFGLLDQNTREPDPGI VEGVCSIIHAAPRTELKELHILRDILMHKYGREFAVGVMENRNGCVSNRVMSKIINVT PAPALVDAYIREITKAYGVPWSSPDDPNPASLVQDMKDEQKEDVPPTDPTEKTDEANK SKDQGSSSTTPEPSSKATDSKKSSVSSPPPIEDEFEVLSRRFAQLKKR JR316_0000431 MVSQTRSQAVRQSLHLLISPPHPNSKVAVAPQTPDSAPLVVQSD NISPPPSPQQPDSVMFSVLCQYDFEAQESGMLSFRKGQILDVVKRDGTGWWAAMPKEG TVVGWIPQAFVRALSSDMADRLRNLGEEFRIPEFEAEELYNNTHTYESGAHVFDSDSD SPTSATYEDFFKSHSSSSIATSYNSKDTPNKVEGRTIPSTSQSSSSSSRRCQQLHPPP SPSSPMPIPPAGVSRSISLDKPTPLTPPPDTDSRARAGSLSNRHIRRRPVMVNDDPTL RQLSTLIETQDLKKIDEIASPDITGSFDAMFKSTRQDQLRRKPSQTIKQMTKKNYIPT ISICKPRYFKPQYADQIDEDDKGHIRFASIPALIERLTLVTGSNDLTKQTEGNSFTNV FLTTFRTFMTADQLFELLIDRFNLRPPKSLIETEYEDWSTNLREPVRQRVLEVFELWL SKHRLLEEEPHIGRALGAFLSNLGGTHAEMAIKLAKEIAQSSSVLPSSSLRAVSPSKT KMAKAQRIDLLKLDPIRVAEQLTLWEYALYIKITPQQCLSYAKAKARTNPDPAFANLQ EFCATHDKLNGWVKKTILISDSLPKRADTVEFWIKVAEKCRTLNNFSSMSAIIIALSS MDIKDLHLTWSHVHRKSQLDHLLRHNEPTGGFAGYRNLAQKAEGPCVPFIGMYLTDLV HANDQHKQADGRVCFFQKARWYEIITNMLKFQTRTYKLVTSESTTLFIEAQLRDVRDK DWFWKRSKELQRSEMAHADIRKGLEAAGF JR316_0000432 MSKQFQFKLVLLGESAVGKSSLVLRFVKDQFDDYRESTIGAAFL TQTVTLDDQTTVKFEICRDTAGQERYKAPMYYRNANCAVVVYDITQSASLEKARTWIR ELQRQADPSIVIALCGNKSDLAARRQVSQEEAKKYADEEGLMWTETSAKTGEGVTEIF TAIAQKLPLTAPSATRAGAGRTGTAARPGLDLNKQAGAGAAGQPDACNC JR316_0000433 MNRHHPYGANFDHSSPGRRGNHSPAPPGDRGHRYPDRGGPPFRA RGGGFQRGRGGYGHYDQGMSNQGAYDQGPSQNDFGGYNNYEPPPPNHQQSYYQNSYAD STPAHFPPSTGQSGYQDYSKFADGPGTYDEEDRNRRPIRKERDDKTYYETPSEDVRRI FEEHGEIKTFFDLISTRGMVFVTYFDLRAAERARERLQGSEISGRPIDVHYSLPRDDQ KGNDREKNQQFQGTLQVTLRNSVSGLPIDDNEVRRKFQQFGDVKAVKPVGDRIELEHF RLSRYVEFYDTRACGEAHDRLRHQGLQDGVMDIVFAWDETISAGHREGGGGGGHWEDR GGMRGGMRGGRGGNRGAHRGGRGRGEDYDDRRGDFGRQDRDYRQRNGRYDDENPGRGG RQGGYNDRYENNRGGYGGPPPPPPQSGGYGAPPISGYGGGPPGYGMPPAPPPPPPSAQ DDRLEQARKVQQLLAALKQPVSAPPAVPPPTSAPPMPAIPAAPPTSNSYYSQPPAQYP GPANPYGAPNAPPASVPPVNPNLANLPPNILALLQSAQQQSQQRPPPPGQAFSAPPPH LMGTPPPGAMAGAPPSSTNPQYQQLMAYLQTQATAQVPKP JR316_0000434 MLQQDTAFNWRKFAITAAGVAGAVVAVEAIFNRDTRDPLTVAER SLLNDSFKYTGGGLVLTALAARNLFRSGFAFRLMSANPWMVLGVSLVGSIGTMMGTIY TAPENTVQKHLFWLGFNACQAATLSPLFFFSPAILSRAALYTVGVVGSISYVGATATN DKYLNLGGPLLAGVTVVALSSLAPMLLPSMGMRSLMIAESISLYGGLALFGGFVLYDT QKILKHARLAEQGIMKADPIKESISLELDMINIFIRLVQILAMQGNRKK JR316_0000435 MASASKQSVVSTLQHAQTTALLNLLNLNQPVEAPSAHSGKGAPG FKTPPVSGPPVWKILVLDQQTKDVLATVLRVQDLRDAGVTLHVQLHSLRPPLPDVPAV YFVSPTLTNIKRIAQDLQKSLYESFHLNFVEPLPRALLEELAASVAQDGTGELVEQVV DQYLSFIAPSPSLFSLLPHPLIPSVTSPTPPTSSGPVATYTILNSPSSTEQQIEEEIE RVANGLFSAVATMGHVPFIRAPRGNAAEMVAKKLETKIRDAILSGARSHGTSTTSLFS QDSTGLSNLQRPLLLILDRNVDLVSVVAHGWTYQALVSDCLDIKLNRVTVTQQQGKKS YDLDSKDFFWAQNAANPFPQVAEEIDLELNKYKQDAAEITRSTGVSDVNDISQLDLTA NAAHLKTAITQLPELTARKATLDTHMNIATALLEQIKKRSLDELFSTEEAITRQTTAS ILEFLRAPRPDGELTANDKLRLVLVFYLSAPDNSVSKDDVAELEKELKSAGADVAAFE YVRRTREISRMTSSISAANTGTATPALGGVAGQGGELFKGFSALGNKLTDRLKDGRFD TLISGVKNFLPTNKLFPVSRLTEALMDSSAASNQSLAETDEYVFLDPRAPRHGHTGMP GAPGSSGVGRAKRMAFSEGMVFIVGGAGYVEYGNLQEWASKTGKRVTYGGTEIVDPGG FVKILESLGKAGTS JR316_0000436 MLPLSKDAHELRYPVYLQRRTDELNNDWTTTGVVASSPSLPTST PTSLSIPPAIPTNTPPIPTDPQDISISTLDATPTPSDATRASSADGSLVFTTIFPIST ITQEFTTFTSFSESLVTRSTSISSSSTTLSSTTSMVSAAFSTSSPILSRSGELCPGDG LDSTAAGILSVVIVPSAIGFILWLTFAILRPRFRQVYGLREWFVQQDLRPKPLGSSFF AFLFPKVPLVPSLPSDVSDAGRSPNQDAKLFPSDEQLSQRALWVALLITLGWSFLALA GALPLYLINTPCNGNLPPASVFGGGYSTLTDLSLIRLVRLFEDAGITVTGSSSIKRRE TLSDSDPFHAHVRVIVLTVMTIVLGILPPLYKIIKEFNRVVDYRQRWLAVKCEGKDMG WLSAKKAPGYAMWGEKQLKDHLVKIGLSSSLGDAGKRNHTSKSAGVRPRNGERRTRNR EEEQPLNRNEDMTGAEVDIQSLFSIGDTHKLALLIDERDEILENLEISETKYISSFRV TTPDPSIIDFVPPPPPADPSRPYISRPLPLAPQQRRSRSRRHMNRAFAASSLAPTSFV APSSYYKLRGVSGVSGGRFAEVGVDRHQSLSESINSRVIGSRFMEVNRNSVAYGRLPL GTSVAIEKTGELGPVDGHGSWLPHIPDPRLFGPNYGITPYEDMEVDEHGVVRTIHEQD EEWVDISQDGPEMIGDDHNGFPPEQAGPSSFLRRPRTPKSDPPPSTRRETFPRRQTQV VDPESVPPPHLRLQPSQPFVRPLDGLGFEELGNVYAEITQWRSRLKIINAEIADAQRD SYNDIASGTGINGWLMIGKGLRFIPGIQMIEGRAKEDIRWDVLQNERTSLDLIAMWSV IIVVAVMLGAALTAAVGLTLAPAPDVAHFIPFLQPLISANTIAAGVATILAPAVAVTI FIVLGVAKIHGSVSISGNQLLVFKISFFILAAIGAVWLIAIGAILFSMQAFSTDVNTT KTIATGAIYMSVLALAIVINIAIIFPALLMLQPIRLWRVLRAEKQALTPRQRFRAVYP RTYDPSYAIGACVLAIVFASTFALIFPLIAPAVVILVFLTLIAHRYLVGYVYARTHSQ TGGLLQIWLLKRFGTLLSFQPILLGLIVLSLRFWAEGGILVGTGVFVIIFVEAYTSWK TRLPGRKSLSPITINSLDTFASGADRYLNFETDTANGSSIPGTRTRGSMASVLEMMSV TLAVMPSASSYKGALPLQTETLDDLTATERAARTHPDAPPHLPPLPFTDHAEDMAGIM YAPELIAPPPIIWLPNDSAGVARSEAVDLQKYHDLQVTLDVRAKEDVMTRRSSSTRRN SR JR316_0000437 MAESPNSQPTDNTLDSLALYTRSLHNYTLALWTESRRIAEEKAR SEGSPTVQSSSVHSPTSNEIKEKIEVLQLTPSTSSVDTDVLAPTNHPDADRQEDATLS G JR316_0000438 MSPGESAPLVSMIWALRVIQVVAGTSAAVTAIQPSTFTVPGAFP TSVFSKYYNQPTATSAQPQPVISDPITHTTYPFALTDPNDIPVNDTTDPHPLPPTAPS TLILHSAFTQLESLSTSSIFGSNACARCQAALEIGKFISLATPANGPEFFVHFCEIFN ITSACEVTYGQFTGVGSVLTQVVANADVGGYDGQALCQNFFSMCPSPPTVELNLTNWF TKPKPHPLPPPRKPSGKRMNVLHISDLHLDPRYSTGSEANCTSGSCCRTNKRNANSPN SILLSAPRFGAYQCDSPLSLAIAALDAIPILTGTANTGFPITLYTGDLVSHDPDNQLS R JR316_0000439 MLGNGPVYAAVGNHDSFNQAQDAPHAIGGELAQQFSWNYDHLAS LWEHEHWLPEAAVNLARTHYAGYMVKRSDGLRIISLNTNLWYRRLTEINRANYFNYIN MTNPDTSGMLRFLTDELQDAEDSGDRVWIIGHVISGWDGTNPLRNPTNLFYQIVDRFS PHVIASKIQFDSAKHKLNTLQIFFGDSAYAFLLDEEAQTKALSSTHEDQMSIYYANNG TTMSVETAQTLAWTGPSITPGTNINSGFRLYEVDTATFEILDAHTWISDVNSYPALDA QVALGPTFSYEYNTRQTYGGTITEWGPNDPLNATWWHRVTEAMEADSGLVTVS JR316_0000440 MFSFYLRSVLAAFVLTAVAVSASPSLSLKLKGPSSVTGVENLKI TATITNTGTETLKILNDPRSLLSKRPANKFSITDGKGTRPSFTGIKVKYSPEYAAQQG AFTVLTPGASVSITHNLSEGYNFTISGAGDYDFEADSTFYVVNPNNEIGVVHATTQEA VITTKVVGNLAVARRDEINSHLSKRATFISCSSSRQSLLNTAIASAETYASNSYSYLN SLTTGSPRYTTWFGAYTSARRSTVLSHFNLINANDFSTFTYDCSCTDSAYAYVYPGTF GKIYLCSAFWNAPNTGTDSKAGTIIHEASHFTRNGGTDDYAYGHSAAKSLATSNPARA VMNADSHEYFAENTPALS JR316_0000441 MYDHRSLPKEIWLEIFEWATYNSSFPQDHHAPFRDVPHHGDDSD LPVRASLSGIRPGAHALKRALEVRQPSGRSYGESVQRVVLPYQSTVPTCFPLSLEILG LCSYLHTLIRPQRSVFDGLNFDNEVMGVSLPSLQHLEWWHSNEAERSGGINSLGAVLR GAPNIHYLFIGGVVGTTTTLQERQNIILPHLRILRLYIRSGLFLRQIITRWSLPSLTH LVLDSPPVKDGLQEVWEVLGDRLETVEFGKHVRFWMNDCLTPCLKGCPNLKELNYYLF FTAPPESTYVHSNLTTIGLHPHVNALLDDGNSIWTLIDQHFEILCGVSLPGLQRVVLH GDWGILRHPRFAAIQENLRNSGRELQLSEQTQMRLI JR316_0000442 MSSKLLFSTFEVTTQAFYRASLSYAIVNLKPIVPGHVLVIPNRN VPRITDLNDDELACMMRSIKRVGSVIQKVYGANALTIACQDGVGAGQSVPHVHFHLLP RKSTDPFAGRNDDIYPELEKTEGNLASDMNDVSGSSRLKVDADEDRAPRSLRDMEEEA AHLRRFFTEAESP JR316_0000443 MAIRVSRYIDAVMPLLNPNTIHFNKRCIAVEEIESGRLLLRFSD GTFHETDLVIGADGIKSTIRTAVLPPNTRHLAFSGSYAYRALIPMDILMAEGIKADIK HRPYCWVGKNKHIITLPIKNNTVLNIVALYNISGGDMIPELPQPWVESASEQEVIDRF SDWGRDSRAILKHMKQPTKWSIHTTYPPLKNYVKGKIVLIGDAAHAMVPHLGAGAGQG FEDTYALCRLLAHPTVHKANLEDALKIYNEIRPPRASMVLERSIRMGRIYENYGPGLY DIPEMVQKLTGMWEPVWNFDLETQISRALQYFDKLKQKL JR316_0000444 MLSKTAQFYLGTAFTTSPFKGNPAAVLFLDTLESISIETLGEYA KNFNQPMLSVVSPSSLPSDRKKTLLRSVRFFAPNGKPVPICGHGLLVASKLVFSLPEA VACGIDTIRFENGHGQILSAFKHDDGSVAIEIPATIPGIVSDEHKERIKEAVYKSFGR EVKIDDIVNGGEVYGQYVLVKLHENEDLAGSSVNAKELISTGFSVNVFTTKSPTSDQL FVSRMFSPSMLPEPYEDHVCGSAHGVLAPYWSSKLPIKPGEQFKAKMVSHRSGDLNLT YMKAEGILKLSGDVTIFASGTVPLATL JR316_0000445 MAKKSLNPADAHRKALRKKELKKNKAERSKARDFALVKKDTTDL ENTIKEFEESRADPVKLAELKAELEKINKKKAEYVAEHPEQRRLVYRRRKEGEPEPEL PTKKKRNLFNKNGLPRHPERSIYYDPIMNPFGVAPPGMPYLERALLPGEVDSEAEEDE DEDDDDDDVPLPQGLPPGTEEVHSDDDIPMPDGPLPGREVNEDEDENMLPPLSHPLPV GLPPPPPSGLPSNTGPGSTLPPPPPPPPGFQIVGGPMPFPPPPPPFPPGFNTAALPNS YPPPPPGPPGWSGSIPLPPPPPGFSQFLQTSIPPFPPNAFPPPPPKFLPRNQSASAMQ DPLSSVPHQTFQAHRASQLAPPHPSLPANPNPKATPTLPPNPSLPPRPTAAAELAAAT VFSAPQLRDFKKEATAFVPTAVKRKKAPAGSSSKVNAAPVINSSAPLVEDSGDAETSP ARPDLVNALKNQFGPAPPKPTTPMKSAKSDYDKFVEEMGDILDPKLPLGPVDDPTKSY LEVREDAGPQDLVWFPRGAITECGERASMSPTGTAILLRPRPYASFSGALLLLPLLPQ PKPVKPLPSEIWSEIFEFAFAGYSGRSGTKPWAWSTLTISKSFSALILPLLYASINLS NIKSIELFSNQLHIADRKWDSIRRIPYSTPGRWVQSLDLSELTFEGQAQAVLLDRILT RLFPLIPFLSTLSINPAFVLSSGALLSLARREGSINLRSISGLSYIPPQNAEPDLDPF VQLLRLTPNLEILIVIGQGLDPTELEIPFQGVELPSMTNFVPLSLPKLQVLSILSMHS SPLMLALLHSPLPRLRKLTLTPYEDIPYPASLASHFIDIHGSRLTSLLLFTPKSWPTR LRPSPTNLLACAPDLNHLSLEIPLPPLTLSDKHGLKILSIPRPTAEFWRVLERLLPLL PNLAVLHTRDIRWLPKGISNVAQEAGVQGEMKEWKRRLGRRRIQLLDCDWQ JR316_0000446 MTSTPRSVQVPTPKRLSKMPSNQAVNLNHLLNFTLPPRQTRPLS NLPRRSRKTGTSQGVWNKERFVNAQYRFVMNPTGDYTVHFADPDIFFQWQDILQVIIP RSSALATAAASEELSGQGEGYTTCPICLSPPTAPRMTKCGHVFCFPCILHYLSTSTNK WARCPICFDSVNERQLKSVKWYDGPVQSDEDVDSMHAEGSSSSSTVNLGFDSTTPRAG SFLRMRLVQRPQITTLALPRSPTWPSDLLPPHQAPFHFLHDVFSFAKFMLATPAYLIA SLAKDLDELADERRILSSMNDTLGVMFIDGADHKVREQIAKAAALETPALKEKIEKAQ HAQQEIDERVAFHTQRKKAEEEAYSSASTLVSEIPREFLESRSNIANAPNPPVRPAVE NNIPPQSRNQPRQRRNLNPPPPSTSTYYYYQAASGLPLFLHPLDIRILLSHFNSYSAF PDTITVRVESANEGSVDDDLRKRCKYLGHMPEGADVVFVEADLEGVVGAEGLKNFEGP LKMRTSRRKEKGRKEDRARQRAEEKERENAHLPWLSSAGYSTSAPVLIADLPREDLSA LGELSPAGSLQTDTLPPAPVVTAPGAWGARSFASALHSSSPANRSSAGSQRTRQSVED EWDIDVAWHELEQRSGNSGGRRKRANKLVVLGSGGGGARRR JR316_0000447 MPPRGNEGLDFTPILTHYLFLFTSFLSVAGWLTAFISLCIVTAT PADGGRAATGSSWFAIFLQLFLILGVLYTLATDSISMNRFQISVFGAIAIVFAVEGVT LGIFASNSALNTLGAGWLILAIVDILWVLYFTSEEDSLTLHIFNMLGTGGLTPPSRRR RRTQSVMNMQAGNGYPTNYAQGGGIGSHDAYDAKLGGGAYSSPNQTGIRSQNSFGGSL NDNMTRSMGGTGGGGSIHNQTTTGGPGSINDNGPNSPLMAGIGAGNSTTGTNPEQPQA QTESYSYKAKALYAYVANTDDPNEISFTKGEILDIVDKQGKWWQAKKSDGTTGIAPSN YLQII JR316_0000448 MLTLDDIHSTAHAVVSILRASDMVACLVGSAAGAEHGISRIPND VDILVLSGAKSMNPAEMKNLLVSKDSRFFLEKPVTNPNASYFILKYRLISSQNNSCCH VDIFLPGMIHLPPIPPSYIIYSAQTALPTMPFFPTLLHKVQAWLAHRASPKESARIKR SNDEQDISELLDFAVKIHKVKIENHTWLPDWFLQEAIEGVRAYVQEHPETAPMWKEIG FCANNKVI JR316_0000449 MAPENMKCPTRTVKDACRGLGKRWGIKRLFLEPSTAYDSTVNTV GMGYNPQLYPLEVNPTTGEPFLRLRGHHNIIITPPRPEDVPAMVPYHNDPRICEYLGG PPFPYTLAHAQSFFDITRSLSDRLLSQLEDTKDQRGLVILENCPVRAIREVHEDGSDT FIGDIGFMRCPIGELMGTNAASLDWENKTIVEERNNKLPAGDPNIIWSIGDFLAPSHH GRGIMTEAVRTILHDWGVPRMGIRHVWVSTFTGNDGSVKVFMKNGFKLIYTCDEHKEA KGKMRGINVLEWKYGES JR316_0000450 MSNPLPFPLQRNPVNNEIVLPLRKHKNIVLTPTRQEDSAPLVEY FNDVRVYHWLASPPRPFLKGKLPKHAEELLGNLKALFDVALSQLEEVELGNSPTSRLY DGAPVGCIRELQEDGSDLLIGIIKIRRDNFGEHLHTDSTNWENKIKNEMENTKLERAT DQDTKGSLAPSHHNKGIMTDAVDTLLQDWAVPHMAVRRVIVGAFSENIASIKMFEKNG FRRTRFIESYREVRGEMRNLQLLEREYDIEES JR316_0000451 MPVDQFFPLERNPLTQEPFLRLRQHKNVIMTPMRWDDAPHIAAL LNDPRVYKWLMYTPYPYTHEDGEEWIQLTKPKLDAILQELQIAQGQDELKIVGGAPIC SIREIQEDGTDKYIGSLDIIRCLEVALLDVDTKEAQQKADRNKILGKGDPDIIWSFGD YLAPSHHGQGIMTDAINTLLHDWAIPRMNVHRIICSAFLGNRGSVRVFEKNGFVMTRT IQEHAVVRGELRGSHILEWTMEESKKS JR316_0000452 MDKPTNIRNMSVIAHVDHGKSTLTDSLVSKAGIIAHAKAGEMRF TDTRDDEKERGITIKSTAISMYFKVDEEDVGAIKQKTVGTEFLINLIDSPGHVDFSSE VTAALRVTDGALVVVDCVEGVCVQTETVLRQALAERIKPVVIINKVDRALLELQVDKE SLYQSFQRTIENVNVTISTYHDAALGDVQVYPEKGTVAFGSGLHGWGFTLRQFAGRYA KKFGVDKDKMMTKLWGDNYFNPATRKWTTVGTDASGKALDRAFNTFVLDPIFKIFDAV MNFKKDAIGPMLDKLDVKLAQDERDLEGKALLKVIMRKFLPAGDSLLEMIVINLPSPA TAQRYRVETLYEGPMDDESAIGIRDCNPAGPLVLYVSKMVPTSDKGRFYAFGRVFSGT VKSGPKIRIQGPNYLPGKKDDLFIKSIQRTVLMMGRYIEPIEDCPAGNIVGLVGIDQF LLKSGTLTTSETAHNMRVMRFSVSPVVQVAVEVKNAADLPKLVEGLKRLSKSDPCVQA WISETGEHIVAGAGELHLEICLKDLQEDHAGVPLKISDPVVPYRETVKTESSIVALSK SQNKHNRLFVKAMPIDEELTKAIEGGKVNARDDYKLRARVLADEYGWDVTDARKIWCF GPDTTGPNLLVDVTKGVQYLNEIKDSCVAAFQWATKEGVTCEENMRGVRVNVLDVTLH TDAIHRGGGQIIPTMRRATYAACLLATPTLQEPIYLVEIQCPENAIGGIYSCLNKRRG QVFSEEQRPGTPMFTVKAFLPVAESFGFNGELRSHTAGQAFPQSVFDHWEIMNGSPLE KGSKMEELVRNIRVRKGLKPEIPPLDTYYDKL JR316_0000453 MAASLSGLSASVTRILYLTGFPKELKTKDIQAAFADYENASGGF KIKWRDDTSLLIVFHDAAVAKRAYLNTVAFPPPILTSSAPGLSVSIRPYDGPDAQAVI QNVNSRGQHNSNPSRTHNPRAASVSVFPNSRSSSNSNAHPNNSANHHSRNNHSTATAQ HIPPLTERSEGQPSPTLPSLPSHPTLNALISSSLGEAVAGTNPSLPADPAILTTSLQQ QHESLSGPRIGDPGKRMLGAALGVRHPALGPRMVNGGGGASPGPAQGNGVDHMRDMQR AMGGLVVAE JR316_0000454 MVSGIYHQAPRANAQFSIEQTMTQTSDVDTSGFPPGYFVIKSVA TNRLLDVTLDEIEDGTEVALWTEKEKSLVESFRDPKTNNQVFFIDTSGALCSRSSGHA IDVEDASRLVLRHRRPVSYPFPNAYAHPLPKFSYNPHTGEISVKFSCDPAYPPPPGPG ETASTAWQHKTYLLTSVPLRKPRTIIDDASEFLATNIFTPISYLTGGPAPTPARPDDV FNADIDLNENELVEEERGEEAEVDDSPEPARKVTIVSVPTAEKNMMDLLLSEKTRNRR RWIITPLRTTNAKRT JR316_0000455 MLEFGAHDPLYFPFNSYKAKIDLEMRNTYVLSFTHLKDQFDADK ALHLLQRVASLVKPILRKRGWVIPVLSEFYPENPNLYGLNENKGQQILLRLRPPNSKV ILLPEDQVVQTMLHELTHNVYSDHDDNFYRYLDDLRLEYKGLEWSGWDGEGFLSVGHL LGINDLSTHLPPQVGRLKGLEGAENRAKAARLANSAAPIGAQNRKAIVAARESAARAA DKRLRDSKACASGEEAQREVEKAAKQSITSKPTETNISFSRNPGPSKVTSDLRPVPKS SKSRLLTGNLGLAEPVKVRQNSAILPPLKVSPPASSKANNPGKKAESEAKEPTEQAAG QSLRSTKECASEEEAQQEAKKAGVEDVTYKPQDEIISCHSSGKIKDSPSNSHLAGSSR NLQSELRSVPKTYRSRLLTGNFGLAKQLKIGQNPTASPLLIKTPPAGSKTNESGQKAV SEAKESAEQTMEQSLQRIEACTSVHEEKMAALEDDSSKVKDFALRSLVGSSRNSQAML RPVSKALKSRLLSANLGPPEQVKVRHKSTITKASNERTLARDTTDAYIGINHITRFFV TRRLMRFVTMKL JR316_0000456 MPDIFVQSFTHLKDKPNADKALHTLQRVASLVKPIMRKHNWVLP VLAEFFPDNPNLLGDDDLATLHNYANFANISCSLNWQDLRLDVNMGQEILVRLRPAHS PSSFLPEADVVGTMLHELTHNVHGPHDEKFYKYLDGLQDEYDALQRSGYAGEGFFSEG KRLGTNISHNLPPHLARLKALEAAEKRVKASKVLGSGGRLGGSTRNTGLSPRELASRA AEKRLRDEKSCGTGAEAQREVAKAAKESVTNKVIDLTLDDDMDIEKTEPDSDSNSEVI LVKDLFPRPTTSAAMTHGFSTKNSFKSSNITIAPSSKPTTKSPVTEIGQNVPFVSAER VKLRPSGAATNWSYWRDGLNSWNPSFG JR316_0000457 MDAQLFALLRCFFSLTSPSELQFPDNCSLTQINNFLVNNILLND HFRAYPPSDQYQQRFWKWAIENLEDRARKCIEEEFYADFEIDARIYNHYVSLMPGSGP ATGSMQPSIRSQICGRGLPGRAAPQHSYITHYWNPRNPNRSTSEFSINLSQYQTTTLL ESRTTIENGTTGLRTWMASFVLSHYVIKHPEVITSRRVLELGSGIGFLGIVVASLQKF WESKDQSSPLSSLFLTDVNNEVLLRCRDNINLSCNLSSRHHNIHFLNLDWSESTDSIQ CSALKTLIQHKIDPDVILGADVVFDPSLIPFLVGTLKIALFQTESRSPRYAIIALTVR NESTVNIFIAHVRESHLVIEEMNTGIDETTFSETFETHSSFESVKMFRIMKAT JR316_0000458 MFCHHLSSFRPCLRSVSRPVASTSRLSQYRSNSTVVTSEKLHDS EDGVSEFEEADSQDADQGDKGPRNYKEFMATIGPKFRYASPQNWLAPKTPFPMNPSFK PPPPISDVQKDIIFQQFLADPLLHSPRRLAQRYHLSLKRVDAILRLKGLERHFTKGIP LQTGFQIGMDRLLNAWTHPKTPFYKAGVKSKSKIEEREDVSQADALEQAEKRDAARYR YERLYWESTPEDGREPIVPGVLEAVKTRALLREQRRKKAAARLLQGRLPKSPWIRRPS RPSFVSWRQGRVATRFVDVGAEFLSVEELNKNTAPRRHRQEQRQKKAAEKMQKILNSK Q JR316_0000459 MASHEWVSLIDSNTSLKVSSTLEKATGKKNLIDNNPETCWTSQQ GLPQFIQLGFEDRVLPKRLSITFQGGFVGTRCALFVTDGKNKKDWQIFATVYPEDVNR RQAFNLMPEQYDGFKEGIVALKLVFETSSDFFGRITVYDLKIEGLII JR316_0000460 MPSFLKSKQTTAKTTTIPPWLILANTDKHIYKVERLLSSREATR YAARDASHQIALKASESTQHDKSPVFKRSSQRKEGSAVDFVEYYGITAGLHEDNRDLN RYTDIIPYDRTRIIVHDGSPPAVGDESEGKRHERYLNANWVLEKFGHKWWIATQAPLR HTAHAFLSVMLQPSVRPPHVDLPLKDSKTRRVRTVVQLARNVENGRKKADAYFPSEVG RSVVVLAEHGWRAPPLKVTLLAKKAIDEAHCIQSTVSVAPIKNATSHLAEGRHGTGVQ DEDNHGQAIVFNHLLYLSWPDHGVPSPEDRLSLVHFIQLVDRINRDTSQCPIHSAATT NHICEELDPDPPIIVGCSAGIGRTGAFVALSSLLRKYGFLLPAAHPTIAPHVYTSPLG PIPSDPDLQDDLVLQEVDSLREQRPGMVERKVQMSLIYEVLASVLASESN JR316_0000461 MSLPSTVEAITIPHTGGVEVLEKTTIPFPKVNPGDVVIKVEYFG VNFIDTYYRSGLYKYTEFPAIIGKETSGTIVALPTDDAVLSNETYKKRGYKIGGKVAA DFLGSHATYISVPWKFVYPVPDSLSTKIAAAAALQGLTAVTFFEEAYKVKKGDTILIH TIAGGLGLLFAQLGRRVGATVIGTTSTPAKAELAKQNGADHVILYPVEDTVARVLEIT NGEGVDAVFDGVGKDTFDNNFKLIKRKGTIVSVGNASGAVPPFSIPRLVEKNVKLLRP TMSNYVYTPEEAEHYGKILFDLVVKGDLKIQIYKEYPFTVEGVRQAQSDLTAAGGKTT GKLLIKV JR316_0000462 MDNPDTPKTRRPLPTPGAPASQRPSTPILSPAPIPTSSFYGTKA PPLPSRPKNPGSSHTAYVPPARYNSPSYDGSSGYREPELVEDVADEDDTLPDLIPQSD TNWASDIPEDNSVWQAHNWSSSLTDWENSNTPSNWAEKVHTTVGTTDYSMDYLNSSRI DDFTIDGRSHFEELNWWNPEERAKNKRPGFGILPPILAEELHDPNHSLFSVNITTPQH PANTHISSTPTKDQSSPGPSNTSSSPPHAHHATSDNSPPPSEDEIRMSVPHPNAYYCP KDNGWVILSWKSSSVPPPLSRTYINNSGSPLPDQSRRRQTQSCIEDSDQPFGKTNKTH HFHKYEKAVDSHKLTPPFRQDEWQILENLKQRRRAGTIITSDTDINTAEPGEQEGELE DEGQLLDLYVCSSGVIPGVIPRKYIDELVKEKKAHPMLNRTGEATVLCALETFLTAIE NKLWKGNNRQIKVMSSGFQAKVGWNPNIKRIFECLGFVEEAMERDSTLKPPVTDTISA VGKQNRRKLLRAWVEIGSWVADYKKLQGMFFVSSLAICSLICDLASYLKDIVKEFKLY VQLDSAREMYQTAIGAHPDQIPRGGLSDTLLEAVRPLEAAWRGLGLTATTFSADMLIF AYLAQCRCDPGGTSKYFSYLTAIVKQLEEYGGCPAQVQELVVMEQSRERFTLDDVANA ATTLGFGSENVLGVEYDQDDIPDEFVENAWKDCVKRSWRDHEHGSETQRHSNEALRIL AESRGSITLRKAWEVGKNKYMNPDRAYDTLEIPKDVDDHMLITVYNMRLEETPMQLEK MREAMSVIAEVRDSERLRQFISSGLDPGEIIAPTRSDLPRGLNQLGNTCYLNSLLQYF YTIKDLREAVLPMSKLDIKALDDEKLSDEDLKRHRVGGRLVTRREIIRSRKFINQLAE LFFHLEYSENPAVTPTIELAKLALITSRDEEEDEMDKGGTDSSNDTDATLVDDGPLRS SAPEYPQTIRSPSSPNSVLGKRPRDMERQNTAMDVDVPLIQSPKDKDGFNFSPSQKDP SSSGSNSSRAEASSSKLLAKGTDGDSKMLSVPTSQKPVPPPRKRVETNDSTMMFGKQH DVAECMDNCMFQIETALLRFDDMNDDSGKTSVVKSLFYGKIRQRLTAADAQQSRASIH EKEDLFSHLPVNVTNDGVDIYDGLSGYFDDIVDFEGRKARMEVTLVDLPPVLQIQLQR VQFNRETLQPYKSQAYVKFGENLYMDRFLDSANPDKKSKSKAIQTELNACRERVRILV EGKDLPYASSLEHTQKFLFGLQSTGSISAIEDETLQQLEDEKKRINDDIDRLRARIDV LKTELETIWADCKQAAYELTSVFIHRGSSPSWGHYFFYSRHLPGSPDSWFKYNDSDVT VVSKDEVLCDTTGSTANPYLLVFARKDSNVVDTVKRFDPSMLDSS JR316_0000463 MSLRPNTTSNHVQTSADRIAIAETEKEIAEVEAELNALMDENSN LLGSEDVTELLQRLANAENMAEDMESKVDSVLQKLDELLSGLGASECVTGPSDDGVTG ATPTEEQHSAPLPSTAVERPT JR316_0000464 MASLQPHLTSLLKSLPPSPADKEALDKLVEHTLLETKNKSSPEN KKSQWEYLLKNDIFLLAASEGTALKSDEQKYYTQLCEKLDVILTFTEQDACEPSFPLI VLQDLLETQTIASCSHIFSWIESRADRLTEGMIPQKGKALILLRTLNDLLRRLSKMGT TTIFCGRILTFLSGVFPLGERSGVNLRGEYGPTWEGVLFTDKAKPDNSSADVRAEENK VNGPTQENIKDSEKMEVDEKKALPVQTPAEKKEDFYNTFWSLQLPFSKPSLFAQKTTF EEFKEAVGKVMPVIKEATAKERAMMGSRSGVGNLGTLKRKRDSEEETNSNEYFFAKFL TNPDLLDLEIADTHFRRQFLFQLATLLNHLLNFTKTAKAAWYTVRNRSLQMDFTLEDL EVQWVQDTLTKITEELRQTTPNGRTFADTVNVILERERNWVKWKNELCTPFDREPWSQ DINGEKVDFFEATKGDREQLRKPPSEWQWKMGTEALTEIWDMGYTNLEDLKMPFQPGD VKDFVKKIKQEDARISLRNQRLEKMAALAKSRAASAANQAKSATPNPPPTIVVSAESS TPVKSETATTRAEPAPGTLAAPRPISAVSNSPIHPSLPPKPGSPSKLASSSQEPVKIV NSSPNVGPAPLPVSTPVPAASPAPTPVPVPTDPEITKYEDNKQRWAWLALRAARDQYL QHFGRIGTGDIEALAQEIEKEKDKPQRMEDVASSTIGEEQGSGSPSFGQLQRDTEGDV KMEG JR316_0000465 MTTLKNVIVTGGAGYIGSHVIYVLQKTRRYKVISIDNHHNSHPA ALDRVSKLSQSELPDDATDADRESTKIESYKCDLTQPEQIKAVFEKYGKGGIWGVIHI AAYKAVGESTQIPLTYYANNVSATVFLLQVMADYECHRFVYSSSATVYGTPPVIPIPE STKLQADSPYGKTKVMCETILDDLCHSDKSWRAISLRYFNPAGAHPSGQIGEDPRGRP GNLLPLLAQMAVGRVKDATLKVFGNDYPTPDGTCVRDYLHIMDLASGHVLALDALAPE SKVFDANADAFFKAYNLGKGKGLSVLQIVDAMRKATGFDYKYEIIGRRRGDVPDLTAD PTLAEKELGFKAPANLETMCRDLWNWQTKNRLGYDTQ JR316_0000466 MSQFSAEIRRSHEWWVQYTEKEARKEWATSALERTWIVQTPSQQ SSVKLSQRQVDYVLDELEGYAALRDEHARCQVSCFERIWESDNLLDLSETAELVKALE LLKSEVSLCDDGITRYFVDHRLYPLIYNKTLVSQLNGRFLRPVSPPPSTDVYTVSPNF TFLPSDVFVSSDASSVKFISYINNLHPQTHRNTYRLLENLLARFIPLFEHSLTDLHRN NHLVQRIAGHCRYTIWDEPEPPEHSDDEDGWTNYERALREWTMNRPINLPDVPHTGYP GGLEKRRFNVKLRNRTLQIIVSALEIELSPQRSTFSGSVWHVEGMRSERIVACGFHCL SIDNITETLLKFRMAVTYPRGFSAGDTGATLRTWGIRDGDSCHQPIVSTANVAPQQKS WMHKALDDNLDTRLPHEVIEKILENVEGVMTSQEAEEYRKKLVEANEQFTLANNSYHF CIPFDIWNGPEMWSLRKGKV JR316_0000467 MSSSKGLPYFFNNQTKQSSWDAPSELSQQEIDSLPGAKEYLNGK GGAAGAHAGQSNITRTKEEAIEILRGYQAQINGSQEKFAELATLHSDCSSHSNGGDLG WFGRGQMQKPFEDAAYGLEPGQISDIISSDSGVHLVLRTA JR316_0000468 MVLITPSIESDGTLNVKFPENSGCDEFSVPLNPSEETLKSWSIL PKVTLWPTHDPVDGYICESINGPKDGPSTILSKYFEKPVHLIYKGPQPRPIDPTASFP DLKATAKYQDMYPLLVLSEESTVAIEKQLRGYVGTQGIDERWRTDTVPIQRFRPNIVL TGGGPFAEDHWEQIQIGSEDAPMLTLVSKCTRCLLPNVSPETGERDKAVPYKVIMKFR TGLDPQQKMKPCVGCNAVPNGLGTVKVGDWVYVKKLI JR316_0000469 MARSKWISFGAQQSLDRAAAKAAAVTTALPLTSSADAKKFGLEN FGNTCYANSVLQALYFCTPFRDLLLQDFEALQTQDSSVATPPGTSKPPSTSFAPVRRK PERKASTSGHIADPSGSTSQQVSAYPIPSSSPTLFSALQSLFLNISTNPGDKGTVSPR AFIDKLKELNDIFRSTMHQDAHEFLNYLLNKIVEEIEQEKKQTQATANGDDCSRTPPT VLTAASSSSGTHPQDATLVHKLFEGILTSETRCLTCETVSSRDESFLDLSIDIEQNSS VTACLRQFSASEMLCQRNKFFCDSCCDLQEAEKRMKIKKLPNVLALHLKRFKYQEDVG KYIKLAYRVAFPFELRLFNTVDDIDDADRLYNLFAIVVHIGNGPHHGHYISIIKTAGT WLVFDDDNVYSIPEGDIPKYFGDSNSGSAYVLYYQAEDIDLAGLGLRTPETTLPLEVP AIPLSQSQESPTQYRRSPPLPPGLSTVPISDGDADAVQSPPPIPPPMPIPPTPSAPPP EKPSLTPVVISDPPSTDSSSLPGSLPPVTTSPMASTGFGNKLLNSIRRAPSISTSRGT IGSATSSPVHPGETRRSAADKSPGPSTSTLSFVTPLDISTHELPPPFPPNVLNQSQSP MDTTPIIIPQVNEPKVEQQKVKEREKDNEKEKDKEKEREKQKPPRKWFRRQSVKTVDK SGVDNSPMPDVPSTPVHPQENSHSNWLKHPSSSVNPSSPRPQRQPSENGSSTVYSETS TLYPNSSKLAPTLVPLRRQDRMNGHDEFHPPASTSSSPSSNSFLSQLQPSVTGHTTRP STSSTTTSGPQEYSSSPVRKLPVTPSDRPRASVERKRSLDLNALSTAGPGSISATFTP SQTAQYKDRPAVPPPRFNSHRANASNGNIFVAEPESFVKGKFRSTEFEGGSASPTGPG FKPATQKSLPPVSLASAVGANNSMSSTNSASSNFKRTTRKLSLTAPMLGFGKRDKDKE KEREKERLRERDRASPNGMNRV JR316_0000470 MPDEDTLASTAHPSITKTLWRYSIYAQEERKAFKTADIWVDLLF PYFLVEDIIRIRQVNKWFYIITHQPVIWKRFLKRIRIPTPHLRPTFDYVANVEKYEIE TVVVTACAMERAWRGNFPKIRSERILTSQSKVVDLKLLPGGKFLVASIKDRCNYRFFI NVYALDVMHGSRLIARFPTYYKAYDLQAKYMDYKSVPGIMIYYTRRRFRGGVPANFME NINDFNPRNRYPVPHPLTYEAFCIHMPLEPVEKLIHPDLDPITKRFSYLGITRRLDRP FIDALRWRSTSEIHSPSLQNINDTPSVIFVEDDVGSTTIQIVNINGLFRVSIICKEHP AFVGIPHRIRAVRYLPCQKGLLVIRTLCTNPAPNPDAQVIILFEIYDMPSDEGVHILD PIEQWERQDTWQLDGEFVISDAEFGKANEEHPDVILRDAGPPTIWVFAPSANPKGCAY WWIRAQRTAHGWRYSETDSTSHHHANDKFHERALPGAFRTLFLEINDDISEAKFLKRM RRFQWPEKRLGRINPNPLANITYPITEVPAENSRALDDIMFSEDVGHDINRGGGLVAS AWDETSGKICVAAENEDFIRLLDIAPVVEPHLRLAHKWELKVIEDDTLEPPKQADQVM SLPPPPSPSILQSLDFISFLRYSIL JR316_0000471 MLSLDFHLLDLATNNLFSDDVRATLHRQRKSALDDLALLDAELA LAQLSLQDNNILSEHSKRQTRRKLVSLIAACDIAIAPHKSLPTELLSYIFILAYSCFP TDLPPPSRTSTPLVLCQTCSRWRTVALDTPDLWADVVFRYEKGLDPRRTVLLAKMFFA RCGSLKPLSLQISRWSGPDFPDDHQTIMENILRSLVIPYASRFWRLHLRLSESTIYTF LSIAPQLSFPCLKSIHLECDNSSSTRGPSLRKLFPANSPLLTKLGLSNFRYQLQVDDA FFPWKQLTHLYCHDTPISIPKIHALLRLCRSLVECLLSVDTRNDPSDFDISKARIRLI SLQKLSLIFTTQGPLLGSFLEPLYTPNIKELTISNSIRTQRPHPQDELLALLTRACHT LEVLQIDNIPLPPHTVAEIAHALPTLTALLIGADNLVPRDVLGAIAAGAVLPRLRCLA CSVDDLEAAIRALEYRWQAGVDRLAPGELYSPPFNFILDYTGQVINHDQAVRVDRLRA NGVDITMTRVQP JR316_0000472 MSAIPPDEPAQEAPSAIDTQQLQQQLQQQQQEGTPPEPPRKRIS FFSLPLCPAHCPPQPRPPLSAGPASPVTLARLAAARFSPASCLKRGLGNSCAYPDPDA QEQQGHHSASAPAQNVQFAPPPMYATLQGTPNLSHQQYYDYNGSFTGASTSTFRPNKR PRALTEEETAAIRNFSRGDFFVGSSAPVRIDPRLPVRLTLGEGDHVHFTVGETLV JR316_0000473 MSHSPLQPPVPFADFEFTFDPSLSNLFPNPPPLPSSADLFSPAE TTDLLGFLDNFNDFAWDLGPTDLQHDHHAPYSNPHLNTVPEPSPSARPKPTSRTSSSR GTHNHDEEPSTPTFAASADPPAARAAAARTKPLLSTPQKRLNHIMSEQKRRNAIRDGY AQLIALMAPAGSAPGIGMPTRGRPKGSGSRGKGQTKGKSGVLFRAVEYCRWLEEGRDS LHQEVIRVEAAAGIRHPS JR316_0000474 MPPASLPPPPKPWPIPNFQLRIDDLDHEGVDIFLAAVNPKQAMH AAVMTSFQFLYTQRTVPRTVRQINLVLRPMDGVAYTFGSQTLKEIHFSLDYIKRTANR ARDEIMGVLVHEVVHCFQYNAFDTCPSGLIEGIADFVRLHAGLSPPHWKRTGGDRWDM GYEKTAYFLDWIENRYGEGTIRELNAAMKDTQYHRRIFKELTGRPVRKLWAMYCKSLE ESSDAETTEGFLLLHHEYES JR316_0000475 MPPLTPATTIDPPKPLLKFRIDDLSHKGVSIFLNAVDPGQVLSQ ALAASFRWLYTPETALPEYGILLLHLCDDLTRNSVKEVTLVLCTSGTIARTSFTVKDG GVYIESLLSLSYIVHSEDRVEDEITGLLIHEIAHCLLRLHSKSKCPSGVLVGIADYVR LREYYGPPHWTQNTKGSWNDGFDKTAYFLDWIEKKRCEGTVRKLVAALKAEYSDDLFA QITGVSVSDLWKQYVEHVESNHQDEVHAEEEQESANPLAAWPMPKLNIRVEDLDHEGV DIFFGAVKPKEALKTSVLASFNQLYTLSNVPTNVKEILLVLRDMGGVAYTIGSASHKE IHFSLNYIKATKHRASEEILGVLVHEVVHCYQYNARGTCPGGLIEGIADFVRLHESLG PPHWRRSTGGNWDDGYDTTAYFLDWIEQSFGKGTIQKLNYRMKRARYDHGLFKELTGR EIDELWANYVSSLAGGDDAKDR JR316_0000476 MDDSEPTPLHLPQNLPYPLKITSLNAAQNATVDRKSRLLEYSFV YSPPGPEQLPETRFGTWDSTLDGVVKAWNLKVGDVVTRKKAAESPAIFVVEPCKHEIQ ISGLCALCAKDMTIADYLDVSDTSRANIQMTHSAHGPTVSLEYAKRLERESADHLLKS RKLSLIVDLDQTIVHATVDPTVGEWINEGLAWEARQAKKASTTPPDDGAPTANDADDD DECNPNWEALKDVKSFRLGPESFGPLAVRSAHRGKGKNKMVETEGCMYYIKPRPGWKE FLRETATKYEMHVYTMGTRAYAEEVCAAIDPDGNIFGGRLLSRDESGNDFFVGIGDIN SSFLPKIEPLTPVLNVPQATPTASINGSSTSPIPNNNANPVTPDVPTTVAADGEISEL ENAMFTQNNAALDAQLEERPLAKKEKELQEHEIQEQQAAEKTPTPPETPASVEKLPTP TPSPKPEKMHKKALLKNDDYELERIGKLLNEVHTRFFTAYDTRRANENAKAKAAAAKA YDVTRIIPRIRSEVFEGVHILFSSVIPLDTKPETTEIWRMAHMFGAQCSTELTSNITH VVAAKRGTVKVDMARRRGGIKIVWLAWFTDSIALWRRQDEKPYLLDDPPVVIPASSPT TEYHQLSSDLDIDSDDWDQEPPEMKETGPLHLEAINWDDINDEVEAAMNESDDEYDEY YAAFKSGNVSEDDTTDGGANNTSQTMTTRKRFRSATPSDGGGGNDEYLGTGSRKRMRS KTPSDAGSDYGSPLARRKKAAAGRTGYSKLKEGITADDIEGGDAVTNDVNESGNGTAL PDAQGSSPAAYDEEEDGEDDDEEEEEEEDDFLARELEEEWG JR316_0000477 MATTEIKPTLDLSLEADVRVYLAKTPFASTDVQPLSGGTGNYVF RLRLDAPYEGRRTVVLKHAKPFVKDLPELAFELSRQKYEVEALRRVRGWLPADSLVTV PEVHLFDEDAHVIIMDDAGEDAISLKSYIQQGHATLASAKKIGAAVGAFLGGMHKWGK GNKELCAAVAGNSQAKAMSAWVFYGRLLPTLSGDSGVPKLMDPPLVAAAEDLEVIKGL VEETTAALLAVDDQFVMGDFWPGNMMLRIDGQGEVEGISVLDWELTKTGLSGLDIGQF CAEMHLLRRSQPEVCGSTATGVLEEFLKEYKRTCEPGEEVARRTVVQWGVHMAVLGAR VDWGDKEASRRLVLEGVRIVVDGVQSRSLGENGHVGGLL JR316_0000478 MPNQPPTDSVVARRGRSRQVSDSALARQASEERRIHRKLFVFDD DNDNDNDSDMHTHALPIVAPMPMRAAYAPPFMRSASPSPPSSASMSPDYYDSPPSPPL SLSLEDQVHAAWAVDDIHLAKILLLRLKGIEVTSDDDPRIAAVQDEDFDFCFMPHGAL LDERDEKRMRELQAEEMARLEERRRVERLRACERKWEEEKRRLRDERVAVLRRKVKKK LADEHETRRAADEERRRTKRNSSRKIVSFQHPQPAPRQPQPQSQSQPHPQSQTRTPFV YDFPSPTSSCPSTPPRTPPLAAKQIQAPPSLDEALAVSFADVLKSMQGALFPHDYDLR RRRSPSPTRTRTRTRTRSQRRKERLLDALLVEIEYSEEERRKRKGKQRALPRRALFPP CPACSSIAPSPPPLSPALSTSSSSSIPRTSSWLSFRNSSASSSTTDLTTPSSSPLSST KSNWFNSPRPKSWLADHQSLRHSCRPHSLTPVLPADSPLPIELPSAPKPAPISHLGRQ RSTSAVRATQDGAGTLVRRMSKFVELAKGFQNAYVTTALFSVAASYDNFEDRNVDGPG KTVVSDDRAPPQSRPKLRPAGYRVSSTDVAIFLDPTPLMLNSSQIANDIAKSASTPRY IPLTSPFPSNGSPRTVLPDPLPYRLYFKPVPAPTRSPFRFNALSELHTMYPSSDYSAS ANRIPGQVSWRIRSVGNPVHLRLKALHNIMWKRGVQWEGIARETALGGGRERVVGVAY EGIGRSLLTRSASSVPVSLAPSSSSEA JR316_0000479 MSSPNSSPDSNGTTHQAHSLPKQKLAALARLKSFSGSFIQPRKT SPPVFPPPSWELSDELLGSYRSSPTLLESNFEDPPPVEVVSFAQRLRGLIESLPLPAA LSPSSLSTQSGSHTAECQPDDRKLGSPVPPGMDEGLVRMLSSEDIMNGQKNSASTADS SSRPSVWNILASLKNSSGKDGSTEPRRGAVEEQEDGVMMYAPLEPKSDSQLELASSET ILEYVEEPGSRKNRKPNETSGAKDQTGGSTSTLGEGSKAATIEKHVWVPSTVQLSLLT TWWGYRLYLPPPVMAKLDNTSLKATARAAMITTALKWLLDKIPIMLVPPQFRPAVKML KQLSPVVGYIGVFIAWSWDRVRACDKGNGVVLTATWLLPVALIPMSWDAGDIYGPRPP LKPEEITGEVHTEPPSTKNESGEKEKSKEKKKSLFRCLKKFPYVSMNLLWGFKYFAPP QPLEGMLICRSNYPTIEFESFYSIITSGARLPRLSVTLAIYNSKTRKKGSAEGYSSEK QSMGRACKIHLAVGPPFDVLDRKQAIVMEDLRPFYG JR316_0000480 MRSSALCCLTSLRFRIPARPVARALCNVPPSPSSSLPLPDLEFI DLSNTAILENEIDSLLVRLPCLKHILLDNCNIFRGEQHPNDWRAFGKRCALIGVQRSK EREKVWKEYLELRDASRLISEVNGTGGSSNEGRKIKPGRRGLATATISLRGAEIVTSA APRRPLIPADTPTTVPALGPRSTTDQGSSRTPKKKNEGSESIIPQASPAKPVSMPFPS LVSASLPDIQAHYNKKGQKSSPVPPSGSQESSETRQSVPLYPKPSPPAPKSSEEAEIV TGQVHSKKSRNNSTSKNTTKRAKKQERVRVLPPLPSLISLCVTLPPPVEENDQLAIQN HFGEGWVEGIKQLQATRARLRTSAANGVRVMRFAVHSDPLSTKPDSSESEGSDMDSKG SNKASEGGAISSNIGLHGLVDIDRTDPDAFGTYLEGSTTLQAPILCFAGPGIGGQHMD NCGHSVAWKIMKDDT JR316_0000481 MENDALVLVWQVVNELSEQLAHNQKLTAALQSQAGVLKATTQAT AGFALRRVNADISKELFESELERLNAQIIIENQTLLHENKQLSLLLKEYEGTMDTIMS KFRNHALAAQQHELTLTRHYETLLAAHDSQNASSRFVLSPNITQSLHRLSLYLRGLLK TMAGENYDPFQNPDPDYDGASINGVDLQELSNLLEALDERGTGGYPGIEGRQDWALER ECEISRLERENEELRRMLGIDEASMTSRGLTVDADRIESGRNSTYLANSRRQPPNMDQ FSANRPIYWDANGQQIGNLQRAMEIQPGMRAGQQARRTAIFGGAQQRGGFIGGVGRGM GAGVGGGPVNMPMWNQPSTPVAGVPSDRQWHLQGGSSGLDLNR JR316_0000482 MAPKGIGGYYAVRKGRIPGIYKTWPECKAQINEFPGAEHKKFST LAEAEGFISEDSPSSSNAEAGPSNITTSHAPGDSTSKKRELDFGPQVDDVTGWDVVYS DGACKGNGKEGSYAGVGVWWGHNDPRNIAERCPGDQTNNRAELIAILRVLESTPQTKK PLLIRTDSQYSIQCFRTWINTWRNNNWKSSTGSDVKNAGIIRCISAHLDLRGKMGQKV VLEYVKGHSGDIGNDGADFMANQGAVKPRVDERPWEAIEKQLQRKLMEMPSDPHTPIT KLTVLAPDKMVEGDDADLSESPAKMQKLSSTTSTPSKSIKSKGASLQSSLKACTHSTL ATNQTSSPFHISPLFPPASSSVQSFSSPDKANKGKPALPSPQKSGPTKTKADPPSSNH TVAPYPHLSGAKSPMKVLCAVPPLVPVTTQEVNLDDYADCLLDDPSNDLSD JR316_0000483 MTDSNATIPKSPPPGLFFAGSDDEDEVDDENIPLNVETRSPSIP TAPQTPSTSRSSPFNEPLFLDDDDDDDKNMNIYEEEDNVVPQKRQIFDNDSDIEIIED PGLSRKKQKKIDPEEVTSSSKEKKDISSAKECSISFVSDTPTSQVIDAFMPTYIGELV VPNAWSTVSGRGYVKINDSIHVKREQQNYASSDKAEASTSSSSKKKTDGKRQITLSNM LKPQPVKFNNSKKKKSDTIVRLVNNKGFEFGRLATDTSWWIAKLLENNIVEIRGVMTD CPEKLTTGASLIVTLHMYLIASAFKPLKRTFGNDDPVHLGFNEGLETEEETILRERKQ AVVKMFEVLGLRPQAGANVQTNEMSHEENLQKLAKRPVRKVKEIVGDDEEIEVDDSEE LTKNEIDAIYSRAQHNDRTMAEMEPSDSFSLTLRGYQKQALRWMHSLETGTMDAREAS SMHPLWNQYAFPGEPIMDGETIDLTAEEKPFYFNPYSGELRLDFPRAERNCQGGILAA FRTVNQRKQQTSQPASATLVVAPTSLLTQWSEELQRSCKPGRMQVIVWHGQNRQDLED LLECDEDDRGNVIKVVVTSYGVLASEHAKMDRSSKVKSPIFEICWLRIILDEAHACKS RTSKTAKAVYALNAKRRWAVTGTPIVNKLEDLFSLLKFLDFKPWSEFSFFRSFITIPF LSRDPKAIEVVQVILESILLRREKNMRDQDGKMIVELPPKEVIVEELEFSPLERKIYD SIYLSAKKDFERLDAKGLVSKNYTHILAMLMRLRRAVLHPSLVLGKEDEEHSTFPQDG GKKVTINELIEKFADGEQAGDGNSSAFVEHFVTNLSGNDLAECPICFNEMEIPMVIPR CMHQFCKDCIVSYIGICEEKRQVANCPTCSEGPIKSTDLVEIVKKGKNQGMSSSQSSD TPVMLRKNDFQTSTKLDALVRNLRQLREQDPCFRAVVFSQFTSFLDLIQVALERERFD QYRFDGTMDVKKKSAAVNEFKSFSRKPKVLVVSLKAGGVGLNLTNANYVFMMDCWWNS ATENQAIDRVHRIGQNKTVYVKHFIVSKTIENRILQIQKRKTAIVNEAFRGSGKADPE SIQNLKIMFGDS JR316_0000484 MQRGLPMQPTVVLLKEGTDASQGKPQLLSNISACLAIAETLSST LGPRGMDKLIVNERGEAQITNDGATILKLLDIVHPAARTLVDIARAQDAEVGDGTTSV VLLAAQLLKEVRTLIEEGVSPHIIMKGFRQASQLALKRIKELQITVDKTDPERFRSLL LKCASTSMSSKLIHSEKPFFSKMVVDAVECLDQDDLDESLIGVKKVAGGGLQDSLLVK GVAFKKTFTYAGAEQQPKHFVDPLVLCLNVELELKAEKDNAEVRVDAVSDYQAIVDAE WEIIYRKLEAIEKTGAKVVLSKLPIGDLATQWFADRDIFCAGRVAAGDLRRVVQAVGG AIQSTCSDIGREHLGTCGLFEERQIGGERYNIFEGCPKAKTCTLILRGGAEQFIEEVE RSLHDALMVVKRAIRNGEVVAGGGAIEMELSAHIRKHALSIPGKLQLVIAAFAKALEI IPRQICDNAGLDSTDILNKLRMKHANGEVWYGVDVDGTSGVRNNMDAFVWEPSLVKIN AITSASEAACLILSVDETVRNPQSEQQNPGPKAPPGTAQRALRGRGRGMGRR JR316_0000485 MTTILVNHKDTKRISVASSSEKLSNFSTDNNGDIPSLGMPVDAK AFGFLSLWRQDKKDLDAVATQPSVFDDPTTLEIYRPPPQYENTHRFDPLARWTWREEK RVLRKIDIRIMLWAFIMFFSLELDRSNLSQANADNILNDLGLSTNDFNLGNILFRLSF LLAEFLTGRASFTIDIKTGGSRRMDTLPGKIIILMLLASLKSNQMVLWSAVSTSQFWL SGRRSFLACRCLLGFMQGGFIPDIVLYLSYFYTKNELPIRLAFFWVSNYTSDIVSAFL ATGILRLRGVGGRAGWRYLFLLEGILTLAVGLLSFALMPPGPTQTKAWYRPEGWFTAS LEKKL JR316_0000486 MPRPRPLTSTASYRGSDQQLTPRTPHSGSRTSRLEQGFSKIPLS ESNGTDYDDDSGALQSAPLLASSSTARFSVHSARARATADSNGKGGEARKPLHHPMQF LYRFVSRLPLAVGIFLSGILLFLIVVSFTRPEALHKYVGAKAPTSSASSSAPIATSAL NSKFSPSHSHKPTIPGAHTISYANYTTFPLHPNEYLNECAKLHQGYMSHGDFWDIGPM GGMDVPHDDDIKSAGKVCSSTITYMLDGTVGLSADLALMAQAAALANERNRTFFVDDT YWNRGKWLDHFEELSKGQPGPEPNCLPPPADELVACPRTSRHWVINARTAKFHFGHAF SDHYEDPYGHSLNRLKPIFDYSGHSLKSVIIPNEWNTKLIRLARKELSAFIQEANEQD NSGIVYIATHIRRGDRKSLSYIFPDRKIPLEDYSNAVATTWTRLHPGSASSNPVVYLA TDSPTAYEQFSQLYRGRFYSLFDASDPRLRPLASPGEYFQKTFNELDIHERITATRGM IVDLAIVSGLWPAEGADIKPDAVVCAISSSVCRLSAVGLGWVNAFGNLDSTGGIDNTN KRWVDVDQKGIVEPIWEAFELF JR316_0000487 MKIPSSSSILLAGLAISSSSSCLAAPTGDGNHDVDISSSSSTSY ASSSVAPAAYPTGVNAEERSDTIRQRAPQFNKLAARDDAATVMGMLDGLPIVGPLLKT VMSNLSQTSKALDVESNDSGQLDAQSMQALRNAVDEVTRIIQSATGQGGPGNATLPNA PVSAQSDGDGSGIGAAANQSASGSGAAPTPTQATAADDGPDTTANVSTSSTSASATPS SPPMPGNPPNTPTPDLPVNP JR316_0000488 MVDGSSTPSEPTTSGSKLQLYVSSDTVVPDADVERASGSTSLIP VDPFQFRDGIVTDEELSNLRRRKKGKAVAKYQTRQNNLIEALLKPMEEHTEDAKAEEE AARLPVKIAIYASLISNFALCVLQMYAAISSLSLSLLATGIDSVFDIGSNILLFWLHR KAVSLDGNKWPVGGARLETIGNIVYESIRTLITKEGDELAPFHLPSIISVSSALAVKF LLFLYSFSIRKKSSQVQVLWQDHRNDLWINGFGILMSTGGSKLRWYLDPMGAIIIALG VIISWGRTIYSEFELLAGKSAPHDFLQLLIYKCATFTDSIEKVDTVRAYHASGIQKNL YMPLPHTNQSSSTQSGPDYFVEVDIVMDENTPLWKAHDVSQQLQDKIEVLPNVERAFV HVDHEWTHVPEHRKAAKSPV JR316_0000489 MESHHQDPEELYVKQDRIGKGSFGEVYKGYDKRTQKTVAIKIID LESAEDEIEDIQQEIQILSQLDSPHVTKYHGSFLKGSNLWIVMEYCSGGSCSDLMKPG VFREEYIAIIVRELLRGLEYLHGEGKLHRDIKAANILLSAGGEVKLADFGVSGQLSGT LSAKKNTFVGTPYWMSPEVIKQSGYDHKADIWSLGITAIELAKGEPPYAELHPMKVLF LIPKNPPPTLDGNFSKPFREFVSFCLQRDPRERPSAKDLLKHKFVRMAKKTSYLTELI ERHERWKAEGGGRQEENDNDVDNDLQENGDQDDLWDFGTVRHGGRPNTIGRSQNSVRV PGPPLTWENNSPTSPQHEEPPHSPSRRVVSGASYSSSVTTKGELPPLPPSAGTPRFEQ STVRHMPQPVERPKGGSPVVVEQHLTDEYDDYDDQFVDTYSSAKSGMLQQKMQEIHLE EDLPDTTMLDSVILPAIASLFPRVSSQEARVALSALQRAFTDAERIIPGVTLELVNEI VDSVEHVEDDR JR316_0000490 MWERTLQDLIRGLRANKKDEAKFIAKAVDEIRHEIKTDDMELKA GAVLKLTFLDMLGYDMSWASFHVVEVMSSPKVHLKSIGYLAAAQSFEQDTDVLMLTTN SLKKDLTSTPADIAVTLDGLSHIVTPELARDITPELIAMLNHSRPNIRKRAILALYKT LMKYPEAISQARTRLEEKLTDPDPSVVAATVNVLCELARRNPKDYLMLAPQLFHLLTS STNNWMLIKIIKLFGSLSPHEPRLVKKLQPPITELISTTPAISLLYECVHTCIIGGML QGPSGDSLARICVSKLANFIQDADQNLKYISLLAMAKIVPTHPHLITEYQDTIISSVN DQDISIRMRALDLLSAMVNRSNLQSIVQQLLAHLVKDPSSVPQTATQSLSQNASSAPP ITPNSFSPSQSPAYRLTLAQRILTLCSQSTYENVTNFEWYISVLVDLAHVANVDIGSE IRDQLVDIVGRVRGVRRYAVKLMYSLLSDDTITRNAGEPGSCSEVLWAAAWICGEYCL ELSEPEKLLPYLLQPEIDNLSSDIIAVYIQAATKIFGMWASELAQEWEDDSLPEVKQV VESILNRTREFSGSQYIEVQERAANAVELFVFIQADLNSYCPKSDLSAPVSLVGPSLN DGFDQHDEPSFPKSLYLIQPLFSVYELNPVATHAQASVPLPEGLDLDAWIVPPPREVT PQLEKPEADGAEKKKKKVKKGKGKEEASSSNKKKIAKAKINSEVLTPVESDTESPEER ALREKRKAERLAQLRDDPYYILDDKPKPHVYDVDSIPIVHLEDMPPMPGFSDGKYPTL RANSNTFSSGSFTIERDGEMPAAATPPRPQAGSQPISALPSGVATPVRLMPFPEYEVS GEPPVEPIKVVRTKKKTLGKKKKRATPEDDAPNS JR316_0000491 MLLSVRSFFSLTKQPHTSTAGALKQRAHSNPTDEVDPPPEVKVT KPDLEQFKPQSCHCGHQQPEFCPYCEYDLSIQVERAISLVNGTRPDIGEPTNYAQMDG ADGPPRRRKLRKTKSVYSRLDFAKLDNKTSIAMRPNSMVSNNSRTPLLDDEQPSKSYY PTLPSERKTPNKLEKRGRPRTGSLPTMRHSTLSDISNNFTPQRPIKTVKSKSFLNSRA IRSFGPRPNPISKSIETDALVALAWTGQALSTSSPFPTPGSNSSYSPCSGSSSFLLSA TSSISENQLYPSTNLLSPGLTDDKRRSVLRKPKDPNDAKLAPRPWTLAMVITDDGVTD ERLVKDLEQMRIKDPSIDTSIIPDRYPFPERFFADYQTQLYDSLAEYPLQSGEAIPDP ISPSDASWTSARQALLLCRELVRTERRYVSSLKVLITNGTSTPPPSQMLPYLPSLISA SESLLVLMEENPSVQGVSQAFLACNNQLAEAFINWCGIVGQFFDSDDGVKNKGNLEDT ADIHKNFVQPPISPRRVLSVESVLPIVVTEPNKIRKNTKARPSVRDLAILPTQRIMRY VLLFKELRALTPASYTSSSFVQLAVQAAESIAQSADEAQRHTSFSQGPLLQSEHDWPS LFESPSLQSHDQILYT JR316_0000492 MNNNVKAQPAFKLGEFSIDDPRPIKVAIIGAGHSGIVAGIRFRQ RVPNMDLTIYESLPAVGGTWYANRYPGLQCDIPSHAYQPTFETNTEWSAFYASGREIQ QFMENMVDKYQLRPFIKLQHRLTAAHYAEKSGKWELTIKHPRKASNTKKSHNYASPVD QEWEEFHDTVDILFIAVGPLSRWTWPDIPGLENFSGTVVHSADWNIADDEASLGDKRV GVIGVGSSAIQIVAALQPKVKHLVNYVRGKTWIAAIFNKPSLDRLSQDINCPPDKFTD KDKEAFKDPKVYNEFRREIETDMNHAHPATLLGSPLEKMAREEFTASMRQKLTKKPWI ADHLIPDFGVCCRRLTPGPGYLEALCEDNVTFVPSLIKNATPEGIVTVDGKFEKLDII VCATGFETSFRLDVDIRGRNGISLNDHHNPHPRTYLSVAVDGFPNMFQALGPNASVGA GNLLLIMERQVDYAVAATLKIQRERIKSIEAKSEAVDDFDKYIDVSSCTVFGTKCRSW YKAGKTEGRVVALWPGSPIHAARALAHPRWEDFNYEYLDPSQNRLHWLGDGNTVADRD PNGDSMNTSISNKTLLLS JR316_0000493 MESLRSRPSQAPRKAQRTQTKLAKPGAGPTPRDGRNKNRIDDKI KKRMSTRYADISSPTQLSGIPAMPSFMGLIPAGQTSAIPGREGEEDVRDRTGARDDAQ AATDDKKLLSADDFDPAAYLKLKLENSTEAELKSLQSSLRNAVADTSSELQRSVFKNY AEFVLISKEISVLENEMLELKDLLSDYKSMPSTLHIPDPTSTSSYTLSTYKRSSVADL RVLYFNQMQTLHASIEGASKFVPTTPGRHVVGEMENVFSLNAATYKVTGKVKFVVLDD AVLVAKRRRRNAGGDGGGSTVNEGKLVAERCWPLSEMLVLDTKDSPNMTNVFKIRHGK ETHVYRTETPSDKKSLLAQFRQVAEELSQKRRKEREGEHERRKSLWQVTGGGGGGRSS PVPPVPDWMAELAKKGGDIPGIGTDAKEKAERDARWVGEWSDGLTVAIALKEWSKAVD LVEQGQAKAAVIPLLASKLPSLTSQLITSLLASLSLHSNRKTSVVNLITLLNRLKAGA AARNTFLEMRSQVIHSLMRKIRFEGDIETYIGELAIVYFTGIKHTADWYLASFKENEV ASTFITWTKHQLESYCNIFRKQVYSKDVEPKVVQDAIQLTHAQSKKLLQEYGLDFRYL LDDLLLETPKEPLKPAAAFSFSEHRLSKEMSTASLAKQVEQPAATVLPPAPPIPTLSA APPPINRRRTPTPLVEKAAPPPVGNSDGLYPPLPQSAALKSPNKLMTPNSASSAYSNI GSRLEGTNNGTTAPLFSSRQNAPNHPPSAMARARTPVSAVQQQQASTPVSAPTANIPN VSLASPLPRPPMSATPYRTRERSDSIKDRDNIKDRDNYGADMYRERPPRSARASPAPR SPVPPPPRSAARPGSAMGQRTPIAIPQHEGMI JR316_0000494 MYSSQPQASPSQQWDNQQPRHSVSREFAPIPDLPPAQSQSLSSS RSNRDLPNVPSNLPEPEQEYFDQSPEQEDDNDDFFNGGTANHNGGLHPASSYNPAVGD YRTAGSVATQSVRSPSPPQGPILDHSHLRPGNHAALLSHERTLELYRANAKKTQDPDL QFEFAVFMIDASKSMPIPVQTPGNVMEVEKAIDKREDLIREAMGLLKRLADRGHPQSQ YFLADCYANGIGTVKNKQDFDRAYPLFVLAAKHNVPDAAYRAGVCCENGWGCRRESPK ALQFFRKAAAALHPGAMYRLGIAELNGELGLSKSPKEGVKWLKRSAENATAEFPHALH ELALLHERGIDNVLFVDYEYSTELLAQAAELGYAPSAYRLGECYEYGKMGCPQDPALS IHYYNIAAQQNHRDACFALTAWYLVGSPGVLPQSDTEAFLWAQKAADAGLAKAMYAVG YFLEVGIGTTANMQEAISYYKRAAELGDKRAAQRLRGSQSQPMHQPGGPGSVLHRGEE SNGKGGKDKDCIIM JR316_0000496 MSSTHTAIATVSKGHFDAIQVPTELPGDGEVLIKVEYGSMIAFD TYVTDRGFYVQQYPLVLGFSGAGTVAKLGAGVQGLKIGDRVTAFGYGASRNKSLQEYS IQPVEVVGKIPDSLSLAEASTIPDNFVTAFYTLFNQLGLPHPDSFPATVSPPLADTPI LIYGAGSTAGIYAIQLLNLAGYKQIIATASKKHHEYLRSLGATHTFDYNSPALVEDVG KVVGGDGKVTLILDCITAESTVELLSKIASPHGKVALLLPVKQGNTVTNDKNHALWID YTAEQSPFVKGTTVIGVKTFNYRQDEYLSKNLMPKILPSLLQSGSIKPSRVRLLDQGS LKERVEEGLELLRNNKISGEKVVVKIQP JR316_0000497 MVRLYIQDAGVPCVPGYHGENQDVEFLFNEAQKIGFPVLIKAIH GGGGKGMRIVSTPTSAAFQEALSSAKRESLKAFGNDKVLIEKYIETPRHIEVQVFADT LGSVVSLWERDCSVQRRNQKIIEEAPAPGLSTELRADLGAKAIAAAKAVNYVGAGTVE FIFDNDTAKFYFMEMNTRLQVEHPVTEMITGLDLVEWQLEVAAGNPLPLSQASIPLVG HAFEARIYAENPRNSFLPDSGTLLYMSTPKPTHIFAPTYPLAKSAGVVNPESCLGPPA SANSSLEIMPSLRVEQGFNQGATIGVFYDPMIAKVVVHGRDRTEALRMLRKALDDYHV VGVSTNVEFLRTLAGNKSFIDQDVETGFISKHFNELFPPVSVPEANIYARAALFVTLR DQRQQGPALTTPWTSLTSRRFGGEKYTRTINIQTEDMQNETKVHVVQISDSLYNIVVE TGHKPVHFTSVSAQLLDQTTLSATLNTNNTRTTIVSQPPHPAVPASQSPTTMERLHIF SEGHKTTLILPTPKWLLSLGGDLLSAKGALRAPMPSLIVELKVKAGDRVEKGQAVVVI ESMKTETVLRADAAGIVKSVGCKAGEMVEEGRELVDIEADEL JR316_0000498 MHKLLSRISKLLSMSRRSLSPSSSPRPSKRAKMDHLTKEDFKNG VFLAPMVRSGALPTRLFALKHGATLVWGPEIVDKAILHSTREVDPVTGVVSYNGVSRA IFTTHPIEKPYLIYQMGSADPDLAVQAAKIVMQDISGIDLNCGCPKPFSTHAGMGAAL LTNPDLLCAILVALREAMPSHITVTAKIRLLPSQEDTLKLVERIVNTGVSALTVHCRT RNMREKDRAVIERLREIVEFVEGMGKDIAVVENGDCKDWEDAKRVRAVTGAHSVMIAR GAESNPSCFSPEPLKDVETTLIPAYLNLSKYLQNHWSLTKFCVGQFKGTRMQVTKADS LAMRQTLSQAKDYSDMEHLMTSLTGEQEFQQIIEAIEKKPPREHRMLLTEISLPHDEQ DHEEKATTITPQGTQNPEPPGSGAPFLPNDTGKPHTKIPGHDATTPTPSGVLSLTSL JR316_0000499 MASFEDYDEFGNYIGADLDSDDEEDVPQQQFDDEPQQNAPLEGY DDSETNEGDNMALMQVDEPSHRAVVLHEEKQYYPSAQDVYGPGVETMVQEEDAQPLSE PIIAPVKDRKWTVVEKGMPETRFDKGFLLDMTRFPEMIRNVAVVGHLHHGKTALMDML VYETHKLVWDADKPTRYTDTHILSREREISIKSSPMSLVLSTTAGKSHLIHFIDTPGH INFVDEVASAMRLVDGILLVVDVVEGLMVGTEFIIRHALQEKVKITLVVNKIDRLILE LRIKPADAYYKIKHTIEEINTFISSIDPNPDLRLSPENGNVAFASTDMNWCFTLRSFA QMYADTYGSLDIRSFADRLWGDIYFNEDTRKFTRKQADPESERTFVHFILNPLYKLYS HVLSEETDDLRNTLEGLGIQLKPVMYKMDVRPLLKAVLDQFFGKATGLVDIIVEHIPS PVEGATGKVESTYLGSHTGELAASMKSCDSEGPVVVQISKLYHTTDAQSFRSFGRVIS GTLRKGMNIKVLGEGYSPEDEEDMMKATVDDLWISESRYFIPAEEIPAGNLVLIGGVD ASISKTATLAGIDIEEELNIFRPIRHMTQSVLKIAIEPIAPSELPKMLAGLRSINKSY PLVSTKVEESGEHVLIGTGELYLDCVMHDLRRLFSEIEIKVSDPVTKFCETVLETSAL KCYADTPNKKNKLTMIAEPLERGIAEDIERGRVNMRMTAKERGGFFQEKYQWDLLASR SIWAFGPDENGPNVLLDDTLPSQVDKKLLGTVKEHIKQGFQWGAREGPLCDEPMRNVK FRILDAGLAQEPIFRGGGQIVPTARRVCYSSFLMATPRLMEPVYYVEVQAPADCISAV YTVLARRRGHVTQDIPKAGSPLYTVKALIPVIDANGFETDLRTATQGQAFCLQVFDHW SIVPGDPTDSSIKLRPLEPASGQALARDLVLKTRRRKGLGDQIAVSKYLDDEFVVCIV ALSLLAIVATGALAQSDDIPKPNFTPTEIKAPFVEQFTEDWSERWTPSEATKKTPVGG ETFSYVGKWEVEDPAISAIEGDKGLVAKSKAAHHAISAPFSSPVDFKDKPLVVQYEVK YQKGGNCGGGYVKLLEDGFQTSGKEFSDTTPWVVMFGPDLTCPGTKVHFIFRHKSPKT GVTEEKHLRIAPHPTIEKLTNLYTLIINPDNTYEVLINGESEKKGSLLEDFEPPVNPP KEIDDAEDFKPADWVDEATIADPDAKKPEDWDEDAPFEIVDEEAIKPEGWLDDEPLTI PDPDATKPEEWDDEEDGDWIAPTVPNPKCSDAPGCGEWKRPFKSNPAYKGKWSAPQIP NPAYKGPWAPRKIPNPDYFEDLTPVKSLSKIGGVGIELWTMTEDILFDNIYVGHSAED AKAFAAETFEVKKPIEVALDKPVTVDDDEEESISFKEDPVSFIRTRVIAFVEAAKLDP VSAFKSQPETGAAIVGALFTLFGMLSALVGIIGGAQKPVVTKSTKKTESSDEKKKDAA PVSSAVDKKDDGLKKRK JR316_0000500 MASLSDDTLRKILLQIQQTAVQSQRALNVSMQQTSVKERERRIL QLTIDEISQMKGDVNLYKGVGKMFMHVPRDVMEGELKAQEKELSDDITSLNKKSKYLE KQFNDAQAQLRDIFHHAPKQ JR316_0000501 MDVKFHGVNVTYTNRGTKTANLYCYYENLYLVHPGANLSIETLR GSDFYGCIRDVPKSLQKRTPLAIFSDICAVSLSKVVYIEAAVNFLTTKSMLRDFIKGV PFGYDVDV JR316_0000502 MADPEKAEPYGLGDTFGDSTRASSAHHVDVNRAEEQFKELSRQL SKRSEAARSGTKSTESTAASHDLEKGAEHDDDHFDLREYLTSSNDANQKAGIAHKNVG VIWDNLQVEVMGGMDSKFYVGTLGGAFISFFLAPFLFLWSLISPLFPTNKTFPTKTII HPASGVLKPREMCLVLGCPGSGCSTFLKTIANQREGYARVSGEVLYAGIDAAEMHKTY KGEVVYNQEDDIHIATLTVAQTLAFALSTKTPGPNGRLPGVTRKQFDAEVADTLLRML NVSHTKQTLVGDEFVRGVSGGERKRISIAEMMATRARVQCWDNSTRGLDASTALDFVK SLRVMTDVLGQTTFVTLYQAGESIYELFDKVLVLDKGRQVFYGPPSEARAYFENLGFQ ALPRQSTADYLTGCTDPNERRFAAGRSADDTPSTPEALEASFRKSQYYDDNKESLEKY RLHMATEKADQEAFRAAVAADKKKGVSKKSPYTLGYSGQVKALAVRQFQQKLQDRFQL YTSFSLSIILALVIGAAYFDQPTTSSGAFTRGGVIFAALLTTCLDAFGEMPMQMVGRP ILRKQTNYSMYRASAIAVANTLADLPFSATRILLFNIIVYFMSGLHKSAGGFWTFHLF NYIAYLVMQGFFRTFGLLCFNFDSAFRLAVFFIPNFVEYTGYIVPVIKMKRWLFWIYY VNPIAYAWESCMENEFMRITLTCDGAAIVPRNGFGLSKYPDELGPNQSCTLFGAESGS NLISGRSYISAGYGLDVADMWRRNFLVLVGFFLLFQLTQILALEFYPQYGLDLSIKIY AKENEETKRLNANLRERKQAKKKIEEKEDLVVNEKYNDTVKHRKTFTWENLNYHVPTP SGPLRLLHDVQGYVKPGTLTALMGASGAGKTTCLDVLAQRKNIGVITGDVLVDGRPLT SDFARGTAYAEQMDVHEGTATVREAMRFSAYLRQPESVPQEEKDAYVEEMIELLELQE ISEALVFSLDMEARKRLTIGVELASKPELLLFLDEPTSGLDAQSAWNLVRFLRKLADQ GQAILCTIHQPSSLLFESFDRLLLLQRGGETVYFGDIGQDSSIIRDYFARNGAVCPSN VNPAEYMLEAIGAGVTPRVGDKDWKDIWLESPEAKKVKEEIALIKKEALSRPDPGRGE MSTYATSFFYQLKTVVRRNNMALWRSPDYIFSRLFVSSFISLFISLSFLQLGNSVREL QFRVFAIFWVVVLPAIVMSQIEPLFIFNRRIFIRESSSRIYSPYVFAIGQLIGEIPYS ILCGILYWVLMVYPMGYGQGSAGTNGTGFQLLIIIFMLLFGVTLGQMVAALSPSVQVA VLFNPFIGLVLATFCGVTLPYPTLIKFWRSWLYHLDPYTRTLAAMVSTELHGLAIKCN PDEFAVFNPPSGETCASWGKPFSDTFGGYIDNLADSALCRYCQYKIGDEFYTPLNISF SNRWRDAFILFAYFIFNLIITIIASRFLRYHKR JR316_0000503 MLARVPFVLLTFCVSLSLARTKNPKRGLGYAGTVPGDIINANQT NSLISWEYNWSNLPPDYLATSNIPYVPMQWGSVGIDTFADNVKAQGAKTILSFNEPDF DQESNILPEDAAKLWMQFLEPLKASGIRLGGPAVTNSPTGRPWLVSFLQACSNCTIDF LPLHWYGSGLDSFYGYIFDVHNQFPQYPIWITEYAETSPNDTVVFNFMNATITAMDSL SWIERYSWFGYFRPRPDVHYNMLDDNGGLNALGQLYLGAKTVHTEVVTSAPTPTYHTV NGADNPTQAPATTWPALLNSSPRRVSVPEGLQLLSLLTAMAGILFGLMWNAL JR316_0000504 MLKFVYLSLLGIIPASLAHGSHEESGPAHGESIQEYAQRHMSSE HHIDSFDVRSFFQLHDLNRNGFWEKEEIEAIYGVHHVYSQKKSKDDIEHQKKADHIVS VVLQKLDLNGDGLVSPEELEKVGLAGLPNFDGLGAEGHHYDVESEFFLHHEEQFHSTP ETQTDESYTHPEDLEHFAQHESIERKEAEREAKFQGVTVEEIVQEQEKAAEQAKVAAQ AAAQAAAAAAAAQERAFPASKPVTRVTPPEKQDPEVKYKNAGSEGVRKGEWGTGDQGY KPPTDPSDKLRKNLPYKNTRMQYKFRRNWGDF JR316_0000505 MSSSFRASPWVTYEPTTTSFSNRQQVSESEMDDDIDMEAPQIST LREEETPPPQPPKKLVINPRKRPAATPASTEPWSGTKKKKESNEEEEDEELIDELIDD DDDELAKPSPSSQPGRSTESTSKRKLSVKKKARKSDKKTTEGEKKVKEKGSQPTGAHN LAPTMSCFKANLAESHEDIEIVNSSPTNHPGGESSVPKAKKKASPRKPPTVPRPKAKV AKQKSAIPPLLIEDTAVLSESYAGTAASSPVTAQFEQNSPEPENIAPSSPNAASAPLI EEPNLENVPIPVYPLPTKPFPVQPPQKIVTGFAPLIPLDRSNKKVRHWREANREIRGI AGGHWVTRSWVGCKESEYSAHVAATNQAKIGGDEKANTVAIPKLSSVSISAPVPGKAL NKLKVSSKSGSTVTSANASRATSVVPEPQSIPITSTVRAPTKMRILQLAPSSEGGDSD LAPAHDT JR316_0000506 MSDANSSIINGGCFCGAARYQVTGRPILSAYCHCTLCQRLNASA FILSVHFSSTNFSWTHDEPHLNSIESYSVSTKPWKIRWRCKNCGCCIASNNLQNNKWS VYGGQFDRDENGKIIGWETIKPTAHIFYETKMIDIQDDLGKWAGYEGVSERLA JR316_0000507 MVSSTVNVVRYTALFSGIAYGWYHRRSLQASHDQHKLEAAAHSR EKLIADAKEAWKRRNEAADSSLITDPEDPRFDLEKLIAKWEKST JR316_0000508 MKTQMEEIEDDLAAEEENKKKNAPYLYDLVITHALDWPSLTCQW FPEKEQNPQKPYTTHRLLLGTHTSGQAQDYLQIATVQIPKRDNPATGADKLDRADYDD ERGELGGHNLPPSPRIQIIQKINHDGEVNRARYMPQNPDLIATKSVTGEVLIFDRTKH SSEPERGGICKPDIRLVGQHREGYGLAWNPVKAGYVLGASEDMTVCCWDVNSYTKAKS TIEPTITFKGHTSVVGDVDWHATHENIFASVGDDKMLMIWDTRSPLDPKHKVQAHDAE ILAVAFSPATEHLLVTGSADKTAVLHDMRFPNKKLHVFESHTDEVLHLAWSPHNPTIF ASASSDRRINIWDLSLIGQEQTPDDQEDGPPELLFIHGGHTARPTDFCWAPGESENWT AASVSEDNVVMVWQPTMRIWAGDAVKVDEKELESDAMEGIEGPSTDKGKASVSGGSLR SQSMSVSATSADD JR316_0000509 MGKAGKGLKSALLSQQSRLKAKQKLSHAAQVAEQKIFRMTGKQP RRDAARMDPTRDTKGKGKAIPQTLRPTIPFNPTDKILLIGEGNFSFSRSLILDAPIQL QSLPPNNVTATAYDSEEECFSKYPEAEGIVSFLRSKGVEVIFGVDGTRLEKHPRLKGR KWDRIVFNFPHAGELNSLFVVLPNSTSEFSGKGIADQDRNILSNQILILGFLRSAPKV LQVGPVPSIYTPRKKKRGDDDEDEEMDPIKDVVEEVDEMGNPIQFFVPSDNVTTRGTV LITLRNVIPYTQWDVPRLAKNPPLVQNGSNTKPNPKYTLLRSFQFHRNIWKGYEHRMT KGERAHGKGTTGDGGEDRTWEFCIKDEPDKDSYH JR316_0000510 MQTVCTQRLGICRSRVPSTTRSYAIQVKAPKPLPGKAVPRVFKD KKAFQYNWYSRILKANTTSPVIILHHDDFTAVRLKKLRGDIAVAAQKFMPSTISPGPS LLPATTSGLPEATLTVVRTGIFGAALRDIPDVNMAAVEKMLNKQAGKFAVLSLPSLHP PQLNAILRAMDRSVPPKPPKTEQEIKQELDQKNADPEQPGRRMKRVRQVRIPELKVMG AIIENRVFLPNDIKDVSQLPALDTLRAQIVGLLSAPAAQLAAVLGEASGGRLARTLEG LKKGLEDDSTEPPSDTAPTS JR316_0000511 MNWTSHVIVTPPSAHILINLTPKRPDREMDEDDEFLYGEKSQTY SEPAPGKALPLGTYVSVQLGNDDLFSVSTERGPAPNGFPDFLENDDPEPEVNRTLPTG ERQAEEEDEDEDEGDQGDDSDDDIEIILEPVARSLDFRNQNKSSTTRTTSSTPAPTKA PQPSLTTEYTPIQRGGPQPSATPSQNQADTSFSGTTLATPTPVQAQPSPATQPPQPSE PQNAAVDDGVDTSTLPVAQAPPSHPPIDPDVTAVFDGRSILELDLGALADKPWRRPGS DISDWFNYGFDELSWEAYCYRRRDLGDLANVLKTNVIGFSAMPEDQLIALPPDIRTMV MTGANAMMNNAGANANMMPMMDMSMMGPMGMGMNGEMGMGNPMMQGMMSDGGQAQQGV GVGVLPTNAPSEQVNGVNMMQDGFNPNAPGMMNMGMGGEFMQEQNQMPQQIYPVMEQS NVAPVSSGRGTPIPFRARGAPGLGGRARGFPGRGRGRGGLYGGDAPVPVPVRPASPLP PGVPTGPRNQNKYKDRDGNAPAVDGLDYGGGKEGGMSRRTPSGEPEERISSRKRRSSP GLDDIRSSKRR JR316_0000512 MPSSYLSPPPSPTSGFFPTGSTSPNTLIGVQGQNPRDMHNMYSL FASLRGSKTSASQEGKPSLKRLFSL JR316_0000513 MSFLRTSLANLGRRAYATAPPPSRSNLPAVLLTAGLAAGAAYWY LDSSQTAPVKPKQEKSPLDPQNFQDFKLKKVIPYNHNSSKFVFELPNDEASLIPVASC LVVKASAPDALNDAKGKPVIRPYTPVSAPDAPGELTLLVKRYENGNMSRHIFDLKEGD TLAIKGPILKFPYKANEFDQVALIGGGSGITPLYQLVTHALSDKNNKTKFKLIYSNLT EKDILLRKEFDALKKKYPDTFDAVYLVDTPTEGWKGPVGYINADVIKQHVGPADLKDK VKVFVCGPPGQVASIAGKKAGMKQGELGGILKELGYSEDQSVYQLLAE JR316_0000514 MSFVKLSIFGTSFEVTTRYVDLQPVGMGAFGLVCSAKDQLTGAS VAIKKIMKPFSTPVLSKRTYRELKLLKHIQHENIISLSDVFISPLEDLYFVTELLGTD LHRLLTSRPLEKQFIQYFLYQILRGLKYVHSAGVVHRDLKPSNILVNENCDLKICDFG LARIQDPQMTGYVSTRYYRAPEIMLTWQKYDVAVDIWSTGCIFAEMLEGKPLFPGKDH VNQFSIITELLGTPPDDVIESIASENTLRFVQSLPKRERIPFNQKLRTNDPDAIDLLE KMLVFDPRKRIDATQSLAHEYVAPYHDPTDEPVAGEKFDWSFNDADLPVDTWKVMMYS EILDFHQVGDGTSITPGIPEGALAGPENNHTSNGVPLTE JR316_0000515 MPPRRRPTAFEVSFPGEKEDEEAKRHKLPKDAYYKALKDPIKET MTLVITGEDPSDGDDDKPIRELHEFTIFDPSRRNELVTLEALEQDDGIDRAFEAAGYV KPHYLSEEDEGQEEEQEEPQYVHLGAIMRYTVDYSQESEALKRPNEDFKSFLDRLTKR VDMFGRTYVQEHIWDNLVDINEIIRESEHAKKIMAVPFVKTILQRAPQSIEPQRIPRN TRPLVRKQPKNLIGNPDISVLKSENQNTTCVTPRIAKLAKGLFREEMMVLGPPPPPVN KAEVEALKNKAAHNLRQLISRAKAGNKKITYRKDDRIKVGGDYYSSITIEGVEYKMGD VVLFPIKLAGDEVRLKAVQPDKSSRVDHFFWFAKIIYIMAESHQVHVQWFNHGSQTIL NELAHPQELFLSDLCGHDTVNHIVAKVTVHYLPNEVDPKVKAGEYFCKFSHDIKTGVF ADLDTKRIELCNSQRPPDNCPVCPLLEEIDMQKTVMPLKDNAGNINGLAFAGVSYHLE DFVLYRAEKGPANIGYITQIVFPSRMRDTAKVTMRKVGRISDLDDEVLPEGVLRDERH LFITDETVKVDVSEDSALLQVIYVPCSESFEDPSANLEDWLELSYDHFYVLYSFPRLA VKHWDERKKTRWQRHEVCSQCCKEILEKRKGIYKFLKQTKPLATLDLFGGVGALSRGL ADGSGCLKVTHAVEIAPSAARTFAINSPETTVYNQCANEILRYAVKSQLKHDIEIPKQ IYDNKTPISAPPKPGDIKVITAGFPCQSHSTLNIYKNADDPKSNLILTTLSYLDYYSP DFAYFENVPGFLRFSLRATHENEYGLSGDVDMGGVKLLLRSLIDMHYQFQFALLQAAH YGTPQRRVRFFLVAAKTGQVLPAIPQPTHDFPDSTSLSIKFADKLDVIAPIRMAHGTA RNRCVTIKDAIGDLPRFDWLRNLKHPSPDTEDKKRKDARRRRAREVAAVDCNVKDTYS GFSGKIGYHIDPKTRYQKQARLKETIDIQHYTRCLLPRKVERVLCIPLKAGADYMSLP ADRYEWQIADPTSSVGRRNYIRGLYGRLDQNKVFPTTVTNVDPTAKQCQVLNPWCHRM VTVRELARSQGFPDSFVFKTIGKLNVVTIHRQIGNAVPLPLAHALGRELRESLFNLWN RKREDAIVIEDDELARGVEHQHLANDSDDDMYV JR316_0000516 MKTKNSHIRINAHFYQLLEVPRDASPAVLKAAYHRALLKSHPDK RATHDPTNGVEISLIKQAYAVLSDSQLRDAHDATLDQKSSSTTPRPAQVISLEEFQDE SSSVTPEDAEEGPWRYSCRCGGWYRITVPQMENGDHLIACNSCSEVVWVGYELADHET JR316_0000517 MDAPTLILVPPTPLRFDTPSAAPSSSSSSPSSSAHRPTSVHQHR LSRQSQPTRDSSDAIYSIYSMYGDDTNARASVSWTNPPHNHDRTRSRDTISPPTVKIE LPRDRPISAVTTRSNDHSDLAYYSPEQDEHVPPAALEKLDSQRGMVGMGDSPRGSLAT TSSARPPSSYATPSSLRAQSDLFEDPGLAGSSNLRKSDLSASSYTSGPSQSKEYRRSV SVHSKRSSRGSPSPHQHSSLRDLPPLPPSVRNTPSPTPSRQPSPSPAHPHITPKTSMN LNGKIPSPFNSPSSKVSLVPSEGEDLDGFHVRNTYAQLEASGVRGDGYEEGVERTRAR IGTSRTSQLQAEAALADGDEKKRPLDDKEIQLLRSVDRYGFFSVTSHDRLILLNATPL LKKLTHTPAGPPTASANATTVNALPPVTIPPKEPSRIAKWTRMLVPQKRQHGGNVETW TIRPSKEAKFRERLYKGIPDRWRRAAWDLLMCRYSGLPPRTFEILALEYREGLERPSP YDIQIDLDVPRTISGHIMFRTRYGAGQRSLFHVLHSFSLRCSSCGYVQGMGPIAATLL CYFEPERVYSSLVILHDSYKLHDVFNAGFPGMLEAIYVQERIMALTMPAVYEAFRKNM ISTTSYATKWYITLFANSVPFQTQLRLWDVFLLEGYDVFVAMAVAIVWVYRDHITSSS ANFETILSLLSSFFVPEDEDALMSWIGTTLGDKKFRGNMTNWRQAWKKLVAEGKEGSA LL JR316_0000518 MFITKVHARQIFDSRGNPTIEVDLYTQKGKFRASVPSGASTGVH EAVELRDGDKNAFGGKGVLKAVNNVNNIIERELIKSGLKVTQQKEIDDLLIRLDGTPN KGRLGANAIVGVSMAVALAGAAETGVPLYQHIADLAGVKGPLVLPCPCFNVINGGRHA GNNLAFQEFMIMPVGASSFSESLKMASETYQSLKKVIQKKYGLDGEHPDTSKRVAISD WILTAVFTATNVGDEGGFAPNVSSGEEALDLLVNAINSAGYEGKVKIGIDAAASEFFK DGKYDLDFKNPHPDSRKLLSGTELSKLYLDYLKKYPLISVEDPFDQDDWDAWTHFTKS ASTQVVADDLTVTNPKRIQTCIDKKSANALLLKINQIGTISESIEACKLAQSHGWGVM ISHRSGETESTFIADLAVGLGVGEIKTGAPARSERVAKYNQLLRIEEELLNSGENPIY AGSKGFSKGNTPPVLLKN JR316_0000519 MSITKIHARQIFDSRGNPTVEVDVYTAKGRFRAAVPSGASTGIH EAVELRDGDKNAYVGKGVSKAVANVNDIIAPALIKAGISVLNQSEIDDFLIKLDGTPN KGKLGANAILGVSIAVAEAGAAEKGVPLYQHFADLAGVKPPFVLPCPAFNVINGGSHA GNKLAFQEFMLLPTGATSFTEAMKIGTETYHTLKKVISAKYGIDAVNVGDEGGFAPNV SGAEESLELLSEAIKKAGYEGKIKIALDVASSEFYKDGKYDLDFKNPNSDPSKWISGK ELADLYLGYVKQYPIVSIEDPFDQDDWEAWTHFTKHSGIQIVGDDLTVTNPLRIKTAI EKKACNGLLLKVNQIGTISESIQAAQLAQSDGWGVMISHRSGETENTIIADLSVALGV GQIKTGAPARSERVAKYNTLLRIEEELKETGATFAGERGLSAGLTPPALIKK JR316_0000520 MLNSGKAVRDKDGKIIQAAAFQKGEDETKPGRVQPDRRWFGNTR VISQTALDHFRTSLAAKKDDPYSVLLRRNKLPMALLDDAANPALRKRPHIVETEPFAE TFGPKAQRKKPRIDAGSFEELGKMGAAAADAAELAASISGSGVMEPLASTVVEPQTHA DFKEPIYAKGTSRRIFGELYKVIDSSDVILHVLDARDPLGTMCESVLESIKKEKSHKQ VVLVINKCDLVPNWVTARYIKHLTPRYPTIAFHASPNHSFGKGSLIQLLRQFSQLHSD KKQISVGFIGYPNVGKSSVINTIKSSKVCTVAPVPGETKVWQYITLTKRIYLIDCPGV VPASSNDSQSSIVLKGVVRVEALPTPSEHIPALMARVKPIYLSRTYDIPLPNKDDPTE CWKPEDFLDKLARKKGRLLKQGEPDLDSVAKIVLSDWVRGRIPFFVPPPERTEELNEA EARIKRKKDLKGKGKASQNEEVPGVKQNLKTLIQKNTFVPEDIEKIEEEFEAPEEEAA VAEEGVEEEDSEDEEDEDDLKWNDVFEGINSGPVPAEAAIDNGVSEDEDTANKKEARM TTNKACYLWSSDELILTLLSTQRKPANFYTSNNVKNKNRSKAALMRTLPVGKKGGRKS AL JR316_0000521 MATSEIAQTLSGTLSPDTNTRIAAELSLAELFTRSGAHFLVLLY IALRKYVRERWSPYFQTFKGSAPNVEIKSQIREAVFRGLSDQDRKIRSLSAHTLSSIA NCDWPDEYPELLTSLIGLLSSGSADSVHGAMQVLTEFIKSDLTEDQILPVLRQLLPVL LGILGASEAHSALTRARTVSVFRQCVTALFMVKDQHPQSVKEAVASVLPIWLEAFKVL LNIDPLHDIANNSTWDGLAVRIQVFKTLDTLHTSFPRALVPHLSDFLNASLNNLRSLY PTFSTYYLAAAESVPNSSEDEPIELPQLLCPIIDFLAAVIRGGKGKDWIVDENVAAVV SSVFAFVQMTDDDVETWTNNANDFVAQEEDETQAYSVRVAGFDLLGALIERTALQTTK SIQLSLEQVIRTSEQAHNSGDSDWWRPLEAALAAVGSQAESVLDCIEDEQESGNPKPI DIDYLLANVIPPVLSQPDFPFLQGRGFVFASKYAKLLPLQSAGHYLEAAIQVLESADS GVPVKISAVKAVHNFCQDGEDSAFIVFAPRIARDLGPFLLIASEDTLSLILETLSVVI EIDQGKWLTPDLTEYLISASLEVWSKNTKDPIFISILTDIMGSLASSPAPGIYETSVK QALPILSASISTAKKEESWVASTAIDLVSSLVKGAPNNGLGDGFFNLLGPSLFACLNL AEDRDVLQVDCNQLLSWKDDNGRNGLDYVLSLVAQILQSQDESGGLQIGDLIIHLLRR AGEAVLPVLPQLLQAMILRMTSAKTATFLQSLVIPFAFLINNQRDTVLSLLESMNIDN RSALDILIQTWCENAETFQGFWPSRVSTLALTQLFTAQRPSLQNLTVKGNIIIKPETK NTIMTRSRTKTTPHEFTAISFPAKALKIILHDIQSGGESATLTAQGGTFDVDSDDGDE DWTEEETHQGFKQDEFAMLSDMLGPKGMAFDNDEILDDNDDEDLKNDPVSQMDMQAHL LSFIRESAAHNYNNFASLVDQLSPEEMLVVRRIVNANFEPQQIFEVSFQYGYFKKVSL TVEDEVVDLVFQCLLS JR316_0000522 MGRRRGRRLAALPGMPLDILFEIFGHLHPYDLLKLSRMSKDFRR LLLSKSSVSVWKDSLANVPGLPESFPGMTEVEWANLAFSPHCHVCLAPNIRAVEWRFK IRICSKCAKDHFREFSRMPMLDRTFRTLLGDVIPTRRAKRGHAVCLASDFDAVMSEYK EIQDDESRTQYIRGKNATLDKFLKHAQRCEHWEGTQSRDRCEELEQLRKERLAAIERK LIELGWEQDVRGIKYPDSLARHKLVHRPQLLTERIWTNIKPGIIEFMEEMKEKRLRRE IESIHAARRKISLDAVRTFKKSKMKDNILIPTSLELWRYQPIEEIINAPRDKNITVSS FDEVMLDFPKFVNEWRQSIAQRVTSIIKNIQGEVREDFCYDDFSEQTSNKTNKLFPNA NLSEDQLLAKMSLASTVFRCNRCNRPNSWDDCAWDLDDNWVTLSNPLFYPHVLGHHCL YDDDDIYIYDQPRGQLWSSTSLAYDALSSKHAEKLVESIGGDPAVTTTDDMDGLNLRF LCRLCPKSKEKEHEEPVLPLFDWRAAIQHMTDYHYSKFSFTIVKQVNLDHEIIEAEAD YRDKDPIWRCIHCYGTNQDPQSPMTVENVARHFSYVHRDILSPIRHVDYYEDFASPNL HTNHYRHHILYVRCLKSGQYKLATEFMELSNPGVIGHVNYGFDIY JR316_0000523 MPFFDFSLQLSPTRACSFSLSSFYTIPRPIQHNVSSCSTLSTPS LSRPMPQTSPRMPLELVLSFIEAASTQVDTHAYVALLRACSLVCRAWSTPAQRILFSQ VSLRSQRSYQLFMSAVDRSTPHGAILGDAVKRLSVVLDHNQPLGLHPHSFALAVNACP KLAELDISLYGCAEPGQDIVGSPDVSRLRRPAPSFDEQTLSLLKAGPRIDHLHFDNWS ENQQSIFQLLEIWPSLQFLSIGGTSPKHLQSSPPPFPCSLRGLRLNFQTTPSVDFLKW LLHNSTGSLRTLHFQRDPSVEALEYLVNAHGSSLKSMSLPAFGSPELPNLVSKCNGLV ELRTENPLLPPSLYKCFPRQVEHLAFGLDRDTPLNFVIDVIKTNESLKTIDVQQWESG RSHSLLPSLKMACVYQGIELKITSDLRAFRTLDMTVRNRLSADGIRLVLMSSSLSSVV SSLVRASMGTSVPATVTDDDLDRHVAELIVREAKKKAERYGQQGIRAYISSNVSDPNA PKPNKRFLSSIIKSTDDHNRTILKAQAQAAQEVKREREEQERRQRRARAEEAAEAERL RRSGRSSKRKRANDEDGWDRWDGRTAERKKVSRNWEVWDGYDEDEDSEKPRRRRRSRS KPRSKSRARKQDGSSRTHHFEDDEKEEGSHRRRHRRGHSRSTSPRRIDSTNVSRDPHD EGSGRHKHHRSRERYHPRRSNSRSPARRHRSRSQSEDSNPRKRKRSRSPKYALDDIRD DVPSKDRRSSSLLSESRPSSRRTDSRGYEQPGAADNFDPAVALSSKRSSSPAPSRSPS PGPQPAIQLPSKMDRYFEESYDPRLDVAPLTAPKVPATGLIDNAEFEGWDAMLELIRI RREDKEEKKRLERLGLLPPKEKSKSKKSGVVVDSGPAVADRWAGETASIMNIEYKKRG SVREWDMGKEGF JR316_0000524 MSQESTTPVSSTSRLVDVSFLTDVIASSSKLPLEELTKERSQAY LSNLTTFSLQDLLAEPAILQTQSHHLTSSLTSLTHTSYPTFLSLHQTTNALTASLTTL SSSLDSLLTSSLPALEECAAGWKERTDTILKERSKARIVLEQHEKIRDLLEIPILIDT CVRNGYFSEALSLVSHAKSLAANAALSNKPPTLILSSVLSEVHHSIMQMLLTLLTTLY EPNRKLPALWKAVNFLRKMDVFGPSSPFTSAVLKTVSAQSDRDKFSDFFGEDLSSEEQ IALAFLVGRESCLKSTLEPCGSDVLRMTNHGQLDDREKEDLARYLKKYIDLWREGVYD VITQYSTIFLEKSSTTSVPQSPTKPASAKTTSDSVQTTDASHNWIRLHSLITTYASRA LTTHLLPLLSPSLPLLSLSLLPSFLTQLSYCSTAFARVGLDFRSILSCLFSDAVTEVI SHEMKSASDTFVARFKVPSATSRIDTQRSNGVLLKAKWETPSKWLIISDTAELPPVPV TPATALTTTFSQSPPHIPPQMLASYPPLAEHTNSLLGVLNGLRLLAPTNILPTLIRIL DDDVLCNGGQALLAYIKAFCRDEPSIANDSNSDDGEDENEKEKRVIMAFGQVYFGVLI PFVRRALVEGVYGVKLDSSFEGGGESLPNVVMDWEDFVLEENEEDSGDES JR316_0000525 MSAETWRTTLAALRLKYKMPTTISYRRLLLIRIAAVFSFTAYAC SQAYALHLPGPEPPKPYSPNDSFIITFFVLSAIINIHWLLQLFFEWDISGTTLPLTFG WSEEPCCISADDELLTSPNLSEEFDRDARVFTANLSSAQVRCIPFSIAGNVFMAAWGY AWSNEYYTVSQIMLTANLATNLYAVFMLLHVENDDRITPANYITHFVMKTNTGLAVLY MWKNWGVIDPSTTPSMAEMINSCVIFLLMTVGSGPDPTLGICLLYDLTALISGDTTSE LWYYSFHWTSIAVSMCLLIELKLSRSNNFSWLKGFDQPVGLWFHRDRPGRIYLEKDLE KRGNVTFVRS JR316_0000526 MEKIFIRLHLPCPQAPYWAVIVGENYEYQDSACHVSLDCLQVKL WIFPTHFGFSGGPWIDTTLDGFTADVWSSKDAPWWINSVRSNLISTILNGETVLLHAI ATKLYFGTISKSLDVDEDGDGTIDEQGLFEGEVNNELRARCSTSQWNIVTPYGRMYTF GQLNAEFRRSWVDDRGTFAMIIGQCRLLKLRKSTVDVDHSVLWQIFTAISSLPNIALK TYRNPLSLIDIYVPHAQISFSDFRLRDAELLHESAVKLRQEYNQFTYKYPGVLENIAW DIFIGHVLSCLQD JR316_0000527 MAKDGAQETSQPKQYTGSTSNSPEIQDAHDQTTTQTQAHTGGST SDISELHTGQSSDNEAGSASKHGQTNLSQSHSVAPINPAAHSTVVHPSGSNSESHPQG TSPPDRVQPSKVPITHTEKPLTRNSQINNTPNPKAQVTATPTRVASLTSKPAVVSHNG HSASVPKGTTFPNLSQPVKASDTHHLAPPTSSSDTQTHNHNPNSQSQRPHVSNNARPT EVAPSNPHKAPSRSSIDHQHTITSSIFTPQKTSPPEPAKVSNSHNIPAPHISDIHFHN NNPQNHSKDSQHHEHSSSAAFHTSNAKVRPQETPHPGHIHSSNASDIHTQAPPARSSD VNHSSPTPPNNHSFKTKSHINNSKSLPKETPPPDRSHTTKILHSNTHSHSQPTHTSVV HSKQVSDPHSQKPPPPKHTSATHSHGDGTPSHTQDSHSHSELAPTQIHQSQTHTTSTD KSKSHHTNAEPPHTTTKGSPAQSHTAAGQDHTHASAPPQASSKFNHKTTEHHPVTTQT HQAPHLSKDTASAGKAMSHFTIAEPSRISTKGSPAQSRPAIVTNHSHAPAPPHETSTS NHRSIEHSPVTTQIRQTPHPSKVTATKTTHSTDSPPQRTALSQSGSNRASTIHNHNTV SSSHGVLPMGVSDTGGFESHSAQQTTVEPTPSPILAASSVQFQSITQFVTWEGMPGVS VTKSSTNPSKKVLDIFSYNPSSSNLHTAPSGSPQDRGADKQSPSSDNGASTSIEPPKG PGTVPSGPGIHSKPQNTQKPSSHLSGPAVIGICIAVIFTILLIAFILFGLLYYRRKHR KLKKELLRYHADRNVAPPVYVPGGWKATTTPYFLDVEGQVRSMPNSSYNYLSKDNSTP YMSRHPSVARKTSSMEKQLYSDFDNVAAYRYSKMASIDEPQTLTSNEIIQTKTPLYQP PQNSNTILNSSDSHRTSFLRSDTLYLSGQESARTARSPSPQV JR316_0000528 MPKAKFEHPHARRRTLSDPLAAALLPPPDESPIDREVRLRAEID AKKVSDSIDDMIRIERNERKKSKTEVNVLLLGQSESGKSTTLKQFQLLHSPSAFHAER IAWRAVIYLNLVRSVRRILDALAPEESDVLDEHDDGDSLETASVIITSNGRPPSAILG TRVSNYDSYRRKLEPLIDLEERLIRLLSSPDEDEATHLGPPCPGWDSYGHRANENMPP PSHFSPSISSNGRPAPTITIPLKKQTSSSAGVKSNGLYPNIPSLKGKEIVVHTSTNWK KAFSLGNKSKSPKSAHSGEIEGWWEDPDDPVHTLNACAPAMQEMWRDPQVRKRLQEKR LRLEESSGFYLDEIPRITAKKYIPTDADVLKARLKTMGVVEHTFSIPSGSNRGVEWRI YDVGGARNQRQAWAPYFEDVNAIIFLAPISVFDQVLAEDPHVNRLEDSLLLWKAVVSN KLLANVNIVLFLNKCDLLQAKLEAGVRLNHHMISYGDRPNDYDSVSKYFRNKFGVIHQ SYSPNKERELYTHFTAVTDTRRTSVIISAVRDIIIKGNLRNMRLV JR316_0000529 MSAPASTHESLAVVSTSQAPAAIGPYSQAIKVGDLVFCSGCIPL VPETMQIAEGGVEAQTEQALKNLKAVVEAAGSELGKVAKTTVFLKSMDDFVAVNGIYA KFFGNHAPARSAVEVARLPKDVLVEVECIASLK JR316_0000530 MFLTRSEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTTVGIRTPE GIVLGVEKRVQSPLLESSSIEKIMEIDNHLGCAMSGLTADARTMIDHARVTAQNHAFT YDEKIKVESVTQAVCDLALRFGESVHDEEAMMSRPFGVALLIAGIDELGPQLYHTDPS GTFVRYDAKAIGSGSEAAQSELQDKWHKQMTLREAQLLTLSVLKQVMEEKLDQHNVQL AQVTTSKGFEILDEASLKDVIEAM JR316_0000531 MTSPELTSADLRSILDFTVKLARKAGDIILEGSAAIQSASSESG VGEKKNSVDLVTEFDVKVEELVKKELKSQYPTFQFIGEESYAAGSRPPLTDTPTFCVD PIDGTTNFIHGFPFVCISLGLIYRRQPILGVIYNPFLDHLYTGISGQGSYLTSKDSEP VRLPLSKPAKPLSSLSQALIAVEWGSDRSQTTINAKSSSFSRLAGDPAQGVADAKMAH SLRSVGSAALNFALVAQGGLDLYWEIGCWPWDVCAGIVIAQEAGAVVCGSYASFSSTS KENKFGVVTEEILTGRKYIVVRAIGDAQTEKGVETQRRIIEEFYTTVEEVEPV JR316_0000532 MFINPLDAGFLFGYDIGVISGCLIMPDFIRRFGELDDQGKFFLS SSRQSIITSLLSAGGALAQAFTSDRNGRRGSILIWSAVFTIGVAIQTGTTFSIVQLTI GRFIAGLGVGALSVYTTDVKADQAISLYNSETAPKALRGAMLVLYQLQIIIGIFLSYI LDLATHSISNSASWRIPVGLQLLLGLILLSGIFFLPESPRHLLGTGRHKEARGVIAAL NGVPEDDELVDELVAELDYAIRQENDGGKATWAECFSARNNLWKRTGNGMMLQFIQQL NGQNFYYYYGDTFFQSAGTQLNPFVIQVILGGVSVIGTIPALRLIETWGRRRSLLTGA LLEAACALIAGLVGHFTLAPPGTPQDQLTTRNRRGGDTLIAFAVLHLFSFSIFWGPTP PKSIALGSATNWLWNFLLSFFSPRISARIGPLILLIFFGMLLFGYVYVYLFVPETKGL TLEEVDEMYRSGVKPWNSPSWQPSLSREAHEKALKELHHEKRESPELAA JR316_0000533 MSARQSNGNYHIGDITFRARRVGSTIWVSGDSATARKPVTPLPV SGSVLASANLTPTLPSDSPLNITRQWTVANNVLQMLFIVTNLQNTPVEIGALGMPMEF NNVSSEVISEDISDKHQIFTDRTAAQTNELCSLFDPYIGQDAGFVQVTPLLGTSPPLV VLPVGKTPLEGWRFLPESTSATPFYQSQTFEGLYEWQSHTLAYAQNEWSQVTPWNVPT SFILQPGASRTYGLQFRLAPSIRQIEQTIIAANHPVAAGVPGYIISSDQNAKLFLSYS SPVQSISVTPPGALTWSANNDAKTPTWTGYDITPFTWGRARLSITYADGTLQTVHYYI TKSATEAISNLGSFLTTSQWFNSTTDPFNRSPSVISYDREVNAIVLNDPRAWIPGLSD EAGAGSWLAAVMKQYAQPNVAEVAKLEQFMSKTLWGSVQNADGSVKKSVFFYQPALVP NYAYPPSINWGNWWSWNQATSFATDRAYDYIHVTAAYWALYRVARNYPNLVKTHTWQW YINQAVLTVSSMTNGKVGYAGDGLMGETVLLYLLDDLKREGLTDNVTLVEDRMRSRAT VWAGERYPFGSEMAWDSTGQEGVYGWSKYFGNNATATNVINSILAYQPLVPHWGYNGN ARRYWDNIYGGKLQRIERQIHHYGSGLNALPLISEFQSNPSDFYLLRVAFAGLSGPLS NIDQDGFAAASFHSFADTLKWDAYSGDYGPNFSGHTLGMGTFIINHPDFGWQAFGGNI LSTSPTVKVQIRDSIRRRVYIAPIGCMLNLDAGAFSVVEFDPLSKAVTLAVTAAPDGA VEAATATQGRLTIQQKAILPGVGILKTPPALQEDAGAFVIPFTNGQAQVNLFVG JR316_0000534 MWEVKTSFILAVGTSENKYVTICSGDGGLYGELLQNRAFQAVIP GTLNALNAWQPFNGARLSVVSTTKGVSPSLPNSLQVQFPKVVTGPIGFENTGFWGIKV QEGWTYTGSFYAKSDTFTGAVNVSLKSTQGTVFSSATVKGVSKEWEKFSFQFQPTTSA PNENNVFNVVVDGKAAAGQVIFFGMFSLFPPTFRGRENGMRIDLAEALAATRPSVWRF PGGNNIEGMSFDTRWKWNETIGRLEDRPGRLADWGYSNTDGLGLLEYLNWAEDLEAEP ILGIWSGISVANYSDLSTWPVVPEADLQPYIDDALNEIEFIVGDAKTTKFGQLRASLG REEPYSLKFIEIGNEDTFQADSYASYRWKAFVDAIGAKFPQMQFLATTLPSTALTPAY TKIDFHQYSSPSWFTNGAFMFDDYPRNGTQFFIGEYAVTSTNDSNALGDLPSGRLAYP TLQGSVAEAAFMTGMERNSDVVFASAYAPSLQHIRNFQWTPDILTFDATRMVKSTSYY VQEMFSVNRGTHVLQTSPVSSPDNAPLYWVASINNETDVVFLKVANAGTADLTAYIFL DFPITGTLSAVSISSPALTPIAGQFGVSNTLDAPEQIIPVSNTWAVPLPDRLNYTFPA MSVTVLTLQSPNFKIA JR316_0000535 MPTSRKPITPQPTRWKPNPDPSSIVIPGLDTEASTVDQIEQIEQ LITIKLQNIDENFSKIHNVLANKLLPAVKRYAVGTEPVREAAKACFPSVKFWTSFYEQ AAQIRIPTFDDYSTVNEVPSEHEEIQEQADKNTEIENQTTRPAPEIYETSAVNSETSF MPGQGAFSSTPAIARQGATDHSYATQGSDPSWTPSMESPLVRLNREINNFVNESTEDS IITSSSSAAQFTPTPAPVETRQEYEPSNFTFQAPTPSEAPRSEKGKGKAPPQPLLKEV LRHTLFNANDSSSFTNNTIKTSPFKFRAKPKTPTIDKSLNPYLRSDDTTASTSNWSGV VDLRDPNVLTPKRGQSKKLASKNPTSAYDDDDDSFDGLPPGMSPPVLMSPARPPRSSA ELGLLRLGQTPARDASMRIQHDLLRAEQMRSGGVSIGGRRLSTFPKPDESSMSSTAPT PPSLSRYSRRDDYSTSSSVTKDSSLESMIRHIRSGIAPNVGMTPGMGSTPGLRIRPRA QAQPQQQQRQAEEAPRAYEREYTPPRQYQEDQQRGYSYPSEPATPMYGQQQHLRDDDI DSDSDSLDEINNTGHPSAAFLMASQGIGGDYDDSDSFESNRSDDSLNDEAVAEGIVPV HPFAMTGGTIEDDGFDDDSFDDDDDMQRGRGGEDQYEEETVFGVHPAQRQQLHQARQS DGQNLRMMGDTLLQDTIGIGTQLAASGRVEESPTPASWAH JR316_0000536 MPFKVTNPEYPPPHSPSSSSSSHPMPPDRASLHSSVSSSDHTAF SAPEPKISLDDVLPGYIDSGLVPPPEKGLPPLPRYEKQKSREDYDPYAGGCLKLTFLP LPLLPALPADPGQSSSSSHTHVYPLTTVGQLATALGPDNAPHTTEIETIPCSGVRIPF GPLKGLLAWRLVVRVPSPSSTKGKGRRWNARARKDHRRNSYHTDDPSNPMPPSKSASY SDSPMLEKRLSSKSTASLPMSYSRKLTSTPEPIPRPPVQGYPSITPSRYVAHVHSYSY SHPHPDPVQKSTHEVFPSDAVATNFILDTSLSYSIISRDTLIALGYPAHRFPAPALSN PHSPHWDDHNAESISVTLSVQNVLTKLRIARPGEASRLGVQYLHDAGVSVFFPRNGDG VGPVLYLESARLLKDVPRTITSLPGGHRGVGMPKITLQQRVRALFGLG JR316_0000537 MKFILVLLAGLATQTYAATNFYGMVAANAIGGKAPYTCRTQTDW NNLAKTTKANGFKSLRIVGFDCNALPRASKAAEKYGLTVLAGIYIHKTVAEAKKQIDD EVQIFMANYWKYGASRYVGLTIGNEVNDTTRNIMQRVYDVRGYLRMMGVKTPVSTVHT WVRIRDEPSLCGGDFVAANAHAFFDGYRTSRQAGDFLFKLAVPSLQKKCPGKKLIITE SGWPSEGRNNSRAIASGFDERMAILNLNCACRDDRSVTVYAFEADNQLWKDNENERSF GIFGKLELRSIFDICN JR316_0000538 MPPAGSPYASPHASQRTPLPKLNDVDNDKTSTMSHASGGSRVEH ALDALQTVAGNRVRHARCAPEFLEEIATKGVQDSLKKSPHRKFKIVHGSEARTYFKNY LRDHGPDSEQSRKHNDKLTTEPMPSPIVFAVTVWEGSIKCVDYYMTWRGKMKSLQGTE FERRATILDSEFRRLAKGLDKEYKSISSLRNYANLSKGTRKFDKKHSSSRPPAGIKAS TPEESSPVLAATQPPLPEPPVSATDSVMSLPLLNMIRGVPFSHRVPLRVANPDRLSVI SDTSLLPAEVQNALDAHNIKSNVPNSTTVPAVVRSTKDDRSSDQSIKDSSASIRSSDT KSSKSSRSSHSTSSSDNYDCNHHAGDIVDHHTRSSSRDIPEFLIILLGDKLEIDTTSW HGLERQTSVRSLPAERHSPWIVPVVRSESSHRFSSVYTANDSDSDESDDEIGWKNTPV VPVKPLMEAMGILPNTTYYHNAPVVPGGLHYHLSVASSTSSSQSHSQYMYNSPQQESP AYLPMWHAPSQAPSNPPSRPASRAYSSAPYASPYASPYASPYMQSHTGPF JR316_0000539 MANLSNSPITQVSQVPTHTNLQPQLLTRLFLDLRGRRFEVDRET IMNLPESVLLCLFPNGLVLSRQSIALSDGGGDDEEEEVYGVDFDPDCFQFVLTFFRNA SDNFYGTATNPGLFGAQQHLFESPDFSPQTSQNPLLSKQAIIVLREELEYFSIPPKDG KAATDHNGIANDTMLDIKRRSGDYLIDKRNIFTALQRNVNKENNVAEQHLIDMLCMSG FDREDEWGFRALEPSRCCISSIALVLLKTGIIHHPNGEKQVEIDGAQMATAQKLLLFW RKPARKCWWDGLDIELPAVGDQPSLTVKLWARRVWTLELSLV JR316_0000540 MDNRGADRRPPRSARPTSHSASPNPQQQQQQQQPRPPIHPSEGS KAGVSFQDVEQGDRKGHLDDHRQRSASAYVPQLLSGDPEHSDQFDPARVARKKSLVRP DREKIDPGHRQWHYRSHVAQLEEEGAGRVGVMPSSTGNYPQLRRGRSLLAREEDVHES GLALFKRGHTLRRKRQQPSTSSAPPIPEPKKKSGLWKGPGPGGPWMTYCMLLTILVPS FLLKSCGIRSPEQQRAWREKMGLLSIIGALMAGVGFLTFGFTISVCGKPPNRFHGGAI GDDHIGNGSVVIHGYDYNFTNFRHPAAGSTFNGTTNPLLTGGWNLAGNDASFLFQKTN QNCLGLITRASSSSITGNGNRLDWYFPCNIFSQYGASGANITGYETPTNCHLTSNARA LLERVQVLGQVYYTWDDIKNPKRNLAVYGSTVLDLNLLNWLSGAQVNYPKIFDDMKTP NGTFNGKDLTMLFERSKQQQLGHCLQDIVNIGFIDTNSIGCVASSVVLYLSLIFIIGV VGIRFIMAVMFQWFFSWKLGNFPRETYEQRMQRSTEIENWTNDIYRPAPSELRPNVNK NGLRPGKGNRKTFLPSHSRFTPSDNLLKTTGGRPTTAYGMLDSSNTNYKRSTMYSTKG GKGTPPDSPNYRQSRSTMSLAEGSPRGNFADNPCPFPLINVVPQPPIDYEPFNFPLAH TICLVTAYSESVEGLRTTLDSLATTDYPNSHKVILVIADGMVKGAGNTMTTPEICLTM MKEFIIPVNEVEPHSYVAIADGHKRHNMAKVYAGYYDYDDATTERSKQQRVPMVLVAK CGNPLEANDPKPGNRGKRDSQIVLMAFLQKVMFDERMTTFEYEFFNSLWRVTGVSPDR YELVLCVDADTKVFPDSLTRMVSCMIHDEDIMGLCGETKIANKAETFVTMMQVFEYYI SHHMTKAFESMFGGVTCLPGCFTMYRIKAPKGDSGYWVPILANPDIVEHYSENVVDTL HKKNLLLLGEDRYLTTLMLKTFPKRKNVFCPQAVCKTVVPDTFRVLLSQRRRWINSTI HNLAELLLVRDLCGTFCFSMQFVVGMELAGTLVLPAAIAFTLYLIVVSIIPGGTNTTI PLILLAIVLGLPGLLIVVTSRKIAYVGWMLVYLISLPIWNGLLPAYAFWHFDDFSWGE TRKVLGDKGGDHGDKEGEFDSSHIVMKRWAEFERDRRWKSGTQSRDSTYERKTDSNRY SLASNSDLTHQPPAFDASTLESANYSNPRPRHDSNALLMLPAPLAVSNRQLMSSASSV GMSRSSEDANYPPDNGSGSNLRLIPSPQTNDSYTTETSESPSANQRLLSPTSPFDPRF SRQGPSPQPPSDPQNPFRSQTTSPTNYDEHTPFVPDYDDPTGRGGRGVRLMDSGPVPG PEGVRRVARQAGRRPTSQAPPQNRYSRSSTVFSLPPGAAPPQPNYGSPPQ JR316_0000541 MSPTTTVLTTPAPGTVVGPIYEYSEEQQAKMAELREYAGTVMLP SSDDYYPWELRWLNQPDTIPRYMRSAKWDLPDAKKRILATLEWRREFKPDLIRPDEVK IESETGKIIINGFDKDGRPIIYMRPGRENTETSPRQLRHLVWCLERAKDLMPPGQESL VIIVDYKSTTLRTNPSISVARKVLNILQQHYVETLGRAIVVNLPFLLNFFYKGIAPFL DPVTRDKMRFNPDLFELVPASQLDADFGGEHEYEFEPESYWDQIIAACGVTPEGTRVD FPPPGVHNTSQSASSNISSISDNKVQADVTAISVEAVESAQPIQIAS JR316_0000542 MPLLSSIFSRKNKPSPRAKHDDHNGSIHSSSAASELDSTLSSPT TSSYAGKSLSSYPPSRDLLHPDAARAHTAHTLIPPQPPSTPTPSNGSRLRLPFTRKKS NISGVNSQSNVSVETSAHNFNTSPRPPLPSRASTDEPVDRRLRPPPSRSAIFAAYGDP NSALSTRSLPNDPTSDSRSNPSQNNPRSRPSLFPWAKHQQSSPSPSPSGHNSTPNMSP ISTASPNSPEPGQSFNLKSFRHIRPPSPSNGSNVSLAPPIPRPRGESINSDSSQRISV AAFREAQSRRSMAGSPSPSFRSTSPLPGAPHPGAMSGEDSRGGSPRPPRSQPPATLQQ RRRSSMALAYTSDSDSSDSSSDEESADERGHPKGTGRPSFNTPGRFDKVKAKSELGHG NMSSVPSRSKDTPFPQRAPKSHVGHSGSQTPSSRPELSIQSDITKKISELPPRSQSSM SHYTGGVRPRASASASAISPTAAAKRASILAASGNSNSNNNIMFESRPNQPQPRKAPQ PPPTKSSHISSSSDSEDDAPLANLVGPRRPGSAMSSYSNLHARSTGNVTTRSNTHLPP KPLIDINELTAPKRSYTAPDENDAGFTKGPTLLSLGKMPSPNTQPHLVESPVSPSGWH PNPDGASSLIRKDPPANFISPPESPAPELEHHTNKDDTQTIRGSMDVLAVSQTSEINP EQRRVPITDRLTKVVQTNLTSTSSVPTAAYPSSIPPVNSAPSNKASETSDESSEEEDS DSDVPQQQKSKSQIEPKSAPFPRQTHAPLAMPKAHGLSNVPTKAEHSPVDQELAQLLG SAVNFISRNGEPSEESSESESEDEDNDTSIGKSSNPPILAPIPIKERSPPPAFSVTSR PPLTRPTHTANSSTTATSSSGTGSSEYTPRPRSSTLLAESSSSNSYAPRKSFTSDDIA SQANTSQNKSERGSPSRPPAIRQRSSTMLTGVPLSAQMPKNFRAPEKPFAGRRNSPAS STGDSSSGRAPLTPRDGSDIVAQEETKPKGHMKRRSVSFEDDLQQELKPPSRSYLRES SRSGSDAGETSNDEDRKEYRRRERRRSEAKAAIELGNVINGKGPIVDDDEDDMPINQT MNARMSTLNPMMAMGNPMAMQMGFGGPPNPTPWANGMGQPLLSPAQFMIPPPADPNFM AAHQQAMMIAKQAYQMAVAQQAMAAAADEWERGSTVGGLGGGSVYGGGSVYGGGSVYG GSAAPSMMSPQFNMMSMLQGGAWQNSASVYGGGARSMYAGSIGPGDAISGSRSEYGGP SSGRNGGGNWSSSRSSYGDFSSPSAELARNGQQGVRRPGNQPRSSGYFPPMPPIPASQ SANGRSSPDMRANPRSRTASQPAHPSRGLPPRKAAPPSSWKAGT JR316_0000543 MPPAFRGSSHSHRLSNSYSSQPVSSTHSPPEYGSSRFMSAPVRP IRLTAPPSHWAQNPRERHHDHEQHIGAEPGVNPRSGDSIAEYSHFKQDCVIEVYDYDS EDVSFQRFTNAGLISFLKKREVDESREHGEIPPRMVRWINIGGIDWSVLSAIALRYNL HSLALEDILHERGHTHSKADYYPGHLFLRVLCHTLSLPHATGNTANELPQYTEEGSAN GLGDLSREVSDDPDQKKFDVEEGGMDNSLESSTLYMLSDKPNDALGFSTTSVPKQQTI RAKGKTAVNNVLPSSLRKRFSALSGIAGPSREEKRRQIRALTAGDRVFVNHDPMFIFL LHDGTVISIHPTLNLHYTAPIAERLHRVDSVLRTSEDASLLVESLLDLVVDRVLEIVD EYQVKIDKLEHDILLNPVMRSVRSLHILSGDLILHKRTLEPIRTMVYGLRRYDLERSR ALADNIALEIGSSSDTDSIETEGKATGKQNVNIKKHSKATLQAHKRKRRLKQLFEAEY FARHDGSRDSHLNEGDSATSLASGLSGYPTKHQHSIDQEKIKRAKEGGTNVHGYFSYK AKVYLADVSDHMDFALTSLDMFAGISENLINYAFNVSS JR316_0000544 MSITHLTSLSQLDTILSKSKDKLSVIDFHASWCGPCHAIAPTFE ALAKQYPSVNFLKCDVDAVSDVAQKYKVTAMPTFAFLKGNTQIDTLRGADRAGLTEKI RKHATSSGSSSATSAFSGKGRTLGGEPAAPDVAGEVKVTLDKASQGLNSLDPQLKVLM LLLGLYFIFWFYG JR316_0000545 MSSLGHEGLPPIPKIDGDVDLMLDVFTHQSLRLNPVMNHDYGDS DRLAELGSKVLDMVVTYHLFSGRPLLTARDIEIRKAEVVSDENIDSWLKAYGLKEKLR ISPDKVEVLQDPQELRKYFCTYIGALYIRNGLAVIQTWISCLIDPGVDVKLPDAASPS IHSSSPPPSHVGYQGSFSTASYSSPPSSQSSMGGFASPPPAPPVSIYAPQPSFPPPPL PGNPPTTVPSSMSLVTLALVNQTAAQKGLQVTYAADQVGPSHQPTWTVKCFINGVEYG RGIGKSQKIAKEEAAKQAWTTMGWGPS JR316_0000546 MSTPISGAKKAPSNPLIPEKYLDVPTQRLYFLSLGLLCQSIKIL DFVWSLAASDGRLTTCRKWLFFDLAYCVVLSQLRIPRLTYSKASVILQICSLWFLDAL LFGGISINAPALLGSIALTSQVQAPDVSLFRGLIAPLSFGMISSAPNSGDPHLLGQHT VRMSPISTAHLNPENLNFCLPSSNTHILLPIVLNNTNVVGLKYSLTPLGYDEDGVGKV EIHEVNAKALKSAEDLYKKEMMVAAQSGPSLNFEDEYDEYDDDDDTEAQGIHTTLQQT QSLIHIAVSRAGVIRLEQVYDSSNVEARLVVSKAVVVPCPSVEFAEDEGSAKQNIRCA GQESHPELKINVHGVPPLSLRWLKTVNGHREQFLVEGIEGEHKDKKRRHSEVTSSDVK EPTTALVLSRVPDAQKVSIPLTVTLEKAGTYLYALEEITDGVGNTVRMGYESTTAEPN FVSKTKTTRSFTVLQKPAVSFSGCTIDSPTKLTVGEEKYLKVTAIKADLFDAPWDITL NYRPPIDGDVKRGKPWNKNFKIKAGETGLSVPAYAPGEYRLLSVKGKYCTGTVMAPDA CKVVQVPMPSAEIEWKRIHECSGDTGISSTIILHGTPPFMVYYTVKRDNEPAREIAKR FHSSRNELTFQPESSGHYTFTFTAITDAHYTKKIELDGPSIEQMIHPVASADFSADKK HIITTCWGDFVDVDVDLRGTGPWNLEVQIIGPENTETLQIPNISQKRRTLKLPIPKEL LRNSGNFEINLLNVEDASKCKRPLTVAPLKAIVKRVVPTARFYGKESERRIVVTENEP VDLPLRLTGEKPWWIEYRKVGTGDVKRLRAPDVNANIQVREKGVYELVSVFDSECKGT IAEDSSTYTVEWIPRPSAQLAPSTPATFDVYNGSHILRPICEGTNDHVDLDLTGRPPF QIMYNIAQNGESGGTKIMGEPVFNSIQPRTRFQLHTSTAGRMYYEVKQIGDAAYPLSK SKDMVIPRSQRLLFEQQVSIRPSARFKNRNRLSYCLNDALVPLDTAADGAVVLEGTPP FTLELSIKNIAASHVDKTTIQIPTNTWRLDVPSYTFTSIGPHLITIEKVSDASNCEQA ALDPLLRSTWVDVAETAAIIPFERREDICVGDVTRFQLEGIPPWTISYQVNGKSLTQT VKTSPFSIVQQQPGLFTVSSIAHQQKMCKAAVADLRFTVHPLPSAQVGHGKKIFQDIH EGDQAEIVFTLIGEPPFTFTYQRSELPSKKGVPGKVLETHTVSRVQTNEYSIFSALEG TWTVTSISDRYCRYPAQPDASVEKQ JR316_0000547 MTFGPNTVQRLNQQLRFVSSSNPSTMSSAYTPRLIGAANTLEHR VFIEQNGSVVSSFHDIPLFADQSNGILNMIVEVPRWTNAKMEISKEEPFNPIKQDIKR GKLRYVRNCFPHHGYIWNYGAFPQTWEDPSQQHAETKAKGDNDPLDVCEIGEQVGYVG QVKQVKVLGIMALLDEGETDWKVIVVDVLDPLASKLNDIEDVERHLPGLIRATNEWFR IYKIPDGKPENIFAFSGEAKNKKYATEIIHECHEAWRRLITGESPAKTPSYDLSIRNI TIENSPGFVRRDDPSYTSLPPASGRPAAPIDPSVSKWFYISSAQV JR316_0000548 MIAEVLLVLAGHSSSLFPTGYTLNPAIAPLLHPGEQQTLEALGL IAYRYRKIKSACVNLSRSPSRYICALCATLSHILKEDYESLVIETETKVLRRDSALVA NGAFVPLSALRSIFSEWDAPLAALVTLVNDVETEKDWKPGPLIDLLVARSKTGVHRIA SILERISVAVQRVWRTQLTAFLVHGSLSPVDPLAGKDLTLVTGSIPSCVSPQSYDSIA YVGRAIATVKAVKWQKQPPRNLAVEHTALLEGVLPEDQHAFDLVISQIRTDVGEWLWV NVLTKKDVDDAVDSLANYFLIRNGEFSLSLIREFERLIMSRLTSRSGSASMIREQDLN LAILRASLGTTAQHDPYLTRLRFILPAGPLRPLLPSLTNDPAPPTQPVAQASGSLFDN HLLGTTLLLSYNISWPLDLFLDRADLTVYSTLFSFLSALRKTHTRVHTCWSSLSNAQR ARRRWTGLSEGGTAEDHQSRVQMLRCGWGVVRDMSWFLDTLLGYVMMDVIDVEFRKLK ELLDKRRQEAQNVKTADAHISQQSASSRLDFNTLRIIHTSYLTRLLDGCLLTNTNITA IMRQILDVCERFVALVERWGGDVLPALLFEGSLRGDSDDEVGALVKERWSVVSEIDET LRGLLESFYETLTWSMSQQQFSTAADASKSGIIGASMVNQTTHNLSRKISDGDTDHSR RHVERLLLRLDFNGELSKLRKSRGREANILAEGGLA JR316_0000549 MTSPAGPALSHLPTPLNLPHFNDDDDDVFDDKDDDLTVPLPYVF SPDAIREEMARHIPEMEETWGEHESVASAAESSSDQNASVSTLNIDSDTPPDGHPLES SLSAHFSRISLTTPSDEHPPQSLSNSGDESPSNGHQISDEHYDSLDTPTHPPPQDTPP TTPPQTPPQHDRNISEPYPSRLTPPTSAPLPRLHLSEDSPPTSASLSTSHSASSFSSL PPIPPASAAVPHSNELLTEAPRNPKARRSLGPSVFEKVRSKTRPVFLPPKPKSEDAKH LADWQEMMKQSRLKAEKRRKAFQERRLAREKAIEENLHIWEHEILPDWRVVHKNARLR KLWWQGIPTKLRAPLWEKAVGNELALSKDHYRTCLSRAKRALTSGVFPQATLGMIEED ISTTLPAVHIFNKETGPMYPDLKDMLYAWVVSRSDEGLGYTFGAAKIAAMLLINMPSQ QAFIVMRNLLERHCMRSFFGGERSKDDVEAYYRIFDTLLADGMPKIYFNFKQHQVSPG AYLPDWVISLFLDHLPFEACARIWDVLMLEGDSFLYRACLGILAVLEPRLFFPDKKEL LELLKGENKAAIEVAKREGRSLIGGKYEIYGVDEETLWDRIDSMEDWWKESTWTRLIQ RELPDI JR316_0000550 MASSPEILQPGHHDSLRAEKWDSNLVEPRKDLPSTVNYGAKAIS NQTISHVERKLGETEVSYFLPSRESGVNDMYLHLGCRAPSYMVDRRRVGVVWAIMRVR HPLLASKVKMNDYEDIVFSYDFPQSTNQALVDANQALEYRTQSKDDLIDAYLNGPRTL SDSRLSYLIVSSDDPTEEIKKSYDFLICATHFLGDGMALHQFANDFFLLLGSSLDLVD LNKKLFSEWSERYSKGHGKGHTLPSSMEDRLTLPSKGFARAASRVDFEKNQQKLIGGH TFPRQSKGRVHTVVPTVSIGSETTKKILANCKRRGVTISSALFAICNVAWHRTNSSNL ELPMMMYSALNMRPNLMADKRLNDSYWFLAIGYFNVVLPTFFPREGNLEGTFWFRARS AKIQSIKAAKSPLNISRCYQMAKERGRRARIWAKEDDDKLNGRYIRPPPAPSSASPTS CKPNVPSNALIGLSLLGNLDGTYKHAAYPEIKLHTLTTGSRQRSGGMLLFGYTFVEKL WVSLGYDENGFEEDTVKRFWKNVLESIDEFLLR JR316_0000551 MAPFDPQRIQVAAVVAFYMVSALIMVFVNKAVLNNSPDLPLLFL LFQLLIAVVLLHTSAAVYDKIKIPRFEVQIAKKLVPVVSVNIIGLVFNTLCLRDVEAS FFQIARGLVLPLTIVVSSLHTRSKPSGMVLLAAVIVTTGFMIGVAPSSSLPLSAIPSN ISLFYGVLSSLFIAFHAVLIKKSLPYCNNSTIELAWWTNVGSAIMILPFVFLQGEPST FYALLKGDTWNWRVFFTGSLVTGFFGFLLCVAGLLSIKVTSPITHMFSSYKFTL JR316_0000552 MTYINLIYLGLLTKRVPIIGMFTPSHIGGHVPPISFGDVFDVPR LAQAINSPVLEWYQVKNKSSGDFDEIGCWSVWQAVQDREQSARGSVLTNILKLDISYT KAPTWIKVIPRYEHDQHSSFAALATLGFPETRIDNAGEPDESPQHRLKLPPDDQLLCY DYLYYVCAHQPYEFDFDFSPAWLFVGQYMHWTPKLEALADQYLRRAFGTQENETTPPW IAIHIRHGDFAAYCGDLPLDDCFAPLSVIARRVEEVKQEILDRKGIAVEHVVMTSDER NATWWEGVTALGWYGLDHSQTVELYGDWYPVLIDAVVQAGGLGFVGTDRSTMSILARR RVQSWKGGAGRMVKWGSVGADDH JR316_0000553 MHIHILGMGPVGCLLAHHIRQILPRSHIISLIHKTHAHRLEYMK RGSLEVERMGVVSRTNKFLHEDFMESPNLPPHPAASQYLSPLLRTPIESLFVAIKAQH TVSAIQALVPRLSPSSTIVLMQNGMGIYERLVHEVFRNPAQRPHFILASNTHGAFMTD PYHVIHAGVGSIEFGIPPARGRNYEAGLQDTELPKEERRLRLSDITRPTDPDFEQYKS LREAVAVLLLTKSLNVSWRPFSELDLAMKRKVVVNAVLNPLTSIMGCKNGDLFAHKPA IDLLEQVCNEASSVFLAQHTLESGQQMQAEGINFTEHDIPPLSKSLSSESLKNDVLQV AALTRGNISSMLQDIRRGRETEIEYINGYLESLGRQLDVETPTISLLRKLVELKLVLP EESTI JR316_0000554 MPPIPFKFQGSDGHIRRLAFENLPSWDELASKLHSLYAIPVDKV GVSYFDDDNDEITASSNEELQDIYRTTFQSKKQIRMPTLRITSPKFHSERKHLGEDPD NLDFNDWQRFNITDYLDDAPHAFVELISGLDKAGAHSDSNDEKSTVQPVLVDKGKGKA TSTGAMSTTSALEEEFAQKYPIHVVNIAHPQSDSGVHHEGETASHKTSSVPFDSRTPV ENNGPLDDPPLPAIESQSNTSSTLTNDIATFLSSLSNVISAHPELSEGLRNIVRNATN GTYWEAHRAALSRAANDMQQATGQIEQQAAQRVSEALGNLFRSFSQVTDTLNQNQNAQ QPTRAPENQQPLPWYQHPFPVGRHPWGRRFPVGLDPSHSPPWHSTPWSERGHRIVHGH PPPPPPFNPFSGPPKFFPPPSPPHFGHPEIPSFPQVSVPRPPSAPPPGISSKVADPNP VTTNESRAEERATPFASVYDRLEHKQQKKNSADAVDHIFVTADIPAPVSSNQLLQPRK SEPPSPKPTSQELRAQVEAAKRNYKEQKERYRREREERRNQAGGNRLDEANFFSTNAV AGEGSHIVSKASGSYPQLELYSAGPRPYNTHLGHDSTSRGNLVSRAMTRISKRLSDMG FSENSYSDLPDKIKTEMQSRKVITKEEEDDIVTNLLEELLSLPSKSYLASSSVAKDKT HNL JR316_0000555 MDAADTDDSSNHTRSVASSSPSSIVSGHSNDRSSSNDSPTSHIL STQLDSSSVAVSNAVASSSTESSQGPVNSQRLRSTPAVGAGRQIAENILTVPPGVHPY HHYREVANQYEKGITKDWVPEYMSPEDVETYRNATFRRLERIHQLEAEQIRHSRPITK IEQALFMARLDYRIRFYRIFRINDLPTEILTNIFHLVAWSAPDPRAAVAARLWLTSTC RHWRTVAIQDSTLWNAIWFRRPPDFSQAYTWLERAGNAAIDVRINDTVEHPLTLESTT VLINRLFEKLSNIRVLIIVVQDWDPALTIIHALRRVAQEGLPMIMERFELHRAGSAYV QIGTGYEPSFYTEAIPLFGGAVVPSFRYLSLNGVHVDWQNSHLTNLSAFDIRRMPLER VPTLERFRAILQASPDLNKMILDGAGPQWPRGMIDPSTFPVIPLPLLKILVVGDFSVV YGAYLITQFAAPNVLDFTLMNLLGTDYSPFFNALTSKLPSIRTLTIHTAAVSNDSSAV SIFKWLRTIPNLTYLRVINVQQTFLDLFLFDSQLSRPAISGTKSLICDKLAYLEIHSV DIQMVSTWISKRKALGSPLRKVYIGTETVSPLKPEELAPLRTAMRTPQGNVAVQVLAH MQKPSEEEELLR JR316_0000556 MAASTLKSSNSDNVPVTQKDTHKPLNKGKGKENPSKATAAPVRA ELWQGRGWDDDRPWNWASLTDPSSSRIPPIFTKDGSYFFSLVGSTIKIYSSTTGLVVS ILSAPSSGEENSNTDVFTSAIINPHNVFQLITATLDGRIMIWDFVNATLLQVIDVGQS IHFMCAHKQFMGFVFVAASRQRKKSNVHDNNAVVLKISLKPSDHKNQPSAIIPVGKTR FPTGLSISPNGAWLVATAGHKVYVAKTDTITSGFTKYVSPEKLTCLAFHPSDEYFATG DEKGVIRLWYCLNDNLAVNIRDVEKRTQTRSFHWHAHAVSSVSFTNNGAYLLSGGEEA VLVIWQLETGRKEFIPRLGAPISTISISRPANGEEEYLLGLSDATYTFISSASLKITR SYSRIKIDPSVFHGPTSSSKLNIAPIAVQRLTSTLVLPSSHPSSLQMYSLSVSSLLSE LEVSPSNRISRRDDKPIIPSTVEKTVISESGYWMATIDSRDGDPGFRSEVYLKLWSWD SKNETWILNTRIDRPHGIHKVTDISFSPNALADKAVYLTTTGKDGHIKVWKLFGHQKE AWISYATLDFRSETPGSISWSPDASLFAVSVGAHIALYDPVSRSLRQALTTPECVSIQ SVHFVGVDGRFLLASGINVLVMWDLLRGQVAWQTLTPLAIDKIVPHPKESTFAVFHSP DQDGEDHRTKITLFHVSSKVPTTIRFVPFGLRNVVWASYQKQPGYNLVGITYSWRVVL IGDSRPVLKDGEITARAMNLEPQKQKKTIFQDIFGVSAFSNTTVEHAHTFTVQRKSSE NEVFTDPAYTAPSLETFFSSLLKPYLVVRPAKESNIPDDHDEVVEEDVVMEDEREIPI AHTSRVPIPGEMDTFIKLFKTHCLREDMPLPSSKGIPTINGIHPKETNSDCLSEETLS TKSKPSQMPQNQHGHAVASPSHSSTPHSMGKKRKKVSN JR316_0000557 MNAPPSPPVSYSTTSTSYSNGNNNEGKRYKRRKDFQYKHGQRHH SYDSEKAPYPLSYDRKVLELESFDNTLAQYLQGSCSFAPLKEPPSRVLDLGCGTGTWV INAAKEWKDCDFVGFDLVDIQIPLKILDPSLARRIEWKHGNFLTTKLPFEDDEFDHIH IQGIALGVPENKWGVLFEEVSRVLRSGGTVEMIEEDALFPTLPLWFTTALRSKPLRAQ SARFSSHTSTTAPSHSPEIMDLDTRPPHDHALLESLHQSVFQNRFINMKPSAVLPSYF TTYFRQVTLGPLLSFPMPPIAPLQPLPPQIITSYVVEPNSDNHELGSKSQLSESKVPA LRPVSMSFSSSVSGIAIHGESDIKPTRARTASAPLTFYPSNSSPFPETSHLKIAGSDD YVASPSHHYTVENSITESEAVILAPALLFPKNRLDSLSERSLAMHLYRTNQAVLACQE AMWEELKDRIRNRKEELIPFGWDDDVELEELQSRKKFERLIERYTSDMQARVALWHSL NTMGWPLPMREPLSKAELIEEERVRESMMEARKRSTLEELEIPCRSIRVLIGSNL JR316_0000558 MPPRRKPTSTRQKKADQQLKRAIKRGDVPQPEVKKKPLRGRKPR IGPTGERIGSADTSVIQSARKLQSAFIKLPPNYLEQTKQLASDLVLQRPIPDENAIFH NFVHANDSEVEALSCPRRPKWRFDMTKLEVEKNEEGVFKKYIAQTDRALDQWQNKADL ATSHTDSSPTMPRSPSYFERNIEVWRQLWRVTEISQIILVLLDSRCPVLHYPPSLANY LTGRKVILVLTKVDISGTERVKAWVDYINKNYPNSRIVEVESYAEKQASTDHQGRKHY VPCIPEHFRAKLVRMIKEVHQELLEPPEKVANNPDRLKTWFPPVKREIDWDAVMQAKG SKVGVAVGGAAAPRPISPGETEQQESSNHHQEPNFLTIGLIGQPNVGKSSLLNALFGA SKVRASKTAGKTKHFQTLYWTPDVRLVDCPGLVMPNYVPMEMQVLCGILPISRVSAVS ACVHFVSQLLPLERIFKLVHPSSKAPPVEDKRTWRDDKKVLKVDESQKSPAWTAMDIL TAYAEDKGWITAKAGRPDIHRAGNAILRTLAEGRIGWAFWPPDTPLEEATTADDHLGI WIPLSDHTDDISASDRESEEEDDIHETESPSFSAPAEEEDSEESEFGEEAQSSVSQVG VSGRFGALALSEGEETIYLAGDSTMAKGGGGSGTDGWGQYLAQYLTLPVVNNAIAGRS ARSYTVEGRFTTLINTVKPGDFVVIEFGHNDGTSGATDNGRQDAVGNDTTTTATVTAA NGTSIVIHTFNFYVQNAVNSLKAKGAIPIVSSQTPDNIWTNGVIGPPSRFVGYAQLAA SRTSVTYIDHFDYVAQAYDSLGQTTTTTFYPLDHTHTSPTGANIVAQTFVRGLICSTS TLNKKLSASGNTVPGEQ JR316_0000559 MLSSWFGQQQQQQQQQQQNANEEGNPDRLSSRATPNRPSPSSPN DVNQNTRASVDRPAKRTFPTAFTTPHDAVLAELHGIQLKAPATDTSNRPASLREEQVL SPDLDSTLPLSEDKATALRTEANPSGRTSKAASQQLPLASSPELLIDPFDGMSLGVLV PHQDNPNADVQSTSQINLLNDAEGGDNGSGSQAIWTNLSRVLEIQSQISKMHLEMENI STAKVSNTKSNKRQHKSNNPTLFGTAGGSAFDLTSEDPVVPPGLHQPRERALSNVSTV SSANDAHDDEEGVNVPNEEAEKARIREEEFAKLASQFEGRKDAIKGIMDKLDDLSQAL HQFHHLPPPPMPDVFNDIRNGSSGASSPPLGTTSPLHMSDNKDKGKAPIRPPGTASDR TYEWTQQYAFPSTSALQTPPPINRRKSDNAIIGASLSRTAAAQKSVPTLMLNSVDMET RIPVMDSPASIIGSLKQD JR316_0000560 MSASILGLPPVPPELKPITPYIQRAEELKSQDPIVSYWCAYYAA QVGISLKAHSSAARDLLFALLNALEHMKAAIGANDAIDIESVSSAYIENFALKVFANA DNEDRSGRASRSTAKKFLAAANFLEVLKTFSKSDVSESNEEKIRYAKWKAADIAKAFR EGRKPVPGPPGWAEEQEEMKRLEQEEKHTHTSSSRPYPESSSRHSNSPPHTTNISPPR TSHASSSSPPKHSPISHSPTQIGHQVGLSITNDVPEAWSTTSTPGIEASSNVYTIGTP TPSTAGYDRESIPPAASGISQAKQRWDATEGSHTKKRSGSGSSYNSTGTNGNRPWASE ELGGKQTRLSTPPKSSFKSGSPDSDKKVHFSPSTIGAPPNPPHTSSGSPKEYLGPSSI YAPPKTAPVDIYQSHSTTRPSVPSPPSLPPASPSRPYGYAASPPSQLQTQASPPRVGN RNPYSTVPNPTPPPLPAAFELTPAVIAKAQKHCRFAISSLDYEDADEARKQLREALAL LGG JR316_0000561 MTSMSSSIPSELGMHSTSSESEMEGTKRYQITPSCPTGTAGLQL SSDRPPPREAVDLPLLPQAIGTPFVSPGSPQSAHTALTIHSNEKAWNGAEIETVLGNS KSRLRSGAKLLPHSPRQHKGVSLEKAKRRPRVDVNIFLGNDVCVEGGCLQGLVKLQIR EGSKKSQPLLLSGGKIRVVGFESTTKEEQRSTFYQFCANVSDVASGLDVLYSSEPDAG GFVKAVEGAYVLPFVIELDPSQALGRPKGSMHLQSGASVRYIVMVSFRIKVLSSGKMS MAHFYRDCSVWPRLNPAIVLSPTSRPIQVTVSGDTTLGGNNKVILTASLHRLHWVAGQ PCHVRFDIVNNSTRTLKHLSLGLFQTITTFRAKKVDGNDQHTANTKNLLQSTSIEKQV AQSSLDIAEWGTRGHASAKGWWMGVSAGSSQTFSHSILLPACALSIPRGKLLEVSYTL RAIISPGSFLSTNVNVSIPLEIINYLSIDPPPRIVTVQGVEPVTSEKWRKVSSEDPDA ISESDSIADEGSECNSDGLGNLSENDDTEDVVDRIVSSTKISGEDMPRFADLYYSLQE GNFNKGTNGRLPMSVETLPAGADTLSGLTTQTQELPNPSSFPERVEEKMRSRKRTLCK AVHLNEQSRDGGLTIEEQLFGKPLEDSLHGIALDVSENPPTPSSSTGNPLIVLGVQNN TNSQGNAVVSSSVSTKRHVKKSGSPLSNGSRVISVNDIIDAYIQPACDKNDDSTKHVQ QGSAEPTTIDSRNHQVNPNTAKLPRPFSIDKLTTSRPRSKSVKDKIRELEALAAAANG NS JR316_0000562 MTYGINEVTKKLETQIHNFHLLQVVDVPEPSLPLKYVFVCRSDV DPPLLIDHLPHLVAAYNSNCLRDPIKLVTLPQGAELLLAQNLGIRRVTVIAIDTNYND QHLASMLESVPTLTASWLSQPNASTSLIPTHIKHVRTTAPRDMKAAKDLRVQQKLDAK KKKLQNDAGARG JR316_0000563 MFIAIVGTRFSGKSSIENYLVSSHGFTLVRIIQSDSNVGGTEEK FEVIELASTSSEHSNATSRYRMNTSDVAKHLSFLSMSPLPSPATIRTQQQFTQQQSLC FSSHTELLDYVTKNWRNDFVTSDLRTRSLIETFVRRPFFLLVSVDAPLLERFHRSKSF VNISLADFIAEDDRVVFGSRYLSSSQAESLQKLNDLVNIQIVNSFSSLSGLHSYLDNL DLLHPEHLRPSWDAYFMTLASLASRRSNCMKRRVGALLVRENRVLATGYNGTARGLLN CNEGGCAHCNGTNDTNGKCQECLCLHAEENALLEAGRERIGQDCVLYCNTCPCLKCTV KIIQTGVKTVVYNLTYKVDDASAALFKEAGVQLRRYDPNTRFRLPPAEDEGLISLTSE PESDHDTIVL JR316_0000564 MSEEFNINAYFKRLKAFCVPLLGASRLTPASHAETSARLSQIIQ ILGEIPPEKLTTNLISYVFLPLTTLLQRNASADIPNQILEKILIALKLLVDSWWWTCD IKIWEQIFMLCGAVVGELDMKSDTNKSQKRDDETKDAATRCICSLIRPRDGEEAAKRG ILPNVPDERLALFQAHTQNPKFTPVVGQTLDSLIVTAMSSNISLQIASLETTALIIDL YLPDALLPSVLPGTVSAMTKICLGIPQGKKGWANGDIVLQGLQVMRVIITKAIGNDVC IRDGAICRVHDLTDLLNTPSTTFESTNETTYFTQRSESWLRGTSTQLHIAINTLSPLI SHPTPTALLGLARFSSSIIQSTSLTLPQTRPLLLSFLLALSISEYTSVSSEARGQLMT LLSMPSDAQTPLQHAILTTLGDNLSALPRLLSTQIDSRVRHAAGLITAVCSLAFDPVS DASLPVIAKGIGQLLGPTGGVEKWGWSLLSVLEIIEPPITVTNTSGAQLTLENDPSAL QWVNFPDVVFKNISAHETRNALNDTFHALGAAGGDAGLFAVEWFISVGLSGTSITSVA ALWCACRLLEGIGYVSLYSGQVSALPNSLASKRLDKQVRSLAKSIAEIWDTSYDIAGD TSLPNTEEESSFLVQHQIGLNPLHETLKIIKPPGPKKVNVKHQPIVHRALALQLLAVA AGISQARFTPLFIHVLYPILHSLVSPVSFLSSTALAALNYITIATSYASPANLLLSNF DYVLDSVSRRLTPRWLDIDATKVLGIMIRFVGADVVEKAGDVVEECFDRLDEYHGYGV IVDGLVEVLMEVIKVIEVEARANKTLLNNTSADVSNENRGPQRVSLDDLLEFLPKRYE VPPEDDTYYGPAPKEAWGGKDDDGQEDGAEYQEGSGLSNFQSSSDEPPPTPVQALTKQ IITRSLYFLTHESPVIRGKIMVLLTLSVPVLPESALLPSIHSAWPFILNRLADSETFV VSSAAGLVEALSKEMGEFMFRRVWDDVWPKFKLMLSNLEKGESTSALARNDRAGVGTE SAYTHSHRLYRSFIKTMTLALQGVHEHETSFWEVIMAFRRFLSTTAQEELQQCAVALY VQAGKSNPDSVWLALSSTICPAEPVVEFMKGVWDIKHNADVVFKSLN JR316_0000565 MTIQHPRLLLVDSYDSFTHNLAALFRQAIPNVSITIIKNDNYRL DELRPYLPYFSFIVIGPGPGSPDNAEDIGVVRDLWSLKDQDILPIFGVCLGLQSLSIA HGARLKRLNVVKHGLTSLIEHKSTDLFSNVGSVKAVRYHSLHVDLEEGGDIEELAWTH DEGNGKVVMAVRHKHRPFWAVQYHPESVCTDGGGVEVIRNFWKLAQFWSNDQGRGIIP GNHKLSEFGTPWPYLSPPSSLTTPVSSSSVLTLSLERNDLGIVDICECFGASDETSNF ALLESASNPGRYSILGCLSTSTLQIKYRVGESFLSLTKNGGTTRENLGELDIWAWLSN FMQTKSYRGDPCVPFWGGFVGYLSYELGLQTLHVPLRRDTDTPCTNKHPDVNLVFVER SIVIDSHTSRIFVQSIAPDDDLWVSETFAKLEYLPPSSSSAISPHPVTNAYVMLPDKF HYISKIKQAKEHLFAGDSYELCVTAPTRISAPPLTSKPSKSSSWERYKCLRRSNPAPH SAYLRLHPSTLLSSSPERFLSYSRSPGSTCQLRPIKGTIRKGPNVTRAVAERELVGNP KEVAENLMIVDLIRHDLHGVIGEDVLVKQFCSLEEYETVWQLVSVIEGKLDDNFQSSR PTKDILGWRVLKESLPPGSMTGAPKKRSVEILQNLEGEERGIYSGVFGYWCVGGKIGL IRNQHPLSLTRQKCWILAALGAKPIDYVRVLVSDEPNLSGDWSVTIRSCFKFDEEGTL ADPEDLFHRREEWTVGAGGAITALSDPENEWDEMVTKLQSVLRCF JR316_0000566 MILKEEQDSTHGTSELSSTEDSPTNSIKIFDSESENSSTTHSSL RVENPLPPVPLPALNPPRYSILQQQRQLPLPQSVRYTFMPQTNPANSMIMKPMDNHNL PSFYISINLNCFVGFSCITTIRKEGWHGEIIGDFELNVHGKRKHGTVSLFGYERILDD VFGVTSKVFRAGTYHWYGQNRFGNSKTTSNLRWEEFSAEDSPYTTLSVMTL JR316_0000567 MASQDISQDLPVINLDIFLAGPSDSDAVIEECKKAANALITYGA LVLKDSRVAEDDNSSFLDLMEDYFNQPIDELKKDERPELSYQIGVTLENTEKPKCAVD EPCLRIIEALEPSERPLDISAHSPDPKCRFFWKMSEKPPYETAYPALNAANVVPQAEF IKKNWTFTMEKWGTSMKDAVSALADMVAIGLGLPAQTFRDPGRYGPHLLAPTASDLSK YGKKDTILAGFHSDLNFLTIHGRSRYPGLNIWARNTGKRIPVRIPEGSNLLVQAGKQL EHITGGLIKAGFHEVVVNEQTIQAIERRKVEYPDRPFIRISSTFFWHLSSDYDLAPIS SLVAKAAELRSEKLGDGQSDGDEVCYEPMKVGEFVRNELKHIALMA JR316_0000568 MWGFRTQPPVPTITIMIKIWSMKKNEEAAAKKKPKTSAAQIRVQ KDLTELDLPSTMQTHFPDPSDLLNFTLTITPDEGMYKGGAFTFSFAINTNYPHDPPKV KCTQTIYHPNVDLEGNVCLNILREDWKPVLNLNSVMVGLQYLFLEPNADDPLNKEAAL EMSKNREQFLNNVKFSMRGSNIKGVQYDNVLVR JR316_0000569 MSKSPITCHVLDSSTGKPAEGVFIRLQQLEVSKEGGPDIFHPLA KGETNADGRCLDLLPPRGSELARKEKTDLVAGQTYKVIFRTKEYFDRTNRRSFYPWVE IIRLDILRFYPPMSKS JR316_0000570 MATTSSAGPPLGKYLASTEKKTRDKAIKNLSVFLSDSQNVISKS EMDKLWKGIFYCFWMSDKPLVQQALATELAELLLTITSTSASLAFLRGFWETTVREWN GIDRLRIDKYYMLIRRFINATFRLLLRENWEEGACQQYNEILTRQSGPLCPNDIRVPA SLAYHIADVYAEELNKALAAEKSPSPAPLGTVLEPFIFLAARTPTTVTYKRIQSALFE PLFAALAPESIAEEEPRKSKRIRLTAEASYPEVLANSCFSDPKLEGSQEGPMLKKKLL QKIFEVASQPETRDSSRRKMYALWKENYEEDPSDE JR316_0000571 MATFINNRGVPQQAQYYRPPSYQPQAPPAPRLDVRDDYERWYTE RVPNNRMALSIRSGIPSEITWALDRLCRLVNNNQFMFIPGVIDGLFDWPEWYVREGYK NFKDAGLLFSVSPASAQQRQFALESLFILGNAALYEPNAETFARHSHTIPLILNALHT LDHKGDCEALLHILNIFHFLASVYVVHPAAPAISNPIPPLLRIVSETSNRTMIIATLT TLTSLLSNPANGAHLSAQSEALAASIRYLPLFIDKPLIDACLNYLYCHISHPSMARAF LLHPEMSAVLKVLSNLLIHEQRAIEKTFTIDITGPIYTAPSTTQPTRDHDLTKEELEN LVQKPEPQRCYDWMRAMFLAKADGELTQVDFWTLYKDAFSPYAEQHPLLVASDVIKNV TSVFPQAQAMVLQGPVQRFVVRGVDRRKESAASERYRCQWNRSQCTSSPFSSPTDLYD HVLQHLESAETAETSCLWSACSQAPLSKRDLSVHTLTHISSTQPMQKHPSQSDTITLP TENYPHPVPTPTSRPPPPPRSTTITYDAPIADPPSTSLTALLIIRILFRTSFASSDAA PRVDADHFGFPGLVEETDDPSENAHHADGIPSGDDKEGERRGRRAFVGIRKLLEEVKI RDDVLMGWITEMVDAAMPYHNE JR316_0000572 MLLGHKSNSPRGLAVTSKFVAIYSKLFQGLSPQSINPEHDQERL FSDLLDLKVDRSYLREELDKIPKEKCTGTFKPLLSTLFRICIKHARSIKNDDDKRLHA METQSILVSTMLAKNLTGWEVMEILAGSVSQSDFVFSEFTETLDVLIGDSGASVTLRH QAVQLGLIFMCGVGQLSTGAYFLRRNFFPSIVSFVKSPEMEQYVFEAVLFLAILANYH KSDAAKLNTYLKQIRNSSDGDFMRKLCWVSNYTLGTSIKQYQELGSESFGLNLTSSFG AVLNRWLPENTLLLSHPNAYGDKYKDQPVEATVILLAVYEFLLSNPMFATVLIEDMVS PDDSVQCPLFCTIISLTSYLCTHAMSTASPRSIAYAGISINMILALVENKVVMEFISQ NNVPSIQMCRQRSPPLPASKGVLKPPVCFVLDCCILWLRHNLHKRLEVPSFINVVWIC YRVIWFLQHRRVRLDYYWTELWNSLFNLLDFLSSKLESLHTTGGVEMLISETLCLLDL AVCTSESFLPTPRLLHEFIYELVRSSSILKKQEAVIRTLAIPDSSTTRPSLRGDSIVT TLEHILSISSIFEAKIGSANIGNAKDTMKAVAAEIEANGLHVTREILETVPPTRSQEV LDFARIACEDGLALMP JR316_0000573 MAALRFAPGLVRLANSSFLRLQNRGLASLKQPTSLFAPLDAFPE RHIGPDDDEAFKMLSKLGYDSMDAFVADTVPPKIRVSAHSVDDVSIPSFSESELNARA KQLGGQNKPFKSYIGMGYHCAVVPPVILRNVMENPAWYTPYTPYQPEIAQGRLESLVN FQTMVMSLTAMDIANASLLDEATAAAEGMVMAFVSAPKKRTFVVDQGVTSQTIAVLRT RAKGFGINVIVGDASSLLEETQIQSDICGVLVQYPDVDGNIKNFGDLAQTAHSLGALV ICATDLLALTKIKPPGEWGADVVVGNSARFGVPAGYGGPHAAFFAVTDKLKRKMPGRL IGRSRDTQGNLAYRLSLQTREQHIRREKATSNICTSQALLANMAAMYAVYHGPAGLQR IATKVHKFTEVFKTAVIGMGYKPINSTFFDTITLEVSAAAGSAEIVHAKATDSAINLR HIDNNHVGITLDESVSPADLLALVNVFASAASKTPLALADLQEPELSSIPVTLQRTSE YLPHPVFNKHHSETEMLRYIHHLASKDLGLVHSMIPLGSCTMKLNSTSSMIPLTWPEF SSVHPFAPYDQVQGYHQIIKELEDDLCKITGFHAASLQPNSGAAGEYAGLSVIRAYHE SRNEGHRDICLIPLSAHGTNPASAAMAGLKVVAVKVHADGNLDLEDLQTKAEKHKDKL AAFMITYPSTFGVFEAGVQDACKIIHDNGGQVYLDGANLNAQIGITNPATCGGDVCHM NLHKTFAIPHGGGGPGVGPICVAEHLAPFLPSHPAMPVRGAQAIEAVSAAPFGSASIN LISWAYIKMLGGSGLVQSSKIALLNANYMASRLQDYYTLRYKNENGRVAHELLIDLAE FDKAAGLKDYGFHPPTCSWPIQTCMLIEPTESETLDELDRFCDAMISIRKEAEDIITG KQPKDNNLLKNAPHPVSVVIASDKDWNKPYSREQAAYPLPWLKEKKFWPTVSRIDDAY GDLNLICDCPTVEEMTQ JR316_0000574 MNVARGALIRSAGIRRFIRAPLLHNSLSRPSSTSSNSTDHDSSI HANVSAPLVDHGGTHGLVTPLPQDSTGNDDQNDPSLKAMKSATYYHLERLAAQHGLEL TAKHYGAVMKNCIRYNVPTEAFKTYNMALKAGIIPTARLVTPLLTYLGQVATYDAICK ALKLYREISTAHPNSSLEDGTAGPNIHTYNRMIKMLLSSPREDRHTPLITSLVEDMEM RGIPTNSSFVAAAKIIVAMRQKRRFEDVLPVYRKERTFLDQTGFFDVLEEYCNISFVG NLDTPLITHYFSIVNDMRLQRVVITPQVYGLIVKHINTMVGKLAESGDRKQAAEAIPR LIATIRRVHDFLTLDASFSPTPILLNQLLDAYQRLGCFGDAYRLWDMMYMSGIYDQIT VTIMLDACGYAHRLDKAREILRKVTRTGFKLDLQNWNTWIECLCRGGQFTLAQSVAFS EMSKHGVQPDIVTFTLLAKFAKKLNFSLDWLRRVESDYPQVYVELPEEIRNL JR316_0000575 MADEDAKFAVTSVASTQRAASLARLGELRPIFLALHIGGGLVGL PLLIITFLATSKIPRQPALINFCVAWVINSISYTLVSLGGTSHTASTPLCFSQAAMLN GAPPMAGVGTFLVVFQIWRTFRDPISLRVYGGRQCSEKAALFVTLSLPYIVFILFTIT SLVLQIKSPHTLDRSNGLYCTVTGLAFRRWSVPLSTVTILILMLGFEIAIAIRYYLAR QRILNSFPLANRSTSRGLVIRISLFNIYLLITFGASVVFLSGAIQPWAYMVQAALSMV AFVLFATQKNVFDAWCFWKKSNNETHSDESVPALRKHGPNVDAGINLDPPSPDIIAAD RV JR316_0000576 MSTLNSVSSARSIVSAKSNGHNLSEKSQYISSLPPPVYTATFQH TLTSAKPPNDGLNLTPDELFTRQTVSEVRAVQQRLRADAEAKQEELRLMVGERYRDLL QASSSIISIASSSRRVLKAIEECKDAIVSQDTLRTPPKATAIDGIDVDAHLLTLQELS AHMKLLLDAPEHLWRLIERKQYYQAAWLFLLARVVHRTLYLIVDVYQAEFPLVQRQWD VVSQFRSQIIHKSTLSLRDSSQSNSDTCAILVTLHLLDSRPLNDALSALFLQRSKTLK SLLSWNPDSTSSSSSQANGQISSSKTIPVREVTQAMKNSLNTISQTVCTVRVIFQDQP SRPSLIYRVLESIQEKFTNPDDGNESLPEELRLSTQSLLTHLTSSANFQLLPPNLRTY APYVDLNSSSITISQKGFSQRLKEWFNDSCTQWRQAAKEWFSGLQSVKDVWTVRNSMK RCISGSGLIETEKGCLDSDLESLCHERITEIWTKALLDSEATCQRRLRENPTPVPVLS QTARSFIGAPFQKYQVSLKRQLVGRSLQLDNVISTLEQCARTIQLDFAHLRGGDQMTG PIVEQLKETYRPKATDLSNRVSAFINETAMQAIGSTAPNLAGLAFLGRVTADLTYSSS FIREIGCDHTSAQDFKKSMTTINEIVVQKWREITIGRILELWRPSIQKRPSQISAGPS THVFKALLSICESVRQLGVFRDPTQQNFVAQDILRSFIRLWLEEGHRPNGEKNAHDIA FLQKLSNLYGDPWADISELLEKELEHCVRNVDELTRGDILKAASEYLARSQTLLSVII PPPSTPAFETPFLQVGVPSIGQIQQSAIDLAKPSPRFGLLLVGNVER JR316_0000577 MAVLSSPLVLIQSIPPVTRAFIGTTIVSSALYGYLCWNGMGAEA SQYMTLVPGSALYAPWTLLTSAFVEVTIWEFAMTLIFVPASLKYLERLWGSIETIKFI VVSIVASNIIAFGFNWIEFIATRNADLFLYGMQYHGQMALQISILVAFTQLIPEHQVQ LFGVIKTRVKSLPMAYLTLSTVLCFLGLQCPWIIIQFGWFVGWVYLRFYKKNPGEGSG GVDTYGDRSETFSLVSWFPPFMHYPLTIGGNFVYSLANRFHLIPSSASDVELGGYGQV PGTTRAEAERRRAMALKALDQRVANNSSPVGSGSTNALPAPSRAPPVGARQENAPANS VPSLERSKSVTEPDESVKVKSEIR JR316_0000578 MSDYDFRPGGSLKLKGGVAEGGIVKKKKKKSKTKSDTALKDKES SSVQDLVSLATDGNNASTGSGRNSPALTSSSSRKTEAERRFEEVQKRRLEQRISKLAH KTHKDRVNEFNAHLESLSEHHDIPKMPKPDKPTNKPHICPPSTATHPSDRWESLFIYS FICKFTNLRHKVEGLESPMDLEEALMLQEPNNIVTQLLVQFVVNLKPQTRNLSTDQIS TTVASVLSEYFKSSERTIFWDEKLNANVDPFEGLESGFFATPWDFKLKILRQLVELQL THCADIKATIDRAWGVVHNKHKKNQAPLAPLDPSDPKSQERLQLQPIGQDQQRKRYWI ADDSPRIYVSTNPWKITATFQTISSTREEYISIIEDLKANAPPEPPKGKKRTRLENAH LALIDTLEKRIEAIDVELADDDGADEYNYQEEERQDDEYEMEYSNDTRRVRGGPSVGT RRSTRNAAANANGKREGSSDSALWRGERRSSRLGGPDIYAEMEPSRKRARTEESTASA QSTDGVSSETVGVTNGIRVKTSGAAALKPTEVAMEQIAGKKRSKFWVYAVEPIPEPIP SAPDPPNEDEGMNDGGGSAEMNGETMSRERSGSSRSLLPSKPKFRFRGCLYSHHVPAR PLSTHIQHHIPASVDDRALINLFDRPSSSFRLSSTTPTGLFGHPTLTHPAALISLADA TLVRAQLLTARILRARESREELLKVVKNLDRLSDMLCGVIDLAELVRNAHPDRAWVDA ANHAYEALCEFMNVLNTEVGLYEVLKVVLSDPSIVKTLTPEAYQTALIFWRDFEKSAI DLPPAQRSKFVSLSSDILVLGRQFLEAANAPRAPATIKHLDLAGLKDKGMGVRLQLQA QFTKRDLLVYPGSMQAHMIMRSAPEEEPRRRVYLAANSSTPEQIEVLEALLRKRAELA QLVGRKSFAHMTLDDKMAKTPENVLNFLDALLDHTRPFARRALHTLSQRKQAHHGLSS LPIIQAWDRDFYCPPEPPAPPIPLPPLTLGTVFMGLSRLFQHLYGISLRPADASSGEV WHSDVHKLEVVDQDNGVIGWIFADLFARRGKPNGAAHYTVRCSRRTDDDDEHRDGTLE GAELRIKESQDFEAVKRHRLPNQDGVYQLPLVVLLCEFPRPSLAKGPTILDWHEVLTL FHEMGHAMHSMIGRTEYQNVAGTRCATDFVELPSILMEHFLNSPTVLSLFDVDETSTI RQIGNHHNDPCHSIDTYSQILLAVVDQIYHSPDVISPSFDSTAALAQLHDTRGLIPHV PGTSFQTQFGHLFGYGATYYSYLFDRAIASRVWQNVFSGDPLSRSTGEKYKNEVLQYG GGRDPWKMVSSLLDAPELETGNAEAMREVGRWKIEDDVGQPGRH JR316_0000579 MDPHLDSMLNGTVQEYSATFLSALQGDRDNSRITSFLASLTSFT SIIRSHHKTLHEDTLNAVYTFFSFINSMTSSIIDLEKEIRVIGGATITDLSKIIEVKM SNLTLEDHSTTLRSAPKSHPAYIKPSYEWLLNNLHNPYPSKDVKKDIIRQTNCSSKDI DAWFVDIRKRIGWNHLRKTRFSNKQEKIIAAATSIFKPKSKSFPSDPNTILSEMDPTD AFRAEFLVMARKAKSLYANKFPESIPADLLDTHNLPVIPVDRVKSNPSRSYPTPEPSS PSHSPSPVPDEELASVVLPVSCVTESRSRKRRYSTSESDSESYPNGSSKKSRTDTWHI PHIATASLPSPASSIDLSEDASDDIAPLYTSATISPSPKLLGLTHSKCDSDISGTEGD PHSKEQPISGFLIDDVVEESIVHNLKRKRRLSDGEGKWPNKRAPGALLIPRLQTVSNP LPMTNSFADELSEMWNQDGPITSYDFCLIPSPAHLENNVSLDCPLEVCYGQYDLPDQS LIDQEAEVPLANLVPAHLSVPSYYPESLKNSEFDVAIGSYPLPDTNDLIPFSDDDLLF SIQHNPNSVIENSSMGPDWSSLLQSGDPYLFASSLISANTNTYVTSPQNCQSSNVINA KRAKIKALNEQIRQLEAEL JR316_0000580 MADAMDFRADFKTERDALSAAASIAHSLSQQLSDLDIQTTQFAP PSDIDTMIPPLILSVPPEILEPLSTLTVSENARNMILERFGRFVAELQKEYTSAYRHS CMEVYRVTGSISDMHALHKAYQALSQRRMVPTIKSQVDFILAEAKKHSKNPVQDTKRH FNSQFTHVLEKYFEQNGYPSSLHRSVLAQKSGMTPRQIEVWFQNHRKRAKQDGKIIRR LDSDLPLKRALELLSRPLQVYQRLPLPLVNEPCGTSWENNKRCSVFKCETWQADTQSE SSEVTSVLSETDSIEIRPPHAFPTRRSDQYPDPFPTDKGAFTFPSPIWRRTPATTSII PTAVDIDDFSRQFHMKLNFVNNSWKRDCTPTKSEVFSSTPWFAPLRTIPPSAPLSSLQ QPRSLAGASTSMLTSTIAKDPFTFVFPKPGQMEQRDESNITCIVRLPVGPKQSSSSVT ERIHRSVDALDRERKGLRMRPRTPHSSKPSTSRLDSHGEIAGRKGTPTSRRGPSNLQT FGSRTSSFTSDTSSSDDTSSPSTPPSFPDYNLHPDLVVGSDDLAGNLDSYEVTPSPSF DFTLSKFPTDTQPSSPQIFDLTFTSPMNTNAGPLSSRTFDFIFPNKVADRQPSALHQN HTPLICT JR316_0000581 MDTFINLAKQGYSAYQDSQENVSKTGGQDYNSPDNRPSHHRSND GPNIDEDEAIRTAQEHGSGGSDLFSSALSFISSNKHKHQEPVDEEAVTSAHSKAYGNG DSSGLSAHDLGGAAALQVLKQFTSGSGGGSGSQTQLISLAMAEATKLFDQSGGAASGN KQDAVNSAGLTVMKLLVQSKFSGAVGGSNSGGLSGLMGLVSYKSSLRSLANNLQAKQF M JR316_0000582 MSGSTNKGTIFNVPEVTGKDQIALETTAAEARLNAPNAAVAREV EHNAEGVKEAAQQAAAHAKAVGRSLTGEASTAAGQFRGDASRTTDAAVEEGKQDVENV KAATVGYVEQAKSYASSALETAQAYLPTAIGGKVPVVDNATNAASNAAASVQNTAANA GNAARSGASNAATTTSNAASNAATTAQNNASSAYNTVVDTVQPVASNVAATVEPVVAG VAATLQPVAASVASGVQTGAAAAYNVAKENAPTVASHLQSGAATVLGTAHDLIAPAEG QNAPSHTAGHDSKGTASQGGVPGVTTAPLESGKSIVDTPYQKTEVSPSKKDVHASTAN VSTPPHLN JR316_0000583 MFAFVTLVSSDAYLPGALAQVAALRDIHPSPAQPPEHDFHTVCL VTPESLDVATIKALRRAFDLVVGVEILEQHNPAGLKLLGRPDLTTVLTKLHVFRLTQF QKIVFLDADVLPIRPISHLFSIAHEFSAAPDVGWPDIFNSGVLVLSPGQDKFDQLYQL VATRPSWDGGDQGLLNEWRDGDWNRLSFTYNTTPTAAYTYAPAYERYGSQIKAIHFIG PNKPWNNIPYRTPGRQPSTQSSQTTYDYDSLVDRWFDVYDRHYASTPTQKSPFEIKRY TSAWDPSAEPQNPPPAPLDLDELKRLAIQGLNASQEDSHPGEGAYKSMPLQGRVDLMR PRKPDPKPVEPVVKPHDPRLSAPSINAYTQDTDDSDRFPTEPFHFHDEILATPIARRA SLGDEPRWRTLPTPGPNEIPPSPRLRLVSLPPTPSPFAPLPTTAPDFYASESEAESFL LARSDSAHENRPDSRHHHHHHHRSTPNHEHHHHHQHYSPPQNSQSYRHDEQRQHESPK RPASPPMMSWNPAIEPPPNVTPAPNAFPTDTYFANVWDQTPSRQNDQIPSSSIAHTSP PDSGGFFQPPPPPVIPDTLLKQGHYRNVTGDIQTGSTPSPDKKKIKSIFPWEEKPRVM PGRVFPDSDAPPPSLFLSPGSQSQSHTDTTTTPSTPETRSTGTTRATQLSPLYGLPSA FSYANAWDSVPSIQKYASKLVKPAQPLPHPPQLAPPYEDDAYARKVSRKKSWDERAEV SSRDGDDEDNADDEEDEEGRPVASNSTKWVDDEDGSDRENAKRRSRRGSVVTASTLLG INKKKEYRSRGVQTIIVEKRSQGIQVQVEAPSPRQHYHARRGSASNRRHWAPATASTV VAPMTTTRDVSIGPPVNVGPAEQQHHHKKKETSVSPSFSPRRPAREFVVPPPNAGRSS GFVSPPPQPAKSASQSKSGTITPNARLMAQQHLRAAVAPIARSSSAPQSGNHRPPSGH HAHAPPVKPAVSNSNAKPFAPPAKPTIRTSNSSTSTLVPATASPAIHSAPPTMMPAHP SLSNRTSPTASVVARRPSNDSSLGSPVSSAGPLSPPDGHALLLSPGSQHSQGTPLPGL QGLPQPTRKGTRVWDPARGVDLFKRESEDVIARFLKMGAWEEEGR JR316_0000584 MGFVRLRYVLAVPVVGLAACHVSPARPTIIPVSRRPRIVYSPNE ERLSLRALLQTHLIEPLLTARRFVYLLLLFAPVLLASPMLLVGRPDPRLAGDRWGAVW WYGLLVSRMEAAGPTFIKLSQWAASRADLFPALLCRSLAALHSQATPHPLAHTKAVIR AVFQRPFDDVFESFDDTPIGTGAIAQVYRATLKQDLIPPSYLGPRRNPSSALAPVILK HPLPSIPTASVAIKILHPHVANTISRDLKIMHFFARCINLIPGMQWISLPEEVQVFGS MMNQQLDLRHEADNLLTFESNFAARKVPVTFPRPLKNFSTQHLLVEEYMNALPLETFL RNGGGPFDDQLATFGLDAFLNMLLLDNFVHSDLHPGNIMIKFSKPPTTRILLENLYHK YITPLTSPASANEPMVAADYSDSDSIVSRLRTLKNDPEKWRSALDDLHEAGYLPEIVF IDAGLVTTLSDTNRTNFIDLFRAVAEFDGYRTGQLMVERSRFPELAIDTETFALKMQH LVLNVKRKTFSLGQIKISDLLSQVLRNVQVHHVKMEGDFVNTVISILLLEGIGRQLDP GLDLFASALPILRKLGGQVAAREGVTKDLPRSDFGALLKASSKGDNQV JR316_0000585 MGQTEKTAVGVSSPQCARNCVSGSQRPACSGSLKQRLAWVCSFL LTVALLRYISLFDGPYPLSLPESSLFNNAMTWPWTKKNICQPPSPNLFAYHPPRPSTD LIEKAAKDLDEYLTKRASEPEIDSISLSIVTPAGPIFIGGYGTLRANETDLEGPVQVV DENSIYRIASISKMFTVLETLILREKGLLNWDDPVAKFVANISLPAESYGWANYLKGA KSNGGEPRISLRQLASHMAGIGRDYPPIDIGKWPISPEEFPSYTASQSWDTNATFDAI TRLPLVNVPYTYPIYSNTGFGLLGLANIGADSLQKPASLQRTHAELLKRDVFEPLGMT NSFFRLPESEKQRAHIAVPAKNSEWADIWMGDFMDPVGGQYSSLKDLSLLMKTLLSPT GARGVLPASVVREWLRPIHIWGTGGEHVGAPWEAQTLAGVTAYAKGGNLPGYHSEFAL VPEYSFGIVLLVSGSNADTVTLLKETAKRYVPAFEKLHEAELRRRFQGKWISERESKS EDAPESAKDVAEVTVKNGALHLTKLNIDGKDVLQILELAMSGGGGGGRAVSGAALQAK PNAKGGGPVVLWPTGRTGEFRMALGRPELNKVPEIGCIPYWISLDFGAQSHGVPLDLV YWERGNLHYPSAGITFERS JR316_0000586 MSDEPLTFNQTVHAIAEFIEVAIHTILYVRQVYPAEIFVRRKKY ETPVFQSRHPALNDYITGAVKAIADELVHGKIDKVVVVLKDKEQIALERYIFSIETMI QIEGFNKDVGVEDAMTPSSLMQYFRSFLIKLNMIEAQIGQMHMGDDLSFAIILELKDD AAPTHSNTKDPPPWIPAVTQHTTSGTTKQAELNMIRAVNTGIINLSLAVQESGEKIAR EQQRRRPRKKTPVNDNNVEPKVQ JR316_0000587 MLGDDEDVFDSVTWESPNAPTYDPSEAIVSQGPGFRQTTFDSNE DPHQPKWEGYLITSVRDPVKELAETKDAYVSYLVSAKTNLPIFSTPNPSSRRRFQDFV FLREHLVRDFPACVVPALPEKHRLEYITGDRFSPEFMERRRLDLHRFLERLARHPTLQ RSTLVRAFFESTEWHVHMHQHVAHPPNSEHTQGLIDNISDTLLNAFARVRKPDERFLT MRENVDKFEEGLVLSERLFNRVRGRTSDGNPESGEDLTADYHDLAVAVQGLGFLESGI TDPLNHFSNTLLEFSALLRHTTQTTTDPLLVHLHSLLTYSHANRAVLKLRDQKQLDFE ELSDYLSGVTSERDRLAAVISGHAGSTGLGLGAYLKDRVDAIRGADDDRSRVEKMRKL DLKIKELQDAVTTAHETSDAFSDETLREQTIFQYSKAAEMKEMLGNLADGEIEFYKAA MEEWDRIIPVIQRIRVDV JR316_0000588 MPSHQRPAFWPLALLISSILSSLISFAAAYTVPIVDTDYSRQTC SGMWGGPSAYINVTFDIASQGDLAMIIYEWTDVKYLGKETAGDPDDDLPQKTYVCTSS AVSAGYCTRAQLGRFILDLPVGKSINDTSFWSARVQLPANKTTSPKASNGFWDNPEGN PTPPISPYASPWRRDVDDVELVARWPKTPTAAIPVTVQGSTRRADTDVPYHPSYKGTV LFKNTFDGELPATDYPKVVYYLSGLVGLLVIEMFANWVYYRYLNAHGRSTASTVFLIV VAILDAGRNSMSFFMLLVVSLGLSVVRESLGRTMLKCQALAVAHFIFGILYAIGIVEL ELESTSALLLLMFIIPLAFTLSAFLLWIMYALNATITHLRARKQRYKLSMFQKLYYIL LFTVFIIAIFFVVSSMSFSGRLAEDYSAKSWRILFSTLAMSDEIAQDEADAEDYDLEA IQNRGQMRDDDDDDDAATLVGGRRGNPNQVLTEDNVVFEIGDEEDDEEDSKKRKSTRL SGENHTGSGEEREGLMR JR316_0000589 MVQDPEATQDTYYEDDTYGMEEDEEAYAEITQEDCWTVISSFFE QKGLVRQQLDSFDEFVQNTMQELVDENSDLILDQADQHSGHDTDMTRRYEIKFGQIYL SRPTVTETDGSVVPVFPQEARLRNLTYSAPLYIEMKKKVMIGREDPNGIPGDIVWTQE HEENPQDTTKVWIGKVPIMLRSTFCILRGLQDQDLYDLNECPYDSGGYFIINGSEKVL IAQERMATNHVYVFAKAQPSPINFLAEIRSAVERGGKTISQFQVKMFHRNQDRSLGNV MKATIPYIKVDIPIWVVFRALGVISDRDILEHICYDMQDSQMLEMLKPCIDDGFVIQD REVALDFIGNRGTTTGLPRDRRIRYAQEILQKEMLPHVSMGEGSESKKAYFFGYMIHR LLLAALERRELDDRDHFGKKRLDLAGPLLANLFRMLFRKLTKDVYRYLQKCVETHKEF NLALAVKHQTITNGLKYSLATGNWGDQKKSMSSKAGVSQVLNRYTYASTLSHLRRCNT PLGREGKIAKPRQLHNTHWGMVCPAETPEGQACGLVKNLALMSCISVGSLSAPVVEFL EEWGLESLEENAHSSTPCTKVFVNGVWMGVHRDAANLVKTLKKLRRRDDISPEVSIVR DIREKELRLYTDAGRVCRPLFIVEKQQLSLQKKHVRWLSNGLNDDGEEYKWEHLIKTG VVELLDAEEEETVMISMTPEDLENSRNQQAGIDTQTNEGEFDPAARLKAGTHAHTWTH CEIHPSMILGICASIIPFPDHNQSPRNTYQSAMGKQAMGIYLTNFLIRMDTMANILYY PQKPLATTRSMEYLKFRELPAGQNAIVAILCYSGYNQEDSVIMNQSSIDRGLFRSIYY RSYMDLEKKSGVTLLEEFQKPTRENTLRLKHGTYDKLEDDGFIAPGTGVAGEDIIIGK TAPIPPDSEELGQRTRTHTRRDVSTPLKSTESGIVDQVLLTTNSDGLKFVKVRVRSTR IPQIGDKFASRHGQKGTIGITYRQEDMPFTSEGIVPDIIINPHAIPSRMTIGHLVECL LSKVATLIGNEGDATPFTDLTVESVSTFLRQKGYQSRGLEVMYHGHTGRKLQAQVYLG PTYYQRLKHMVDDKIHSRARGPVQILTRQPVEGRSRDGGLRFGEMERDCMISHGIAGF LKERLFEASDAYRLHVCDICGLTAIANLKKQSFECRACKNKTACSQLYIPYAAKLLFQ ELQSMNIAPRLYTVSSGRIRG JR316_0000590 MVHRNVPVPDAYQYFPLNEPQIGTVLHSKDTEKAPILFRPITIN NVEFKNRIFVSPMCQYSSDNGHATDWHLVHIGGFASRGVGAICMEATAVLPEGRISPE DAGLWQDSQIEPLKRIVDFSHAQGTRIGVQLAHAGRKGSTHAPWVQKKGGHGLPHTAM DNEGGWPSNVYGPSELSFSDHFYPNPKELSEEGLLEIENAFVSSIERCKKAGYDFIEI HGAHGYLLHSFLSPLSNVRKDQYGGQSLENRMRFFIRLVARCREAWVGKPLFVRISAA EWADGPERTDEGVWRQWGIEQSTILVGKLKDIGVDLIDCSAGGNWVHQNIPIGPGYQV PYAEALKKAHPDIVVGAVGLITEPLQAESYLKNGQADVVFLARELMRNPHWPLLAAQK LQINVKPANQYERAWF JR316_0000591 MTFRVEKGGTLFRPVVKSRARTANSSRQASVAAGEQLRLEARQE TPHEEGTSSHLTSSQSRNQKEHSISQQPEPDPVNRINVCSQDPFTIPSQPPPPVPTAA ASLIGIPTVPIRRSTPIIVQTRNTSNSRPISSLGSTTNGNNHTNTVDSTINHDSFSVE SIQVDESRAIPLSSIRSSQSTGEPTANPTAALTNSNSATATIGEANPLDHNAKGKGRR KSLEVTGSKKRKRRKGESQEGEDVENAEDVPPTKHPRSRASSSTPRPRKRVPSPPPYD PDADPGEDIDPTTVTMASLCTDTGQGRVSKKAAEILSNHAAWKIKNREKRARMKQIME AKKYGREEEAEAELNAESSTAENGTTPAQENASAHHTVTDDTGNGFDYSQDLTTSRFN VQVRIGPNGETIIDENSLVVDRVEDDGTENYTHVVESDHTKFVNSGTYSKRYRGSRWS AEETELFYDALSQYGENYELIAYVLPGRDRKSCKNKFKVEDKRNHARINHCLNNSIPV DMTTLSRMTGKDFTGPVPEIRAPTPLPQIPPAENDSVENTPVQSTVKKRSRSKSHGLD SGVVVIGDAETFSSGRHIAKSITTNTAQGRLPVRIQISSRNPSKIFESLQFDKNIPQD YLLPPVSVDITNPSTLQTAFQGANVIVSLVGIMHGTPQEFERIQLQGAENVARAAKEA GAKLIHISAIGADPHSSIPYWRTKGMAENSIFRIDPSSTIIRPSLIFGPEDDFFNRFA RLSSFLPFLPVFGGGKARFQPIYVGDLALLVAYLSTSKDTRLLKLFEGRVIEAGGPQT FTYKELMQMVLDVTGRKRLIISLPFVVGTLQGALLEKLPVNLFTVTRSQVEQLRFDNV INQPMPPDHISLQDAIRHFGPNGPLRTVAEVLPTYLH JR316_0000592 MDNTTPTPTPTQNQNVVQPKKPDLMLPVGKRNWDLEQEGEIHSV AASGALLKSLRQSRERWLFHTFPKFSSKSRGNKASLADVTPLNHTIHTQGKCDLEIGP QKFEDTSFFAVHYLSSPASFSQPATSQNTVPNTASWHSNVPYGSPYTYTNAAPPPTSI QSNISSPQAQPGTPLNPLMNSLTADSVPNGLVYQVNLAASTNPILSNLLQLAAARRAT PDQLQTLGLLIQSLPNIESSLAACDVPPAAEPLPPPTNSNHYRAQPPAKDFDLILEYR ETPNERWIIPRGCYPVAVKSGSDILLTVCVVGKQETPSIPLSRVVQDPESPDNYDRPV TITLKNAPSNLWDTIMRWIGGHEKMELNKKYQDSLTPPQRLFLGLQLSSNESVLAQLQ AASTQPFSMKTLKQGPTTHSRRPGRAKAGNTQRRTTATHQKPGSQPSEAAAKKSRIMQ ARFPPSTPIQCLSCKQGDVPLLLGGRYCRTCVESGKWKSDLAQSITPVQFVQSHLQSL QPTMPVTPAAPVTQDT JR316_0000593 MYFNDLTRNSFESDSILVLYRSYPGDPSLQDYLKTAIQDGLLPL STFVSTLLQAARSSDLHIPVTLDTLCQIALDIYYSSGKLPIGSIVPLDNSLSDTVNTL VDAIALLRTAHSLPISHFHRVTTSVNNLVGLLMMCIPDFSAIPPDQAMHLLRESSFVS STSQLIPEARETLDTIVVRLSYLIDSDKVSRDAQLMHTAQHSMAKGDVLGPNSESDMI SLGLLLNYMVTNRAYPFGAADGQNAAALLLSNFRWSSWTPTVFYTQLLISAFTCLSQA GSNTGVWKAFLVGRLPELLGIFSPEIVKTESIANVNQDAPLLAGLKALFQRYDILVPG NNLAKDITSEAPEDNSTRSLSREFLQQLIKYNLLSPSEAAQLDPMVSNEQLPKWHIEA HDMGLDVAAYLELKLLQDSGADNDSQTWVDKFVKDAAIHSAFSKHIFMRFETSGKSLD VDTLGPLCKLLHTHESILDIVALHQPISDLIFHSAVLVEDYDCETVGDPQTAFDAKDM SKNNRTITLGYLLHDWAIRLIDRPQEMLRAISNWYGNLFNKDSEGIEDSMLRTTKPKT LLQITPGLFTFVTTKKVEKDAVMNGISYFNGPLLNWTLVGAIKALVKEIPMKGTGATL HYEIIQALLLSPACPKCVLAICSPQINTILQDKRRPIQVPKSFDISAVRVTLANAIGS AVEDFAIPPSGSFWYPHVQQSLQKTFFMARTNKAPYLDIRRCLNIAPPIKFLQLFWTE LRTAANQRELEACRRVATFVLATPQGRSIPPLLPIFLHVVLPTLIASADMQPSEQDMT VDLMIAVVSSALNATLQLELSQIAMKADDDEPLLGESSTAMARRLAFDLRASRRSHVS NTILHRLSSSPAFVGSFSMFKIDGA JR316_0000594 MDNTQTARSEDEVVVTKDNRWSPPRAHFPPNEMYSVRPSRPRME GTKLQSGLTPPVRRGSPLNPQNVTHPVDPRRRPRPSDVLVSSDVLNRHRRTTSFTQGN LGRLDSEFTPINDIGPEGGTFSDEYDLYPRILQDVQRALKMKARREARLKREATSTPE KGSEQLQPSSALSSTPSKKGLPATFTSSSFSPSTNRKLSGSTVSEVDFSPSTAIHDQA KESHPIPSSIDNGTTLDWTGSNSDDSDKRWMGIGRKKDREKLPPLELMVAKLARIKDS LSAQTIRKAEITSDQLARRYNLIYDSIGKTGSPLNLAAVSRWYHSCPESIKASLEKAE PFTWLKHLEKRSFKNTRSKWHLTAYIMKEYILSESRHARMQTISEDLTVSDPTASLTR ARSPSFVSTSRPRALSSSFSMRMPVDEQISFEPLVEINRSSLDAISRNYESRPNSLHS GSSNTGISPLSPISTRSNDDDVKAAFIRRTLERPRSPGSSFSGTSDDGNLEKHIPSSL VVPSLSVQPPSSENTPLPDPDPILKTSLTASRESSTSQVKFTPSPANQSLSSLKTSLK PRPARKDPFDDSRPVPKRKKILDREIILRQEYEMKALISAAMKDYDTIQNTSMHSQNL TKLQLPKDLLEAFGHDPSAVTGATRRDRGWRAVEDINQRVEKQRSVFRAFIQSFTDQE VSRGCIMDEPMENIVEALASLQFQKTEIGRQAEKVSSVLESVQNIHTSVKENYNSAMS HVSIVYPELSHIVALEESYKDQYQQVWELGMNALTLLLDTVTPLWRTYGKTIGEDVRD FLIVPLYRNEFTGEAKSYPINSIPKRSRRHWFGLVVFFNLSIGVNFLQLRAAISSSLH FRLHLIPYDGVRWISLPFFWLIIIIQWLAVIVEFAIVFLQLGVITWWSGWLVKFWA JR316_0000595 MFKRLFPKDFAFPHRCFHNSSLRASWLSRPKISVPAIYKARQES WIKPTMILVGFMPIFTFSLGVWQLQRLKWKINLIDELEEKLQLQPLILPPNINLSVIP EFVFRRVSIKGKWDHAHTMLLSPRVRDGIHGFHVVTPLIRENGSTIIVDRGFVSKDSL SSETLNAETGEVEILGMLRTSQPRNLFTPDNDPDQGKWYWTDVDRMANYAGGEAAGVQ PVFVEQIFEGHAGEAHTRIGKGIPVGRAPTVDLRNSHLSYVITWFSLSGLTAFMFFRV LVNKRKAPGRRLPRFG JR316_0000596 MYVIRSIIYLVAHALIEEAELVINIKKATSPEETAPKQKHVRKC IVYTWDYHSSISFWSGLRVQPILADEVQTFKALITVHKVLQEGHPITLKEAHGQTAWL ETCARTVGTDGQRGYAPLIRTYVQFILAKLRFHRLRPEFNGLFEYEEYVTLKGIDDPN EGYETISDLMGLQDQIESFQKTVFSHFGNSSNNECRISALVPLVKESWGIYRFITSML RAMFRRTNDQDALEPLRERYISQHYNLRKFYYECSNLKYLTGLINVPKLGHEPPNLLD SGDTPDLPARPKPSTAKPSTPLPASLSPSAAEIDEQARLLKEYEDKQAALQAQRDAEE RRRLEIEQQQQREFEQRQLQQAEAQRLAQEQLMQQQMMYNNQAVQQQQGELERELLAM RGQYESDQIFLEQYDRRVKALEAELSGVNAHINTQLATKDELIKQLQDQVTLWRNKYE ALAKLYSQLRTEHLDMLSKFKQMQLKANSAQEAVDRMERMERDLKAKNLELADMLRER DRARFDIDRQKSTHKEEIDRLRRELAFANERAEDSSRSKNSEVSNVMSKYNRQLSELE DSLRAKQMQIDDLLVKLNNSAGDLERLRDEKDQEIMILQAGMDSTIEQLSEAQQNKDL IDKTTDAQIDTLILDNRKKLNQIIGKLIVDDAIYELESPSQAGNLNSTPEYTLSMIEK SMNNATEFATIYNLYLGGEVGGDHVDVIKSANEFAQSLSDVLINTKGITRLASDDDAS DKIVNVAKTAGDVGLRFFENLQSYKLDLLQPSQRKEVAMRNNSQARTALTKLSEVVDG LIPKGKSNALARANGDLGDLVEQEMSSAARAIEAATERLQELMSRPRDSTRYSAIDLQ VHDSILSAAMAITNAISRLIKAATDSQQEIVAQGKGSSTTQQFYKRNNRWTEGLISAA KAVAFATNLLIESADGVLSGTHSLEQLIVASNEVAGATAQLVAASRVKANLMSKTQER LELAAKAVTAACKALVRQVKAVSAKQVEEEDVDYKNMAVLEFKTREMEQQVEILKLEK ELGAARHRLGAMRRAGYHTEETD JR316_0000597 MPSALPSADPAKQWWSISKSTSSKDLRQKYVQDGQSQRPAPQVK SSGKFTSFASAIGLKSKKPHPSLAIQDPPMTTNNNANVVSLPSPSPLSESTRPTSTAK STSSTRSRVDSMPRTPVDSQRDHRHSLLTLSDTDPFAGRPMIAVPVPHLPSDPNRLSA YSNSSVTDLVQRKGDPPTFNRVSYASSSSNSHNHALDIPHMNSPISAKEKQEFRELHN KLVLSLSMSVSRAKCAFYRRSIPNIQTKSSIQLQTLGSLLPSTISRNRSGSSATTGND SYLKNSVDGLTGPKRRARGMTDTAASHQAGFFVEEHSGARKMIQKSPTSNNGPFSLES SGVHERPVSPRVVIRQPSSSRLYHPPSAPPTHRLPPPPRPQSKDSTTRPSSPHSQMES PISSSMSFSSAISLTNDMFANPPFAYPDEDKRVSGRSIFSQMTYDELEISMFENEPRT APNSPRTLKKALSQQSLSRRIHTSAPPTPKTPPESHTEKEKGTRKQRTFQHPRLPVPP IPLPSLASTSKSTPMPFPSSSDSVTSPTLERRRTSTASSTGRKRLFSHSSQNRPSTAQ ASSTADDDSFSLFSTRSDADSHSGPHKLWKTSAKSIPTSSFWEEGSSENMSSSPARPI EYTPQAIMSKADLAKFEASVESSPLKPARPRGFSVLSSTTNASDLDNDSEVIPIGLSP PPPPSRSNYAAKASGASAKKIPASPPIPQPFDFSVIPDDNFAQAPSSAVSQVTVIRPR SPALISLPPPPRRPRPTLITQPEVLEASRMRGPLSAKNMKAGSARTSTVEKAMHRRSI MRKASFLDIDDDTDQETEPEHVDEQINSSFLDLARESFDSTRTDS JR316_0000598 MSQSTAHNRDLEYGGEYDALNRDSPLSNNYEPPTPTAGTRSIYA LTRGEITRGVANRFVHSRVYIVLYLAMAALSVTTVVLSLTDGCPGLAFYILEIIINVS MILEVGVRFVALGKVPVLLATFFIFAHMGIFKQFWKSPFNIVDLILTIFCALTLLVLA FAKCGAGSKEEAIFDTLLLVARNVLQFGRLAAVMRQSGQSIFSRPKPIDINAARRAGF LDLEYESEDEVDGELSRPLVRNSVLFDAQRPDNTPKTTTPMPRAAQALRDRDTEDVWA ELG JR316_0000599 MGGGDLNMKKSWHPLLLKNQERVWLEEKKALEEKKKLDQLRKEK EEERQLQELQRLQEEQTGKKRTEKLEWMYATPATGSNQNPNDLEDYLLGKKRVDKILT GDENDKVGASHKNFIAVQNANTSRDIAAKIREDPLLAIKQQEQAAYQALLSNPLRLAK MQKELGVKPKKDKKEKKRAKEERRRLRHEHKHGRSGSRSPRRVDRDRSPLSDDYHSSK HTRSPRRRSRSRSPSSYRRRDDNYSEKPRRYSPEVERGRSRSRSRSPRPRRRDDSEKR YRSGRSPSVERYNSRQNGRHYRQSSPSRYNASVKRDRTHSPRPRSPPPKRIRSGRSPS PTSIPPPRASSNKFDEDRAARLAAMSANATAMSEDRQKRLAALLEKEKAELEADEQAR ARSKGMSGYLSQEQKRVFGGLGGLEDRIKRGRGGMVVDAD JR316_0000600 MPPRRAPSLVSVTQSRAPSPNPPEEDEVLFFDTVDELQQHGINM QDILKLKSASINTVSGVNMTTRRQMLKIKGMSEAKVEKIKEAAHKILGSSFATGVEVQ EKRKRVNIISTGSKLVDGILGGGLMSQSISEVYGEFRTGKTQLAHTMSVVAQLPVELG GASGKLAQMLSKLTKLAEEYNVMVFSLFSASKF JR316_0000601 MTVTLDLESAQTDAQTRRSLSDISLAVAKGKKIVVVTGAGISCS CGIPDFRSSDGLYAMVKEQYPDVVLKGRDLFDASLFRDATSTAVFYTFISQLKRSIDK AEPTQTHQFIKILDSKKKLLRSYTQNIDGLEARLGLLGSSCHEAKSNGKSKTKIRTKD VRNVQLHGDIHRVRCVACSAEFPCEEKHLVVFEQGNAPECPECLLRSEARAARSARPI RVGTLRPGIVLYDESHPLGDDIGAIHTFDLGRKPDMLIIMGTSLKVHGLKKLVKDFAR TIHSSTTSSTASSSKKPFKVIFVNKTAPGAEWSDIIDYHISGETDRWTSKVIEDWKKM RPADWEIQQTLIENECDTSMTNGLKLGKSLLSTKVKTKKAASGRENIPILPPDLTQNV PTRSENLKPVPPLSPSKRQQKSCHYNDVESSPSKRQTLSVNRNVIPQEERKMLFAEAT NKSSVIAKDPRELSQMDISLCDLRPPSRSRKTSTKDRNVKSVETSAMDISKLDLSMHD ASILLSPTKVPSKAKRPVKQHTVTSRPVRRSANVGAGKRVSRKRPEVV JR316_0000602 MSDDGYDGGRAGDDYDYEAGPGYNDGAFDEGYDLLANEQDGENA EVGEDGMPLGEDAAHGGAHGADGDQEMANGDDTNGGAGISGERQPNKIRITTPYLTKY ERARILGTRALQISMNAPVLVPLDGETDALQIAIKELSQRKIPLIIRRYLPDGSFEDW SVSELITD JR316_0000603 MPPEPRLSPAWTPSSSAPLLTPVAKSFTMQLTDPSDVSSTTSKH KEDKLPHDASVFVGSLPSNMDQGDLTRLLMDHLSEHTQIKNIKVVRDSKGGVCAFVQC ENAQSATSLIQTLHSSVPRPFLGRILRYEPARAFRSLIISYREPVQYMTSTGANMAFI RSEVKLELPTAMRIWKHRNSRFHNILYNVEAIQAAEASTSKASDATTEDDVFHLHPLK FDENSLRSLASYFGPLEKFSPLQVANANDIDPQMQIPFGSYPEQHRSPRLPSMDDLCW EIKWEHRDDCVSALMTLRRVPHLTVTWAHQPNTPGQGLGLSGHRHPFQSYSPHNNRHR PFVHQLPPHHAHANHFQQLTFSTGTIIGHPKTYLVGPMQSANDHDPEVMHESWADESD STVTRNEINSCQPGESGHISSPVAEGLPPVGSVRETATGKDTQENTDKVEPKLPTQQD QSREPSTQDVNSFDQDGQDLFIPPTPALDSSTITPITPDSKFPTTPASSTKNYHYPAK GSDYKESCGSSKDNRQEREIDPTTLFVGGLEMFGPGAWNEEKVKAFFSRFGGLEYVKV VRPMNSCAAFAFVKFNNTEAPARAVLEEHNRVYEGRAMRVQLRDCNPPKNNWKYSRGR GGRPHQHNFGAPRRINYRPSFSSLERPSFPLSQDKYNFLEVGVGSKVQNLPPIHGSSQ MVRPPGNDTSSTCNASESSSVDISSISRNSPPRENYREWYDDAESSVHTPEPSLGSSA STNAPAFSTSPSYGYPVPNGPYFSPPSWVHSYPPHVPYQVPYYTGYSMYPPTAVQPPQ VLASPPGSDIGGPAAGLQNNWPPVGMYTPYIPYSTMPSRTQLAEQGQQQAHVPPQAPV VPTGFIQNEQGTLIAVYQPEALDQYLAGATPMPASGVVSTQQRWEYTGPQFVDPGITT SSSFAPQHNRPKSAGLHIPPLRTSVTPPREIDINVSSPLYRRQGPARRESQQTHGFNR PPNHQRPFHNGRPARAHMHFPANINVTDLGHASAQPTTSGDWNRWNNAR JR316_0000604 MDALDQPYTTADEDPFSVQPYIPPNNTRRHRRSSMLDKWITEQQ LHADVDVPPSDDDLFAPTHSCSSPTSPYLAYPELPRFSFERKPEPEDDFASILSYDLV DDDDIPHKTTVQDPLQQVPITPVSRSAHKSPRHSITPSFKTLNLSFSRAPKSSAPSSP SMEAAASRAFSRLSLFPRTPRSSTGPPSFVDTAISQQHTRSSSLGTIATSASNHLAAT TNPRASAPAKWRPSVLGHFPQSSISQLSVGGSDIQCAPSRPSVSSGDTYTTWNTSRTT TTLESVAPTSPSKISVFESLRVRKRKSSKTLSKMFSASISSVQLSSPQTDGPNQNEHN SATLGKGKATQRIPLALKHQSSPNENHDDEDDLDPPPVYRFNKHEPNRSGIPYPSSSS LNRVKFSSLNSRTHRKKKKLIVSGVSVTETRKFEGIKRWCESFGDIRNISRMPNGDLQ IDFRDPEVADTVCRIRAKVFIAGVGSVQVSWISGNKR JR316_0000605 MALQETAVTRRLQNVKHIILVLAGKGGVGKSSVSTQLALNLAAS SPNVKVGILDVDLTGPSIPRMFGIDDQGVHQSSDGWVPVYADGPSARVACMSVGFLLK NKGDSVVWRGPKKNGMIRQFLSDVRWGELDYLVIDTPPGTSDEHLSLMEHMAGVHSRL SAVIVTTPQAVALMDAMKCLSFTRAVNLPVLGLIENMSGYACPCCGEVSNVFSTGGGS EMARKEGLRFLGTLPVDTELVSVLDGGVGEDGGGVFRLLERYEKTATGKLFKDIVKGV VESLG JR316_0000606 MNKAADVPYELPWVEKYRPLLLDDIVGNQDTIERLKIIARDGNC PHIIISGLPGIGKTTSIHCLAHQLLGDAYKEGVLELNASDERGIDVVRSKIKAFAQKK VTLPPGRHKIVILDEADSMTPGAQQALRRTMEIFSNTTRFCLACNMSNKIIEPIQSRC AILRYAKLKDQEILKRLLEICEMEKVEYNDDGLTALIFTSEGDMRQAINNLQSTHSGF GFVSGDNVFKVCDQPHPVIIQATIRACLKGDVDTAVDRLNELWTQGYSAVDIVVTIFR VVKTFDEMPEYTKLEYIKEIGFTHMRILEGVGTLVQLAGLAARLCKVHLKPELFAV JR316_0000607 MLSTHFVKTTRALPVHRQRVSRCTYSTKPPTSELPQPRLDYRSI SENVTSKSLNALNRKAAIPNDAVSSVARAYSELKRISSELNSKRNARSAVGERVRRSS DSAEKEAALNEAANLKKEVKDLEAALETVEQECLLSALSLPNDTHPSVPLGPESAATV LSTHGPPVLPSNPKRDHVTVCDHFGLLDLKSASVVSGTSWYYLRHEAALLELALTNYA MSIAVQHGFTPMMTPDVVRSDIAVRCGFQPRDDSNPPVSHMYHISPTNPSSPELVLAG TSEIPLAGTFANKVYSSLSLPLKIVGLGHAFRSEAGARSADTRGLYRVHQFTKVELFS VTTDDASENMMEEMLSIQKQILQGLNLSFKVLDMPTEELGASAYRKYDIEAWMPGRGS WGEVSSLSNCTDYQSRRLHIRYRPQGGVTDTPLPRLPFAHTLNGTAAAIPRLIVALIE NGVQFNDKEEITGIRLPHALRPFWIKSGVRDIIRWDDTD JR316_0000608 MSALSFARHAFGREKPHSSITDWVEILTSANIAEEAYDGIPELV DSIKLQASGPAEASRALRKKLKHGNAHQQYRALVILKALVENCGQKFQSTFADGQMTD TIRNLLNDPSTDRRVKKKLSLVLASWQEQYKDDPSMSAVTNLSKPRRDEYVNHQELAN LMGYNLAVEEKKWAEEREAKKKKKREKEERARLEAEKRNKKKREPFEFEKHKAVVLAS IVDASQASNNLVNAITLVNLDTDSLETNERVQECLQKAKQARKAVLVENEEVIGTLIE TNDRLMSAIETYESLTGFTDSKADGTGTITSTLAATTISSPSSNTTPNFDSTAKGKQR IEEHPVHIHPDLEDLSFGALGEASSKLPAPMRPSTLSDDEQEIPDHRGSLSEYSDYES SDEETHKRNPGPSTRRAYVTVSDNEDTPPTKGQARELDPFADPFADT JR316_0000609 MSFQHFRLGELPLHQPLQQQSTQQPPPTITPAKQPYGSGDSDDG YTLVFPNLAAFQDWRAAEEERHCVEFVKGDTHGSKADPPRFKDHTKLVCARHSRSGRK KYVKKHPDRVRKVPSRKLEGQGCQASISYKTYFDTEEVRACYISAHSHEIGPANLPFT RRGRKAAVQSEKERGRNKSVAASEQQQQQQPSQQVASSSSSAMIPHQSTHLHQQQQQQ QQQQPVPGVSFNSAVSMLAPLPGQPFTPQPAQPYNFASAVTPFGLQNQQPVPNQGQSL PQERWDNMATLFQTIRDHARAFEYPVASTAALESILIRLYLESPMGISPQHTMSHVLN LSRNQGPTSAQIQPTPSAHQSMNGNINDGPNASSAGDAS JR316_0000610 MTSSLRNSIHRRNHKERSQLAHRAKLGLLEKHADYVKRARDYHS KQVRLLRLRQKAAERNKDEFYFSMLKEKTKGGVHHKDRGNVALPTDIVKVLKSQDENY VRTMRTSGLKKIDKIKNNLMEMADLLHTPAEEIDEPDLDERELKILQAARILHTSGKA SRKRKHILFAESAEEAARLSAKGKGKETIQDPAEELSHETLEEVDLGWKSTEAKKKSR RKSADSATGNQSESSLLTETVQRDVEKRRKLLKELSARLDRDRQLRYAEREFEMQRQL MGKGARKKIQGVEKVEGDEEEEENEDEIDARKGRRRQPASRQIDEETYRPRVYKWRLE RKR JR316_0000611 MFRSLTSLAVLTLASTASLTHALSGSTLASEALNRRATVCNGHA ELCNRSFGNVTFVGAHDSYAIGVNNLAANQDQPITQQLNDGIRMLQMQAHNESGVIRL CHTSCSLYDGGTLQDYLTTVKQWMDSNPNEVLSLLIVNIDNLPASSYAPVFQAVGLDK LSYAPPSLTLPASQWPTLGSLIDSGKRLLTFLDNAADFNSVPYLLDEFTNIWETAFNV VDPNFDCNVNRTKGDPTSQMFLINHFLDKMLLGQPVPDIDNANRTNSASGFGSLGAHV DTCVADHGRPPNFLLVDFYEYGGGSVFQVAADINGVPYNPATPVATPATSNGPSNSGS SGTTGVTSKPLSGGTTLHHFSIYNYLLTGLALLIASTFGPFLLS JR316_0000612 MFSRSILLALLTFALAVQADPNFNKFGNNNKFGNNNKSGNNNNN NKFGNNGNKFNQGKNPFQTTPAAVAKCTTTNTVQRTVTVTARGAVATNVGNGNGNNGN NNNGGGNNNGGGNNNGGGNNNGGGNNNGGGNNNGGGNNNGGGNNNGGNNNGNNNGGGN PQTSLSLDPKVIATGFSNNGQDVPTLGQVASLTSSNNFINFCLTVPNLPITNGKQITT GSCNPAPIGVIPSIDNMPSSKFVFPQNFGTIKAGTTFDIEMAIQKMQTGFFVNAQANY FAAPQTLNNQGQIQGHSHVVVEQLTSLTQTTPTDPRKFAFFKGLNAAAVNGKLTATVT GGLTPGFYKLSSINSSSNHQPVIVPVAQHGSLDDAIYFTVTADGKPLNGGNGGNNFAG GAPAASPTTSVKAAKTTSSAKASSTSKNSNKGRRMSKRALNRLS JR316_0000613 MPRVVLVTGCSTGGIGYALCEEFALQGCKVYATSRNISKIADFS NENIEKLALDVNSDESITQVVKHILEADGKIDVVVNNAGVTSPGPLVEVPIEQVKEVF ETNTFAILRVCKAVVPVMAKRGSGTIVNIGSIVGEISTPWSGIYCASKAAVNTLSEVL SMELQPLNISVLHVAPGAVQSNIANNGASRFSLEPGSLYTDYMSDILRRISSSQGPNS MPSQVFARKVVGNALRKSPPRYMTLGGNATLFKVFKWLPRSIVLYLLWKAYSKRG JR316_0000614 MSRYPDYLTPNTTPLKLTKGQKSRARREPSGVFSLFQAPQIQQF KEAFQLIDHDKDGWVNESDLKEIFASLGISPSKQMLDELLSARPGGDTRTETGNERGI NFTMFLTMMSERLFEFDTEGELLEAFGSFDENDAGVVKVEEMKKWLSEVGEGMDERAI DRLLKGPFTDRVGNFNYREWVKVLRVNDAEEENTF JR316_0000615 MSPALPPSPSASPAAHPTDSPADNDAPPPQEPQTHRCLWGDCEH AFVDPETLYNHLCNDHIGRKSTNNLCLTCNWKDCGTTCAKRDHITSHLRVHTPLKPHV CEICKKSFKRPQDLKKHEKIHTEEHHQQHKHSKAITVVDPAYVSRVRGDSAARSVSKP GSNGPLRQSSVRPKSHSASPSDAAHFALLPTPSPELGHPSAHPHSHHHQHQHQGSHEL FMQSSQSMSSWDALRPDAVATGSKRSHDYNVDDFFTDMKKHPSNVCPSGMAERLNHIA YQQGGGGPHHSNFNPRSVSLDIRTPEELAAVNEFLVTLGRDVSGANGRQQQPSSHSSF SNDNYFDSNNLSQLGLTGMPGMPPHNSNFSESSYGASNNSSLPPPPPPQHYSSAYHSS RSHSSVQPQPQYSNNMYMNEPSLSYPGEYGSSNSGHRSNRSHHPGSTNSFQNPYAHAH HPTPPLDNSSPHSTVSTPVTMTPPQVPLMMPPYDGLRPSRGAPQPVTLSPPEYAQKNI RAMIQLKSVPTMSRPVLPPPPVERKLPLRLHQAPVSASSSSSSKQGSLYPLLTSGDIQ YKLPPIKQMYRSPSPPSPSRESTPSSTHSSPLSQTTVLPGIRSIASGGHSPDSDDLTH EIGRIELDRTKDIPQEERKRHAKLILDLLVSINKDFKSRYQHGSSDVEMSVA JR316_0000616 MSAQDENDAISALTGFSINHVLGDLALPVGEQLTNRLGISGIPA LNSSQIYSDKWDEDDAVGPEQGEDWEDEIDREMREEEEEDGTAIKQEVHSPGGLRQKQ KRIRVVKRLVERPKSVYERFPAFEKDKILDFSELFKGYAVRKSRLSKRPYQVETVYPR KRENPKGFLDAVVGDAKRQVENKRVEEVVSSGNVEADLRKALEEREHTSVPVMMPLDD RTFDLVLLSNWEDQIVYGPENNHNASSQSLTAPSQEKDLTTPINKALESGSWTQSIIW SPKAPFRDFTQLEFNHEDDVTPEERPAETVRPRKRLRADGGQVKDKFNLSNDAFYEVA KDGGKHRVRQTFGQLVVEHAYPAQKLQLPFYKTRLSKQEARSFHRPALQFPSNIELRF SKVRTAKKKKDKAGRKLGKGGNVGEGLRKTSDLSLRDTSNFVLWEYSEEHPPIIPNFG MGSVLVNYYRKKDEKDEHVPKGDLGFPFVLEPQDESPFMKFGYVYPGQTIPALYNNLM RAPLFRHKPYPTDFLVVRNTIKGDTKYYLREIKNLFVIGQTYPVTEVPGPHSRKITNT IKYRLQIIAFKLLKKSQGERLKISRLMKYFPDQNELQMRQRLKEFMEYHRRGPHQGFW RLKPNWTVPTDAEMLKMVGPEQVVLTESMQVGQRHLQDAGYSHAADVAEGDESNLSIE QQLAPWITTKNFLFATQAKAMLRLHGEGDPSGRGEAFSFIRISMKDIFVKAGEDYEQK MAEAENRPKSAHRYNVAEQQLIYKSEIERIWKAQFDSLSRKDEPQLSDEEDKKDAKKP PTQSSHVAGPSGPSNRASPAPSRGSSLEREREGSIGPDGSKSVLRIKRLIDGVWQTEI IRDPAVIRAYVRGRQALEEEATLADSLAPTGDADKDKRAKKRLEEEIARMKKNQERRL HRKNAKIVKEGGTPMQLNRPVKPDTTRRCGHCGQMGHMKTNRKCPRWAEFNSGAVPST PSGSAPSPQPTNLPNLGFNRGPLPPVFGHPAAVPSPLATSPPMSALDDDIIPATPSAS GPKIKLTLKRT JR316_0000617 MSKRAASEAQTGQPFLKATVDGIKRENVVMDEMGEFEDAWEDDI ESDEEVVNGNDEGNDDDGMDVDEVLPAIEESDELPQAHQVFIPGRDTLGKDEILEPDD SVYIMRHSMSVNWPCLSFDILKDNLGDQRKRFPETAYIVAGTQADAANKNEVVVCKMS SLHRTQKDGNNSDSENEDDEDDDDLDEDAILEYRSIPHVGGVNRIRAQPTSSYPLPSQ PYHVATWADTGKVHIWDIRRLIESLDVPGYTYDKTRAQTPVFTINSHGMAEGFAMDWA ASGPSSLRLLTGDVHSKIFLTTSTPSGFNALSQPFISHTSSIEDLQWSPTEPTVFASC SADRSIRLWDVRTKGRKSVAGIETAHDSDVNVISWNKLTSYLIVSGGDEGAIKAWDLR NVQKSGTKSIDPAPVASFTWHKAPITSIEWHPTEESTFAAGGADDQVTLWDLAVEQDD DEAGMGESFNGESEVPPQLLFVHQGQRDVKEIHWHPQIPGSVISTAADGFNIFKTISV JR316_0000618 MSISVTLPDSFGYVGASLLSTVFLLLGQGSTVGKYRKRAGIAYP QMYAEKAQADASKDAFQFNCAQRAHQNTLEYIPLVYAITIMTGIKYPIFAASSCALWT LSRISYSRGYIQGGPEKRVNILYLLGTVVGIIGQTAAATYVVGSWVYADLAAKFL JR316_0000619 MPKASSRAPLPTSSSDFALPSTSSSPGASSSSVTLDDPSFLFNR LRRSSLLQKSTYLAEPGRLHSPLASSFTLHARRRSQNAVISEESESDKDRMMTDSPNS SETHTPPLKLGTNSEEDLTSKPAIRQVPLTPPRRRSSASIDASDMPTIFNRRLSFPLK QPRILNLLAESRPEEIEIKSEAAFQKLVASVSELPAQPRTPRALADRGRYPEEAVHEE VTREETPSDDELELDEGPFAFSTPSGTQPINIMKPRTPGGSISGSINGDELGMSISET SSSLGAAAMDIDTAPLGSPLLPSMGPITHWRYTPPPTTSAVRSNKRKLEDRFDPYPSS SKRRAVSPSLQYLRESHQQTNSPISRGSNPRHPIAIPVATSTASSAASSPTISSAYPP YPRGINITSSPTLRATMTMPSPILRPLCRRRDEEDEREIEGAGEAVGSLTIGYNLFA JR316_0000620 MNSTQKLTKKQKKGLAFRERKTGKGQTKNAVVDDMETNAIPLME DQDLAGSDSYPSEIPGGDDETKGKTTNVQNRVEGEPKEGKVGAKGKGKGKGKAETETS IVAVEKMKGQPKKRKRSDEDEAEKDGDENLQDGVKKKSKRKKVDGDKVIGGKADKPTK QRFILFLGNLKYTTTEEAIKAHFAVCDPPPTIRLLTPKQTTPVSSQRPKSKGCAFLEF SHRNALQQGLKLHQSMLDGRMINVELTAGGGGKGESRLMKVRERNKALLGQREERIEK EAKQDNSFPNLPSKPQRFSATSGLEQRPTSRKTWTVGDIDDGITHRGGTRHRKKSKAP SKTWGTGVNAIPVG JR316_0000621 MSIQDRTHEFKSCVDSIRSRTAIGNRASEAKQRLLQANTKSGAK GEFSRMASSIGKDISATTIKLNKLGQLAKRKTLFDDRPVEISELTFIIKQDIANINKQ IAALQTYVKQRHGSSTTPEAKMIDEHNNNIVMMLQGKLATTSMTFKDVLELRTQACLD ACGIFSRTYFSAANQAPSLLYNPQRNDPMGDGSSNPYDQKGKGRAPQNGDVLSLDLNS AEEGGGSSRNGFMQMQLVEQQDSYIQQRSTAIESIETTIAELGQIFTQLANMVAEQRE TVQRIDADTHDIMSNVSGAQRELLKYYASISSNRWLMLKIFGVLIVFFLVFILVS JR316_0000622 MVFSKPQPASNSRVLIFGAGNFGSCLASHLGDSQHEVFMWAREE AIVNHFNLHHRNPMYLTEHNFPSNITAVGPNMPDKDFINNVDVLLFAIPTQFLRATLT TLRPSLDLNNLPLIIFVNKGIEVGTNALTLEIIVDTCGPEVANAATFISGPSFAKEIV ERQPTSVSVASLTEREAVKAATLFHQPHFRCYTGVDPIGLELSGALKNVYAIASGMSD GLGYENNTRAMIITRGLSEMTCIGTAYGASPLTFLGLAGVGDLFLTCSSSNSRNYTVG YRLGQGENLDVIIKTLGSVAEGVPTTKGVKKIIEELGINAPIASSVYDVLFNGKDTRA AVRELMELPPSKELELPATAGGPARRLLEKLGQSTEKLTDIMHPHL JR316_0000623 MVSPLWKAASDGDLQKVLEYLSEPSAVDIELKDHTGATPLIEAV RNGHIEVVRVLLEKGADPSNSSSQGRPENHTSDPAILELLHAAQNKPAPNGIPSPQPA YPADGQEDPEKRFYAPPPADTYMYYPTINPSLSTVNESGVFYPSHPPADSANGMGNLP PPDVARLIPCRYFPACRYGAQCMFAHPQTPYFQPGPMPPVQYPPFDPMGHQYMPQYYP SPPPFQQSPAGHPMSPMSPPPGPPMMHARTPSEVISPSMGHFSPSGPPPPPIPYGPMS PPVYSHPGQVPISMPPISPLPALHPHGPPPPPPHSVPQPPSNLYNSNSSVPPFSLHQD APAPYPVPVPPTNANYPDAPNGVRPDNAPVENYNAHSNHREGANNHRRGSARRPSFSS RKPPCLFYPAGRCKNGDDCRFPHVLNESGAAPVHSGFPAARGGAPRPRPQANGVNGVA HVEARLNNMSIQDQETPRQKNGAEGSSRSHSSDAGNRPKFHQGSKHPNTGANNKKAAA VRQQQQQQQQQQQRQQRVPNADEFPVLGGSTTPTRVPGVNGIVNGHSGPTAAQVLQAP PPTRKDGSKESSTRGATPDPVRVNASKDSKQESSTPLENSTIAQDQPTTSNVAKAPVM TFAAAATSGANEVSKEVSVSA JR316_0000624 MSVRVLVIGGGGREHALAWKLAKSPILDHIYVCPGNPGTAQEPK TSNVENISPNDFPSLVQFALENKINLVVPGPEQPLVDGVEGYFRKVGIPVFGPSQLAA RMEGSKSFSKDFMARHNIPTAIFKTFQSSEIDAAIEYVKTCGHKVVLKASGLAGGKGV LIPDSTEEAIAGLKEIMVDNVFGDAGNEVVVEELLTGPEISVLALSDGYSVVALPAAQ DHKRIGEGDTGLNTGGMGAYAPAPVATPDVLQRIMTETLRPTIDGMRREGFPFMGMLF TGFMLTESGPKVLEYNVRFGDPETEALMLLLDDGVDLAALLLACAEHRLDSVPIKFRE GAAVTVILASKGYPENYEKGKTITISSIPPNVVIFHAGTKKSGEDIITSGGRVLAITA FAPTLQEALEAAYKGVDGVSFDGKTYRRDIGHRALTSKSTGLTYAQAGVSVDAGNALV DAIKPLVRATSRPGADVEIGGFGGVFDLKATGFVDPVLVSGTDGVGTKLRLAVDVGVH DLVGIDLVAMSVNDLLVQGAEPLYFLDYYGCSKLDVPIATQVVTGIAEGCKQAGCALI GGETAEMPGMYQEGDYDLAGFAVGAVERNLILPRPDIKAGDIVLGVASSGVHSNGFSL IRKIVAMSGLTYSSPCPWDPSTELGRALLTPTQIYISQILPVAKRGLLKGMSHITGGG FIENIPRVLPKNLGCFIDASTWQYPPVFRFLMKTGNVDPLEMARTFNNGIGMVLIVDP TNVDEVMDALKTGPSAVYKIGEVTTKPGVEMRNVLTWAA JR316_0000625 MALYRSPAVSTVPYVDSPGSEYPAGAAPDDQQAFYEDMERREIL ERKLSKRKSNSGGSIWSRKSLKRWTITPPPVPPGSSDLPRAASSSNLQIRNDNDEAMI GGQQKERPRLNSSSSVIADSREIPPLVDTSAWAAVPLPAFKVHYPLHNPFGPRRYRNH HLIPPSQKRPSMRPPTFFSPSFPPMHTNSAPENLDDDRPESSNTNANSPLPTPTSSQT RVAEGTKPRSRKTSQTAPDNVDLLDVTDPWGTNWHHQSPYDIGQTTAAVSDSYDIPNR TRRASMTAMHTRNKSVAPSPLSQSTSAVHLQPSQPEIQIPRKLSKRRTPTVDNVFHPQ PPEIDRKTTSAPVTPIERRYVAPSQSDNPAESLPKRMSVVPAPVYSTLHQTPKKEKRG SVLNRLAKKFSLLRKPSEDNSPSTDWWHHIDNHEIKGYTNAFDAPGDQGRKSPQKSAR ESVKRVPPPSVDNLVDPPAAPAESVQNIDRLSVGSIEAPYSMGRLTITNPDLMPDNEK RSSLANEPPPPPPEKPKDNVQLSHPASDSMISQGQTANTATMHDVPLRFDKPLPPPQL ATPEMSQLRLSDVRTAQALEQLLPSLSRTNSPVSRPAELVSQPNESSAIVENNHPSTG DKPAVLTVDQSQIASPAPIADKELQETPKKLASQPTDKDKPTLIRESSVITETTPDRN KTRSPSVTPQSKKETPKKTSSQSQPARNAEGKTSRNLATEGSWQDPSAEASTSTQRPK LSQAEPRVESGPVAAVPFPTTQRQTVKSLVREYEGSPLSTSSMLANPPTPYDHRASIA LSDSAPPTLPPKSIYEGKTQHNRSPTNASPSRQTETFKLVRSSSGNVYASNQTITAAG QQWEVVESVEVKAKKEKSSTKPKEQERKSKDHESRGKERDHRPREHERHSKDYEYRAR DKRRSREYDRWSRDHDRARDDYKYRDDYRSREDQRTREEHLVREERRLREERAREREE RRIREIREEYRLREEQKMRDERRAKEEQRIREERRAREKEEQRVREWEEQKAREREEQ KAREREREEQRAREREERKAREREERKIREWEEQRAREREEKRLRDWEEQRAREERKA REREEQKAREREEQKAREREEQKAREREEQKAREREEQKAREREEQKAREREEQKARE REEQKAREREEQKAREQAEQEAREREAHRLRELEEQKARKLEEMRIKDEERIRDERRR KDYERRSRDEQRLDDHNRTAKEYKPQSKDHDLVSRREPVKEASHYQSSYQWPSQQPPS YPAESSNKRSNGMVASHHHGERSRHDDFSHRPNDHHGRNVDKRPVSDTSSSSDYYGTV LVKSDPVDIPSQFSNPPQLRLERNPSLTARPTSQLPSAAEMNAVRAKESWEMERLWKA RSMYGFEPNAQITDFIPGSSSSSSSRSDDIHTQSAVYGSSHTAYVVQTPFQGNLGTQI YHSMPTGPPPVIYSSPSSIPSIPDNLSSYEPYDHVYRSFTAAATPSDYRSPPTLPRPT SDYRHSTTVSRPPLNNPLPEPPRESQIELSGLKNAKDNDKHSSDYWTKYNGITTTH JR316_0000626 MNLRQVVFHDHRNTAFISLSLIVSALAVRPDSTILPLIGINSIL LVYSPFLFRADKLRTRNVILTWFALSIGSVFSRLHASIQALSTPGGSLAALFFSSGTL SAITLTTLFFGTKYQGRPSLSPWSRVTIFPALWATTWCTISHLNPVGHLATWSAAQTN DAYGWLIPFIGPAGRDWIIAAWAVVIAQIIGSWYIGPLDPFEFGPIQQDHTHDNIPAT GILALSLFSLAVPSYVFNGLPLPASGSTLDISTPLTVGCVLPPYDRYHHHVLTLDDYI AESSHIQSQAKLILWPEGAVTFNSIEERENAFSTIREKISGSYVGVSFEETISDPDDP TDRTPITQTGLAVISQYSPEPHHIYYKRNLVPFAESFRLRHSKLPPSIFDFRVPNPKA IRNRTIPITSSICLDFAQPHPFAELDSRPALILAPARTWDRTVGYAMWMQAKQRAEEL DSMVLWCDGGEGGVSGVAGRGYSDVSQVGQGSFIRTVGIQYPFNNQATPFARFGDSIL LLFWFSVYGFALFGRPLSMIGRRIQAGALLTYGGAILPAVRYIQNRRVANGQAEAAPQ PNLELALFPTSLRSNSSQSKLAHSLRGSLRNLTWKASTTDLGRRVFHRKRVISTESTI KEESSTEDFHIITVEDFDPSFSLASILDISFTPDAGPSQPAQTTLARDRYANQSPSEP TKAALTPGLLAPLVIPDSLPHPRISAYTSHTLTSSEGSPTFTEIAHIASSFPLPPSYN PASISSLSPEARPGALQIIRNTPSFTTPVSEVNPASVLSSKHRKPPIPYRPAVYLSVS VMASLLPKKNRDVVDFGEEMDYSHVQWFQDAPQRPSTLPQPEQRQPQQHHQQQQQHHH QQHHPTEPYQPSPHVIDQNEGFIFALSAAPNVLYQRYKQYGQLGVLGWCSEFSELVDN LKELGFAGNMFVTTRAQALKCCEEIMQLRAERMEEVKMQLVLMYLSSQVARLRRFLDV DRVWNDYPDVGIPLEVK JR316_0000627 MATRRRIGVSAATTEAARRQLMQPVPCWEKVWITPSGMAAGSSS LKVFKWVKTEKAQQFSDDEGEVDEPLAPLPDEPEVNEGDEDLEQEEPRVDAVPAKDVD METNQDDPPSKVPSPKPQLMMQSGVDDEPQDPTDGLDSTLKPLEIPMDDEDENGNQTG VEGLELDISNLGPDGLQLEGSHDLSQLDGPDGLIGGSLMDDSIDPFTDTT JR316_0000628 MDEDNAELRNPFPSPPSHYTKYTTHNLNLLALLKERAPEDQDAN QHEILKDQTDVPDWPLIQLEKPRVDWILEEPDAYYDVFGDRWFVKEKIPSLGELGGNQ LYPADPTIDRRPALRSILRSLLVTYSSLMGALLSPPPLSPEVPPDWQRHVEWINVLSQ NLMAAANDLRPVQARGNLEMMMKRQLDLRREETRKIHEKCDTLSTKLNQLRSTVQRML ADENTPSQPEAKTSNNQPSPQITQVDVLNWAEQV JR316_0000629 MHMFPLPKGGKEYDLTIPQFILRGSGTNELPRPARPSNVPFFIE DRTGRGVVYEEAHYRTYGLANALSLKWNIGPQDVDYATIIWAVHALGGIITPANPAYT AEELAYQLSLTKAKLIAVHPEHLNAALAAAQSCGLSEASFFVLGPSEKPEIPTIDELV TFGASRGENYRAIRLKPGEASRTIAFLSFSSGTTAISHYGVIANIIQMATHYRINDPT WPSKYVSPGDVVLGNIYGLVVIGSIVVIPKFNFFDFLESIERHRITHLYLVPPQIVLF CKHPAAKGRDFSYVKFCLSGAAPLSGELMSQVSKVFPNAVIGQGYGLTETSTTICSIR HDRKLGTVGSAGELIPGITAKVVKPDGTYAKEGEQGELVVKGPNMAMGYYNNPTATAE TFVDGWVRTGDEVIIKELEVFIVDRLKEILKVRGFQVAPAELEGHLLLHPDVADACVV GIPDEYSGEIPLAYVVPSVDALKRMENNENAAEELKKEIQRHVSAAKVQYKWLSGGVE FIDAIPKNPSGFTEILAVQWSHNRLSSPSLKYDAVAVQSNTVNVDAISDEAEQLHSGL VLVFIVSMKFRRSVQQPKYVEEPKSIAPALLSDPAFSPDPHLNPYYVLNGEPAEPPVG HPSLKAKNRRRHSDFAQPILASDSFDFDLIPPPNSPVAPSPVPKVAHFPFPSHNPPPV AAVTPPTTQPPVAAHTRASVAFATVTLPKEHKKRRMPFLSRLKSSVVSVDSPAAVPTR NARAEDDVTQQTRPVLAPRNASEPPKSSTRTRPGYPMRSMTVSGASTPTARSVGKSIA PSQISIARTNDLDRIDELDDTNPWGISLHHKGPYEAATQALKSSNSSAIWGTGTSAFS DYPRQALHAHGNVSIYLVVRVYILLIVSTTALRSTTSTYRCVIEPLARSNTSPELRYF SASAISAPTSSCFWTTIE JR316_0000630 MPALGSEDDLPEAMPFDIGMAMLHPAASTSVSSIPSIYLHPESS DDGEFDPYDPVNLERDHPHTPSLQLNSTAEQSRGPSPDPQPERLIPQPSIFRSSPENP DSTLQQSSHSRGSESPPLNNDAEYTVPRTLLPATPIDPSRTALPNTSPVSPLSSLHRN RDEKSEQVNSISGRFENPLQLNSENQTENHGKVPSAQSNQERVYPVESTPNQSLDTHA PLVDQMLPTQEPNPNVRSTNSHGHDFRSDDQSSQVIPAQPTGERGQKLRPSSNERVRD APSSRYAPSTHQTISTYSSSQMRNGPPPNRHVPKHLVMPTPLQNNPNFTPPQNRPQMI AQPVLPSQFGSAKVQVQVRTQPRAPYLQPPYSQSQQLFAPVQPGSTPTSNKLISSSKL RKKVSTKMITPTPDTNSRHPIVTTVSFAPPVIGFSQSPSAERPSGRSKIDKIPKRVLS KRRTDF JR316_0000631 MDYQNRVGSKFGGGGVAGASETNVDRRERLRKLALETIDLAKDP YILRNHLGSLECRLCLTLHTNEGSYLAHTQGKKHQTNLARRAARDAKDTQLTIAPTQS NVQRKVFLKIGRPGYRVTKVRDRDTGKEGMMVQVHLPQIKEGVLPRRRFMSAWEQKRE PPNKAYQYLIVAAEPYETIAFRIPAREIEDEADDAGYWNWSHWDPDTKQYSFQFMFRL AY JR316_0000632 MAALKRTLDDSTSSRKPKKSRTESHQTKASSSQTSKTSSLLSTE VDFPRGGGTSFTPLEVKAIRAEAVKEANAELFQDVSNEKKSKKKKRKTDVESSKAQPS ESKDKIRIEHLNYKRLNVGMKIFGQVVSILPLALIISLPNQLFAHVPITNISSQYTQN LERAEEEEDSIMDDEEDEENLKNGIPELFELFRVGQYVRAVVSAVHQPGASDTSGIGK ARDDATKASKRIELSLIPESVNAGVSKSDLKEGFTITAAVKSSEDHGYILDLGIADVS GFLPQKEADKGRLNDAKLPVGSILNVSVTKMSSNGRTCTVSTDASIFTSSCLSEISSV TSVLPGTLVQALITSVHPHGLNLQVLGFFDGTIDRLHLDEEPDSYKVGKKVKARILYE YSSSSPPKFALALVDHIVKLRARMGVGKNEAESGNSIQELYPVGTLLDAVKVVRLETE RGLIAEIAPGIEGFAHISHISDDHVPSLSPSGSWKPGSFHPARVTGFFSFDGLLQLSL KPSIIQQKYFQVGDVEVGEVVKGTIKKLTDTGLFVSLSGGVDGVIWPNHYADIVLKHP AKRFKEGASIKCRVLVVDPERKRISLTAKKTLVDSNLPVLSRIEDIVPGVVTHAVVFR IYEKHLLIEFFNNLKAIVPSKEISDTPKSQLSEAFPPGRVVRVRILGVDEGRVTASIR QANSNFEVVTDISAIEIGNTVEGTVAEIHKDNAVLTLQPSRVKALLSLKNLANHRGLS VPQLRLSLKVGEKLDELVVVTRNPEKAFVLVANKPKTKGVSLSKGSAVTMENVSIGQL VGGRITRHTRHGALVKVSSNIGGILHLTDLEDDFGGGITLPAIDSIIKAVVVAIDTSK RQLTLSTRHSRMYPDQVHNVVDREISSISDIQVGDSVRGFVKNIADHGLFVTIGREVD GRVQIRELFDEYIKDWQSKFKEYQLVKGRIISLDPVGKKVEMSFRSIEASQRKTSSGV TLSDLKEGQKVSGLVKKIEDYGLFIQINDSKLSGLCHKSQLSDNADADVAGALRGFRE GDKVKAYILSIDNRRISLSLKPSYFSKEDLDEDTDPEDEDAEENEDQDMEGQSVIDEH SDQDMDQDQSGLQETGSTSQTGSDDDDDDDMQINLEQMKIEYQSKKASESSNSNNAPS SRVPTLSLTGGFKWTGDGQLQEEAEESSDEDDADVGQSSKKKRKRKQIELDLTADMHT KAPESNADFERVLLGSPNSSFLWIQYMSFQLPLSEIDKAREIARRAIQTINFREEKER LNVWIALLNLENVYGTDETLDAVFKEAARANDSKTIHLRLASIFEQSDKFEKAEEQYK RTCKKFGGSSKVWTLFGEFQLKRGNTEEGRKLLPRSLQSLEKRKHLKTISKFAQVEYK YGEPERGKTLFEGIVDSHPKRWDMWSIYMDMESVQGDIQSLRNLFDRVLSLKMTSHKA KSFFKKWLEVEKRIGDEEGVTIVKQKATEWAQRALNGS JR316_0000633 MSFFSRKKTQAAPQAPANVTVATTPSQALAQMSATNRDPPPTQQ QSGSLRGDSALASAGNNGVAPINQQQQQQQQQQQQQAQQQQLRNQSRNTSPGGANLQS SGSFQAQQQPPSQPNSQGPRQPPSFPWAARRLILLPPNLINKPGIAPPSSPSPSPFPR YGHALPATASPSGELYLFGGLVRESARNDVYVFNTRDNSANLFQTTGQIPSPRMGHAC ALVGNVLIVWGGDTNTDPNMKPLDKQDNGLYLLNLISRDWNQLEVSGPAPVGRYGHAV TMVGTKFFVFGGQKDEEFLNDLWAFDLNSLKTRSAWEQLEPSSPERPAPRTGHVCITH EDTIIVFGGTDGKYHYNDTWSFNLQTRKWTELNCIGFIPSAREGHAAAIVGNVIYVFG GRGIDGKDLGDLAAFKISNQRWYMFQNMGPSPSGRSGHAMASIGTKVYVLGGESFSPS KSDDPSITHTLETKNIKYPEDDSKPPPMSAAAAAQFSSRKPSITNQAQNQIAQQTANV NGRSMSPSVAPERAISPSGRPVQQNGVMQQQQQSMSNAAAVNGKSKPPVRPKREDEDQ NGTDDGFDMVSNESYQKSSQTQTRAKSPAQMNTNRAVSPSMNGTQAPNMMAVSMGING RSSPAVTGRSSPLTGRASPVVDRSRPSGAEGGYQSGPNNSSPTLNNFARPASRTGNGS VGNVTADLIRDLKARDLELDSIKRQMAWMKEALAKATRAGFVQTDREGSPEISTTSNV SEDGHDSKYAELVLKFKQFKAHVQTAMSDQAKQASERIAEAERVKASATQEAAYYRAK VAAMESNSDTEVQRMERVRITELESHMSALMNERWAQDRKLNELNDSLALQTMLCEQA DSRAAEAIKRAEKLDEAHTHAAERYNELLEIHETLQSKFRDHQDRLVSQSSLLEQREA DEVSLRSQVEELSQSREQHIRALDQARVALQASSARAMEIDMQYQRAQEQIKALESDV AELRGELETRTAEADAARARLTDAENSWAKSREEADAFRALTTTSLGELLDSHRDLKA DEDRLLRGHSEKIQAVEAEAQSLRLMLREVSARADDNANKLTEERKRNHEHETEQATL QNQIAALRGQLSTAFSDTARLRNELATLENRLRDKAKDLSDSNAKLAMLRNYLAENGI GVDEDELRPSSRLNGTKSPEIISDLENKLAERTRLHEDSERELAQALRKKRDMEVQVS ELSTQLDNVRSTRSPSSGEGDSRAQELEEKLEQERQKYQNQIQQMEADYQIAVHYVKG TEKMMLRMREELNKQKKANTALQADLEASKGKPIDQRVRSVNGRNTPQDDDRMHLVDA QRQIQRLTTENKELRLRVENLDKELDLMRDNLLASQQEADDRFSQVEELQLDIERLQQ SLNIARGGPDETLMEKLHAENTTLRRENDQLSHKIGLLLEVEQPSYGRRPMSGRISTS SSENALAFENLSSELDDWQRQLASSMSNHRRPLSEFEDKQPVVTERTRSPRS JR316_0000634 MMSLPSILPSKPAIQDTTSTVDEIKQQLGRATNPEATPIFICAL DECYRLLPSQKALMMHRKKDHQTEDDGWMITWNGATGGSGGEKVKEEVE JR316_0000635 MKSLWKKIKSLFAPSSTTDFTRFHPPPPPPPPPPPPPPPPPPQS PPQSPPPSPPSNHPPPTPRYPWSTTYLQLRPPLFPPASSDQDVPHLPLPPFSPFPRYG FASPQNATPSEDIYLFGGLVADKEQNDLYRISISDNSSTLIQAKGDIPSPRVGHACVL RNHALAVWGGDTNIYQLPSHQYDNGLYLFNLLTHEWSLIKVSGPAPIGRYGHTMALLG TKIILFGGQSNSEFFNDIWAFELQTIKSKPVWDWKFEPATPARPAPRTSHSCVAYGDN QIIIFGGTDGMYYYNDIWSFNLQTRIWTELSCTGYIPAPREGHAAAIVDHIMYIFGGR GLDGRDLGDLVALNISTRRWFMFQNTGLAPSARSGHAMATIKSNIFLFGGDSFTKPFL DDSSPIHILDTDLLKYPDRCAIPSHA JR316_0000636 MLNSLFPANSRPRTSSPQQHQSAHSMARPVLKWVNQRTLGEAIN TPLPTPPAPARLPPPPSFRPSHLAIHPPPFCDNLTRATLPTASLSPPLPVENQSTHLS LDPVRPPPILRLQSKPTRSSLDSLRSVSRPSSTYAPAESTSALSSKWWFQSDALNPPL QKDKPDDKKTFLSPRNPVVFCHGLLGFDSVTIGPAIAPFEVTHWRGIKEVLEENGTEV LITRVPATSSPVDRAKVLEQKISSGGLDCRYLTTHLTKRKFQVLSITTIATPHRGSAF ADHFLSTVGRARMPSVLSLLDLLPNGGGDGKAFECLTIDSMRKFNQDTPDVPGVRYFS WGAGTYLGTLSQVNHLDLVGWINTARYKWAEMMGKEIKFRPATFYLGIVDMLAREVEG QGSDSDPSKAGAGVDLEEEPTSRSSGASIEYQQRTQMLDSLDTAGADVHDARASTDSR SSTPSLRKRTTGKTKSQPP JR316_0000637 MDVEGGHSSAIFFILFGGLTFYVLLKSERTDPNLRHIPTLGFRA YLLSYVDALRFIKTCPDRLRKGYDQYRTTAFKVATWTRWLVVITGTRALEELRKSSDE HSSFIDASTEPDASLYLSGHAQAVAPQIIGEITRSMTQNLAFLIPSIKEEVALVLNSA IPATKSEVSMGTQTRDEQYEQISMDQAREVYGYYLNTILPILPRFMSRFARAMSITNR RRRRLRSMIISSIRQKKEAFQHSNQEVNEERLQDALSWTLSDASNQIPTQTNWALIKQ TFGHVLYHLAANPKFAAPLRKEVEQIVAREGWNKHSLDRMLKVDSFVKESMRMSNISS DGTQLPTGTIIAAASRARHMDPAIYNTPDTFDGLRFSKLHEQRYGDDCSGSGLPFQLV TTTTDYLVWGYGRHACPGRFFVAVTLKMMLAYVVLHYDVRFENGTRPRDFIAGTNYLP DPDAKILFRKRKPESNYPL JR316_0000638 MAALEKVSSNKVYEGELIKYKFKSEALGGLDANFNLFLPSNKSG VKVPVLVYLAGLTCTEDTGAQKGGYLGVAASQNIAILFPDTSPRGAGVPGEDDDWDFG TGAGFYLDATRPEYSKHYNMATHITVELPQVIEAAGIPIDFQRQSIFGHSMGGHGALT LYLSSKTKQYRSASAFAPISNPTKCPWGEKAFKGYLAGGIAEGKRYDATELISKSTDP VHILIDYGTGDNFYKQGQLLPESFLKAARDAGYDEFQVRVRSQDAYDHSYYFISTFAS DHIHFHANFLKA JR316_0000639 MDRASASVLYLYRSYLRQSRNLPHLYLRQFFQVRARDDINAILS SKSEDRRAERIKLVHKRIHRIQKANNGDRKSFNWILELAYGRKGKLKWDLLEPIRYPS VNDIPNEPIIPGVEKSRPPVYSPELKALLTSSGSRDKKALDRKDLITPRTLPPRANPN SIEALTFGPFSKRREVNIRRRFFQDELKKILPPLQVTEIMPSTNISKVPMRSLGFQNS GVLQELETLIGYSLTENPPKTRRERRSLPAEQPVLIPTTNLERHPSRWVRRRYRALLA RIPLLFYECKSNSTQPFRVEKHPLGHFPHDRSVRTMPDLDAVTMAWIEQKSTTNIKRA KGADKGKE JR316_0000640 MSRAPPFPTRRRSPSASNGSHYTQQPASAPGTSSVKPLQISRPG SRPTTPVNSYISASPTSYAPPSTAPLGPSRPQRSELRARADYAGSERASTSSQDPYYR DSISTSRSENNGASYRTTPNTATNGATTATSSRQRPQRIQNPSQDTADVTSPNSLNSA LSAFKSAGSRRRQTEDSEEYNYQRERELEIEAEKARQQRIRDRAPGIRRGNTRAGEID AVLDQVKDGWEFVIDPNFNSVDLALQLLDKSALGKDMESFRRTKNMLSKALKGSVDKH YQAFAASLPHHASLLNHLGIAQTEISSARSALLDAKESLGGKRADLVQLWNRGQTLEE MIKILDQIEHLKTVPDLLETLMSEKRLLQAAILLVRSLKIINKPDMLEIGAVSDLRSY LVGQETALREILIEELQNHLYLKSFWCESRWAAYVPNQRSFPKVDFENDHGPQALDEE PVTPLSPTIQQTRLTRFLNNLGLRPNDPPYDVNDAQHSNSLQGAPSVVSQPSNSSSTA MYLSSNLNPEADSFSYMETLLESLAVLGKLGNALDNIAQRLPSEIFALVETTLGEVEE RAEFGRRRSTLAMNNILGETEGAYVLSSGTLISGTSLIGMRGPLLKSSSLRLTALESL AKRVDHEILMDLFWTLYSKLEAVAQGFRVVTEVATRIGSRRDYKDSSGTKPGMLFPLP EIWNHVQAEVRTLINDYLTDEQQGSVTGRNPISSINEILRDGKFNRDRIKPVFRFSDT DSKLTSKALKPHEDGLTRALKDTMPGLAPSTTGDTVQTIFSNAQDDRLLGVDQHHRLL IRPDAFHVTVLFQPTLSYLHRVAEILPSGMEFAQSSSSVLDEFVLKVYLPQLEEKVSE LFHNAVAGSEAFQPDPLSVRLSPQPLLKACTHLMALINSLCAMLITSPFHRENYSRLI LGVVIQFYQRCSDRYQNLTTAHNNESGRENSVALAAQWAQRSELQPCFNELIRTEVKE EAKLHKLYRQETNIQLQFLGEKQLSKDDLIVSTKNLSALASLHYSVSWFSVELNALKS RPDDTLPLSPNNLEPITGMTPSFPHIPNLPNDTTTDRLSLPLSKEMSLRFQALLKTYD QLSTLILDTLRSELRCRTIFYLTSAMRHGTYDSTYEAVEPDPHVVDLTTELVQCNESM ITSLPEAQRRYVFAGLGQLMEHILIKGARQLRRPNAFGIKKMKRNILALQQSIKALTD DPEDARFEHVQQYFNLFFITPQEMLDGIRKEQKFTFDEYQTMLSLQCGVDPSNTSESK GAGRDYNYSMYVIDLHGLEMDNSDPSK JR316_0000641 MTHVLTLNLAKSVAKTTTAMVAAQLPPFLAPFTPKYSLNLPSVC QNAYFTHRGNLGSSQALWWTPRDQHCQPNAVLLFIPGNPGLVDFYIPFLSTIHENYTH LNLGILAHSHLDHYAGLERKPEGRYSQGEFLSIQVQSTIEVLDAILSYYTPSTKIILV GHSVGSWIISQILKERKDYIKAVFLLFPTLSNIANTPNGKLLHPIFAPLSRHILSSFS FLIGFLPRSLLSALYSSWPEQQLRVLQNFLSSKTTIFSALTMGAEEMSTIKQLEAHIL KENKEKIYAYYAQQDDWVGEERQVIFNLLDPDCQSSQVVQGSAGVPHAFCINHSETVA RQCIHWLGSLLKSEFQARTFEEEYPELLVEYRRKQSESKLAPFDPYAQYVHPGSRPRK ITVPLNNGSTSTSVRREESEVVPDSEAENDTDTSSKSSDDGDYSETSAQSSYGIKTRQ HNRTDLPFSPRKSRSRKIIPVSDSESDENGLGRSVNEVPSGVRRSTRMKKVTKVRLDF DDDEYTDDDGVSERRRSKSHAKAKKNRVKSARPMYGHFRSIESLDQDPFSDDEDNEVL RQHRSICEKCHLAPAHKLLAAFQKKSKGKGKKRKRSTDDEFEQSDSEQTFIDLGGWVQ CLKCPVSAHWKCLASNQRDEVLKAVRAKDLQKWEKDHPGEAIPALLKKTQLDIDQTTE FICGSCMRGGICMGCMDIVIHPDPSRLDSTFIPKTSDVAMSDAITQIEDNTVVPTLAR ELLFRCFTCKRLSHYRHLPKPAVLGDDPTIHEIAGHYQSKSWLCGDCSSYKYNLDKII AWRPYPANALEPMTKQNETANYKAMLPREYLVKWQGKSYRRLEWVPHMWLVATNPSKL KNFISGGTKIELLQTPVEDINEDSMQVDAPVQNALFESESTASSAKPPKKTIISATSP LPDAEKRIPPSWKLIDRVLDVVLWRPVLKRQIKPFGKKVFRKKVIASEEEASEVDEER ERITRLVSEKGEQPPPNLTETLDEWKEHSGKLIETDIDKVAWAFIKWNDLGYEEATWD SPPKIGEKGYDAFQTAFKRFIDSQSVEVPKHSKKYLETFDTRKSDAYKKYWLHDASKL DLGQDSRLKLMPFQVDGFNWLGSNWWNHQHCILADEMGLGKTVQIVSFLGYIAKIMKA FPSLVVVPNSTITNWVREFERWAPNLRVVPFYGEKVARDVLKQYELFHNHTSTDNLKA KFHVLITTYEALVNGKDFTSVFKSQPRWEVLVVDEGQRLKNDNSLLFKKLNELNSIHR IIMTGTPLNNNMRELFNLMNFLDPIEWKDLETLEKQHETLTEDLVKQLHQRLRPYFLR RIKSEVLDLPPKNEVIVPVSMAPLQKEIYRSILSHNLSLLNGLTQPSSGPGSIQKGRI NNVLMQLRKCLQHPYLYDEGIEPRNLPAQETHEKLIDGSGKLRFLKALLPKLKSNGHR VLLFSQFVIALDVVEDFLQGEGYKFLRLDGNTKGAVRQKAMDEFNKPDSEYFIFLLTT RAGGVGINLYTADTVIIFDPDFNPHQAIARAYRFGQKKTCLVFKLMVKDSAEERIMQI GKKKLVLDHLIVQKINDDEDNGGEDVQSILTYGAQALFEPEESSRDITYTDNDIDKLL EKTEREAVKEAPKASGGLTFAFAKVWAAEKDALEDIGEEDQTDSWAQTLEKINQNRAK EQEKEAALSGRGARRKAADIAKNKIQVGVGGSESFNNKSKQSPGGSDAGSVYSGSDHQ SEIESDIDNEIVDEDFIMNIDPVRQRPKPKVLRPVDDEYPECGLCKQHHGVAECHMVD RSENLAEYREMLILHPDDETWEDRCDAIQAIDEILYQRGHLSLIAGQPLHPLPPGAPP TVLQPRLPLPGRSNAQITPNPSVVKLDTTDFQQAESSKQALAKQDYSKKRQKISTASC CPVCGMGNHLLKDCSIIVAGSKSISENIMKLETRLAKEPSLQSTIDIMLNLLKKAKSR ENIESKQ JR316_0000642 MDSQRPRPSILSLFDPLTGSPSSMPDKENNPGDSSFFHPSGFKN ARTPVQPTFRRRLIDVGDITIDDPDIQELLTEEDDFERELNCSLAEGDDDNDTLTFRD MVKAATPKWIGTSCHYTSLTTPKSTSSPRTPLAQLPFKDEVTPVARKKPFRRPIHSVA SKLAHIDIAETSIEDVLPTTPAKISFEISCSGSKPTSPNGLSPLEAGHGVETLNYSTD ALGSSVSTLNLPASTGPFICDISIPQKTPETLAVSTDTLGSSVSTLNLPPPLGASITD ISIPISVSPASPRAFTYPSASSPEGSKARLRPGLVSSDTSNRLSIDLQSSFQLHLAST DTTFDLLNEKISFLNFKEGHSFLSNMDLDGSFGDDDFTPAKGNDLKVHEFEKVVVCKN LESNPQSIKGSLTIGEDSPRPEVGLDNTDTFQVLKSETLASERVSIKFSTPGLSSTHQ KLLRKSDTYSKDLKERVSEGSPSLSAPNDMKKSSHHQPRDITLLATPSSSSTLQQPPR AVPALKIVKRSKLLVSSQINTTSSTTQIRRTSIVADPPPLASKNPSKSPSTKLMVSRN DSAQNDGLLSIRGLKRISSSQPTKSVPSTSDGPRRIPISGGSKLTSGRTAVSIDPQNS SNNGPRRILVPVAAVSSTAKPLPILASKSAVQSYSGLKQPNKYGTVGSTSSIPKPAPR TASSHLPGRTTNKTRSGLTMPKSTKNV JR316_0000643 MAEVATVRAHIKAWERSFKDANGRPPTVDDIKKNPTIANQYKQY KKLSKAAASSNRAQDANTAAIPSTPPRKARPKDLTSLLLSKSRPIQPPTPLVSFNPFS PQKMTKGKQKERDSANEVEDASNPFFQSDSTKCVVRKASPGLSPSPLPYRPLAVPTLN SDPKGPNLSSTAVSRARKRLRGEPVSPSPNKGKRMRVSSQTTLPFPRLRLNTPTNDDD TSGIEDIDPFVVDNSPVKATIPGKSYQQLFQPGTLPVNLFGVKDIGEAVPNNSGSKIS RHTFPSNERPSDPAAYNANSIYSKISSSHLIGGNGTSLKSEPLDNRSSVKRAFSEEEK DPDVALPREKSPLIPPSPPPIAAMHKSGKTKINVKGATSRKKAKIETQMGVGDDSDDP DYDTTTKLRIIGHNKTRRQHALTRQEKGVVDYDSDPILELPRFAAPRAQYQDNEDNAP QQDGNIEIDLPDELRRVLALQSAGSKTQVSEEDRLVKGLLYGRRTNHYDPQKGGEIWD VGEHVDENYADNEGEDDWEGEPVPWAVGEL JR316_0000644 MSQSSSLDNVLNNKRRRSSIRDGLSIFRTSSKESIKSIKSTGKN FSSYYPYTVYEQTMSPLILRDPEAMKKLFEVILDSPNGKRSLSRLARTCKSFSGPALD VLWKELDSIVPIIGLFPGHLLKKTKKPGLGLAKLPRDEDWQNIIKYSERIQRIAYDEA SNVVAPSIFTIFEENRPQNFNYILPRLQELSWKVETPAALERCGIFIHPQLQILNLEI SNKFTKINDFLADMSSRTKLKGFSFISPTNLPDAFTELLLRQDDLEKVVLVAPGALSP GVGRWIAALPKLKHLQLDLTGRSAIAVEGFFDELSPRSGDSTPTSIGSRDSGVFSGEE LDFTEIRKSALRLTGDFSSKGSFTKLRRIQLTGEVANIAVFLKHLDSDITHLDLVIED PPDNADWQDLSELICDKFADSLNSLRISPTPSAKFADLVRATSRAEPPSNRLSLERFN GLSKLSRLDIDLPESVVFTPADIDCLAKACPNLESLKLCPLSRFPLPHSPKLTLESLA PLMKHCKRLHTLSVVVNASAGSAGVLQAREMSSKSLLRLHVGHSWANDPLQIAILLSH LMPNLELLKWFQEKNRAGFIEQHAKAWQSVSETLPHIQNLRVTEKSFFRQPVVAPKPI TVEKSINATVATTSRGVLAKVSMSDASTQFSPTLHDQQVEAKTELSDASIDATPSYAN ASVDAAPLYSSTSVSAIEALTSNDAVEFAGGSSFYRPLTRLPHLNSHLPSLFNLLSFA YRFVLWPLSLTSRIFQLIPFFFNKFNSKPQPSVSLQSSSSSSEVDANSADEATDIISL KTLQPNHIPKNMDIYAYEYGYEIHAIHSPSVTAVSKSKAVSSDTHALVNEDPKIVSNP STWWDEGQILSNNTATRQRDWNARIHNSPAWKYTMSDNKKQEKDFKPEVDELLPQADA LIKSGKLQDGLDRLFSLEKQTRNAADPTSTTRLATAALQHCYDAKDYDLVNSTITMLS KKHGQLKTVIHSMVELAISWLPEIKTNGGLEKWLQLLETLRSVTEGKIFLETPRARVT RLLSQHHEGLKTKDSLQTASDLLSELQVETYSSMERTEKVEFIIEQMRLLTALAKQKD LAVEKKDGKDSLGGGEAEWIKVRVGGRKVNEDFLKQKENQELKIKYYNLMITHALHHN AYLDVAKYYHKVWETPSIKEDPVKGKATLERIVYYIVLAPHDNEQSNMLHQIHLDPAL ANDDLKLHYGLVKEFVTPEILPWSKVSENYGGFLRKTDEFKQDKLWEDLRLRVIEHNI RITAQYFTRIHLTRLTKFLGLQTVKETEEILTRLVNSGTIWAKVDRPAGIINFRSKRS AEDVMNDWSSDMQKLLGLVEKSWMGMNAAQAAQSRVKS JR316_0000645 MGRRIASQVHQQVSRLLRANYIQKEPVWFQAVLDHPPLTLPPKA PPPRTPYDQRPTKKLSKYTTRPQPIFYLEDYLRRRFFTDHPFETFRPATLIETDRIQD PNFITGEAWTRLRQWGRNPAPEDAIRFALNLYQYHNVTLNEAYSRAVAQFRTLRSEHH VASRIAVLEAEQLGSTFGPSHIEESFVRQKQSLATWDRKEELDEGSLAAQKRWKAIVN RNHGAGQWSEGKDYARLWQQGIKPNYMPALVRSVAPTTTKIDKHDPMGLKNSI JR316_0000646 MDSNPPAQHVSSITSPSSTLKRCRSSDRFQRKFNPACMPPLPPV QGDLILQVYTHKSLRRPNVPPAVGGDNEVLSTLGRPVFEVALTHCLFRRRPFLNASQI SKSLEILLSSSMIDDWVKFYKLRTRLLCHPSVFPSLQLPEETRSLFYAYVGALFLSSG VQAVDYWVASLIQQELHVLPHALESEADAKPQAEIPAPKRAKSELPFALSSAMAPPIF FASQPPPSPPLPSGMRHAAPSGLPPKPAPPTNKPAALPPNPMAPAQPNLPFLPLFKQA AAQRRVTVDYLAEFIGPSHAGQWVVKCIVNGIFKGEGTGGSKQLAKEDAARKAYYSMG WT JR316_0000647 MAAPSPLSPRPVASRPNHIDLTLDDDDDSTNDHSSRYAKRARTE ISRQDSTPSGPLLDYQKSSVTPNKFSSASNSLSVPHVQQSPHSQFPNHVPAPNQSTYR PLFAGPAPFPPSRLQQIPNPPAMNNPFTPPSQPSTSRASDRQVIDLTGSPSPPPSVLS RQNSQPPLPAELPPKTPVCIGQLTVNALVLYPVTYLSPQNPGVTEWAYVRLQYEHNPH KLTGKETIHIRTPSGRGPNGDNVSGEVFGVVEQKVADLLGPMLGKGLIRLDAKIRKGT GNLPILPLQMLVYTPKGNIPVVGNYLRQSNLILDHPAAPQDMQRITNYHYFNPHHLHH DSLRNSNSNIFPNRDHSRWTTPASSGKSVEVQRSQVDELFKTLKDGDGLAETEPTSEV ATTLYPHQKKALTFLLEREREIVGPNGSSSLWQKRYNPVTRQTTWFHLVTQKEVNDCP QEAKGAILADDMGLGKTISCVSLIASTLSSSEAFASSPLDVVPRPVRNDAPDASHFAG SVWNMPETVDNATTGSSIKGKAKADRAQDKLEIAYARSCRIKAKSRATLIVCPLSTVS NWEDQFREHWKGEVYVVGGNGGPCPPSTPSSSQASTSGPGAMQLDDLVEDDKPKRSRE GRSLRVYIYHGNARRPDPTFLADFDAVVTTYATLASEYSKQNRSLMNAEDDEEEEASD DGQGGVDIDEQGNQVIRLPKPKKTGTKRKKMTALTQANNPAEIPSALQSIHWFRVVLD EAHSIKETATVASRACCDLMADRRLCLTGTPVQNKLDDVFALIKFLRLEPLDDKNAWT EYIGTPVKFGQTLGVARLQTIMQCITLRRTKETKAANGRKILALPPRRDELRYLKFDS QEQEIYDKFFDESKAEFNDLSSKNEVMKNYVGILQKILRLRQICDHFELVQGKEPGQG NGSSESALSYEDIIAAIAKEGFSALRANAMFAILRESATTQCVECGSELCVSPELSQG DGAENEGTPTPKRTRKAKGTASRGSTRANSPNTPRPVLTRCQHLFCIECYRNSVCPGW PNVGLEIKRSCSVCQTGLSPLDAYEIKPDTSDNAQKKKVQKREKRLKGVSLENFRPST KIKALLSDLVQFSRLNPYSTNYDPDIQLLNENGTAPSDSGIVKTVVFSQWTTMLDKVE DALETAGIKYDRLDGTMKRDDRTRSMEALKHDPSCEVLLVSLKAGGVGLNLTAAQRVY LMDPYWNPAVENQAVDRIHRLGQTKPVTTIKFIIENSIEAKLLEVQRKKTELANMTLG QNFSKADLMQRRLEELTQLLGP JR316_0000648 MTFYFSITLNITNRELFATGFEPLSAIWRGLWRQYFETFFSFVC PPKTAKKPIYNHKKYRRSVPGDDLSDYENLDSLTDIETRRKFILKLGKALLTFGAPSH RIESQLLAASNILDARAEFIHLPNIIIVSVRNEDPHSTRTYFIRSRGRIALTSLHKVH EIYRDVLHDHIGAEAGTDALRQVLRSPAIYSLKLRCFFAFLCASIICGLSFGGSLADM WISGACASFLQYLGLNAANKSSMYANVYEISVSIVVAFIARALSNLPGDMFCYSAISS AGVVVILPGFTVLISALELMSKNIFCGSVRIVYAIIYTLFLGFGLTIGSDFYLVIDRH ARREYYRSAIPDNLIYIHGHFSGLNGTKSPVSTNGVLGLGLATTGNAPDHTIKGCIRL PMWPFWRQPLPWWTLFFLVPIYSTCSSLSNLQYWRSLQLPLMVLFSCCAYAANRAASL VLPGRTDIVSAAGAFVIGTLGNIYSRVVRGTAFTSMVTGVLFLVPSGIAQGGGVTQTY HSSAEQYSSGFSLALRMITVAAGVTIGLFVSQVIVYLFGTRKNAAHFAF JR316_0000649 MDSSSTSPVIKKQEDDDKTEKRDIKPVPKTLNRVPPRDQNACRR QKMRCEGADNPPCRRCRNNGLECLFEKPSREATLTGEAGLERIRSLESQVSEIRQTQH TISNTLSELLNHVRAGSFSARSPSAYPSSSFQQSPSLNSPSVSTPTVSHQHASPPSGT QPFTPSTRVTNSVLPQPRPQRSSLPNSGYQGSPAGSTLLPVEDLHPPHVSPAYPNFPQ AGQNYHTQHQPLPQNPVLPPFSSIQVMGPPATEQANVSSVRYQSTEQGYQRSTTKYPP KRHAPPSSNVTSADSSDLDDDDGGELPVSGLVAPWEVLRGLADVAIERATKDNGGGSE PHSRARTQSPDRQTRPSKKRKVAHQLTHVTYPDVVTKNIITEEDARELFKIPIFDSNT DNYDSLHERSPFAVDAICMVAARVRDGGRPPSEIHRRCLEEVQAISQATLFAPVIRSE AVQAMILVSGWSDNGWLSGGHAVRMAMELSMHQAWPQLHRRISSNKFDIVADRDLVVA SRTWFCLYLFEHQLSYGTGRPAVLMDDQSIRNCRYLLNHPLSIEDDMRLVSTVELITI RERVHNALAPFDGPVLPQHFEELQRANVDFSQWYKIWDEAFSRKYEDAAFYRQSLQIQ QLHAELFHNATALRDIIRLEDVQNMPPLQRTLAIRSLQIGRQILEITVKSPAYRKGMT YAVHYTHATATFTASFLLRLSRFFPDECDVAVIRNLVELVASLMAEIPGKRYALTLQL MLKRSKRRKTNSRSPKVSRDIQQPLVMAVDPPPQAALPAPQQGNSEQFSPLYEMSSSS YPMQDSQNHVIHAMPQQHSNAHPSFVEAEQIWRGLEQTSVEQLPVWISDQSLGGQTFS QHGMDAFIIPTEYLPTVPQIW JR316_0000650 MFVSALSRLLSAWFAFLLPCYATYKALARRPFSESDLQKWSMYW TVMGAFVAFEYLGEWLAALLLGIKDPFLAFPLFAANSSIYVISLLSLPVFTVFFSSQG STYIYNAYLEPFFSRNQADLDAGIVRIQSNILTFVQEKLSALWTLATRGRPQSQAQSP SASPNPQLSWLFSPDTWRAALNVLQPAGSGTASRGVQPIHSGAASSSTSLNSEESSQK NPPFPVPQHYE JR316_0000651 MSNGNSRTKNPSPAPSTRSRNIPTRTENNSNASENNALRRDPNA RISYFDPSNQATLDRLILSADAPIEGDGEEENAQATLANVEEMIEGYEWTSDDIIGRK VTRGAVDLIEARLLDELMALEKANIHSFLESDDRVAVVMKYMEDAIAELDAMDGLIST YKIHLNAVGDDISYIQSQNRGLQVQTQNQRALLAELQNLLRTVHVDQEALATLTQESL EKSHSITRLEEAAVQLYKALQAGRDTEMAATMERLQEYRTYNSQFCKRMFDFLSIMFI AQSKLLLGDTSGLARGSQSRPTVLPHRDLESYLGRYSGLMIYLREMDENIYSKLCATY FSAASDLHGTQIKALLSAHLDLVKKAAEDETDQAFGIASIPPASKATTGMRRAGTLIR SPMESRQKDREKQSDGHLRASEVFGLFLEQVAMLIYQENDFITDFLQISDSGFTFADY MGLDNYFRRQAARSAGLSATTLKLIRGALDLIFGFLPAELKSWLDAALAKDSMEIVGI LAFLERFLIEAEEKGNPFILNLLEKQHSRLKIIFDRHVTEQLKGVERTKLSSKKRKGV ANFLKHFSAYASRIENQLVGANNLEIRTNVDSAYEKIVQSMFDSLKQIAKLEGEGEDK GQLNYHVILIENMHYFINEMSQLDVPSMTTFIRRAEGIYDENLSAYIKTVFRRPFGKL MDFFDAFDRAAKDTNPTELTANSNFNKATLRKIIKDYNSKDIKKHVDVLSKRVEKHFT DMDKSADEGGAVPSKVLIGVWNSCEMEFVRLTEAWSSRISQWYGDSGLALEYTVAEAE AAFRRQKFGP JR316_0000652 MADQRQRIIASVFSRRNAAGNLEETYVSHVKIWEDAASEGGAQK PRYILLSQASNGSGFLHKSKLNTNGSFSVGKTWRLAELRAIQVLSPFVFNITLSRTYK WRTESREDQANFLDALVRLFRTITNGQVPLQLEGVQDFDVSSASLPSVSRNPRIDDRT PSPSNSSRIPRTQPSRNVIQTDSVDLRVPSPIQQSLPPNGKAIPRSASPASSLREHRP PSIVVPPPTAPLSTQRSRIPSNATTVSSNQLPSNQDQSSSR JR316_0000653 MTTAAVPQAPGPLHFLASVITDISDDTSPRDQSSSPESSSRPSS PISTLSSSSTAPSNHGSSLHPPSKLTRLPEAEHRILQHSTSSNHLPSSDPSFVPFIRH KSARKVPKAADPNLKGKLQMKKRRKELLDKAMKIKSLDNSPVNERQLLVLRMVYDEIT MYPCESWMVLIAIIIHRAYKQVKNWFSNERQKNRTGESVPLETEDGDKVRLRSSALLM CQEWSDSFFEEVVMIYNYRVQRNSRFRGSLRRPDIMSNHD JR316_0000654 MTSDTLSFTIAVVGLVWYVSIYSISLLGCISARRRYRSRPISLL DSAEALHKPGVSILRPLKGLDTNLYENLESTFKQDYPDYEIIFSVADENDQALRIVES LKSRYPNVKVSVIIGEEVVGVNPKVNNLVRSFKMAANDIVWVLDSGVMVDSGTLSRSV NILNKSPSGTKRRIGLVHHVPLALIDETQFGSRLEAAFLNTNHAKMYIAINTVAIESC VVGKSNLYRRSDLNRLDGSLTPIQSQTTRNSGKHGLPAFGRFLAEDNMIASALWHELD LRHDLSCDVARNVVGNMSFSDYVWRRVRWIRVRKRMVLAATVAEPFQESIIVGMIGAL SVGALYGFSFWIFIVFHFMAWISIDLDVHSSLSGHGLRQNEWISFFLAWIGRELLALP IWIIAMTGDEVIWRGRRYYVLQNGEAKLVE JR316_0000655 MQRVKQLAAHFTGTDSASGLPLLESKRPDDVVITLAIRSPLCKA KKGGFKDTRTDELMLEMFKHVVSRSGVEPGAIGDVCVGTVLTPDAAYYARAAMLAAGI PDTVPVQIVNRFCSSGLMAVTTVANQVRSGQIKIGLAIGVESMSENPDKGGPTFSELI SCNAASQDCQERMGWTSENVAAEFNITRDEQDTFAAESFKKAEEAQKRGYFAEEIVPF TVLRKNAGSDTRVPVVVEEDDGIRAGTTKEKLSKIKAAFPQWGNGTTTGGNASQITDG AAAILVMTRAEAEKRGLPILAKHITTAVAGVPPRIMGVGPVYAIPLALENAGLQLCDV DLFEVGNLAHAWLIQGTIT JR316_0000656 MASYNSLNAPQHQGTPYGSGDPYYNESSGFITPHPAPKRRTSNW IKFGIPVLLIVIIGAVVGGVVGSRKSKDNTSSAGSNKANSGDPAAAASSAVSAKLAIG RFATATNSEFMVPVYPATTNTAAFTTPTFIPSSNAKIAWPADPFKPTNPNVLSVRPDR PRLIAPQYKWQALPNLIQNDPYLQGWNATIFGNATAYYSLPPVQYFMDNSSGILDNAR EVKMRVKAFAYAYRMSNDTKWVDRAWVEIANAAGNGTTVFGPEVDRWNNGHFLDSAEF AAAYAIAYDWLYDMWSDTQKSQMRSTVIKYALQPGAQVFNGGTSFGWWSENTTGNWNC VCNGGLTLAALAILGDDTTGVAQTLLGSTVNNAKQNCAQAVADDGTWAETTNYWYFGT TGHAEMSSALITATGSDYGLLDSNTNFFKTGLFHMYAYGPTSLFNYGDHGPNKYSTTA NSMFLYAQHFTQPAFSLFQREQADAAEPWSMFWYDPTVSGAFWDGQPLDHFFDNQLDQ WASMRSSWTDQTALFVAIKAGQNSNHQTHNDLDAGDFVLDALGTRWAGELGSADYNAP GYFNSPAQDSDRWKYYRKMTEGQNTILINRANQNVNNAPSIKHDTSGTTQGSSTVFNV PSDSTAFWTSDLTSSYNDATSVKRGIRLLNGRKQVLLQDEVTASQGVQWRMHTNATVV VDGTTATLTIDDKKMVVSILNAPDGAGFSTSDAVRFDTDPTPPIPDQPNPGVTVLIID LPAGTYSLQVLFNPQWPGMAASEFVTPRSVALDAWSLTSHN JR316_0000657 MFSRSLLPLCVYLALVVVVVDAHDPLLVSHKRLVRKRSPLPDIA AILPLPPVAAAGSIPTASSSASVSASATSASSTSSSVSSVSSASSTSSGASSVSSASS TDSVSASSTGSLSVSSASQTSSSSTSSSVTAAPAQTSDTLNLTGEPFVSTVGGNTVTK THSVDAASASESPAPSPLSGVAKTKSTTLTVLIAVAASVGGIAILWTIFRKWKLSSSK KFDQRLNPIDWQPTTGDDDIISRHRRSPSGASSLRSGSGHGHNNSAGRGAAGFAPLEH DFTAGPATTAPVGGYADLSRGGSPNMSENISRGPSFNRGYNHAGYGTNETYRY JR316_0000658 MSDEPAMHNERLNSRSFAKRASPSKDRLPTNYGPGPFCHSCQTN QMLLINLLSNYLPDEESTEYQSRLEMLPAYRESLHARYPPVCDACLPQVEEEIHKKEQ MARAKALAGWLSKGKDRKRRVSGPEPLQEKKISDELFWWRVRGYLWATTLCISLISTL SIYRYYPFKLFSFLQPILPLVACISILWTAWDPTYATLRKSQTQGRDVRVHGKREYIA LQVFVWCIRLCSSILLSVRWFRPDLSILHLEAYSSQIYFTLTFTLELSTVALSYYLLR IQQPPSIRLIDTHAHTYDTSRSATPNPGSRGTTPTNSKFQSSIELDTLQTLSLSSKPV LPPSKPIFGMPSLQGPLSMPQTPARREKTLNEDDMDWTPTHPETVGRITSSEKSNNDW LRPQRFFAPENPTGLEGLLETTRIQEDEPMPFQGTTRIGNDQKPSQGWLYITCSAVVV VSIAYTLKWSGLIHWAF JR316_0000659 MSIAPAPSTSRGDVVLQPNYFTSSVYVKALRDDISTLIFRFHEV FSLPGCTKPFALFKNVWLNLGWNWLQFKVFDSRSRHTFLDVTLRVFLGVRRSDHYVSL KAMPDSLTNAQTLPLQPYARYVLSCLERDHVFYILPKSDLGAANPRDLPREIFTEDGM SFHDQGPQKRRGRPARREKGKKARAALDQLDKWTAEDDHRTSEFDNVLNEYTATKASI LDADLPTGSFEDVGKTVVARLKETQKNAGQDDGGMIPQLEGRLDGHPKGIFGLLASSI SAELFPVFNPPAASAQGVQSNIEPHLLDPTAWGSNLSPEFPEPDDYLHNPDPRRDRKL DKSRNVFTYRGVTNLGFLILLCLGLLMLFAGYPLYSHFESKEQSTLGGFNLGGINASG QVPAMAGNWGLIDRDTPASVYTKSSVHDSRIEMQLVFSDEFNVPGRTFYPGEDPYWEA VDLHYWATNNIEWYDPAAITTSDGALEITLSKKETHGVNYQGGMMSTWNKFCFTGGLV ETAVTLPGSTNVVGLWPAIWTMGNLGTVANQTRNGLPIAATINGDGQANGALSYLPGQ RLSRCTCPGESHPGPMHPDGTFVGRAAPEIDVFEAQVSGEPLIGEVSQSSQWAPFNDR YHFLNNSNTVTINNPDITFLNPYVGGAFQQSTSGVTKTDQNCYEMGTKCFSVYGFEYI PGFDDAYITWISNGIPAWTLKASGMVADTAVEISARPIPQEPLYLIINLGMSKNFGDV DFDHLVFPAKMRVDWIRVYQPKDKINVGCDPKDFPTAAYINEYIEAYTNPNLTTWVDD YKQTVPKNSFLGQC JR316_0000660 MSALPSTKPQAKGSKLFQQDIVAKISDPDYYGIVLRCWHDNEDF PPPPVADPMMRPLSYGEVGVTFISHDREREILPESQLRLVDRTLQPGDYCKRSFDDVR AGVVTEMKVKGKIKHAINAQKIGRSFTTSDLVDKTNAEVGDYVVYDDWVGQVFSQYLV QRPSGAIFQLPEMGSRFAVGESGTNIVSNISEEKDNGGKDIVIALYHSVYVVAWLALN QSLDPSVSASKKRPQRFWFGEDIGKLTLIRGRSDLEMRVGDRVQPKDLTDIPVSEHGP APKVGQGRLVTTCVVTETLTEVTVLWQNGITEVVKPGDYVLWKNEETIHPAVVQSVNA KERTALVLLPESGAVELVPLLELDAHGTSEHAGNDPHTEVFGVRRGDFVFVHAPGTTN GLQKPRVPRIGEVEAWVREDPFDYAGWRQELCDIGLEIAPKREQTTCGHGSMQRPVKH DGKLLWCGEVTGLNLDGTVQVTHPDSSIQVYPLDRLTKLYDGIEQLEDDVWDEGSDGE HSYTDEEEWAMNEDVEWDNAMDTRVSDDEDDAIQVDEELRSPEHGMPGDDEEISPNVS HNMGPVAEAIFQNPDLSTEGQTADNHIDDISDGIQWKRFEILPSAPSDHHFYSTPPTQ VSRAFLSRLSREYRVLRSSLPGKYNQSFYKLFSFIYVFISLDSIVVRAYEDRTDLLRC LIIGPENTPYEDAPFVIDWLLDSNFPQGPPVAHFHSWTNGNGRVNPNLYEEGKVCLSI LGTWSGDKDEIWSAARSSLLQTFVSIQGLVLVKEPWFCEPAFDKLRGTEEGTVNSRLY SEKAYVLSRAFVRRALEIPPGGLEPEIRTFYFKEKRLAKVLKDAERLIQKSRASQEVL PAEQDVAVPRLSAGGIISLERTLVKLRSFLLSGI JR316_0000661 MLPTELYTAILEKLDYLTLLKCMQVCTLFQSLILRTASLQYIIE LAVAKQISGQASGISSSQRLELMKKHRFAWNKLIWSREVKIPMEGGGLWELFGGVLAQ SKPDGALVFHQLPSDLRSIDIRTWTLGPDFGMLVRDFAMDPSQDLLVLIESPDWSLPD SALEYRVHLRSLSTGKPHPHAAQSTLHLSQEIRDIFVTYTIQIFQNLLAILFDPSSAG ENEIVIWEWKKGVALMDIYSDDIVSFSLLSKDWVLFSVIDIFGSPHMEAINFTEEPCD RHDLQEVQHVYAFEYPELHLGVFCSNIEIRSDPSTAAPIDSTVPFAGDTTDFLLTVSL WLVINGAPRCILHFIPSSHLLSTINLHVQSSIMDSTFVWSDWGPEKTRMLFPNVNPSE IWVCYVSGTNFVISEPVSEGQPGYSVRLYDFNRLALEKDAKASHSDTEAPKGINLSPT VMEKNNPFEDEITTSLPFRTQTLVLEDAHTHCTALCSEDNIIVVDDFILSILRTNPSL RDARSYLASFGLRPQSQPTPSSATKVSSHNTPVDPSVSTSPSVAPLLDSPTPSPVIAS ILNPVYRRTALVKIQGPFSDKQLDSICRGLVYLEKLGLVSVIVVENEERPRGDQDERS SIIDDTMRIVTNLESQGSRARPVVGAVVRLGPKPEDASEIDLDPPETHTIPSDLIPIR SALSAGEIPVIAPFALDSFCRSVRVDANDVIAGLARGMVEASNLSNSSPIEDGQVKFS GEVDLTPLRLMIIDRNGGVPSYARSGYPHLLINLTSEYQHIHDTFNDQWLHMNPSALS NLSLARQCLNYMPASSSAIMVSHKSPSSLIGNLITNKPAVSSSLPHALLQGNRKLTPH TPTLLRRGVPIQVLRTLKDLDKAKMTTLLEQSFGRKLDQKAFYGRLEKALDFVIVAGD YVGAAIVTNEPSLNGLKPISYLDKFAVLPSHQGDGTVDFLWVALHDESYGLGHPFSAN PNGGKGGIGEGRDLVWRSRSNNPVNKWYFERSSGHVRMGEWVLFWCDAEKRLKIEEGR RGSAGLSYVEDWEEGRLSDWGAVVLKIPSSWK JR316_0000662 MYTENVKTSHLSVARSISVSSPASDPPHTPGASQKMSSEAPSPD ESRVSAAESVKSFIAGGFGGVAAVCVGHPFDLTKTRLQTAAPGAYTGAIDVVKKTLAK DGIAGMYRGMVPPLLGVTPIFAVSFWAYDASKQLIFALTPNRTSESLSIAELATAGFL SAVPTTLVTAPVERAKVLLQVQGQAGSQTKYSGVTDVLKHLYKEGGIKSIFRGTGATL ARDGPGSAAYFAAYEITKKALTPAGSSPSELNLGAIIVAGGTAGVAMWALAIPPDVLK SRIQSAPTGTYSGLMDCARKTIAQDGVRALWKGFGPAMARAFPANAATFLGVEASRKL MDGFF JR316_0000663 MLLAACLPLALLASIQSTIALPSQEPWKFIAPFSMTLVDALGAD PDYSSLLRLLQRARLIPTLNRLNGTTLFAPTNDAIKRHSLTNPLWSDVLQNGEHFVPD NIQEQLRQQLLYHLLNETLAALPTDEKVTQYKTLLYPRKPVDPPSREPPPHPPWLPIP GGTLGGEPQRLRLASHQDSANIGVDAFGKNGAKIVKGVQDAGNGILLGIAEVLEPPPD LATVISQHPSISYFQKIATSEILRRLNATSEMTLFLPLDNAWDAFHPVERMYLESEFA SEDLNRILDMHAVVNDHVIWSDSFESSRTLTTIKGTTLDIVNTPEGVKIQDAMLIEPD IYASNGVLHLVSALLFPPEILKLTPEKYLLALNCKKFVSLLHSVDLVGLINDTDSKYT ILAPSDDIISIFDDPEFPEPGSEELKRLLQYHFIPGKWTTNKLKNGSLLETALEEPGL NGGRQVMSIEVHSDDKKKSGEKVIRFGGAGIIGDPAIFSTSKAEILRKAPTTSLLIPR NEAFTRLGLLVSAHLLAPSSKEDLESVIMHHALNSVQYSRQLVNGSQHSFATLEGSDV KIDRESDGTPVISSSGGWTGLRAQIYPQDLLTQTGVVHELSDIMIPRSVKLTIGKLVK AAKGSTMATLVNKAGFEWILNGTAPPEGSPWSDDQYIDVGWTLLCPTDDAFKQYNLTQ LYSDIEGLKKIVTQHLIPTPSIKNDLLDSDSRLFINHNQPLDFDDATYSTLHSAASAY GDIVFQKRTDTNDYVVGIKGARGTDGKADSAHVQAWGRSTTGTGTGGVIQIDRLLIPY QPSWWYEYWAPSVVGGLGVVAICTFFYGVRLVWRRDTTEATYEPVGGFGRDDDS JR316_0000664 MLGIHQTLTGYPAEGVQYLVRGRDAFLLYPSADAVIILNAHTLA LVRVLAFWEAFPGLRHCGEKISCLSVDSGMKLIVASMKSKLAAWSLSDIQEGTWRIHS TLVLPEGHNVMTLDNKAGLLAVGCEKQLSIYTLVLENELPTWSKKWTVGTPTPILLNF APSLMYIATASKKDNSVRLYSTTSGLQTQIIPHPLPVLKISWRRSQATSRDDFILYSV TSDATLRIFFPVLDAPDYLQLHASLDIYASLPLHVVNQLKSSESSVFWLDRRATEKVL DYVLLDSAQVDEAHKKRIKEIKDDGWDLFLRILTDGSIVVTGVANIDRRPPTLLQHFT LQQSQPSIFSTPPTYLYVLPNPDPSLLTMITTPPLMSLDLSPLTFFDARSQGLKIKSS CLERIAEEECEIIRFIRTPEGRGVGALRSGGRGEAWQIHERGTKLVRANTWDQADFVV VLAHGKQFVTYTKSSCSLILHSSPPQTILVPSLESLFTIPSPSLYEFIIGVTTDFTII QFEVTGLPSLSVIGRQQLPLAHTPKFILPVDPMAWGHTQDWAGHDVLLSISEDGEIAF WVPEITADGSWRCTGKVRTGRTGFRKVRCSSAKKTVLIVGSESGDELTIWDSTESEFA SGLEYCKNYRELILDLDWSSTPDKQSILAVGYEHRVDILCQQRMTYFSEVPDPGWALC RTIDITGLTPYPISDSIWLAHGSFLIAAGQQMYLYSEPPPTKDLPTFGEESLFEYVAR QNGPLDDYHPQMMLQCLIWDKTELVKDVIVNLARFLIPGISDGRTTALPHLPVDRFLK KNNRTQILVNKRRYNQLFDDTSLPIDDDIYFSRPLITRLIEKLESRPLPNLSANEQAH LIVLIQTTLEIDEQRRALDSNGLRYLISMRSFYIINRRASSQETGADRSSKSARRERL RYRDMVWAFHSESQELLLEASIASCNGKMTWSDTRALGIALWMNSGETFKSHIEAVAR NEYMVGENRDPTTCSLLYFALGKYKLVHGLWRQAAWHKEQNAMLKFLSNDFSQERWRT AAQKNAFALLSKQRFEYAAAFFLLGGSLKGAVNVCLKQLGDFQLAIALARIVEQGTEG PILKEIITNTVLPIAFASGNRWLGSWAFWILHRRDLSVRILLTPLQDIASAFNVHVTE IGEPHYDDPGLALLFSQLRSKTLQAAKGTSEISGRAEFNFVLQMARVFCRMGCHALAL DLVSSWSFARPSTPPREEKSLNHPAIAFPTPLFPLGPALKRRASILIDMDIVSLPPTR KASPTEEPSLNSLPIETIQEESDLFARKAGLGNLMKSAKHDVNVPEFDMDAFF JR316_0000665 MDEEYDVIVLGTGLTECILSGLLSVEGKKVLHMDRNDYYGGDSA SLNLTQLYRKFRPNQTPPTELGRDRDYAVDLVPKFIIASGELTKILVHTDVTRYLEFK QIAGSFVYRDGKISKVPSTEMEAVRSPLMGLFEKRRAKKFFEFLQGWRDADPATHQGI NLDRDSMKTVYEKFGLEPGTQDFIGHAMALYLDDDYITKPARATYDRIILYTSSMARY GKSPYIYPLYGLGELPQSFARLSAIYGGTYMLDKPIDAIVTDADGKFVGVTSGGETVK AKQVIGDPSYFGGNGGDGGKVRVVEEGKVVRAICFLKHPIPGTEDSDSCQIIIPQNQV GRRNDIYIAMVSSTHNVCAKDIYVAIVSTIVETDKPELEIRPGLDLLGPIYDKFVDIT PLYTPTSSGQTDNIFITRSYDATSHFETVVEDVQDVWKRVTGSDLVLKKRDVEVQG JR316_0000666 MNPEGHHAMNGYRPDNVASTSSDVYNDFGAMRTNQHHFSPNLQQ QQLQMPQSMQHHGSQHQWQDLMMQMNNPQFSGNAASLQNQQQFNTGSWANQMPPPQAF PGAMAMSLNVLPTQILQEAMAMSNPVEASDEPTLLTKLLSSARRQESYKDALNSLHGK NGHSASLWKDYYLDHRVRLDAWISMCLQKEKEKEKEGSSSLNANKHSTPSEQKRPLPT ARKPSPSSFRREASQTSFSRVSVPVPSKRPKKRSSQHSTPPIVTDQPQPGRRNTINSL TAPSPVFGGRLPAPNAEIKIPEPPSRSPSPPTNVVPLRGRGHKYTKEDREFFIKFVGW SLKKDPSLTRLEICERLAEKASHHSAQSWASHWSNNHDVPDKILAAARGEEYKSSYSS SNEEHDMKVTAKRRPKYKDISTSEEESNEGSDVADQHDESEDNSDGEDLTPLLQYSDK EMGQRGEPFNDADLYVTAKYIAAFTDWEAASSKERWDPYHEKYPQRSAKSWGEYYRRN ERALMKLVKRLRSERAKATASSFQCARPTRAPPKTKRKYDYDSSEESFVKRGKAD JR316_0000667 MAIRSIPLLRPLRAKSFHSSASFKALSAVALPKAEQISANWKGT NATGGSTKNFIGGEFVESKSSEWIDVHDPSTQTLLTRVPQTTESEFQAAVDAASQAFK TWSRTSVISRQRFVLELQHLIRQNSDAIANSIVLEQGKTIADAHGDILRGLQVVETAA GITSTLLGDKIEVSKDMDTEVRRVPLGVCASIAPFNFPAMIPLWTIPMAAVTGNTLIL KPSERDPGAAMMIAELCQRAGLPDGVLNVVHGGVPTVNSICDDAAIKAISFVGGDRAG KHIYERGTLNGKRVQANLGAKNHAILMPDANKNLAINSIVGAAFGAAGQRCMAISVAV IVGDSSAWLPGLVERAQLLKVNGGFEQGADLGPLISPGAKARVTELITSAEADGGKII LDGRNFKAPELYPYGNFVGPTIIVGDTSMKCYQTEIFGPVLVVLQANTLDEAIEIINN NRYGNGAAIFTQSGATARRFETEVNVGQIGINVPIPVPLPMFAWSGNKGSFLGDIGFY GKSGINFYTQNKTTTSLWRAEDAVGNRASVNMPTHS JR316_0000668 MGEAVLKEVARKRGIEIVVDSCGTAGYHVGESPDERTVAICQKH NVPIDSYARQVATSDFVRFTHILASDESNLQNLNRIKPSNTTADVRLWGSYLDNKPIP DPYYGGMSDFEKVYQQCVRLSNAFLDEVTTKDSKS JR316_0000669 MPSPPITLYMTTIASQPVLRQRQGKAFPRPKEPRLERAKKIPFN TYDLASDEEAKRLWRRKAPLDKQQLPGILVGGKFPGNADLLRVVSLLHRSITKYTQSP KYQTPTLHSEDAVEHDELDIFLRLKENWDPAIDEDRPPPEVKPVGVPGAASPMQMTPD HLKPKFYPKTQAPSPLKGKSIPVNKRVGELDMGDELSGFGLQGVKVTEDELRDLIADL GLDGDEAGDLAAGLSEMTTPVQGLKLGGKTSSVQELDKKKKEEAAKAVTAEDASFSKN TESAKINEASEDTANQEAASKPTAREVEEKLDIVDAKP JR316_0000670 MSLPEPAKPRRSVLAAVVYLPFTVFIAFLATVLPALRPFAPRLV PLFVCSLFIPLVLLLSFSAAYVVWSSLSVSWQVPLYLQYGDGVPPYAFVFLPSLVPNQ RYDISVNLILPCTEANIQLGNFMASLTLSTLNNKTLEYVRRPAIVLPARNPFWLSSKT IDKVKIPMLQSFIAGKANLAAKVEIGRHDSWTTLGQGYGREVNVASASLQGLVVPHGI RGLAIRFPLISALVSGGIFLCILSAILGTCILPLLLPAPQAEEEEEDTSEVKVEKTQL QSVDIPESRRGRRTSRRRRSRSVKSSDDKEIKVEESAQNIIPEDDGSTKSLRRRPTKL AAQSDEE JR316_0000671 MSDIRQLLGLHPHSPRLADFLLSIALPVPVVPEVKSYPDAVYFN YFSLGLSILFSPTGGYKPAPSLKLTDLKNDSLVLDSIYIYNVPKPTEHRAKGTSARAA ELAFSTFPISPLILPINPVAHDKDGKARDRLPNLEIRPQTTGKDFVQILGEPDRKGGG AGPSSGSIGIWCEWSKDGIMVEFGGDEAKGPQAWERGKDAVWKIITIFSPA JR316_0000672 MAVPSDFTVLDISGKFVMNKTLSDQRTDTILQLQGVSWLKRKAI SIGTITLAIKHYKDEDGVEHIDIDQTLTGGIPGTRETRTLWWKERQNEDHLFGAVIGK SRRVKAEELDIPFLQGPWTADTLEHGLIQSYVESDTPKSGTTWIANQTWGIEEIDGER RYVRHVKFTGPKAEDIEAKLVYDYAPAPLFNIDIRVAGRHIVLPIETIIIKTTRPLTS PWIFIILAAAYIIGFAFFARAQSFLTPPSSFIGCTSTFYLANNQCGQDGDGCGPFDAS SFDFRCPAQCDNVILQNPRTVGNEQIVFKPLLVGGGDVNMTYRGDSFICAAAIQAGVI SQQKGGCGSLNLIGNFTNFLPFTSHGLTSIGFPTVFPSAFQFLGSTSLSHCADLRNEA LVFNVLVTSALFIILRPKSIVLYWCLVCIGFWHVILFSQPTGPPPKLDVAFESFLPVL FIAYAFWRLGFRFVMPAFRGAPLEACILYLSGYWVGVLNNLTFDKLPLSRLTSSDLGK RNGAITTLVVMLVIIVILAINQVRVIRKTGWLPYYAGWYIAGGLVTLVLALLPGLELR LHHYIIPMIIIPGTAFPTRLSAIYQGLLLGLFLNGTAAFGLDSILQTPDDLRQDAPLG SDLPVWSTNSTNYNSSIPFANQLIFWDPLIPNWDGFALLVDDVQRYAGPALNFSLASL NASLPHFFRLAYTSMGSSGDFTKAATLWPNGTWVDPLPGPS JR316_0000673 MDSNAPLPYGWIKQFDPKSGHVFFVDTKANPPRSIWTHPYEDEQ YLEAHPEVRSTVRGANGFGSDASLPLPPNNRPRRHSFNGREATPVDVPPPPHKKGFLA KMKDKARHSMEEYNERKKRELLMVPEIWPFYMSNDDIYTQMQQQQQQMYGQSRYGGPQ FAAPTYAYPQARYGTGMGRRGGMGGMALPLMGGMAGGLLLGDMLDNDFGGGGWGDGGG DWGGGFGGGGPHICILASPDLSELLESSSLPPLQHILQSFSPLPQVTTRTVTLVSVPH ASFALRFSDLQDVEDACREDDEQRAIRTIDWITARISNRCSKWVQDMEASGDKDTVRT PWWDELRRCAEGDFVPAKTEAWNHPVALILAVSTTAPNPLQAITALHSRTNQLPPWVD TNFLRYTLIIHPQNSPLSAEEAGALYNAVKKQFGLHSYLLSLELPQPPPPPIPVPALM PRLPPPPTPGSPQQKPPITPTAPNIPESFSNPSALNTLRMQENDIQQTARFTREFVVM SLVPWMEKCVVEWNENFSSTRRLPSRLFSSTRRLFGSQVPTPVPTPPPSSSSVSGRPG SISLNSGPTPPSQQRRLAEFTTILGDFKLAVTVWEALRKDGKGGSDILPLLLSPSPSV PLYAQASLATTHPNMMDLPPHAQTRVLSYAVRWEAGISNRDFVSNMLEGERWLVWAAS NGDETPSALLLAQAALLSAQKNARRRAALWYVAAATRLEKCGIKPLTMYFLRKAQDLY SVSPPKELSPSFWDSEGKSPSGTEGLEDIMAGIAHPLGRLLYTTGDLAGAVKLFLSLL NGTSTFPSSGGHSLEDGAKPQSNDKVYLDDFRVAYNYWKSMESDKSSTTNLTIPLKLC VNKQCRIRISGDITNGNSDVWQNRQEDWRSFWKSKGGKEILLPGGKVSTNELFWVDLI LYNPLEADINLSNVTLVVETKPNTVEHIGDIIEVETIKEVVLGPKETISVPISLKAKI PTTLSITDAKYDFLSILRTQESLAFRGRRLHDTALQRQHPTYAPDVVMKTEIIPSEHR LFVTFVEDQRLVLLQGETKTIRLWLTNAGSKPISEVWMIAGADDEIWLGPNSGPENSS SETEIIQTSNSLKPREPLKLPFSLPDGSNTINPGESIEVPAMLHTEMPGSHELCLLFV FREVKRTCSVYQSPKKKSIQSVATTNFIHTARRKYMSHNISKVHSYIAPASHPSIFPL YNPSAVDMIIFWEVSGGNIAGHINVHGITLGAGHARLDGIVEEAESAKVKRSMYAETR KENMEVLDAIRNSEWNAEMNPLVLSLKEIGTKLHDFTSGPCHLPLEVCIRNHSTTQSA HYTFKLRTDANPSINLLPPPYSGRMTFRGTIAPSEIVTIYPKLWVTKPGAYSLNGWSL ETEINGSDPNSNTRKRAYLLEPSKKDEEQCVIISDSRAH JR316_0000674 MSTIAKSKGTHPLLAKYLTELALHPLRTKSITTGTLCFLQEVLG SNLAGTPAKVSKDSSPLVRILAKAHVDAKAVKMAIYGFLVSAPLSHLLIGLLQRAFAG KTSAKAKIAQILASNLLISPIQTSAFLGSMALINGATSLDEVVKTVKAGFFSVIRISW VVSPLSMTIAQKFVPIDLWVPFFNAIQFVLGTYFNVRVKQLKLAAARKERLDREAKEK DRKE JR316_0000675 MGVSSEPGQKGVNWSNIAVGGIMNMVTTLGQPLEVLKTQMAANR SQSMWNACKTVWGRGGVAGFYQGLIPWAWIEASTKGAVLVFAASEVETAALAAGINPG FAGLLGGMTGGVAQAYATMGFTTCMKTAEITRAKTAATGVKPPSTWAVFADIYRREGL AGVNKGVNAVAVRQCTNWGSRMGFARLAEDSIRKIRGKGESEKLGAVDKIVASSIGGA LATWNQPIEVIRVEMQSMAKGTANSNRPAKLTILNTLSFIYKENGIKGLYRGVTPRIG LGIWQTICMVSFADYVKAWVKKQ JR316_0000676 MAEIVTIPESLHDNQLPPHDDKSDTEHEDSVRQEETSGTSNGDL NLEEVTPAHAEAAAEVPVKVVNNNKEGAGTKKIGPVSVVPPKKANGGPPTPTVKKIIN SGTFGAGSVKSAGTKPTTSAASVPPSKVAAPAMRKALTTNPLAAKPTSSASSKPPAPS TTTARRASVAPTRTIASPPVKSSLSASTSAKPSDAPVRSTVASPTGSVASASTKGASA APTARPRASVSESVKKTPPSSRPSVVSSVKPPVTARSSTTSRSSTAAPAKPPSRTAGS ISSIKEVKEDTKALDDLRTQLAETTQSFQSKNAQVFELENQIETLKQALDIKLKEIES KDTSMTESELARKDAESQLMEYQKMLSDVQKSLQELTITMDTKNEQLEAANSTISSQN TLIESLKAEVQELKDNLAAFEKKLESLEQSNALSSTAATEAALIEHEALVTAQANLKA VTEEVEALKVTHTKAMQDSESQIAELREQLAATEALQAQVASLKAEKEDNANKLSELE VEILESREVLENLEDTRDALQKQITMLEGQLATLTTAVDAANESAKQSNASHAEELAA LVKRHQAELDTRSESYKALENSLEDLKMTLLEATAAKEQAEKGILESEASHAKKMAEL QELHAAQQASLTADIEKISEELKNQETFYNAKVEVVKNEHVKLLQEAFERAKDEAARA HSEELKVFRANADITVAQLQQANQESINNLKSEHQSLMDSEINALKKQISTLNLELKA TQDDLFKAKAAVETSNSEIETLTQQRDTARSQAEAAASLSPEHANEISRLTKELSNTK DDLAAMTDMLNLTRSSMTELSEKHVKELEEAAKSRADEVLKLREAHDAEVNTLAKQKS DLLVRLSDLEGELATAKASLEARQTSSPKNSGNGTIPASNSGITKEELIKMHEAHNLK VYDLQAEHEKAMKLARDEVESALVKVSELQQDIARKAMEIQYLEQEQEESSEQITRLK EDLERLGQVESKS JR316_0000677 MAEHRPAPRLFVVRHGQTEWSQNGQTGRSDIPLTDVGVEQVKKM APLLVGEGRLLDPKNICTAQVSPRQRAATTFHLLFDHTVEPDYVLTEEVREWDYGEYE GLKPAEIQKINPGWKIWNDGCPGGESVEDMQARVDGVIKKVRQYHKEYKEEGKHTRDV LIVAHGHFSRVLISRWINFPLCLGTHFNVEPGSVSILSYNHNSLDEPALNGLNLVASG A JR316_0000678 MFDDYVEHAVGDHSAHVESAAPLPKLQYEGFEPLPYEPDDARAS IEDGRDVVSEANAPVAPVFELGRVQYAFPAPLVSFVVSSDMLAMGLVSNTIVLIELSR ADQVVKVQIPRKPTEMLIYKLFMDPSGKHIIITSEQAENWYLHRSWKKPRQLKGFKMV IESIAWNKAALLSSTHSTSTREILIGARSGTIFEAVLDAEEDFFKSHERYLQPVFSLP ERHSITGIRFDYFPSDPKQALVLVTTPTRIYQFSGTVDKKADDAGRVFSSLFAAYRET APINPDQAMSLPKKMAWMTGPGIYHGTLNFETEPEDHIDAAALLPYPTFPMNENSETP LSLSLTEFHFLLLYQDKVVGICNLDDTITYEESLPLKPNEVVLGLSADPVRRTYWVYT NQSLFELVIGNEDRDVWKIYLEKGQFEIALRYAKTARQRDQILFAQANHLFLNAQYFP AAQCYAHCSATFEEVALKFLDVGERDSLRSYLISRLERTRKTDLTQRMILATWLVEFY LSKCNELDDIVASESISQDVNNLQAERLIVEEDLRQFFETYKNNLDKDTVYELIQGHG RTDMYIFYATTIGDFERVIEHYVMEEEWVKAIEVISRQSNLELYYRFGPTLMHQVPKE TVDSWLRQPSLNPLRLVPSILQLQHSLRDPLSPNHAIRYLNHIIFDQNNTSPTIHNLL ITFYASPPSYTPSDDDGPLLRFLSTAPSDPITGKPYYDLDYALRLCKLSGRTQPCVHI YSKMGLWENSVDLALEKGDLELAKINADMPEDDPSLRKKLWLKIARYVVQDKKDIKSA MRFLENADLLKIEDILPFFPDFVVIDDFKEEIAHALEGYSAQIDALKAEMDEATQTAE SIKQDIAALKNRFITIDANEKCSNCSNLLLSRQFYVFPCHHTFHADCLIGLAKEYLPP HALRRIITLQNELMKDSPRTRGNVSKPSLMLTQPPNGRQPMTQRTLLSANFGPIVSPL QNGAKAANMLGRSVMSAGDRLRDLIIPDALATLVSSPNWLPGIGGSNRLASEENTPSH KKLESIRVELEELLSSSCPLCEGVVAGLDKPFIAEGELGSSWTL JR316_0000679 MSEVVSLASSSNGRVSGKPWKERKTATVRSHLPLGVKTKSWEAR MQKTQKSLAIKKLENELKDDRKAELERRREVTAERKRIQEEKLRLEEAKAQMGARKAA RMRRRAGRTKKINH JR316_0000680 MYRSPTSQDTHHDHLRDLLDQRSARADIQGRFSSADSEYTDTPS VYSRTNFSPRVLDHGGQDLYRDQCDGPEPISPYLSRSKGAHNRVQGQPTSMLGLVEDS RFSSALSGKNDDEQPYAGEEDEVETDTRMSYLGPKMRFHSRAPWEMEGDTLEEEDDLD QPSRHFQQGHLFGRSSGSKFDSSRSSSPRPSFNSRPSGESLYSQIPPKRSFETINSQM SYPRGALYALAQESLSTNSLTRPALPTKENKDTVRNKFSFGRLKPDPPAVSLPQSPIR GRFPTSPGAHEQPSFKFESHTRSNNTYYANKSFANSTQESMHPYANPDLVVSYADDDT ENFTSQSVQHPRNDSSITIMESSSTDSMIKSSSRATLTPDTSVNSISSKHRASSILTK TISSPVPVLGSSQRVDSVVSDTNSIFSLQPSVNNLPGWTERNTPPTFSLISLEEARAQ RTRSSTVTVPTKVSSLTGVVESSTSFPYGDIESATNDSTPLSRTRARSISAGAKAKNA IQGSSKPQRRDSASSSAHQIPAAATGTPGTKGLKHKKSGFMRLFNGGKSLEKEEQNSP PPVPSLPETVVPPQLVQRTPKNVLHRIPVPSLPPTSTAFENSFSEPSERDSLKLNLSP KRTPPPSLSINTISQGVTSRSSTSDLLPFQRPFLNEQPQSAPAHVSEFPALRLRPVST LFSDHFKDHIVTGDSRSSDETTDLDTPRSPSPGGLMSPVTPASALNSNNDKNQASLLS SEEDSKLRALQEEFDSSKQAWQRHIWELEGQVRDLKLELAESKTKNTGEYCHSCGRGV RKEFVTPTSTAGSILNRPRARTGVSSRFGNALP JR316_0000681 MRPLTEEESKAVFTKLANYIGKNLVHLIDRPDEPYCFRLHRDRV YYVSESSMRLGISVARPNLVSLGTCFGKFSKSGKFKLHITSLDYVAQYAKYKIWIKPN GEMPFLYGNHVVKAHLGKITEDTPEHQGVVVYSMKDIPLGFGVTARSTVDTRKLDPTA IIVFHQANDMLFPSTTSWTALNNDQLTAENVDNILGRIHDDLWVVSACVDRLLNDTPT QRALLTLGISRTERVVARCNDIIAQASPPQPLSPNGSLEAMHLHFKSSPTDALLCHFR QILLQRLDRLNTYVEMEAQFPRGVQTQVEETDAEWEDDPWADENPGPTSKPTPLPNSV GPPPLCLADFLQNDLLWSACQLASLEAIDALRVLCEKHGTALWPCRFKILDCIPEHIH PSTCRSILPSLDASMYREAVWQEYSWRKTRDISELPDVQEAIQIQISSLPTNQINEEV SFSGIAGPLTAEELSNWYKNRVNIVIKSTGAIDLALAMVQHGASQGIPQLDELGEELS LLSRLVYDAPQGPNIDSDWTLDQWYSMDALAVVRAYLANSTSETIAYDISRLVMPYLF VLEARAERAGIPDPSLPTRILHQYVLSTSLELAAAIFNASKPTLPTSQRIIKDDEDMA RLALALLYASDSLTEWSIMSSIFECLPVWDVSRDVNSDEEAADTTVASLGAFVTPSTN QPPCSASDLLLFFQPLPFTSLSRALDILDVHLESGEILSRWSVPAPLRWFLQSSGDIK EQRAWANRMARRAGGKYDKLSGIEDWEWLLGDMEKLTGGGDPNSRGAFYFEIAKRMLY GPHHKLTLNAETIESVCLSCSREFYDNANSGNYKVGDMKLAYDCLEVPHSSDTIVKEK DFIEATSRICSFNVPSKHGLTITPLEIRLTKDRLNLVSRVISSNADAYKHAQVILELS NKLGYAGDSVAEVKVLAMLADTALQAEDFTRAYENNERMVRTVTELRHMTTMGSDDDR VKEASEVCWIACYQLGRQQEYDDLPKKMTLLGYALELCPPDQINEVLSAWRKLERENS QAHEAKLQRRRTGDTVLNSRKTDNVPGNVASSLRARLQDFHVPSPPLLSTPDAAALAS RTFKSVAANFPFGVGHRARSQVSDTDGRGSPRSESSRRTDGEDVSSQASRVLSKGIGW LIGADEGI JR316_0000682 MDTAVHNKSSHYAEDSKDQEELSSQFDNTVSLVRNYSKREGWSG ILNPTGETKERFDFWGPAYNLLSSSTMKESVARSQPQAEKPQYENQPVGNK JR316_0000683 MSAPSFSSFPPSFSSFPDLESGPSDNLSSESKKAGKEKTKKKHH DEPRKSRRTSKERETKHDSGHRKSRPTKEHTCEDSAHTDSKGTEPSKAKVLFYSDYKG DILNVQYGGPHSGDIPKYRMVSGGRNVLGLPESLIVLRRRGRGAEVGLRTLQKTASLS TSASRILLSKPPSRRLVPSSRRDTYQEVDGVITFSSRKTPTGADPSYKSIPKNDVESS ENSSSSESEDDLSSEEDSDTPTLTAHQETLKALQQEVEAQPDAGDKWLSLLNQTVSTI PITSKNATKARSEISVSILARAISASPQNIRNRSLRLSYLRAGEEVWHETKVKAEWEE ALKVEDTELQVEWLEWKIRTGNGGIDGVVDAAVRVLDGIGSKSEIAKVRVLYRVAVVI RSAGYTERAFAMFQAQAELSFNLPPALAKLPFQTQLTELEEFWESEYPRIGEPGAKGW NSWYSSKPDERFQTVSSQVKPQNVADLDMYRQWAKQEILFDRSSFLPQRSDSNSTDPY SMVLFSDVRPILLDLKTRDAKHAFRMAWLSFCGLNLPGFHLSDTDEGNWDDRWNLHYL TTPQNLERIFPPNEDRRIVLNDAVAGVVIGRERFYNSPFGPIRCWGKEVSRPLDLASS EPGKVLKRGIWSTEDISHLDEEFVRRLFAGMRVLKDDLEWDLLALAFELSINPKSATK LSKFFLSTNQDSLGLWGAHCQLERLRGRIDDARKVYQTVLVASKLNNMRKEASQLWWN WAEMEWLSNNNQQALEVILRSVGLEGSSTRITILRAKRSLEELAEATRQTNGWKDQEA WIELRALVEIIEGHDPRAAISVFDKYLSSETDKSSAESLMTASLVMVYSYGVILRNTM PPAVLRERAHQAFQQYPSNSIILGILLAAEKGQGVWGRVRSMLGGNDGKVKDVARRAE EVWMAGWERGRWMSEVERTRNGLAAAVEHERVFDRHELNGLGDAMAERGIRLHQGLEE ALEGVDMEEAPQTSSDEDMEDEIEYNAHELRRLKPY JR316_0000684 MAPISTPTLILPREEWPDADFDLPDDSPLHAPSDKDDDDEDWDL EMDLEPTDGALVILQTASVATRSEVSSPVSDINIRPPLRLPDYEDEDGEGVSTIKATA FPTVFSKGATKPAVEAIDEDFEHDFALPTDITQLSLAPLSLSHRASKNSLEWGDKEHS SSSQSSDAYSSLGFADASPSSNSTSCASLPDTETEEDDEEDDLEGLVLPSALFESGQS ARQLKKILETKKNAQPIPNPVNVASPDPEDDFEMGLIINDDVELSPSRLVSSTQSNKS YRASTRSSSIPQPRTSSLRPPSRPKLERSKSPSNPPTSSVRQLQKIRLSPSPPLRPPS RSQKFQTLVSNLPTPVQSPSQSHNSHNFFSVKPGSLRGQKSHSGLKPPSPPSTTRKLT RKASMSSLIESSHSQALITDQTAKPSRYNEPTAASRAKTHKNSTSRIHDFKASSSRPS TPSSNPAAQRLTMPTQSRPRSRPALSQVFSGSTTQTPEPSALCATSPLPPRPPSSLSL RESVPPRRAMVSPSAPKLLRRPKRLRTYGDGTELDGIEDLPTDREKEVRYRVQPKGYG NRIPGATFGPKAPERDKPPDKLPATGVKEKGRSTIPDSVITTLKKTSRVQFPTSIPVA SDVLPKKKKQVSSPSSQTTKRKPTLIRNLGGSATPKVVGDMKWNPQTLRWEGNDQVLR EFDMAVGTSTRPALITHLSGTTVGSPMGSFVAGSRIVGNMIFDPTRMCWISTLPPDED EPDVFANLADDEEDSDSWEAKGGTIRANVPRTSNASNTSDSRIEAPSPAHSHSRTISE SGSDRGSRASMVVCEVDDAFIESCRRAEERHRFEMKGWKTTLAKTIRRDTSLALERTH LFEIRELATRKY JR316_0000685 MTINSDGLVHKLHAGGGRIVLSVHGKKAVFSELSSTYPLKLLSP NVQGETALVYLLSYGGGLVSGDQVDLRVEITGGSLMLLSQGSTKVFKSRPGKRLASVK SDRIHSSLLPHDSAATPSTRQNLEFHLAPMSTLFLLPEPVTCFRDASYNQIQRFYLQE DSSAVILDWITSGRMSVGEEWVFSRYHSLNEIFLGGKRVAKDVMLLDIEDTGVHDVHI PHRPLRDRLQPYSCYAMLILYGPQMQSVIADITAKYNNISVFKTRQPDTLIWSLSPVD SKKRGAVVRVAGLETEMVKEWLKQTLAGFEDVVGKDLYRRAFP JR316_0000686 MLSRSVKAAALLRPRRVPIAAIRSLGTPSNNPRPTQKEKEKDED KDVGKQTDKPAKPELPKALEGFFQQYQQSQRRQQQSQESSEEPSPERPKNRRNKNETP PPNNGFSNNQLAWVVASATAYLAWSNSGASHSREITWQEFRTAFLDKGLVDSLTVVNK HQVRVKLHSNATGTMQPHPSGEYYFSIGSVEAFERKLDEAQVELGIPPSERIPVSYQD EISSVGALLNFAPTLLLIGVLYWISRRGSGSSGGGIFSIGKSRAKMFNKDNEVKTKFK DVAGMDEAKEEIMEFVKFLKEPSKYEKLGAKIPRGAILSGPPGTGKTLLAKATAGEAS VPFLSVSGSEFVEMFVGVGSSRVRDLFASAKKNAPCIIFIDEIDAIGKARGKGGSLGG NDERESTLNQLLVEMDGFGTNEHIVVLAGTNRPDVLDPALMRPGRFDRHIAIDRPDVS GRKGIYLVHLGPLRLDDTIKADIGSFAQKLAVLTPGFSGADIANVCNEAALHAARRGS DYVDPIDFDTAIERVIVGLEKKSRVLSPEEKKTVAYHEAGHAICGWFLEHADPLLKVS IIPRGVGALGYAQYLPPDRYLLSTPQMMDRICMTLGGRVSEEIFFGAENITTGAQDDL QKITRMAFEACANYGMNTVIGPVSYGGDRAAKESWTKPFSEKTAEMLDFEVRKMITEA YERTRNLLTEHRGDVEKVAKLLLEKEVITREDMIDMLGKRPFAGRSDDMDKWLDENRG KVAPPPLESPPPSEVDDPMPSPAPIFKGLDDKSML JR316_0000687 MLGYGGTDKPTNAEAYAFSLVAQDVIDLLDALHGEERVIVIGHD WGSRIVGFLANLHTHRFVGFAFLAVGYNAPNPTISYPQLLAYTAQLTGQERFGYWSFF SEDGTDQIIKDRLDSFFSYLISLRFEDAPNFTPTGAYKATLLKNEVSPLPSFITQEEY DTQKVTFAKSGIIAPLCWYRVATSSIGREDSKKVPLDKYTVATPVFLAVAKEDPVAVP GMVIASTLKFCTNTTVREYDAGHWVLWEAKDQVNADLEQWIASL JR316_0000688 MAGLSFVFLTGACACPYDKGYAFRSDGRYFVLAERHKSKDTLGV YDAMQSYKLIRHFPLPTLSISSISLSPNGNYLAVWEGSVEYKLYIMTLTGDTLASFVP PVDPGLGVRTVAWHPSGSFLAVGGWDDKVYILDNLSWSVVAVLESSTRISSQVVGKNQ TPVPL JR316_0000689 MDFTELYRQTTSLVAFSPGAHFILTAVQDRIIVRRTDTFQITRT WLVDSSPSPTQSALISLNSKQKFHTSNSSNINPDSWITHVGWSCDSEYILAGCAKRGV VHLLKLRDEEWSGRIDSGTEGK JR316_0000690 MSAYTLVQDDPDNLNIDAQASTSSESTRRLDHKLDEKSLADYDP YLIDAFDPGDPDDPQNWSDAKRWYLTLISGLLVLNATFASSSPSGIFPQLKDEFQMSE KVGILTLSLFVCGYCVGPLLWGPLSEQYGRRPLFVYPFFVYMARDIFLRWRLKNDTKS QCFMMANALAQNTTSVLLFRFLGGTFAAAPLTNSGALISDIWDAKMRGKAMAVFTVAP FAGPALGPTAAGFIGDTISWRWLFWVLAAFAAVCEILIVFTIPETYAPKLLVKKAQAK RAETGNERYYAILERQDLDYKKRIEDILAKPFVVLFCEPMLFVITLYMSFVYGCLYLL FTAYPIVFAQGHQFSAGVSGLMFLPIPIGGALAVVLYVAYYNPQYEKEAERCNPRPVA PEFRLEMVLYAAPLFAMSFFWFAWTSFPHLSYWAPMLSGLLMGFAIQLIFLGLFNYII DAYLSVAASALASSTVIRSIFGAAFPLSYSINVINPVLNIYGLVEPCIRNPRIHRQSG IPSITRTSKGTPNPNPGGGTGNLQQSGQSTPKSVTFGGPGKTHSIKSKVLGRQKMPKI HHELDLWDQGTPVRDGLTSTSASRRRYTSMPSDLQAQIDIDNDCIARGLASSSPPLVD FTHFEDMYRGPRIGAFEGLVPYRNRSKTRNGLRSSSGGEKSSLTDKPRPVTMDGRSTA SLSVPGTSTLPASARKPDPRLIIASLRDILGTSYRVEDYLNSFELLAAHQLDQLDFEE RDAFHASLLEKGKIHVKTHDGPLTVLGEPIRKASIYSSTYVVLGGREHCLPIIVVNCI EELYRTGIYQPHLFRSLPNRARLFELIGIFNSEVPLPGSTIRSRQSNSHPITSVGFGI NTSLHLESTPDICALLTTYLSSLPEPILPSFMFRAIWDWCELDDDDNDNHEGNVKLAS DPKPTSAFGRRHGLPSTVPLARTYTSPTESTHILVAQLLLQLLPSPNFSLIIYLLAFF SQVALVKEENGVGVEDLSRMFGGRIFGGGSVATSTLQSIGESKSAEDVFNTTQTRREG EAMMSWFLRRWGPISEGLFDVVDDAKMGLFKRTMARKDSLGHDILTSWLTDTGRPGGN SSQSGASDKNAVRGGWVSDAEEIQRRKGLNKCFPSTQEPFQDIPQIRLENPQDQSTPK SKAATVWVNEKSSRDENVDEELDIINDYVMLNSGTNPVATTSSLNYSEDATIDIARVL PSSGLQSGPATSPDERLMDISLPAFFNETYPTSPNPCEEPTMVHVPQTRKPGLCSVAV QTGSIPNGSPSILSSEANQISDRNVSGSRGGRDQSSLEIIITALQKQLKNRSDTLEEV QKEMEVCKKELDLRARRIAELERSMTGC JR316_0000691 MPPMTFHGVVTKAGFMRKTVTVTVSRWVIHKLTGKRIERSKKYL VHDEKNQLRRDDLVVIRNCPPVSAMKRFTLHTVLKSPETERDIARAAKVQAAQSTPLG SKPKGVLESLNKS JR316_0000692 MSLELKLQQASVDFENLQAELSRVVEARQRLDAQLSENELVKKE FAVLTPENTIYKLIGPVLVKQDQAEAKSNVDTRLDFIRSEIRRVEAQIKDIEGRQEKK KGELVQIQSALQQASTQSSSPTLTA JR316_0000693 MPPVTIDWSKVNNPELIFQRFASRRSVVYGTKGMVSSSQPLATE AGLEILRKGGNAADAAVATSVALNVTEPSCCGIGGDAFCLFYDAKTKTVKALNGSGRA PAKLDIDYLLARGIKGSIPLTDLNSVTVPGAAAAWVDTIETFGSGSVTIADIFEPAIR LAEEGVPVSEIHGHAASSAYKYEMLLNGKAPLPGQIIKLPNLARTFKEVVAHGKDGFY KGRVASAIVELIKSKGGLMELEDLANHRSTFVEPIQYTYANEVTVYECPPNGQGITAL LALGILESIQEQGLSKPLSEMEHNSPEYLHTLIEALRLAFADSQYYVTDPDVEHIPVK ELLSKEYLASRARLFNSKGANPRVIHGNPVNSSDTVYFSVTDQWGNACSYIQSNYAGF GTGGKVMTCLGDPAMYTLTIS JR316_0000694 MAYRCLLKLPSHSATKPRSIARYHDYIRAATPGRTERPYITFTT HVQPAGSIRVPLSSPKVIGVVNSRGNRRQILNQVHQEDFYGFATLSLPPEELRLSLKR DHGVDWDPSQVGDVLARQVLFVGIYDGHGGSAVAQYLRQELHGLFESVDKSLIPELFG WIKEIGGYFKRFKGGAIAPWIDGTNKEEMTLEARATLTFFEVDKNLSADNAAQACGAT ASVAVLQSLDAPATPFFSAEKLALTVAHCGDTRVLLCSTLNGQVFPMTENHYPDARIE SIRLRRMMGSSLITDSYGESRWMGSLANTRWYVLNTILQNLGDLNYKKFGITPEPEVR SKLLNGREWAFLVLVSDGISSILSDAEIVDLARGCNDPKTAAERILAFSEELGGEDNA TAIVVPLAGWGKITGPDATKDLRAYRQKQAVGSERQRLSCEIPSSKYTSLLISPAAPV SLLSTSGVPAEIQKRGESSAVWGVKPRMNTLPQIRR JR316_0000695 MLGSSFTLSLVGLLCLISDVAAGSPPLASSFAGSTTSAVFPPPN ATITATDTFFPDASDIGFAGPTPTGDEANAIATAPVNAKVDNFFPLINPGAQDTKKNK PFDVLVHAGSLSPWQSVDSFGLPDASPVIPQGCELVQAHLLHRHGARYPTSGSGPANF AAKVHAAATGAGFSATGALSFLNTWTYKLGAELLTPFGRSQLFNLGVGFRVKYGELLK DFKDLPVFRTTSEARMVDSAVHFAAGFFGVQTYQQEYHQLITIENDGQNNTLAPYETC TNSNNAIGSFGNVQSAKWADVYTAPIIKRLGKDLNGLRLTATDVTSMQQLCAYETVAL GFSQFCNLFTEDEWKSFEYLNDLSFWYSNGPGNPTSSAMGIGYVQELVSRLTKTRITT FNTSVNASIVTDEVLFPLDQPIFVDASHDTILSAIFVAMNFTSLAANGPLPTDHIPKD QTYFVNQIAPFASNLVGQVLSCSASTKPTHIRWILNDGVLPLTGIKGCKANKDGMCDL EAFISGMKARIAEVDFAFDCFANFTIPIPDNIVNGQFPK JR316_0000696 MGGSQSLPKITPQDRAILDLKLQRDKLRQYQKKIQHVLDREQAI AKAQLAAGQKDRALIALRRRKYQQGLMSKTDSQLENLEQLVTTIEFSLVEVSVLHGLK QGNDVLKEIHKEMNIESVEKLLEETAEAREYQKEIGDMLANTLTLDDEDAVQEELSAL QKDILGEDKEPHLFDLPTVPSEEPRDPITEGMFLVFTFILHTLKCFARPSTPT JR316_0000697 MGHGNSDKLYITHAEHAGLHGSHSSSTGYKAKAEAPHPGARTPF DCCALSFQPFTHPVCARNTDGTGIVFDLVNIIPWLKQHNNTNPITKEPLAPTDLITLH YSRKEATGEIHDPISFKPFSEHSHIVAIATTGNVFLAESIKGGRDLVEDVPFKKGDVI TLQNPHGMPTASAPNVVVPEKVKKEQTPNSDPVPVVKALKAKEPVPWNISPYSSGLAG ASLTSTSVDISQGSSSKLLWDEEEMMFEDISKPLKGKGKEKDVGKRRAYVRVVTSLGG ASLNLELYCEKAPKTCYNFLMLSRAGKYNNCLFHRLVPGFMIQTGDPTGTGAGGESYW GTPFRDELDMKGAAKHDGRGTLAMANKGANTNGSQFYLTFKSAPHLDKKHTVFGKLVG GEDVLDALENLPVKPGTDRPAKSVRITEVIIYQDPFEEYKTRLAKKLAKRAESTKPGI TSPSDTKEDVNWFGTKIGSDGNTLDFGGNSGAGSGVGKYLNLKRPPSAVITSRDEDSK KKRKIGFGDFEGW JR316_0000698 MARAHARPKVSDSAKNTRNILITQIQKSVESGDLLSALGYWRSL EEHKASVQPDDEGYGLPESTEQKLASLFKSQVQKAHAAPSWDPVIAQDFALRAAIHGS AEALYGLMLVQLQMKRPQETLGLYRQFMASVDSPEIVDDVDDNGLAGLVREGNESNFD HFRVSVLLAAITAHAMTDSFRAAFDTYMSSKLRVSSFRKNLFFQEVNIPSEVVKKAQK YANRLEILALLSKPSALSKHIMNLAHPRTAPVLIRLYQTILDGIHDESDPYLAADRVG LSETRLAAMTEVGWTSFQTGFIRCERKDLAAQLWSDLANLGIQPGVTMWTGLLDVYAD LRDSTQSMLTWNMMLRQKIEPDELSYRAMIAVLFDDNKLDEAIQRFQEFRKRFKIHNA PQLTVYNTVLRGLLRSKRILEANQLLSTMLKNGPAPDVVSFNTFLGFYSREKDFSGLA TTVNRMSDAKITGDVVTFSTIMTALLQVGERNAPNIILGIMQKQGVQPNVATYTAIID HLMREQSNENLEASLKLLDKMEQDPVTKPNEVTYTTILTGLYRGRWTDRQRAEEVRGD IVSRMRRFKVAFRLPTYHTLIQAALDSPYPDGYKDALALIQEMEDQGIPRVNNTWYIL FKGLERLEQWEAVNEMVRKMWRSQHKPTESLQKVIDRIVRKTS JR316_0000699 MPSSVASLDDTSSISSYDSDEEYRLAQQEWEESLEQLQQLVAVV ILPFFGKWLGRRWSHIAYARYLRVGLSKAFFFGEATPKSQC JR316_0000700 MTDHPTHRRRRLVGQSLLYSISVFASLGVFLFGYDQGVMSGIIT GPYFRKYFNYPGPIETGTVVAVLEIGAFITSVAAGRVGDTIGRKGTLFIGAVVFTIGG AIQTFTIGFWSMLLGRIVSGFGVGLLSTIVPIYQSEISPPNHRGALACMEFTGNIIGY SSSVWTDYFCSFIDSDMSWRIPLFMQCVIGSILAAGSLLIPESPRRWLIDVGRESDGM RVLADLHDGDLHNPIAVAEYEEIKDKVREDRESGEARTYGVMWRKYKRRVLLAMSSQA FAQLVTVLLRRTCVLRNLVEAGWIGRQALLMTGINSLIYVLSTIPTWYLVDHWGRRAI LLSGAVIMAAALVATGWWMYIDVPQTPNAVHLDTDHAAQCTGKGGLIIDGDELGI JR316_0000701 MSGMYEKYAEAMAKRKPVEGTNGERVALSFPALGLDDRFVKALQ RAFPEVEKPTAVQEKVIPEILGGKDILLKDLTGSGKQDKFVEQDRSFGLVLGLLNKPR MIIHDEKGGRKRVITTLFIVPHRDLALQLLRWIERVTDALRPSPPLRSIAQVLVRVGG GTRGLEELKETAPHILICTPQALMDVYEREREALRLETVRTVVVDEVDCLIESDPRKV QKHAGATRTILDVIYAKRLRAATQHEEEDEDEDGEIDGAPDDLPQLIMTSATLTVRFR DYLLRECHWLNRGNLVKVRGDGEGDEAGRVLHSLLVVRPDGTVVNAEGAREPPPRAAR ETLAVEDERQDPDDHESKSDSCPDRRVEYARTQSPFNPHAVEAIATAFALDVPQIALV VVGSSAPVQRMVYELRQLGVNAEALDLLVERRGRAYLAQAGSGVEGVARNPRLLVATA ATVRGLDMPQLSHVFLLGVPEGRAVEGYRHIAGRVGRFGRGGRVVSVVAEGEDGRMSR SSSSSSSSQSLSFSLSTGDVTLTIPTTRPGQQPSVFTTVLPGVFNVTYTITPTTTSTP TPTTSPTTSSSPSPTPDPFDTRVDPAFAVLGVILILTGLPSAFWGHKNRWTSFFLIGF YTLSLVCIVLILKFGVIPAINPPTQTLRGMFVLASSIAGIAGGAVAIFFWKGARYGIG AWGGFALGLWIQCFHNGGVIKSVGFRWILYIGCAVAGFALSTIPKIHYHVLLISTAFV GATSFMLGVDCFTTAGLKEFYVWNLGFPALFPKFTENHIQFPVSQTMQIELGLIGAVS LMGIAVQLRILKVLQKKMQEIAEETQKRDEEAELRAADRLATVDQERDAWEKDHPTLT KHGRQDSTMSSLPLMKEREDSASPIPDPRSSYLLDEPRPRHASGLSDFKVAPTPEDDL RRAARNSNQPVGSMLPNLDLGTRIQEDVPSSFIADDVMARPVQVSASELEDFKRKQEL LAEIQTIRRSIDILKSETPIPSSSEASRRPSFASKRTLSLDATNVLQQQPSSHARPPR EAAPPRARVHSMELSSLVNSPNDPITRPTSVPLKDSDWDSYIQERKLLQPPAGITPPI VTNRVPMAPAVQEALQRRKRRESALGVAAPSTDSSEDVPLARVIQQKPSNQLGPVTIL PPRRASANIISPVPQLAANTRTRTFEELTERHREKLRDMQAPLTQAEREHAELEAARQ RWDRSKALEKEAVTRRQAEKVAALEKRKKMEGGGGEHSRRSSGEAGRRHSRSLSADKL GNQGGTSSRRLSAMKVEDWQRYQQDAEMGVKTERGGVPFPQETRRKSRDA JR316_0000702 MPPMHPRNSGRNIPRPILSSRQASSSKRKLEDAEPEDPHSKIRR IVRDHVSQDPARVMPMTTVICLHAAVAQKSYGSEKRFLCPPPVVHIEGPVWHMRTQQL AMAVVSESGERSFEQKAPLDNNMTASFKFLHVTGTAKAKSFQLSLDIAEPPPPALVPE GADTPSGRVWASFDSAPVTIISKPSKKTAKTRNISSCILAGGPVSLFNRINSQTVRTK YMTIDGGQLCASNVSWSAFNVNVVRKPGMTVTASSVVAGPQPVTYGCEIVLSDTHSGI STSPLVIRKVDKGKVTHEEGGPVSQMQKIALQRVNPDGSRHYLSAAGPIPGTPGVVAP PAPGMSSQAGTHPLLFQSPRVREEVKDGYRVVCDEVDDYLCWTIVGISKFQYTFFDAF GQNNTIPEVPITPFPTLFTAPAYRPANNTIELTVSNFFYEHPTTRHQTPLDVYLGNLG PLHLRVYQAAPPGPLTAINSYIPGGSGEGRDSTAASNPPGRYMSAPLHTVVIVEMPPL ADVIKALEDDALPTNTSPPNGNKSHPPPDGVEGSVPPPPPMPSIAGRSLPLLFIRASD GVGYHSGRTIACENVFQAMDLGNMAASHQQQQNGQPVDTNWIAAAAAQAAAVAADGGM HGWTLRVM JR316_0000703 MTSIHIRQLLQPPSLQESQRKAKETINSRFPTIDDLDEVESPFL EHQNELNRKLSASQSDLDIFLRRTRVTAENHLTKAKDVSLLRHTLNDELSDLTQSLIS IRGSDDHKPLLLEDIETLHRNLKELQTVKQYIQVVELGLKLSEGAVENVRQASKISKE SVNAYGELQKYVTSIVDACSAVEDLNQQGLNLVSFMKNLRDRTWVDMKGILSSSLVTC AEKFGWPAPVEYASVASQDRKAFEIAFFNLVKFQSIGQEIHNQSPPGDKDGLYPLQAL IQPISLRFKFHFEGSRQTNKLEKPEWYFTHIQNVSHEHAHFMNNVIQRLLDKTEYKHI SAWREFTQLLFPLLVRKLRKTVPLLLDHPSLLAHTIYQALSFDTAIVEEGFELQGTSI VQNTPKWAGIADTVLGNADWFETWLSAEKQFVEGQYNEVINAPDAWHITDEVESDENM QDLRPTVSSRKIKSLIEQITDRYSPLPHAVQKAHFLLLIQLPLLEAYHGRISSSLVAF ETLSSFFVRSVPGALNFSLREASLQEDPRNRTSGTAGASSLCKALLSAGYIHACLETW GDDLFFLQLWEEFIGDQDLRHWAQTSPYLPDLSNGSNPSPGDMIFSKMISNYKHLCVR AEDMVIQLVCGEIESGLRAHRAAAVQVASPVPTDVSEFGLSQTLLEPIGLLSSQLNLL RATLPATLFIVVYRRITQRLADHILHHQIMYRGNLSLQEAKSIRSECELWVETCYIAV EGALGGGHRRIQAPWSKLLQAGRLISLEGEAREKIVSATFGSLSDTEWEEIVIEVVGM SEMARDEVVEILKRRED JR316_0000704 MSSHQEFIPANETYSSSFNKGDLALPPKKRLIVVTCMDARIEPL SQIGLDLGDAHIIRNAGGSAREALRSIVVSQRLLATNQIAVFRHTDCGMLTFTNEQLR EQVIAASPGNAAIAEAVNAIDFLPIPSLEENVKADVQFLKENPLVFEATTLTGWIYDV HTGKVCKNVFR JR316_0000705 MDELIHHCLRELAFDGELGSNVSRLKDFIVDFYAHTNSSHTQNP DDTFCAFVWALVVQQPSTIVGVVSPELGSEVWIAPRTSAMRKAQARGEDHVEQQPPQL DPISEPKTTSLDTLQHAYGDRLRIAVEPDSIFAAITGSHIRSSKMSPMVYSALQIITR GRDSGVTVVDLGKQSGYDQKTCFYLVRQLTELELVVKVRRGGVGTHFCIHKYFFDRSS SWKAIRDEETQAENLQTTGLDSEADLKEEDEEIEDPAALNFTPIDARHLSSLPLISGR VIRLLKASKNHMHASNNMLITLGFSNPTKTDRRFFQSRIREMIQQRLIEKVVVPSNKR KSNSKSVKCFRLVKQELSSHGDPVPILSDADDDLGENAEGQNGIKLNTTLHKQIIDQL EDSGTSGMTLNDLSTSLCHFDKRTIELLLSRAVHHHPPSHLSDLRIAPLIETSGRERR HRYITVANYRKLVAQEKLDNKSFAGYDNIDLSDVGGFLPVPGSLFYEKDAEMIQFCDS FKRNEQGRISKAGNKKTKNPILPDGRIKRGRPRKNAIKNDGQVPSRSLRLQAPKRKRD DLLDSTQVATIEDLDDPPKKKTRHSKKPQERIPDPPTTRGRGRPRKIKIADVIDNEGY AEDINMDTSPKAQKKNVNIPTSKSISVVEGEPNTSERGELINRLLPDEGSHKDTNDHV FTVPSTNNTHIEQRGDDVRDMARSMTDIPEIMNQTDMRSSSQFSEGSQSPEKTPNSVP NAARINVSQLRRENELLRVVENAGGIVNIQNKEFYQAHMKLLESLAQAGEPTSAPVGT KTDKRTATATFASLENKGRIKQLRTSVTTFTGVTRPACIVYLPHIEQNQINEFLAELA RSSQQPASHLTSFVKIDRRLDYGADTSSTSRGILPLQLLQLERPSTNDKERWSKNVSR AKQLFTHDDTTIRDVFLAERTTVAQLYGFIVGKALRCRKLHLAAIHAFETQVDSTNIV SHEKRVIDLSFFCHDLPLDLYCSLVSPLTFDEELAMKTKSSMGQKTLVRDLPQHLQTL LQLGRSRARSRILDMLEILRSLNLVTPLRPSDSSIEEPFLSCIPKGGHPTSFSVASLD GWTINTPMIAPNYWCFNESSSIHLYAKSEIHPPYWKTNKLSSYFDVTVYWDQLREACL NTNINIDIDVHSSQASAASVAAARSLRRAASWKSEYFLTWHQMQYMKQYIDSMAFSTP LQISDDTERRNQIQHIAWVISAPQETVENFFSSSRDKLIRTSEKMKEKARKTQKRTEE AKLSLAKKAEEARTQREQEWSKLLVKSHATALTGPAVVRLERIRNQFLQSISLKNASE WEKDIQSTLDEANWANSKALKIASKSFSNAKKPSATSAAIIPASESSIQSLIESQGPP LQHSKVTVKRKKRKGQNADPSDSSATIPKKVTRRHRFQWNTEYDELARDASAIIRARC RSLSRLDWGAFEQVFPSVPRNTVRQRLAHIKETPGNEAYLRRLEDTWYDIWIKHRGTS ALPDDDFGSASNFNLVKHIEFLRAHVDKNAIRVGFAQTKEIATITLPSDVASLMETYN VVQAEKTAPDWDFMWNALIEEGREKRLKKAVISRCPENFPKVRLSELDEIALAESTLK MAMGTPPERYDPAQGSSLLKNWGQDVIDVATKNLLTRGVLSKSQRDPLKQGPGRQLKI SEANQNAIGGNITSDTFQDATSLLEEIDPSDTTWHDWPLTATDGDCATLIELVSEDKV DFNIDTSLPRAERPGLDWNSKKADDDQIETALAVRYRLDARASQDISSDDPQITATSA DSMNECLEPSVEAHTDSGHGLSVENIPACCRRLTGQGLIDCAMCLGDAWSIFRISLSQ DDQETADWVLDIVSQRRESGIKKGDLISLAGPRDLRVAIVVNQMVEAEIPLIHWMGYD SLVLVSAQYLRKWTVVVSEEPFVNALPRRWLDIRGNRVADFWQSGLRAVMGLIVFRPG ITQASWSNLELSNIVDDLPQTEIRWRLRAVYDRQEVSEILRHLRREGYLRVRLGYSSV WTTCGTELPFDEVEERKVFWFIGDKHWYQL JR316_0000706 MQFKSLGEAVHLSGPLQLMWNRISFSRLTIIYFIFSIAHFIIQL SIQIKAFTINAEAAHFLSNIVNEAQTTNNSLPLLNGPILRMCSWVPSNLNVDVASCPV IWNGTASENDNFNNIAIATASSAQPFISSTSSASSVLSYDTKETAVVSSASVTKSTFI STTLPSTPTTIRVLSTQTSTQTVTVIATAKVTSVADESDTRTGIPPLTSSPDDEGADI DNDPFQYHNYRRGVQVIPSREGNTVQITLVGLVNDQNVTLDNDCLWALNWPVSVLGNT KREDMVFMAFQVWVLGMSIVALMNESIPHILASLVTHLMATGWAAFQISHTAEFHSDF NRVITHGACNKVSLLPTYWEARSKAEIPSLALNVIALIISSFLTWRLIKLFGWQTFKR VGASLTINRIYKLVLILSITIQLSLFFMIVTVSLWVDQLLNSVIGDFATSLKLYKVTS FITLALLIPWLMTGWFAVRRELRVPMIIFLSLSVLYLIGWGVMFISTTFRWTFRTWIF FSIMACASVFLTFTSIVLGIICRLNFGKGLARYLNAHQPLDEEDDLNRSYGGSDFEKV AFPSSEKPLPTYASSFDDYESGYVPSLHYGSTQGPRFSNKNAEPFETGFQGISIPAPA LQRNMNEVQVHRANSYGSTKSGGSHRSQESSSSSHLNHKRWVIE JR316_0000707 MIPRRHTQTLYPLPEHHSHEHDHDHDDEEEDEETWSTMGPRTYS ALPQPTQLNGSRNNRSNRSRTSSSAQATRTSSTAVTQYSKLYSQFVRRYRSNGIEDTA EPDDPRNDPDSHYFQRGLGQLTDAGDNSEDEDSAHATFAVASDAHDGVSTVVLEEDHI QPASPRERERLEWQALLTSVLSGDVLKSEKTRIAVALNTFGDEQHNNHVNFWLGIRAK FHGRSVEEEKRKLEERRLRTVDGVINEVLNFTMSPIGTTETSEGGDIISDALTQVTTI LRRLDIVQSLYPTLKAFYLDKPIAAQSAFQARADTLNTWYTVLTTLKHHFALLRRWTG SDTLDVNQPFTSHEVPIGMGMRGRSTANGNHHADIADGSSFVERLLKEESMQLTFEKG FLVTVHAFLGAARDAQVNLSALFKEMNLPTFEKELVPLISFPTKLAQAGLRLRLDYVQ KLKDPDVLIIDQMTEDLKLSIGLACTLKRQYEAILAPDPGGNWNLPECISEDYDSTIL EALVTFFKLLHWQLKSGAKGILFKETDVLEAQWATFNDVSITTPGGSSLVAEQLCSLT NKLMVRVTNYFDTQVRVPLNTREGTAHANLDPDLYINGAHQPNHVQQDQVQRKMTDQQ VISWYSKILESVRLRYRKLQRFSRVLTQRFSNSAEYSLDDIPLDEFINLLVNSDHFLV YTHSLEEEGVYVVASSSLFERPEAIRKILDEAFQVDELGGEHEGIHAVEHVDGRGEDE AAYLLVLSPQTHFLWNGRFMSLSIPKINLDTKDNRVRLIADGPQRRLSLAKQAFAESL DSVEDDGEIATNSLHLTCLFETQAHLPSVNRELRKIARATNRLAESIVDSVHHVRNSL RVTNGGQELLANWYLYASEHAQHAHRYMDRPTVLKFNRLLIKLAISWVSFICDDCDPT DRRTFKWAVNALEYTLHRTKRDILHLPDGEFEMLRQKVASCMTLLISHFDILGARSIS EARKEKEKQEELLKMQIADVQVVEDDFPAIVSSQEDVSFIDSKARVFWERVSKALREI EDARAIHGLKHRTLGKVLNDEIPEDRSLSFLASSSSNISVRWQQGKFIGAGAFGSVYL ALNLDSGSLMAVKEIKFQELSGLPNLFAQIKEELSVMEMLHHPNVVEYYGIEVHRDKV YIFEEFCQGGSLAASLSEHGRIEDETLIQVYTMQMLEGLAYLHSQDIVHRDVKPDNIL LDHMGVIKFVDFGAAKILAKNQRTVQRSRRLIDVSNANLSVNVNGLGAMSNGLTGTPM YMSPEVIKNDKRGRHGAMDIWSLGCVVLECATGKKPWSNLDNEWAIMFHIGVATQHPP LPEPGQLSELGINFIKQCLTIDPVRRPSAAELMNHPWMIEFRETLELTMDQPVIPEGN YEGATVARQAAIVHEQEIELIRSSTPVTPPFD JR316_0000708 MGIIEKIKEIEAKLARYRAQLLEPTAKSGGAGTGFDVQKSGDAR VALIGFPSVGKSTLLSKATHTASEAAAYEFTTLTAIPGVIEYKGARIQLLDLPGIVEG ASQGRGRGRQVVSTAKTADLILIMLDATKSEEQKRLLELELDAVGIRLNKTKPDVVFK RKTTGGITFNTTVKLTKTDEKTIRTILAGYKLHNCDIMIREDITTDEFIDVLIGTRKY MPCLYVYNKIDSISLEQVDKLAHEPKTVVISCEMDLNLDYLIDRIWDELSLVKIYTKK RGAQPDLSDPICLRKGATIEDVCNGIHRSLAANFRYGLIWVKYGYLANLANSLHTHRR SAYHIKYKTKTWCLSLQNDC JR316_0000709 MHFFSQIFHYDHPWSHVVIGMWHKYPNSKCTHVVSVDTIDRSVD PQTGIIRTERVLGCKQKAPLWIVKLFGGSEDAFVREISFVDPATQNVTITSVNLSLSQ FATCYEQIRYSPLSATQTSFSQTAEIQARMALWRSAADGLEKWLVQRFEQNAQLGKSG FTDVLRNLWEERHRASP JR316_0000710 MLRTTFATSSKAAMAARHFHPSPAASKTVSQKVSEIADKVNKGV GKGLASAIDKGEQAANTAKETLDSTSSEAKQKAKETESTLKQEGNKAAATARKVKDDV SKDL JR316_0000711 MALSMDRSASASIPSSTADNVPLYSLPIPSFLGLVKGSSLYTTV VILFSLLALEQAVYRYKKRHLPGASWTIPIIGKFADSMSPSMEGYKKQWASGALSAIS VFNIFIVMASSKEYARKILNSPTYAEPCLVHSAKQILLPDNWVFLTGKSHVEYRRGLN ALFTRKAIGIYVAIQEAICNKHLDRWLATAKKTSASQPIMMTARHLNMETSLGVFCGS HIPEHAADEINDKYWAITQSLELVNFPLALPGTKVYKAIQARKVALQWLELAAHNSKI AMANGAQPECMLDEWIQTINEPGYKGRKDFSDREMAMVLFSFLFASQDAMSSGLIYAF QHLADHPEIMDKVREEQDRVRQGNFSKPLTLELLDQMTYLQAVVKESLRVVPPVLMVP YKTTRAFPIADDYTVPANSMVIPSFFLSLHDEEVYPSPDKFIPERWLDPQSPANTNPQ NYLVFGSGPHRCIGLEYATMNIALVIATAAVKMNWEHDVTPRSYLYEIIATVFPKDGC YLKFTPRSTDA JR316_0000712 MIHLLFHKLSLVLSGFTLVILVHYFIHLLASRRAFGHVPGPSSS SFLWGEEWELYHQPPGAPYVDWHRRFGKLVAFTGAFGHQVLSVTDMRAISFILGEAAY SFPKPQGVRAWFKATLGEGILWVEGKKEHETQRRILAPALNLQSVRQLTDTFFETSAR LSSQWSKLLEGSRGNESEIEVTNWAGRFALDTIGRAAFSYDFDCLSGEPHDLADALDG LTNNEHKSSSFYMRALFWLVPSILFIGKKGEMIRKVKRELGLIASKMWKDAKHTGEAN NRTVMANMLRFNNSTVIHMDEEEIVSQMRTVMSAGYETVSAVVAWMLYEIACHPDFQN ELRDEICAIPDHSFDHLINELPLLDAALKETLRLHPAILENHHEASETVIMPLSEGLA ETGEHFLVIPKGTLVVIPVNVLQIDNSVWGEDATAFRPKRWLDKKISSTLKGQELLAF SAGPRSCIGKTFAMTEIKALIVTLLPRFSFRCRVEIEPFQSFVIRPRVVGETASSLPL LVKKL JR316_0000713 MEQPQSSRRRIEETYVESLRKLHRKVKSVDLSLDERGGELSTVR AVWSEVVENVDREAQTRQALCATLTTDIIIPLTSLKETQERTRKRIKEDLKDSGAAYT EYAEMMLPKLKSRYTKKYMDVEEQKRAALTVPNAGPLNATSLSPEHHNSNVKAATIVP ARPTVTAPQPLRALDRRPSGSTPGARNRSPSSSTAFSDLAHQGKKQLNQLIGFLDKGG VGKDGLGVRENQALRTVRAKRDADEADREYRKGVHWLETLRLRRTKILESGYKSLEMF IEEASTTMKTILEKYADNMTATTSTQTQLSLHMRGIVDRISPEKDVAKLKTNIPRSLA SAIPDPILYEHGLVGECNDLIFGFSLVDYATAKGLSEGEIPKIIRICIEEIDKRGLEC EGIYRVSGRHAIVQGLQHEIERDESAFEFTPKDDVYAVSSLLKLYLRELPEPVFRFSL QDRIQHTEDREEHISNNFMLIRSKIRRLPPVHQATLKALIEHLSRIVAKSNKNKMDAK NLAIVFGGVIFGDDEMPKGGDLLSVQTVKDTVMEDLILNASVLYDTEAGPSSPPLPPT PVGEPIPKVSYGSRMTKITTVPPIELSTSPQDFTPRLPARPNNSIHPSSRIIPSSPTK PKAPQEKALPPTVQSDTNFEDMPPPSPVRRLSRLEVNRDMDDRNSIYFPAQDSPTRSL EASPNTSSDSRIDLMDTPRQ JR316_0000714 MGNVASCCESCFQGRRSQAYEPLLLENEREAVADLLQYLENRTT TNFFTGSPLTALTTLSFSDNVDLQRSAALAFAEITEKEVRPVGRDTLDPILFLLSSHD TEVQRAASAALGNLAVNTDNKLLIVKLGGLEPLIRQMLSPNVEVQCNAVGCVTNLATH DDNKTKIAKSGALVPLTRLARSKDMRVQRNATGALLNMTHSDENRQQLVNAGAIPVLV SLLNSPDTDVQYYCTTALSNIAVDGANRKKLAQSEPKLVTSLVMLMDSPSLKVQCQAA LALRNLASDEKYQLEIVKADGLQSLLRLLQSTYLPLILSSAACVRNVSIHPQNESPII ESGFLQPLINLLSFKDNEEVQCHAISTLRNLAASSEKNKKAIVKAGAVQSIKELVLEV PINVQSEMTACVAVLALSDELKGQLLEMGICEVLIPLTNSPSSEVQGNSAAALGNLSS KDGRSPTDDYSAFNEVWDKPDGGMHKYLHRFLTSSDATFQHIAVWTIVQLLESGDPQL ISNIRTSNILIPSIRNLAISRAPSATSSVGTPRSHHSQASYQDTETNDGQGEIQLLSR RILDFVDIDLDGLASTSVQGSHIQPGSSLGSSSQHEELRRSVREAFAPGSHR JR316_0000715 MESSLRIVPASSKPSASVQSTANSLGLHDTLQYGPRSLAAEVQS EGGLRSRLENWEATQDNLKLTLERNMFGMHAPMRRLMERKIVGTTVHTLSAPQTNVQL DVLMGRDELIDAADVFLGMEAGPAYDVHTQIQKKASS JR316_0000716 MNSRPSRSSSIPIPRSASSSSSARNIEAVLSIPVVSPSRQNVSS SSSARLVIEPRRIGPSVDGSRAITTDTNISVSPIRSTARSASIVSSVPGRAQSSVRTT FEPRVIRGVGPEYSASGEADYATHPGPSPHNRRASTGTVRTLGPPQTVVPQHIVAHAG HSSFERPTYLEYSCFRHLLQTDAYASTGSNRKIDHNKTDIDDAINSTPASSRHLTSIA QPQDQVFNLPTRWSEQHRHQNLTISPDGRDLLYHGPPSNGEKDASVARTNYPAPPLCG IYYFEVEIQGKEQKSFATRNIKTTRMPGWEPNSWGYYGDDGSALTPEKDPANDTIGCG IDFANNTAFYTKNGTLLGNMEWYPVVGLKHVGDVVHTNFGQETFKFDIEYHVQRRAGE VWNTIQSTPLHQTLLQGNRRRIHGLLSIASITNNSSLKPALTDEQSKSMMKQLVASYL VHHGYVKTARAFEAREAERRASTPRPSATDGNHDVEMITSDIIENDTQTRTNVVNLVL SGNIDSAIDSLREHYPSVLEVHDQLFLFKLRCRKFVELILETAEIKKKMSALRTREAE ILKDDDTVQNAWVEEGMDIDVDDHLAPPSHSGIHFHETPNTFEIMNPGSVAASSQYES ALNAALLYGQTLSNDCQSETRPELQQLFKQTFGIVAWEDPLEAGSPVATLVGHEARVV LAHEINEAILKSQGRPPQPALETLYRHTSVCINELGLLGAGAAAYADMQKEYVI JR316_0000717 MKYFSLVAVIALAVSVSAASQNQQSNRNNNVFNGNRGGFGNFNN GFFGGQQFGGNNGRNGQNNKNQNNNNKFGNNGNNKNLSSNNGKGANTGNNGKNNAGAA SSAAAATSTAAAANTAAAASTAAAAANTAAAASSSDPQSSTTLDPKVIATGFENDGQD VPTAGQVASLTSSNNFINFCLTVPNLPITNGKQITTGSCNPAPMGVIPSTDNMPSAKF QIPKNGDSFTENSPFTITMAVRNFQTGAFVNAEENYFAAPQQVNSGGQIIGHSHVVVE QLDALDQTTPTDPKKFVFFKGLNAPADANGLLTADVTSGLPAGFYRLASINTAANHQP VLVPIAQHGSLDDAVYFTVTAGNASAAQASAAAAGVASSAAPAATAASAKSAVAAPGA KVVAPAKVANPKAAANNKGNQQQQKKGKRSHPRAFL JR316_0000718 MDGTLIDSTPGVLRAWRIFSDDYKLGDSESVAHETHGRRLYDTL KEYCGITDEERLLQEIDRFEEEVIEGGPMALPGAIDLLRKLNSDPSTSSKWTIVTSAS NKYAPRALERSGVPLPSVGIITSNDVSEGKPHPAPYLAGSLRCSINPENCLVVEDAIS GLKSGRAAGCRTLAVCTSTLRSKILDSGVQPDFIVSDLTKVSVAVVDNKLQVTVDQS JR316_0000719 MQISFVTLALALTASAVSLPERAVFNRRAAIDLQNGQDAIALNN KFKTLTASSPCTAPENACVGGKFAQCVGGKFTILGCAGGTVCAALPNVGSAGTSIACT TQADLAQRLAATGAQDASATSAAPPAASTVAAPPPTAETGSGSGDDAQTSLTLDPAVI AKGFANDGQDTPTAGQVASLTSTNNFINFCKTVNLPLTNGAQVVDGSCNPAPMGVIPA KTKMPSSKFIFPPNNGKVAANQLFTIKMAINNLETGNFVNAAENYFSAPQQLNAQGLI RGHSHVVIEKLDSLDQTTTTDPTVFAFFKGLNGAASGGVLTADVDKGLPAGFYKLSSI NTAANHQPVLVPVAQHGSLDDAVYFTVA JR316_0000720 MVQHPEVLWAQRSSDSDDEKNILFLTVNLPDINESSLVYELTPT SISFKANTGSQEKKEYAFDLDFYREVVPEKSTKKLNSRSFNLVIRKKDKQSEYWPRLT KEKVKNAFLKTDFSKWVDEDEQGDAPDDIPDDMDPMGMGGMGGMGGMGGMDFEKMMAQ MGAGGGGMPDFGGAGTSGSAADDHDDDDSDDDGPPPLEDAEPSK JR316_0000721 MVSAAHPASPADCPSVFPCLVSLLTHAPRKTMPFPIDSPLTTPW ADNITTFAHALRSTLPANYSKPLPPVMRAADRCWCELGSGVFFEPFNVTNWEYRSVQR LSQKLISDIPTDHPVNSTLSPDLSIPHSPPPSAFLIFLSAILSRIPLSSRVESEPPPQ IHQPINSSSLSGPPLPLLPTQYDLRPYGLGIILDLGWSR JR316_0000722 MASDLRGQPATSRRQKSKNPMDPVVTEAASSSSSAFSKLELVQK AFMAPFTHKKTDSTRILSDLAPVIMTPRMINSAATVASKPQASITGPTISRSRMDFSS LGRAPLRLGLGSKRITSGDLQIFEATEELLEAEVPEPEGVASNVSLLRGFNATIPSAE QSKTRRRQMRNVDTPRLGLKKLGMSARGLLTDEDDHEGQSVASEDDVVVVKHAQSGKK KSRESLSATKKLGKEELVRQTEEIMLDKENIHVKRSLINNEIKEITHKIQALDDIRAK LEQDLLKLQEEELELDDELEGVKERIKYEQASSHQPASAVKNLHLPPSSRRRKGPAFL PSEHDELPPGVAFMTLDSHTTPITTLDFSEPYGTLVSASQEDAQPRVWDLLTGDEIGR LRGHIGAVHCLQVEDHVCLTGGADGNVRLWDLRRVNDSDGWGEGEMVNLSDVPEEDEE GGELVEHPSSIRNSADENEHKDSSCARLLEGHTQAVTALYFEDECLVTGASDKTLRQW DLTTGQCVMTMDILWAISHPANGSISNPLASQSFPGSFSVQTPPYADGTREVYEDFVG GVQFWGYGLVSGSGDGAVRMWDMRTGQAHRTLLGHTGPVTCLQFDEIHIASGSLDKTM KIWDLRMGGIFETIHYDHAVTALQFDSRKIVAAAGENGVKIYNRTSTQQSTLLTNGHT KPVERLRYMDRYLVTGGRDAKLKIWSL JR316_0000723 MPTERRDIFSTRSNATFAPLNSTHLRNVEIVSDSEEDRQKKAAS NPKHPILRKVVEIIEISSDERQVGEDDVVVTRSPTKPSAMPQTPRKHGWNGMPQYSKR RVIESSDEASSEPEIIELSDSSDDDEPPALKQTSRIPPAKETTLPLTQWKKTLDEAII VFDEPRSARTPIRMGSRKPTSDVTKLAHDMDRVHDPKKTNIASSDHISNVVEGVSSKP IPGKSTKGISQQTSKRITKKAQLEAEQRRLHAYAQQLFNDLNKYVFNQQLPEGTLLNW NKRLLTTAGRAKYHRSRDGVLTTEIELAEKILDCDERIRNTLSHEMCHLATWVIDKKL DEHHGKLFKYWASRITYMRPDIHVSIKHDYEISYPYNWECAKCSKIYGRFSKSIRPDE CRCGACKEGILVPLFKSRAKSGSKNDKLSRMAAVKAQGEDFKIWW JR316_0000724 MLYTFLPSDIAKICFVAVLFVCLRGLFWFINMLLIQPIFDPLRF VPGPDGTLLQSHLQQVMDPTLSTNTYLEWKRRFGRTFRFNGFGKHDYRLISFDPRVIQ WVLGSSAFEKPWQTRSFMSRLIGRGIFSMEGKEHTQQRKIVHSAFTPRSVKNMTPIFF RKAEELRERWKNILEESQYANSLSKSKSENALSRPCRTVLDVAHWTSRAAFDVIGLAG FNYDFHSIQNESEPVYSAYRRMFNIADKGLGLRQIMELYFPILRTIWPNEDIKETNQC LKIIKTAGEHILTERKTAVQISEEDGENDLLSLLIKSNMLSKPSERLTDQELLDQCST FFMAGSDSVAVALSWCFHLLSLNPDIQKRLRAEIKSISHISEGDISDYDSENHDCEIC KPESPFQQIQSIYPRRCRSLPQWEAVENLPYLNSVVLETLRFCPPVHGTIRVATQTHP IPISRPISLFDGSAIASGVITDFRDDYLTIKKGSYVHVPIEGWNLSEDVWGPDSLVFN PSRWSKPSYSNYRLGPNNLLTFGYGHQSCLGYKFTVAEMKIFLAILLPAFEFKPADGV PVSKFNTILTRPFVSGKWAEGTQLPILVNEIK JR316_0000725 MSGRQQRVMVQPINVIFKNLQQKTKVVIWLYDNIEMRIEGRIIG FDEFMNLVIDEAAEVFVKDAKPRRELGRILLKGDNITLIQQIL JR316_0000726 MEVSFTLTSPDDAFVNSYNQVFAPSNSSTAAAVTFITFVQDLKK KTKAWGPMIELCANGEKTLERFRYQFPEDWLYSDQLRGEWSAYNEILKRKNDSIQEQL AGLQLKIVAEDKIVENKINEILHEWEQTRPVQGNMRADTAMNTINVFEGKLNRVQEEY DLVCRAKEALDLELTRHTRLDPVFEELRDLKAVWTALSGIWSQISELRDQMWNTVQPR KLRQHIDGLLSSTKDMPTRMRQYAAFEYVQDILRGLLKSNTLVSELKSEALKDRHWKQ LFKNLRLPSQITLAYMTLGHVYDLDLKKNESLIKEVIIQAQGEMALEEYIKQVKETWT NYTLDLVNYQNKCRLIRGWDDLFNKCSENLNSLTAMKLSPYYKVFEEEASSWEEKLNR IHVLFDVWIDVQRQWVYLEGIFSGSADIKHLLPVESSRFQNINSEFLTVMKRVYKSPF ILDVLNIQGVQKSLERLAELLNKIQKALGEYLERERSSFPRFYFVGDEDLLEIIGNSK DILRIMKHLKKMFAGISTVKLDDDLTQIQGMASREGEEVPFSDPILLKDYPKINDWLA RIETMMRLSLADLLTAAVTELQSFYGKGTLEGAQLVSWMEKYPAQLVTLAIQIAWTFS VESSLEYREAPQSSLEVIHQALDLLADIVLQELTPVTRRKCEHLITELVHQRDVVRSL IQKGVNDPKSFDWLYQMRFYLDKAIENPLDRLVIRTSDANFPYGWEYLGVPDRLVQTP LTDRVYLTLTQALDNQLGGSPFGPAGTGKTESVKALGVQLGRFVLVFCCDETFDFQAM GRIFVGLCQVGAWGCFDEFNRLEERILSAVSQQVQAIQQGLSSLAKNPNTEIELVGKS LKINKNIGIFITTNPNYAGRSQLPPNLTKLFRPMAMTRPDRELIAQVMLFSQGFRTAE SLASKIVPFFNLCDEQLSPQPHYDFGLRALKAVLASAGILKRERLQNSRVGDEGDHGG VDLSDNISEQIILIQSVTETIVPKLVADDVPLLTNLLADVFPGVDYLPVDLEALRQHI VQVCSERRLVDGERWVAKILQLYQIQKIQHGLMMVGPSGTGKTNAWQVLLAALERYDG IEGVSYVIDPKAMHKDALYGTLDQTTREWNDGLFTHVLRKIVDDVRGESAKRHWIIFD GDVDPEWVENLNSVLDDNKLLTLPNGERLNLPSNVRIMFEVEHLKYATLATVSRCGMI WFSEDVIDPQMVYRNYLNTLSSVTLDADDEDSGDVLGRRADTAVDSSTNIETQRQIAS MLERYFAEGDLVSTALTFAESIEHIMDFTITRALNTLFSLINKTVRNVIEYNIQHSDF PLTQERVEQYVTKRLLVSIIWAFSGDAKLDLRANMGEFLRKQTGIDLPPLVPGSSLID FDVQVSTGEWIAWQSRVPVIEIEAHAVTASDVVVPTMDTVRHEEVLYSWLSEHKPLLL CGPPGSGKTMTLFSALRKLPDMEVVGLNFSSATTPELILKTFEQYCEYRKTPNGVVLA PIQIGRWLVVFCDEINLPAADKYGTQRVISFLRQLVESGGYWRASDMAWVKLERIQFV GACNPPTDPGRVPLSHRFLRHAPLVMVDYPGEISLKQIYGTYNRALLKVVPNLRAYAE PLTEAMVAFYLASQKRFTTDIQAHYVYSPRELTRWVRGIYEAIRPLEILSVEGLVRVW AHEALRLFQDRLVTEEERIWTDDHIDSAAMEHFPTINRDEALGRPILYSNWTSKNYIP VDRETLREYTKARLRVFYEEELDVPLVLFNDVLDHVLRIDRVFRQVQGHLLLIGVSGS GKTTLSRFVAWMNGLSIFQIKVSNKYTGEDFDEDLRTVLRRAGCKGEKICFIMDESNV LDSGFLERMNTLLANAEVPGLFEGDEHAALMTACKEGSQRDGLMLDSPEELYRWFTTQ VAKNLHVVFTMNPPENGLASRAATSPALFNRCVLDWFGDWSDQAFYQVGMEFTHTLDL DLQSYIPPAHFPIAYRSLSLPPLHRTAVINALVHVHLSMHQINQRLSRRQGRYNYVTP RHYLDFINHYVRLYTEKRDELEEQQRHLHVGLDKLKDTVTQVEELRKSLAIKRSQLEA KNAEANEKLKRMVADQQEAEQKKAASIQIQAELAEQDRHIEERRSIVMADLADAEPAV LDAQAAVSNIKKQHLQEVRTMANPPEAVKLAMESVCTILGHKIDSWRTVQGIIRRDDF IQRIVNFDTTTQMTKSLRDTMKKEFLSRPSYNFETVQRASRACGPLVKWALAQVRFSE ILDKVEPLRNEVQSLETQAETTKKQALAMVQMIANLESKIAQYKEEYARLISETQAIK SEMERVQGKVDRSMKLLDSLSSERTRWESGSRTFEAEMSTIVGDVLLSAAFLAYGGFF DQQYREGMWQEWSSHLAEANIKFKPELSFTEYLSTADDRLSWQSKSLPSDNLTTENAI MLKRFNRYPLIIDPTGQATTFLLNEYKERKITVTSFLDESFLKVLESALRFGNPLLIQ DVEHLDPILNAVLNKEIRRTGGRVLIRLGSQDIDFSPSFTMFLSTRDPSVEFSPDICS RVTFVNFTMTRSSLQSQSLDQVLKVERPDTERKRTDLMKVQGEFRLRLRTLEKLLLQA LNESSGNILDDDKVIDTLETLKREAAEITQKVEETDLVMREVEQVTAEYLPLAQACSS VFFVLEQLNLVNHFYQFSLRFFLDIFDYVLHHNPNLQNVSDYGLRRDILLKDLFLVVY KRTSRALLYRDHLMLAVLLAQVKLRGVEDIGDELEFLLESGDGVATTTQGQDHHLLSP DQVRRMQNFAKQALFKPVEAHLANHEAEWAQFLASSTPETSVPMPWDPSAPATEAIRA LLVVKCFRPDRLLQSTALFVRAVFDTDISSEATYDLNALVSDEVVASTPVALISVPGY DASYRVENLIKNTGTRSTSVAMGSQEGFTLADQAIAAAARQGTWVLLKNVHLAPSWLG QLEKKMQTLNPHRSFRLFLTMEANPSIPVNILRQSRLLMNEPPPGVKANLVDSLQSIS PQRLNQGPTEKVRLYFLLAWFHAVVQERLRYVPLGWSKSYDFNDSDMASAFGTIDNWL NSVSRGRANVDPASIPWDALRTLIKQSVYGGRVDSDFDQRILDSFVDNLFTPKAYNVD FDLVPSVTGNRVLSAPEGTKIEHFLTWVQALPDREPPSWLSLPPTAERVIAIAQGNEL LGKLRKMKMLADDDDELVTAAAKSQTSQPAWMRTLLERCREWLGQLPAQFNILPKQSG EHQDPLYRLFAREGDIGRKLLGSVRKDLDNVVKVCLGELKQTNHLRTLMSSLTKGSIP EHWRRYKVNKSMAVSAWIADFARRLAQLDNIATLDNLSNTEVWLGGLFFPEAYITATR QAVAHRKKWSLETLHLRLDIERVNDPNAFVVDGLVLEGAAWSSDHLVLNDGEAVRLSS SQIRWVQMDENPSQGLVNLPVYLNNDRSDVLFTVDLPFDAMAGSLVATRAVCLTAGG JR316_0000727 MSLRSFKINVPATSANIGPGFDVVGLSLSLHLTLTVSYPLIPPS QPYVHPTISYSGEGSDEVPLDPYKNLTTRVALYVLRCHNIRSFPSHLVIHVDNEIPFG RGLGSSGAAVIAGVLLGDTIGKLRLPTARLLDFALMVERHPDNVTAALVGGFVGSYLK ELDEQASEAASVPLSEVLPEYPPDADEDWGLNPPVPPFGIGHYVRFPCSKSIKAIAII PRFELSTAKAREVLPTEYSRKDLVFNLQRLAVLTTALGQSPPDPELIYEAMKDRVHQP YRKSLIPGLPEVTSSITPSSHPGLLGICLSGAGPTILALAIDGFEAIAEDARKIFQSF DISIDWKVLEVAGGSVVQEENQN JR316_0000728 MMARATRSSTADLKRKRSFDNADNDEHASNKQNRTTDLPYHIDA HRLLAVLEAEDTLGLLDRVFPVPGSDDSASLRSLLTTSTSISTVHSAIQQLKPISSLP RARLSSTAEQQLRFCSLAHALLEQLPSTDIQTALASLPDVDSSPPRPKPSYALVQHLP AGDYWSSVLHTDDPPKNLHTANAELVAVLPTPSSSKDIPVPTLGSYSTKPLSPKKSPL SQRRVTASGFLDYGLYTSFAPSFDEDGEVVGNEQLGQVLWYREERKRLREIRCQHREE TSSVVEITPELRKSLLSQDGSSSTNLVLESLLPPEDVESIKATLNSLELEKSVQTLLD RNQRALERLEELQFQRMTKHPTSNAEENSEEWETAQAILDSLTLLASLRPRSSSEQRP AIIPPASVLHKLHLTLSLEPSPGWYGTLPAGRSTALHDDLTVKVRPGAAIPTPVMSTP STPASTSTANTYAGYSYAYPQQQQQTYRSQQAPTYTPYTPGQVSTYYQGYIPAGQQQQ TYVTQQTYGTGTANQQPYGAAIGQQQITGYSQWYPQPNQASNGPGSGRGTPQPTLTTL PTNIPTSYGNFFNSTSSSTSSITTVTRSPAIANTVVSNVANVNANLHNQTPTQRHPQS PMVNGGSVYPAQQVYYPSYQMQTQPAR JR316_0000729 MPASLLRASLRSRPANGHVAYLPQIRKLVFEYCDKWPTSTNTRI YINTRIEALAKENPHVEVVVKQRNHKEPIVRGFYVNNRDKVIPLKSLEVTGIHQKVQL LLDSSGAKIVPLKRRAVESTTESPRETRLLFLSMLHQLGTRRTSAPLTFAGSLLFFFL CEMLLTMDDLSLDISSEELIWLQASAVFDDSPLSPPKNDDDIFMQDLSLSGSIDSLRS SLHPPHATLSSPSPNLQCPSKLVGSFPTPSRSSIRPNGSPRSEWIYRRPRSPASSNHR SRTCGAYPRPSTGYTKQTSIQITPSCPSASVLTTRIATVASSRPSPADLKNMQATTST SPFWSSMLRTRPRSERSTPLLKTIPSDSQVVLSPPDLAPVSKISSPKRISAPKSLSSR PPSASSTLSSASNSPSPSSSRSESPVTPISIPYTGAYNRVHRRQRSYHRRHRTHPDSE INIPPTLLSQSCPSKSILTRTSSVSTKASSHTVNKSVKFAANATVHYTGQDDLTMSSK DEQAYGGEDMGFNLDVIYDDDQSVAEYRGNDTIDMAMLRELHCTTPTPELERAKTKGI KRLMTLSKRITSPEAGTDTLFTGNVHKVPLSQSCPVSPRPIISTPFPLGTTRAQAMQS VLSLKPAMHQNLKGDSTGSTLAHPLSESRASIGSPSLRTAPSCESIRSSKSAAARSVR SLGSVKSTSSTRGFRAWLVRTVGWTES JR316_0000730 MNSENLESPMAESYSRTEFSTSDLAVNDQEHGDTQRSLQQASMA LEMAYDRIRQVRRNLRELSDSLPSTESIPRFSGRNFNEIEPGHDALLLAGGSSQSGNE LSMEQHPSTNHILPQIPPVANHSDVDPPTSGDVQDANVQEGLLDLNDSIYLQPFSTPN TLHTHSRRELSNDMQFLSRRWIDYDAGTTLRGLRVAAREARGHQTRLHNADSAEINNI RRDAPHPAVESFTGTSHRVERHGHLTGIFDTLGGRVVNHPSSPVAPPNWRSSDRRWRM RPEVRVSDRSLQDRTADRFHTVLNPPHSNGPRNVLRLPVGTESRPMDSPLTNGQDRRI LERTQSDARDSFIDWSDEHFLSTLFFGQEGDSEQSHESQRITSQSTPLETIRITQTTN PAVNPPERSFPRRGWARLDADGNEISPDEEEELERSRTAYRIQALQRSRMNPLQDNVD NDHIGPIGQNHMNQRSVYGSVLDSTMRIQGGNLRARNSQGGDMAPLYIDPLPMPLVSM NSTKTEGIRPDIFVPKHACLAGR JR316_0000731 MYCTALSRRPIGLKWRSSSWMVTFVIGLGVAVDLLVYSMIIPVI PFHLERLGHSSISSMTGWLLFAFATTIPIAMLSERYKARKMPLIAGLFVLVGSQIMLM EAPNYAVMCIARILQGIGSSIVWVIGLALLCDTSPPSIVGLQLGIAMCGMSIGFSIGP PVGGLLYDHFGYRGPFIFGISATVIDLIGRLIIIERKDALVWGLDPAEAPDSLSKTVS YNENVSHGIENSTIDPADVPEFLKGVAEDQLSKETTTEHLSLLSVIVQLSKSPRALAA LFVIFVYGVTYSIQEPSIPLHLQDVWNLNSKSVGLVMIAAVVPTLFSSPLAGYFTDKR GAEWVSILSILLALPWWGVIIIERSLALFIVCFAFQSFFTSGVIAPLTAELAAVSRTL EGVGYAHVYGAFNLVYGVGTSIGPIVGGQIYDNVRKGWLVLCVLAAGLLLLSLCLVTC FTGVNPVLRRLNKRTNQATDFS JR316_0000732 MDVALSSMMGLAFAEHLSAFANSKGVKLGTITKIEQNPDQSKHL ETATLKIFGLDIDLVNLRSEEYAAGSRIPCGVSFGTPLEDALRRDITINALFYNVETS MVEDFTGKGVDDLRNGIIRTPMPPMETFQDDPLRVLRCIRFASRFGFEVVSDIEEAAK SPIIQKALVEKVARERVGDEVSKMITGRNPLQSANLIHQLALYPSIFCVVPPDAKSSM ADVNLMQDYPNVALGSAVILNSLLSLDSALGFRVHPALLSNVKDDSSAKARIMLATLL TPFVGMTFLDKKKKIHPVVASVIRDSLKLGSQNHFLDGIPALFSSLSVIKEHVESHEQ NRLGRAKMGLFLRHKFVHNPNIGNHWTSSLLFSLVTRLRPLYDLQNDIFDIEAASRIV TSYNSLLDTVFDFALQQDIDAKPLLNGREVGTAFGVSKPGPWIGKVLEDVVEWQLGHP KSSKSDCLQWLQSRGIQSYISDAGDSEKVQAPTKRLRTK JR316_0000733 MSNEAFRLLSRGGTVFSKSNIDNHLLIKRKESRQKGQRNATVLD GQIPSELDFFKYAQGGQGKRKIVDESSNSEKKRKVNHEGEDMQDEDELLASVAIPEVI HRVSAKGSDVPPHIDSFEALNTFGVPSRITANLKSSGYKTPTSVQSYCIPILLKSRDL AAISPTGTGKTLAYLLPIFSKLAAPASPSSGNGVRAVVVVPTRELAHQIYNECLKLAQ GRKWKIILFSKATANALSQNGAADKVDIIISTPLRLVAALQNGVIDLNNVRHLVLDEA DRMLDPEFMPQIQEIVNSCTYSFLQKAFFSATLPAGAEKLAMEMLNNPVRVVVGVKDT PLPLISQSLTYVADDPSKLPSLLSYFAKPYNPPVLIFASTQTRATSLAEELVLNGIAN VDCLHAGLTQKEREDAVTRMRKGESWVMVTTEVMARGMDFKGVREVINYDFPTTVQSY VHRIGRTGRAGREGKAVTFFTDDDAPYLKTIANVLLQSGSTVPDWILKLPKPSKLKKR QMGKIKRPDVVNPARFVGRRDSIKKRSALHPIYA JR316_0000734 MLTFPRATGLHNLTAGEKNPLAEYNLSFKKLQLLEQIAHSNTPP ADQDVKTEPDTIEDPDLNAIFSSNLEDIDESDYTTLPRLTEDDVAFDMDEIDAEDEEV DTDDD JR316_0000735 MLRCLRAPVLLPLLSALIASTVQAIPKITRSGRYLYDSSGNRFY IKGIAYQEQGEVVASDDNPFGEPSSFIDPLAIDAACARDLPFLQELGVNTIRVYSVNS SLNHDACMNAFSQAGIYAIIDLSTPLNGSIDRVSPSWSTNILDQYTHTIDVFSKYDNV LAYNVGNEVVISNTTAVSPYIKAAARDIKAYLKSKGSSALVGYAAINGQSTWRGDLAD YLSCDPSNSNSDSTSIDIYGLNDYEWCGDSNFQSSYAATTNAFSDYNVVAYFSEFGCI KSPPRLWTETVALFGSDMNTVWSGGIAFSYFPASSADGQFGMVTISSDAKTVTTSDDF DRLKTEYNSISFINSPSQSSAGASSYPSCPAADSNFLASTTIPPTPNEAACNCLESAL SCQFTPLTSNYTAVVGELLDFGCSLLGQVGSNACNDIGGDGAKGVYGRISGCDPTIKL SYVMSQYYESQQRQASSCQFGGNGTVNSRVSSSLTADSAASSCIANPSAVFTPSAAPT NNAPAPNGSSTSGNNNTSGALSISYDSQALLGLAAMGILSVIGGIWTLQ JR316_0000736 MRGELCIGFASILSFASVILLIFVHVGQINTSTVPRKISMVKVN MTGYGSTIETITFPNIGTTSGLYTNNASAPLNAHAGLRQFYDFGLYSYCGYVDVNDGI CGNHTAGEKYTPFDIIQSDLGTNFSVLTAAIVPTNTFRDASYLGKSSKAAYWMILLGT ICAALALLTGVAKNNLTFFVSAVFSALGSLLLLIAASIWTVMIKKSQIINTSLTPTNV PLNIVVSEGTGLFLTWAAFACLIVSVVPYMVSCCTYRG JR316_0000737 MAPQIPPPQTPTPIASDSSTVRPHPTVQQPAEASEPRPPKESHS RVKRLSSVVEKTVDKLSRSVSANSSTYNSPSSSSKRMFSISRKSRTLRQPSSDADSTS SSSASKPKHIPNEDSPFIRPPSPPLRPSLTDSFRGDGSMRAGTQTLIQALQALPWMNS DNEEDSTNEQVSADSDSDDERPNVDRLSSSIHTIHRPLARSHRTKQHVTSNLSDVHAS SQEETLEASEEDLENDFEETPRPTDDVPSHLRSLSNAQTMSGSSSPFNAMKDRTGSMA TVRLHRRARLAEKLKEIYELDDIKEVWAGPDIEIGVTQQEGSKDKTLDQTLHRFFDTR ASSKDPYFPHGIIDLRYAISCDPVGEKGFRLRTNSRTIVLSADSVPSREEWVKAIRKV IFKAQNMGDSVKIAIPYSAILDVERSTAMDFSETIEVKVIDKEDNFAIDSYFFAYFHN LPAGLEQIRDALRSYRSFNPPESGHLPAVMDTTVHRTPQSPDRLSVTPASTIDSPSKA SLSGFRLSSIFRPFSEPTTSRIVSSPVATDSQVDDYTHISRKNDSGSFIPITSSPEPI ISRHGGASPPLHSTRSLPPPDHTYPPSTSSSAIYPNHSSLNRESSSSSWAVGVPSWLK APKRVFGSAGGTDSAAAVNPTPVKEVYSSSYVSSPGPLSRSSGFDMAFSVLETPEMLP DQETTDKFRTAFAYDEKETLLGYFPGYIYRLLPVPGKLYISTNYFCFKSSGPLAARTR MTLPLRDILASEKSKATRFGHHGLIIIVKGHEELFFEFNAEDKRDTFINLLERQLEDV RNRQISGGPPLRSSGERDALLLEEFDAKQSSNVDVDSMPPTDSMADSLPAVMFTSASS TFLTFKPKKSLHFTFLTIGSRGDVQPYIALSKGLMADGHRCRIATHGEFREWIESHNI EFGYVGGDPAELMRICIENGTFTVSFLKEGLLKFRGWLDDLLKTSWEACQGTDVLVES PSAMGGYHIAEALAIPYFRAFTMTWTRTRAYPHAFAVPERKMGGSYNYMSYVMFDQVF WRAQAGQINRWRRNLLHLGPTSLDKMEPHKIPFLYNFSPHVVPPPLDWPEWIRVTGYW FLDDADVSAKKWTPPTSLVQFLDNAQKTGHKVVYIGFGSIVVPDPKAMTHSVIEAVLR SGVHAVLSKGWSDRLQMKSGEASEPEEPYPPEIYPISSIPHDWLFQRIDAACHHGGAG TTGASLRAGIPTIIRPFFGDQFFWADRVEALGVGSGVRKLTVSTLSDALISATSDAKQ IARAKLVGEQIRSEDGVATAMESIYRDLEYARSLIKRQTPEEALEEGAAEESTVRNID NVSPSSLSSSAGSVQGAPSEDWSVISDQEDKRSSLGSYHSENQSAQRTSLAAAVLSVL PHSFPPGSTQQ JR316_0000738 MSPSDRYSGQDDGAVVISYPTLLSSPQSLGPAIERAFGSDPESL GLIVVRDLLSDYPQYRERLLKLAYQFGHLDEAVRESYSDPSSKYSFGWSHGKEIMNGL PDTLKGSFYANPIVEDATVAPEERALFPEYYGKNIWPKPDEKGVENFETAFKDLSKLI FNVGCQLAVACQPFASSKLLDSTISLPDLIKNSQTTKARLLHYFPPSSTSVSVENPPV DSWCGFHLDHSLLTGLCPAMFLRRNNDGSCDTIKSPSPTSGLYIRTRGAQLVKVSIPE GCIAFQTGEALEIATDGRLLATPHCVNVGTFSGTEEISRESFALFMQPNTDQHLSSNM TFGQFSKKVFEEHYKSPNSV JR316_0000739 MAAPNAVDDSSIPPENWGPQDDQSTGPAGNSQSATGTSLPVDDR STGQDPENSDYPRGSSVPDNETFREKQVKPNKVYIGGLPENTRQEDLQGCFGKIGNIV NIELKVGYGFVEFDSREAAEESVAKYNDGFFMGNRVRVELSHGGGRTAKFAGDPGACF KCGNMGHWARECPNNLGPVHRRANYEPPLIDRIQRDYNSNPRNIHPRDDFTQQRMPPR DGRFDYPPPPNAMRDHRRPPSPREYRDYGPPLPPNPRPRDYDDFRRGPPVDDRDRFPP PPDFRGRYPSASDPPYRGYGPPVNSYDRYDRRPNDRYTPYSQQIGQRPRTPPRVRDEY DRLAPRDYPEYRGRPATPPRYPPDYNRLNGNSPTVRYGRRSASPPRTSGPPPYDAYSV NGYMPGPIAPPVAPPPRGNRDYPPRNGRDMIEPVGAYRRP JR316_0000740 MSTTTMHFGPEWMRTKHQPLSRSQPPPSPPPSTAIQNSGSTYSA LVSAMPSASSESGDVVHPFRYSKEELLKIYQEGGGRGGLGLEVERWEGVVREGGTEPA TLREMTEAEKKVRLKIYLECCDWPLNSELRRRPSQSMEFLSPLNTSGLDRPRLSHNSS TSSNNNSPLRERFGALKRRDSAASVSDTLMPALPRKPSLSALQTPTLSPREPPRSRVG YTPGFDGVLNNGDSWVARRRSSETSMKIGTGSSREGNEPQLGIMGSGIREEKEEDSRQ TIQHPPSDDSNAFVTSSPRVHSEDPRVFTNTNSNAAPLQTSSQSSFSVNGVNNSESFP VDRADIGPPPGLVDLAAVEWSYKDPTGQIQGPFRADLMQKWYNDGYFSSDLPMKRVRY DTQWTTVEELSQRAQGENIFLSSTFIPNHTGRGNASPLHALSSSDQLYNEPFQPSPVR TLASSTLDSYLSTGSLASDSPSSSLGASHFGNPSPDPSVFGPRDVKTYFASDSNGRLP GLGALNQPSLFSDRRTVGHEFQANPIMQSPSFGNFVSERDVGFSAYGYNNIPVIHDAW GMSSNHSSAGFMMPRDQGNDKFFPLSAPSDAALRRPEGSHDEHLQRSFVQETHYANED STSFDMKQPGPAVGSLGYAHYQYPAQSAFQNAQEQHQQYVTPASEFSENHGSEELCAY RCHDSETAIPQTLQPTNSNSSSTIPSVPWSSLPEEQPATVVETNGHIGSSNNLTNSPW KHVESGPTLLPQVYDSGSPVAAADKVDSWKVDKTEKDLIQQHQTLPSRASEQKKAHTP ESSNRTPTPEIVVALPLPTSAPVISKKASKNSSQAIQVPSSAPIATVEPVITNPVVQK VAWAKEEEKKKTGTGSSVSLREIQEAEAKKLESKKAAERERERLARASASADIKEDVQ PFTTSWGLPTSQAGARGSAPLKDLVTVPTTPAPAAPPVWTTPLKQATTKKTMKEIQEE EESRKKIALKEITATAPLPKRAYAETTTKLAALPPASSNNGNAWTTVGPSGKATVSPA PPARSSVPGSLAATQTASVSKASATPTSKIATSSVVKKLVKQDDFPETPSHDFLKWLG DSLKGLNSSVNVEEIVSMLLSFPIDPDSTTAEIISDTIYSNSTTLDGRRFASEFIAKR KADALAKAKGTVNSGKASSKPVSIADVVRATPKTTQPEWGFKVVNKKKKGGRS JR316_0000741 MLDSGINSRGTLDVSHTCVKGSTEFANYPTPNITAQESLRKNEA MRTGTPREPRAVTSSLNSIHISPRTPRTPRATMGEDEDEETEMSLLNEEERIQSARTF HDGHSTDEVDGDLSKRPISAKDKRGMIFFKEYQLKWGVPHLTLSGYLRFWSVICFIVT LWLIFFKKEDKEPATDSDMSIKAVYKTIWQICQLKHIQLLIVVHLFAKIGFAANDAAT GLKLVEKGFRREDLALVVLIDFPFQIMGGWLAAKWSRGDKPLRPWIYAFWPRLFFALV ATLIVLWFPNPPISMSFFVLLVLHTILSSFASTVQFVGISAFHTRVSDPLIGGTYMTL LNTFTNMGGTWPKWFVLKGIDIFSVATCKVPQVEIDVKATECVSDHGKAACKGIGGTC VEETDGYYMVSAICLLFGVIFLVAFIIPTARKLQKLPISVWRVKMQ JR316_0000742 MSAHDNEDLIDYEEDHDVPNVGTTGASNGGVATGTSEAEGKDKK NFSGIHSTGFRDFLLKPELLRAISDLGFEHPSEVQQECIPQAVLGMDVLCQAKSGHGK TAVFVLATLQQLEPVNGEVSVMVLCHTRELAFQIKNEYTRFAKYMPDVRVSTFYGGTP VQKDADILRDKSKCPHIVVATPGRLNALTREKYLDAKNVKHFVLDECDKMLEQLDMRR DVQEIFRTTPHHKQVMMFSATLAKDIRATCKKFMANPLEIFVDDETKLTLHGLQQHYV KLEEVGKNRKLNELLDSLEFNQVVIFVKSVARAIELDKLLVSCNFPSISIHSGLQQEE RINRYTAFKAFEKRILVATDIFGRGIDVERVNIVINYDCPPDADSYLHRVGRAGRFGT KGLAITFVSSESDQQVMSAIQSRFEVAVPELPDHIDPASYNRRLMRKKLVQPSFPTLY FRDGVLSIPGYTFEKAVSWQDTGSMTILAEGNSLKDGSNVLAKIAPAQSNGSMCLERE AHILGRMASSSEGHSTSLRMIDFLKIPRDNGDCVVLLLVHPGLNLLGRYLPPSKVNDL LLSDVSRPKSTPSHGDVYMLGLEEPDLAEEMEAFDIMDLASFLEAGLVHREVRANAFH LNSHSGSVRLVHFGNRAISLENFGSPSSLVLRAYEESEKLKIKEALCYLAPEQTGSIE TMAQDHRTDLYSLGILFWTLLVGRGQMPFEGGALELLHSIVQKRPMPVHEVRRDVPQV LANVIDKLLAKNPDLRYQSAYGLKTDLIQCQERLLATVSSSSDESTELIPTFEIAKED RFIEFTMPLALFGREKELELIKNVIRSVSTSFSKHFSASKGYFALTTSTNQSLNHDDN QESISSRSDSPYNSVSTSSPRALAFTESSLYDHSTSLSLSPSIHSGEALRKVMLRSRN RVSRTQTVVVVGPAGIGKSSIILANQAKWRSHGLWGQAKFQSADSAPFAALLGCLSSV LRQLMVFHTDLHRFVNALRERLGPQLQNVPLLYQGTPELKDVLGLFDIHLENPQEPLN TRELRARFQSLVENVFTVIAETRLFALFLDDLHEADQSTLDLVSTLVNSRSRMLVFAT LRSDKAEIVQHVRDMLSSRSRTTWIEVEPLTLPAISLLVSKTLHRSIEECQPLSQFVL GASSGNAFSARSILTTLQRQHLITFNWERNHWLYDMPAIERSLDSQKTADPTELTFLI KHLRELPEEARKYLLWAAFFGETFKVTEVALMMDWEDSNGHSGPDDDVDVDIMWSLHR AVTNLREAGTGNSHRSMRGLQLALTEGWLITRARDMCSFAHDRYRQAAQAEVESLTQE SIAKMSFRIVLMMLHETPVDVYRIAEHAKRCLNLLHDHPKRDELLNVMIDAGESAWAR GAHELAIQSFISARSLLENNAWVEKPNRTFKLLSRLGALYTWKGEFDAPIFVINNPED TTGDLAASDSILSDCFHHSKQPEDKANILRLRARNKWLKGSYADALNDTLLALKVLGI EVNLSPTRRQADVMFEEVKNEILAVGFDEILMIPRTTDPRTELAVGLLNDAGEFLHSI D JR316_0000743 MLIVSELVVNAEECVSDIKISTPTGEHLVLATAILNCIRVLGGY TQAETVDTIFDTDNFVESKYLERIHETSGNISMSLNWYDSFKVVSFFCVGHVKEAANL GFSVYKTRDSHPKIIYESEYLVARRWLSSSPVNVSTWVALVDAELAALLGSPDAFRLY DVAVRLAVNNDWLAEEGWCLFLQGSHFVRCGIEGLGTELQRRGISRHAQWGAQGIVTH LTSISGTRSQLPFKKPIFSADVAVQTEAVALNYDSTIYTLGGKTDSHDDQESTLSAAD LASILKWSKDISRDINLSSALQRLTEIASETSGSQNTCAREAGDYTVATSMVPPEQCQ VHENPKSIRTINDPLQKAIIQHTLNSKERVYYDDASSDSRFSSEAGQTSHRSVICLPI FSNRGQTFGAVYVASRYAFSQNTVTILTLLCQQASISIANALLFRSVQAGTKENLKMI AAQREALEAARKSREDALKATKIKSNFLASMSHELRTPFSSFYGLLDLLSGTELNPGQ SEIVQTAKQSCELLLKIIDSILDYSKLEASAVKLEPSGFLVENIIADCMELLLPMAAK KLDLSFNIESDVPSWVFADYARIRQVLMNLIGNAVKFTANGSVRVICYTVDNTTMSSG SEVNLKFEIHDTGIGLSASDVDLLFVPFQQADNSSTRRFGGTGLGLSISRQLVKLMGG AIGVKSEPDHGSMFWFTIPVKVYHAPDSVKYTQDITKTRSMLINPRPLSVLVCSRSSA TLSLLNNMLGGFSVKLLSSIQESTRYLDTYSNTESPPLDFIIIDDQSETHADDLARYL HSSDLKCFEETKVIHLYTPTTSRSGQAIFGNSTIPGVVKMTKPPRLARLLQTLATLKN LPHPLISPHATEISKAVEDIATAQRTLYGNVLIAEDNPIAQNLLIKQLQRHHLEVVAT SNGEEAIAEWEAHEPGFFSVALFDHHMPICDGVEATKRLRHLERKNNVSVILPIVALS ADCQDATKQLCLSAGMNAFFSKPLKKSMISVLCCEVVY JR316_0000744 MNYIQVCWPAALCYHSVRVFTTTCTGEGTYATVFKGRSRTTNEI VALKEIHLDAEEGTPSTAIREISLMKELKHVNIVRLHDVIHTETKLVLIFEYCEQDLK KYMDQHGDRGALDPNTVRSFMFQLLKGTAFCHENQVLHRDLKPQNLLINRKGELKLGD FGLARAFGVPVNTFSNEVVTLWYRAPDVLLGSRTYSTSIDVWSCGCIFAEMISGNPLF RGRDNQDQLLHIMRIIGTPSDAQFQKICKETPELQIKQFPRYAKMPFQQVLPKASPQA IDLLERLLKFDPAERISAAEALSHPYFTSAVAPTPFGLNTSPGSMAPPSFNFPHPHGH QAAQQYHQQQQQQQQQQQQQQQQHVQHLPIQYGRQPAMPMYNQHPQAPVQDPMAAAAH AAQAQARAHAQALAQAQAQAQYGNVQFAPPQNYGR JR316_0000745 MASSKKTSAGAGRSKPVKGRPPSTSTLAHLSQSNSPSILSAFSP DATLFAFVVLAIDRHRLRVYDSASGRAVSEYTVDSARVSSLKWATLTSDSPGPEQNSP SKKRKKTSVPTAAEKDSSSRTEVVALGLSDGIVIFFSPSHSQVLRTLTHPKSTSAVLS LAFDPSNGSALWTSSADGSICLWDVQNKTVLRSWKNDDHIPCTSLSVCPTLEGHSTSL LAAHHSIRLFKDIANDNSLSKPMQVAGFTGHATSIQLLLWFNESEKPTKFFSMAEGDR FVYCWDVEGASLSEKPVAAISLDADVRTFALATPVNSQQCLIALSTSGKLSFVPIPSK FPSSTDKSNTIATILPRSTVSSTSKFRAQDPQVIDLVPLPTSPGLVRVVRLVKGIQPT FDTISYLDDSANYIHNPILPEINQEDISIDPQRALNNRYVESKQLTVGSGHDVNQEDV DGSLVEQEFDGFLQVDLAEMSLGQRLTAVTETEANHVSESEDEYEQHIKRSKATKKAR SEVAAIPTNSLTRTLIQALHSSDSRLLEMCLGHSDPALILNTVRRLPPQLTIPLITAC VERLGRGPRSSNMKGGGGGTSSQRGSGLLTWLRTVLTVHTGHLMTIPDLVARLSGLHA TLTARSSLHDSLLSLSGRLDMVLSQVELRASTTPASLAPLKANKKRPEATVRRYIEGD TESSDEPDDKMDVEVEMGSEDEGSLEDIELGGDSDEDEEEYEDLSDGDSGGNFIDDEA EEEDFTGDEDEDESD JR316_0000746 MYFPTTAARQLANNPTLPNIPTEQTVALAPSPRKSLFCTLTRIA INVWSVRPSALLSVLTRTPISILNHGDNLDVWWSPDGNRIIVKTSDSFLVLISVDFNN DAAYASSPLPSNAQRNFLAGPGEALPFPSISLHFEGVVRVEGGLQSVSPRKQHMSFST RDPPTIQSMPWPTVELDEDDDASIEQNIPLDYNTWLLNDLDFSWLVEPDVYVTEIIHA KVMDGELWITSDGRAYFVGLHEVDGVAEDSPDDHENDTEERQANIQQHWHGTCIHNFK TPKWVQKRRKVEPEDDEPALKYEEPRRATKMAVNTKFSLIAIGTEGGEIQFTNFPSEE GISPKSQQIDVPNPFNRKTGSVTALEWTSDGYVLAVGWEHGWGIFSVGGKCLASAIEV NDAVNEEKFQDIFMYGVRSLFWGPANFDLFVLAKSVPQRNDGQIFVIPFAKSATTGQH SPDNTRYAFLQMDDRALVYRGADQPDLSVINPESDVWQHIKIPQRYLALNWPIRYSSL SNDGRLIAVAGRRGLIHYSSTSGRWKIFAVEDQEQNFSVRGGLLWFHHVLVAAVEVSK SFQIRLYSRDMELTSQNILHREILPAPVVILSIVDNSLLAYTADNTLYHYLIVPTAES IKLHLCGSITFTGIVSAPGAVRMLSWMIPSIQKQIGDPINDLSVATVLMVVGGQLILL RPRKSASDEVKYDIQVLAERIEFCWIHLRGVGALENSLWAYDAQGMRVWLNALSIESP PVDDPDVKDVKESVKIPLDFYPLSVLMDKGIIIGIEHEAAVRTNLPFALFRHATSSYL FLQHILLYHLENKQISEAVTFASHYKNLVFFAHALEILLHTVVESDLAGASSGNQNTE ETPNELLPTVIEFLDHFDVALDVVVGCARKTEPTRWRQLFNVVGNPKGLFETCLATNR LKTAGSYLLVLHNLEQLDENSNEAIRLLMSAVKGKDWQLCRELLRFLRSIDDTGQALR NALKHVDIGGMNVSVI JR316_0000747 MRFFSQSFLYDDSWSIVTLAFFLRYPNPYAAHVISCDVISRHQT PSGSLITTRLILKKGAMPRWFPKGIISRAESWVIEESEVDPYGKIVKCVTKNLDHVKI MQVEESVQFAQTLDGKTLQHTEARVVSKFGWGLTKKIENHGLSKFKANMQRSREGVSL ILSLLRQSRLQPMAMGIEDSQGTSPVIERPQEIVSNKKTDNLTLWAKVRNYFYHPPT JR316_0000748 MATSMGTFSFNSRLSPESKQKLSHFIKVYGAHRPAVQRLLNLTF IGYILGATYVGLSGGMVSSKKEGRPKKGKGGGVSGKSERVAVDAMFFQRLAKIIRIVI PGIRSKEALLLLMHSSLLVFRTAISLYVAALDGKIVASLVRAQPLQFFYNILRWLLVA IPATWTNSWLSYIQNKLAIAYRTRLTQEVMKQYLGQEGQGSDGKVYYKLANLDDRIKN PDQMITHDIQRFSSHLASMYANLAKPVLDVILYNYQLSQNVGAEGVMGLTFFVQLSAM LLRYMTPPFGMYTALSAQMAGSLRHTHSRLVEFAEEIAFMRGETTEKMLIEREYAALI AHENRVLQRRWWFGCVEEGIIKWLWGSFGLVLCAIPVFFKLPGAVDTVDLGGRTEGFV TNRRLLLSSSDAFGRVMYSYKDLSELAGYTARVSLLLDTMQDVRDGKFDKALVNSATK DDNSLTLQGRGEVIESEDIRFENVPIVTPNGDVLVKSLSFHIKPGQNLLIVGPNGCGK SSLFRILGGLWPVYGGVVHKPSASQFILIPQRPYLPLGTLRDQIIYPHSQEEMYKRGV TDDDLSKILSVVEMSHIVEREGGWNATKEWREALSGGDQQKIAWARLFYHHPKYAVLD EATSLVPTEMEGMMMEYAGKLNITLLTVSHRPSLWKYHAVILHYDGQGGYVFTKLDAE KRLALQEEKQSLETKLLEVPKMKARLAELRTLTG JR316_0000749 MAIRLVNSFKYARVAQAPLWFTTRALSTNSSKYTQKLRERAEEQ GLTISQLLEKVRADEGKRRKEEAEKLKAATALLRPKPALPASTTSGTADKVKTQLTER KDAAPFKPLSSILNVSRILATPHTPEQITALWTAYHATRSGGTGRGYVCASIPLDMFY TMMKTGRQYPTFILPLPREQPADPTVPPPAPGEHNVAHEFYFMQWDFHAPPEVPSASE DPFTKPGQSFAEVSNPAAATVLFTPLQEYKLRGAFSTPYLVLTMYTDLAATHSVILMR GEITPSTTGVDRYMLNQEDAQILAMSLQKFYLWNNDGKDDGERLLRTFHEKPEEFKWQ ELLDFSKLTV JR316_0000750 MSLPPILYHSFARPLPYHATWLLQQRIHSLQLALRPRAAHPDIL LLLEHRPTYTAGRRQTDPSIDLERRRLQSLGADFVPATRGGQLTYHGPGQIVGYPLID LSRYTPTIGARDYVCRIQKLLENHLQQSHAIPHSPSEHTGVFLDPTTKIASIGVQVRH RLTSHGFALNITREPLAWFDKIVACGLDDVKAGSIESKLEQTLSLREEIPGLVNQFGK QFHRDMVEMDLDEHGEIGKAIREVEEEAERAGGWAKEPRS JR316_0000751 MEPRHALTSFSRLSSRQLGDPGQFDRGDVSFIIVAGAMVSFMVP GLAFLYSGLSRRKSALSLIWAVAASNAVVIFQWYFWGYSLAFSSSATNGFIGNLKSFG LKDVEADPSPATPLIPEILYSFFQMEFACVTAGILMGGLAERGRVLPAMVFIFCWMTV VYCPLACWIWATHGWAFKWGVLDFAGGGPVEIGSGVAGLAYSWVIGRRNERELLNFRP HNVSLVGLGTFMLWFGWIGFNGGSAFGANLRAIFAVWNTMLAASFAGMVWCLLDFRIE RRWSMVGFCSGTIAGLVAATPSSGFLRPWSSVIVGILSGTLCNFATKVKFLLRIDDAL DLFAEHAVGGIVGLLLNAFFASSSITGADNVSSIPGGWVEHHWKQLYIQFAYVVATCA YTFVVTALVAKAVDSIPGLKLRSTPEGEMLGMDETEIGEFATDYIELRRDVADCSTFG FSRGHAYKSGDAQNERHAQNVVPDRNTNDTRSESQDLETIAEKPENGNGTTTPS JR316_0000752 MKFPPWLLLIPAAHAFQLPFHLPKFFSSPSIVSTPHLDQPATPP IPRIAIIGAGAAGSSAAFWISKAKLRFGLDVVVDVYESNSYIGGRSTTVYPYNNRSLT ELELGASIFVEANKNLWRASDEFNLTRRKFEDQDYATGIWDGQQLLLSFTGSWWDTAK LLWRYGILSPRRAEAFVRNMINRFLVFYSSDTPKWDSIATLADTLGWTELTNRTTAEY VMAQGVSQKYTSEVIEAATRVNYGQNVDYIHALEGACAMAGTGASGVAGGNFQIFENF LNRSGANVYLNTPVSSVLPSSSSSQLWALKSARGTIDYQAVIVAAPFQSTGITFPLTV ADQVPEVPYVHLHVTLLTTSSPFPNPAYFGLPPNSELPRMMLTTYQGARTGGKKPEFN SLSYHGEIGNGEWAVKIFSEEEISDEWLANMFLGKVGWVLRKVWDAYPKLPPTTTFPP VKLERGLYYVNSFEPFISTMETETISSRNVVDLMLNEEFNASICGRRISAFENDNQEG VQTPPTTQEFEQKDFVFGWDC JR316_0000753 MLPCLPQPTILPPGHVSHFRRSIFTVPVELAQHILSFCHPWDVA TFSQTSRAAYALVYHPTDQYLWRQLFAAYPFDSPHSIADIACKREKVDWKGELTSRMK VERVLFDGPMTSSDKQHVLRTLVTVIEDSSWAASQYRSDCNIKWLKRLVQHSSLLHNI YSVPETQDDAQDYARLRAYLALSVIDDRHNMPAHMKLLDRRDYSRAYVYNLLNYTAEN NWGPIRQDGSVDWIHAEHLVNVVALNIRELPGNWAKTRPPTCMEGPRTSSFSSIRDFD DWAGVEGTR JR316_0000754 MPQLDTRGQIAAAQIAFYAPIAIITFILVIKYALRRDAGWFFLF LFSIARLAQGALIVAAEMIVPPKPILFNAAYIMDYSALAFLLFSSLGFIGMAGQHTYS ENPRITTILRLIGIFGLGGMGLCIAGGILNGESTANQSLAVALRRAGVCIYAGMYVII FAVFIGTWTYRWHLRSYRRSLLFGLTCALPFLGVRMAYAVIAAFSSSDLLGTKLSSNT TLADLNPVTGKWILYLVLSLIMEYVVVALYLFASTILARRHRH JR316_0000755 MRLPRRVPWSSPAELEQLCASIYGDENDIDSKIFAINRISAWKV ITSLPHALESTLAILVVIVHDKRQESFSKLLLRQSYANAILRLVNGLVDPLQVGTYAR SITSIAQQLGIPNWLVELRHASTHEDLPSLDLLREAARQSMAWLLHNYFLPTINPLTN ALHSSSTVRPLSPMLKMYRNTMKVVTRDASLVSQYKSKLVNLMRDIERWIAETKVVAN ISSAEFGWIGHSSVDSIPLDEDVKEVWALEKFCDALAEKGMLVPLSRKKRQYSTDTLT PSKTSIALWDPLIEHVQAIHPDFSYVFCRRFCSILITSISRDDVPQTTETKNDPSYQD YIACWVVWMIQIWREDTPTHLDLKRYVVSVLMKGLGHEVADLPSRSAIVTLLKKISAG QRELEMMTQLIINRPKASVMVWKPDDLEVMKERHNILQSYESFQATSNSTEPAATTSQ QISIPGWHAMDENAWRPCPIGVYKENR JR316_0000756 MVLFFTAKILRQSFFFDWDKPGQPIPIPVTEQCEIIHITWSRST AIGPNPTAPYYLQVYTSAYTIPFIIPVGDVLSYDWAVPFSPGTLVSGILFDKFGNTGG CQATYTVIPSSSTPNCANITFASSLGVEAVVENGPMSQFGWVDQVAPALHPPYNITTL NNEAINWTVSLSWASPFFISLVDANGNMWANGPLHAGGGGTIACLAGNSTLRSDTEVK LPIAIGASVGALAVGLVFGILGAFTVLQRRQNEKIHSQRFVEAHPAPMGTPRVQMFEE PHEPAQSRPLTTVSSFGNFTNSITNSNPSSRVNRGQGLEEHYAEFSTSDEQGRSRAIT QNFTPNHRGAISSIHESIVGPSAPAAQNLYVLHHDSQIPPVTIYHQEGTQVVELPPRY PPISPERSEAFSERRMANDSPSEGSGTTGTGRNENIVLQQSRYVGPVRKVPKTP JR316_0000757 MKLTNPLPQPLPKECEKAAKIFKSFVTSGNNGLDGVIPREILER AKGFAIFTIVKAGFVFSARAGSGIVIARLDDGTWSAPSAIGTAGLGVGGQAGAEMTDF LIVLNSRAAIRSFMAAGSLTLGGNMSLALGPLGRNGEASGSLSTNGKVAAMYSYSKTR GLFGGVSVEGSVIVERQDANAQAYNSPVTARLLLGGTVDRPQWAMPLIKTLEACTGMP GNREWINDDADRTPGGTYAFGGVSSPDSVSRTSSRSPSFLRKKKKAEPPSFPPASWGT EINGGSYFSDPAPQSHSRNMTWDGGNRTNVDPFGSPNPMDQSYQSAIPVTSAISADSM HRRASSLSYSSSTEPGNPFLPSTTTQRNAPVPYIKPRIELTTPLPRHEGVARAIAIYD FQAAEPGDLSFRKGDVIVITKKSDTTDDWWTGKNNGQEGIFPANFVDIV JR316_0000758 MIVIAKLLIYASLLINLSLASRTLVPAAIRGLHHSTIRRTHNLA SDLRLAFRGILLPRTETNEHIVYCKSGKQAPFNGGGDDSGGADGNTSSAVGTSGRQTT VIGSGSSTSRRPLSSASSSSPSATATLPPSLWKLTNSYQGNNFFDGWDFFIGDDPTHG TVDYVDENTARSNGLLEINGQGNAVMRVETTGTVSGNRKSVRITTKAQFTGGLVIMDS VHMPTGCGIWPAFWTNGPNWPAGGEIDIVEGVHDYTNNQATIHTNSGCTLASTSSSTL AITGSVIAGTDCAALTTGNQGCGIRASSSNSFGPGFNSNGGGIYAMKWDSSGIAVWFF ARGTEPADISSDAPQPDSWGAALARWPAASCDPFKFFSNHNAIFDTTLCGDWAGAVWN TAGIPGQEQSCAQRTGVSTCEAFVRANGGAMANAYWEVKSVKLYQMQT JR316_0000759 MPAGRTVKIAVIGTGLAGLTAAHLLAKESQGDGVDFEVHLFEKA SGLIPSVLYTSFTVCFQSSAIGMDSASISLTGNNTASEDDWRVDVPMRSFQGEKAGQD RTIITTMIYNGGSGRAGVSKPSSLGNVDADKQVTSFQNPLRNIWATGLFLLYTLQLII CYAITLYHSLPLWRSSDISTMTFRDWASRSTPHRFIFKRIGLDLMWIDYVHSTLIPLF SAVCTAPAEDILNHPVEEFLDYIWLTLGTHHYVVVGGVRDVVSRLTAGIRHLHLSSPI MSIQSDRDDPHFNEETTEYNGFHHVILATQASGAVPILSNYLQSMPAQSSHRDDVERQ INCLKAFDYRKSIVVNHTDDTLLPDNSADVRDLNLISHPFHSSSRFYEMQNSKAFGTL CVSPSYTMATHVLSTPKEYPHTAPRVYQTTNPIIAPKEESILSVSKLDRAIVTRRSKA ALQSLCVQESKKWWQCSYESRTRLGELQGNSTVLKGYNPGIWICGSYAHLGIPLLEGC VVSARNVVEGILAKEGAVWKGKPW JR316_0000760 MSSSRKQAPRLARPAVRYWKGKAPKGAQVESDSEAEEVPSHLEE GDVPLGDQEIDDDEFSDLKTRNAKDVAAPRAMNIALKDVSVSKEGKVIVAGREESGRT IEEEEEESEEEEEEEEEETKPRVDEDESSEYESESEEEEVEFRPVFVPKRARATIVEK ESIAQDTEEALRKKELEAEERRKQSHDMVAESIRRELAEKEKEEEIPDVDDTDGLDPA AEFEAWRLRELGRIKKEKEDELQREKEREEIERRRAMPEEQRMKEDLERAQKLREEKP KGQQKFLQKYWHKGAFHQDEEILKRHDFTEATESTVDVSLLPKVMQVKNFGKRSRTKY THLLDQDTSVSTGGFGGVAPVKSGGTGLEGGGCFLCGGPHLKKGMV JR316_0000761 MYSPGPSPFDIQTHLYTSFLQASTYDVALRVSGSWNAVYKLHRV VLIQSGFFRSLFTAGFAESTVQLVPLRNGTDEISVTFDDLNITRPVKFTGLVRVCVSR LYGGGPPLHVSPNLISTTNHPLTPPFSNAPIPEDLPAGHHPATPRFLQSLLATAIYLS IPSVASQALSLILKTIGPTTILQYLNFACGRTIDLYSQQALREPQAAVGLEHVAQLVD REEKSFNSTEGLKNNSPLNNEKPEEDIDGLSHRVAGSAESSDGELDDSRVQGPSPHYG TISNKIGEACSCWLVRWAVDMLQFETHGDAYQSFLPDGRTRSKSVSYITSTIATSSPP LQMSPLWGRKGLTAQWIAAIVSADTLFIKNERERYIFARSVVELRRKDGILNFEENIW ASMFTRGIYYANMTFEDLLYISQDISPTTKQPYVALSTLQAAQWNQSVLRHVLTSRSN TINSHSNPSSTSPPPREKELGVTLTTADILASISDSNANNLNEKSKVYFPVLSDSSLR IGNTAAAANASGSSGNSISMEELFDHSHDSSPNHAKTQSHDLANTITISSSEDNFFGI LTPSLHAEACVDSDPSALSRWSPYPPYRFSVEFWDVDLLKEKSRLHSQTIWHAGNLFN VYVQIVRKKGQAQLGIYLHRQSNVDPIPASSTPYTTHQGQVAVDEGFGGTLGRSPHLR QPSLPSLASAATISSTRTHYSPSIHPTSISRSGTPSSVPNPRPSSPSSLPSSPSAMSS LGLSNSPATTLTPAPQQPYRDPRSCVSAYFSISCASATGTSQTRFTSSPDLFSVSQSW GWKSSTLRTEDFLEVGSQSLPGNHGSLRDKRVSFRATVLLGLV JR316_0000762 MAYAQPHPRLSVVSNSSQSSSTDHLSPTTSTRFSLPSAPSLHAP SNKAGPNRPNIYDRNLNKTRGAEVSSAAFAFLFSEIIQYTQKRVSGINDLERQLNTLG YRIGSRVLELMIWRAEGSSKAPKREIKLLSVLVMIHAQVWKAVFGKAADAIERSVENP DEYMIIDNDPPIERHISVPRDMSQLSCSSFTAGIVEAVLDGLSFPARVTAHNTPIAQF PNRTTILIKLEKSVLEREELLK JR316_0000763 MVLLHVLDINPPLLNSSCAWSSNLQQLTELYESPYTGAVTTRTA TLLGFKEDNNHTVAFHVEALTTINSYGYSPHPLTEYIEWVKDILISHPLSTKPIIISI TASDPQTLAEMVNSIQTLREVLQDGKIGNARIGIELTHRVQISPIPHPRATVSVLTAA YAKDNTLTIGLKLPPYVHKDQFAEVFAVLQSLQNSARYNGSAISFLTCTNTLGNSLLF SEQSISTIESKNEFAVPTGLGGLAGESLHPLALGNVYAFKKLISSQSGAHAALGNIKI IGVGGVTSKAAVERMTKAGADFVGCATLFGKEGVHAFEILGKR JR316_0000764 MDYTLRRKDSADDNLSLPFVAFEHNVVHNGASFTNQRAPKDEDF DFFKATPSSLVNALSKDTARPTFEPLSPALTVGDNSGDEHASTPGRIARPRNAFMLYR SDFLASDRISRSVEHDNRHISRIIGHCWQNLSKEEKLVWHQRAELEKIEHAKKHPGYK FSPVPRTKKPLKRKGKRNSEKDIERCKNVAELILAGKEGDELVAALKQLELENGEEHN QDGSETPGLSYASYPPEESRAPVFLNPLRPPNARDERVQSTNVSVPQPYCSFANDQGH RHQYYPSPPESPVSPNPMYSDNRSRNPFLLRPATFYPQHSHTTSNIQSRIEPYPHSPG VSRGQMNHAQSSSYQFLQGSGDRLMRIPQQNADFFINGAEHSYTFLSPSLPPSLAPSR YASGHDFYGDSGL JR316_0000765 MPTLLNHASGSANPATSQSALWAYLQPALDHIVKSPSNDPNGKA PAIDFGLYAGIHSACYNYFTAQSEANAANPSDDDDSQPASGTDIYVQLDKYFEEAARE LSLGAPLDDITLIQYIVPAFNRYHAGAQSANRLLSYVNRHYVKRAVDEDRGWLRLSDV LESVAKTLTNDDSREIITRKLRERRADELRKWGYRDGDSSERVAFAEACAEAASPLDR VVPVASLALRRFRTEFMEPLLATPKNKGKSKAKNKVSKPSGPNPTRPKGRLARAVKEL LETDAVDEEERYHLASSLARALQIIGVKEENLLRKKLDKYVFSFKLYTTN JR316_0000766 MPSQPPPEQPKILEPEVNALAHCLKDTVVNTAQVYGFYANTRKL GGNANAQSPPRSMTAALGRSVERYDQLCDSIEARIKRAINILERDLRREEERIEAEKR RKQTEALMLPPPLPTQPSEQMEETSSTQATTEETGTNPRNSPVSTLPGRRPSAISISS LQRPFPLKLDLSSTSMRISEEEAAMFQKGLASPVTLAPKSARAMGPNEFPPELMAAFN EGTSSLNVPHDTIDLTMPDNIQPKPQLSSLTVGLGDSSDKPIELDLDSMDMEMPDFNT VGMNETVEENEGDGLFSPLEGHNEGDGADNSKGDTTIPAYDMDSKVDQELFGDFSSNT GDMDTDGSMPQAHSNDLPLPADLLAQFSAGQGTSPSLQAGAETFDMNAMNTLDLSNLT SQFYGQGQDGDMNFSMDMDSFLNMGPATERQDGDPTQTQSYT JR316_0000767 MSTKQKKRPIIRIQPQSAYDKESRDAALRARRLLPSPPDVVKSN RPAEEERQRMNAFKFGNPPSLLDKASLFPPHSRTSNDSPSPQTPPSPLSSAFHLPDDS FAQLELQLNPAADPHLLLKTNDNSPSTPIIVESPVQHRFSQDILVIDHAPPILSVDPP ATRKRGMTDSTPRKSLNPFKRDKEKSLPIPVPEIKNSPSNDNPPTLRPRRLTMSASLN NLRRSFIGSLSRSSPSESTSKKSFNASHLPPSPTIPAQFAVQATASLGSRLASPLPSP TPDDHVPLLSPSARSPRPVVMYNRGSILLETANIEDDEVRRMTELAFLG JR316_0000768 MQPDLSPLHSQHQRRIRHITGLRIHNLSPFPRRDRLAAPPRHIP LDTADDNILPRRPRRRRTSAASSSSIVAPANSQAALQSIVAARLVHSFITLSPLRSAP LHQPKLPPSPPTSAPPFNSSFAKSTGPPVYFSPVHRPSTNPYFPIDTRSPRDFPPPYD LSAQSLHVQVWGNPPAGWSLLNEWHFHLNQLLPLPEDVTSLPPNTLLVSLSPPGNVFY LPHPSDRSRSPSPSHGYSSEPESEIRNPTPLRRRRHHNPAQSSTDTLTKTAGLQDLFK LVNIQSCILDNEASVSEVIRGIGHLLEDDSAFSQRRHISESEARIQDLRRNHDTVREQ CAQKRAQIIAYKQRLQQRSDLLESARIAFDINSDLTQVIANERAQLTALHAHMTSIRT SLLSTLSTIFPIELLSPPDLLFTILDVPLPIPVNPSDPAPPLTLPEHKEVTEEAVAAA LGFVAQVLQILAAYLGKGLVYPVTCIGSRSLIRDGISAMVGPRMFPLFSKGVDTYRFE YGVFLLNKDIEMLMSERDLRALDIRHTLPNLKNLMLTLSHDNIPTTTRPVLRRHSPIL SISSGLATPSRSSSPPNEDMMDVSTPKASQIITPIANGDQSRSGATTPTASLHDTTKS KSSFLGFGVPFWGRSSTIQREEEDSVSSSEDSSDDAPGADDEEGDGDEDDRRTIHGVV ASPSDNLINPVEVGGQAEKIAPAE JR316_0000769 MNTMENTISPTDNHPSPDERKKSPPAFLDLADSAARLGSNMPDM SARVELKRIEHERQRDLQRKHFEAQMQALELKQAQELLSIPYDSNGNGGIQHLAVSAP TTPPRLNAQLAQLGVVPQADKRKSVTYAPTVPTVNLSPDLANGAATNGFSRPVGAKSM PASRRTSASSHDEELAIHIQGLSLAGGDRSNRASPVHAPVSASSILKGNGRYPDDEGA RYANTYNAGMMLDEQLDQEMHNAMRNLPTSDDDKYNNNYQGKISTASAALDLAHISQT SPRAHYARALDTREKSSEWPQFPGNPRGPEGVVNRSDRRATNPNLILSAPADEKVLGG GVVSASSTPLIPQQSQGPAHSLNQGPTSRRGSPHSLLDSLSATTRSVPATPLGMPTSA GSHLLKTPGTPHNQEVLNGRISTPNPHVLNESSVNAIDLQASLSRLPTGPYDNGSLTF NSIQSGGRDEYDAIYGHNGGVVDNTRFNTYGFESNGRPSANPSNAAPLNNPAGPASLY STQQGSRYGLGLPTRTSSGNDSKMNGLHGPKHKRGDMDRECNEIFPVIQGAPTDLFTA VNRFAGTRLEDLQGEIPALCKDQHGCRYLQKKLEEGVPEHRDMIFRETFGHFADLMTD PFGNYLCQKLLEFSTDEQRNVICESVAQDLVNISLNMHGTRAVQKMIDFLSTRRQASL FLAPFLFFFMHVVVLIKDLNGNHVIQKCLNKLAPEDNQFIYNAVAANCIEVATHRHGC CVLQRCIDHASEMQRVQLVNEITYNALTLVQDPYGNYVVQYILDLNDNRFSDGVIRQF SGNVCALSVQKFSSNVIEKCIRVAETNTRKMLIDELLNRSRLEKLLRDSYGNYCVQTA LDYADPAQRTLLVEGIRPVLPLIRNTPYGKRIQNKLQREQMDHFGGFPNQQALVNIAL SNQGMGGLANRHIPQGHSANPLADVYASSNGLYSMPAQGNFAQSHLSAPMHNLQPPSI DGYVLQGNSSHNQGLPQQHSHTGSFPAASFTGLPAFGGALNGSINDPYQRSAFGYGM JR316_0000770 MPRTFTLASRASQLAQIQTNIVLANLQEQFPPSADGSTPNFATS FMATAGDKNQSQALYLIGGKALWTKELEVALKEGHVDMLIHSLKDVPTTLPEGCLLGA ILEREDPVDSLVVKQGENWKTLDDLPAGSVVGTSSVRRVAQLKRKYPHLKFLDVRGNL NTRFAKLDAPDGPYAALILAKAGIVRLEMGDRISSDVGPPTLYHAVSQGALGVEIRSD DTEALELCKKLTHRETSWRCSAERACLRVLEGGCSVPVGIFSKLVAEEGGELLTITGC ITGLDGKEHVEQTVSEKVASQEDAENVGVKLAKLLIETGGKKILDDINVDRERRVGEA KAADEVAAAS JR316_0000771 MGESLYEGEVYTLQFRFDPQYPISSPAVQFVVGEGKESPIHPHV YSNGHICASILGNEWSPVLSVIAVCVTLQSMLASCKKKERPVDNDRYVKNAPENPKKT VFHYDDDT JR316_0000772 MPWPHLPVLLLCAAVLQYQKKLPMSEKISTRGRKRAAPVLLLPA IHQTNATKMTKHIPNPQEQVAPVVSDALAPVVWNIEDLYTPITTGKTVVTDAVLALIP AWAQTYQAQVNRLMFLETPQECHERNPLVSAWSTFQSWNVVGVSAIARTADALAEHLA RDAALIREKMRRRHISPCPSQRMKTPRLPNGL JR316_0000773 MFIQTETTPNDDSLKFIPGVPVMGEEGTAEFLNAKSAFASPLAL NLMGIQGVTTVFYGPDFITVSKDSESHWRVLKPEIFSLLMEYFSSGKPLFTSQEDRER AGPQDTRILDTDSETVAMIKELLETRVRPAIMEDGGDIEYRGFDEDGTGLVKVKLKGS CRGCDSSTVTLKSGIERMLMHYIPEVKGVEQVLDPEEEIALSEFSKLEKKLESQQKEA KHAR JR316_0000774 MRSAAILVLGDIGRSPRMMYHAQSFAENDFTTDIIGYGGSKPIP SLERLPKVRIRHIPELPKILHRLPFLLLAPLKVMHQVINILLCLLVWIEIPPEFIVVQ NPPSIPTLALVQLVGRMRGSKIIIDWHNLGYTILAMRLGSTHKLVKIAKWFEATFGYS AYAHLFVTRAMRDHLVEEWDLQGHKIVLYDRPPQSFHRSSVQETHELFQKLHPALSLN NDLQNFLPDASPPYSTYMTQTSTRLTSPTGFPITQTVTTYTNVEMPKLRPDRPAIVVS STSWTPDEDFGILLDALKIYNARAEEIFKAKTTKPKLQLPKLLVILTGKGPLRDKYMK EVGVLQKSWKWVRCISIWLEAKDYPILLGAADLGVCLHSSSSALDLPMKVVDMFGCGL PVCALDFACLNELVKNGKNGLIFKTSLELASQFERLFTSFPNAPQLALLASSLANLSG SPSTPTNPHIPKPHSKLDHDADSWHWSTWEENWGRKMRPLILSDVNL JR316_0000775 MPQLRQPSNQIKLTNISIVRLKKGGKRFEIACYKNKVQEYRNGV ETNLDDVLQVNNVFVNVSKGEVAKSGDLQKAFGKVDVSEVVQEILKKGELQVGEKERD HDLETLRKEIATLVAEKCVDPATQRPYPVGMIEKAMAEAGYSIKQNKNAKSQVSECIK LLQSDSNLPIQRARMRIKVAMPTTDADKLRQKILEAAEKVEHDETGQLDWEVTMLIDP GQFRVINELLQKECKGRGRLETLSFAATANTPATSSD JR316_0000776 MKGIGKALSRTPFAVTSKIGMAKRSVDPEFDDYQRHFASLEQAA EKIIKDTKAFTEAVTNLFTAGAGFATHFTVIFQPIAGEYDLIGKHPEAAHTIRNVTKY ETAMQELRELIGPELELIETRISGPAKELQSVMKLIRKTITKRDHKLTDYDRFNNSLT KLRDKKDKSLSDEKNLFKLEQDFEVATNEYEYINNALKQDFPRFMMLATQFIDPLFNS FFYMQLNIYYLLLEKMHSFADESKYDVSNVPGAQIAQEYEEKRTDAWSVIENLGIIKR IVSVSRLVQQSRAQNSQTSLGRSATTSTTASPSSSLRAGAPPTRSVSGASSYTKAAPP PPSSFQAAAPPPYTPSSSAGASAAATKRAPPPPPPLKPKPKAAPEVKYVVALYDFTAQ ADGDLSFNAGDRIELVEKAESAEDWWTGRLNGVQGVFPGNYVQET JR316_0000777 MSALRPGPTHRSPPALPDSPPVPIPAERSLSPDAAAPQTAAPPP PVPKAEKPRPKIRSTKAALTITKDAVLRLRNLLNSPTPQLIRIGVRNKGCAGLSYHLE YVEKPGKFDEVVSQDGIQVLIDSKALFSIIGSEMDWKEDSLSSKFVFKNPNIKDACGC GESFNVAS JR316_0000778 MQHSLHNPDTRTLPEGWADHFDSQYYVDLYAEKPRVSFAHPCDL DNQQPSSAPARVKEGVRSTRCLQKPIGPRKNSSASINTIIRETQRNRRATVAQQLYAS SGVIPSTGASSPLGFRSSSASLRRPSVSNCPAPEEAACLSSKCSIVSATSSSPLPVSP HIDNHHPRNFFDCTRLAPGTRRMTVNGARPSLMQTSIPVKSDAGAHSYTSTQSFYHPS VSTSVKNSPSPVLISTQECPDTPAQTVQSNKSYSQRPLITILSNNAPDQSSTSSRFYA GKTAESTTYKVSTEDHVLVVPNQVSTQTSSTTTLNMSTLESASPGASGGSKKPRSLPP INTTTPILQPKPIRSLRGISLNLQVQVAGITETPNTEVEPSKNKAKSQLSNLSLRSNS KWKGKQKQVDPPEDMSGAPSVVGTFASSIDNSYILMDSLDQT JR316_0000779 MQAPSAGRINMEYRSLPPGWVAHYDQQYYVNLNATPPVASFVHP YDQYGRTSNNQISRPPTDAVPNAPMSGHQVQDHTRSVQIIPPFVAVTEAQGAQGPTFA QKLYASSLPTSLSYPTNATSRPSSSLGRSPEANLYATPPSSPIAGFLVPSPTSSSVVP AHSSSEPLRASPSVLHGSSRKPRGARSPNFPRTSFSVVDRTSVSESADEFGVRTISQN RQTLDSTRQLNNRPYSTELDTHQHRSVTVPTFVYSTTSTAQNPTRNAQSKKVTDHKIT ICSPNPLQVSTKITTPAFQVFTGTQSIQSSSSEISHVPSVAPSTKPVLAPIIIPNSSS LASRPHNDGTIIPTGADLPFRNQQVAYLPSTQNSMFWTSNHSQSVARSSTPPGQPGSR IVQNGKNPNANHKLLKKVGMAVGKSVGKATLRLGTKVALENMDSSANIDNSLMDAINT DIPEVAFTAASHAIASADNLAIDSSFDTGVCPPSSSTQFSPEYTAILQQMESMGLNQP VAPRQSSLDSSEVTNILATMHAMQVRHN JR316_0000780 MDSFAQAIADRFKQSAILSVPPPPDPARPNVFPAIDPASLDDWL TDPTALILDIRPHAAFSAARIPHAISLSVPSTLLKRPLFSLQRLSAMLPSSAARNRFS AWAAASRILVYDADSSSVPDSSNIAGLLRKFKADGFQRDLVWLKGGFHALWRDRRDLI DTSPPTPDNEHDDDDDESASSDPKSSLLKTRHLPMAAFSLSSTTVHSSPRFNTSAAGA PSAPKFVQPSSGLLPAAISAPTNSHPAFNPFFDTIRQNTELSHGITDRIPLRLPKRVR RRIHELPFPWLQDIARRAANAPHHHGSYSDSTSSESEDDEGATQADIEEGKEALAMQF FKIELSEQRRMMGIMEHHSRESGQVSQMASSSHTSNPFPYSITAGVEKGAKNRYRHIW PFEHARVRLHQKKETDDDYINASYIQPLGTTKRYIATQGPLPATFTDFWTLCWEQNVH VIVMLTREVEGAMVKCGAYWSDTVFGPLRLRLVSTEGLPSVDERPTTAGFFSQHSSLS VQPPSRVTSQRRFPHSAGSQRRYRHHHYHNKSSETVKRIFELTHTGYPEAKPRRIVHL QYLEWPDMNVPEDPRGVLGLVKQVEEAVRETQMDDQPSEPKKRRKGSNQVSLTDIDEK TGVAMHTLGGNNPVLLHCSAGVGRTGGFIAVDAILDAIRREVRNARTGDAMDVAPDSH KATTISEKTATLDLTNRQGSGEPTTEESRTIHVRMATPMQVDHPDQFENEAADATMSS SGTMQWAENVRDETGIVGSSNGPSQTTEECRFPSSSNLSFSTPESSNLAGASETPHKH GSYYYNPSSSLGTSVSGSSSYFKAHPQHQFTSDLLQASFNHQKPSASEQRHRTISAPP VHSTSATLGRYHRDIVRSLVSSPSPLHLKKGSSDLPDLSNSRVETVVKPFALSLDLMS SPSKSLSSLHPPMSSDAESPPSRSQSPSADEASFKFKSSKKASSPVNGSTSTCKVTPP DGQPKTFDYKEPRPLHEDYTPPPLTTFDDPIWEVVQDMREQRMSLCQSLRQYVFVHAA IIEGSLMVLDEEKEAAEGLIPPSRKTSKPATPTATSSSADVPQTPRSSTSASRSPKSS PSRRQNSHPYSHELASIASSSSISIGKRGASPTELPKENKEGDLMLSKRPSVKRKQRS GDDLNVVDDARYHPVPVRVTSSVLHMGGVSAPSARAMPP JR316_0000781 MSSSEEENFSMNISGSDSEDYEPEKKKAPAKSKTAPKASAKPML TGTKAKPKTVSKKKVLVDHDDNVEEYAMDLNNDASEDEDLKASTSKLVPAKKKKTATE TYTKLSQLEHILKRPDSYIGSVETITQHMWTYDATTKRMINRDVRYVPGFFKIVDEIL VNAADNKINDPNMDTLKVNIDVEESTISVYNNGRGIPIEIHETEKIYVPELIFGHLLS SSNYDDDEKKLTGGRNGYGAKLANIYSHEFTVETADKNSMQKYKQTWTDNMGKCGKPK ITKNSKGEEYTRITFKPDLKRFGMEKIDEDTASLLRKRVYDMAGVVKDIKVFLDDERL KIKNFRQYVDLYVNSVQAEASENSGGAVQPKQSVIYEQAGSRWEVAFTVSDGTFQHVS FANAISTSKGGTHVTYITDQITKSLIAAISKKNKAATVKPAQIKNHMWIFVNALIVNP TFDSQTKETLTLPASKFGSKPTLTEDFIKKVIKSPIVDNVLNWAKYKADQQIKKTDGT KRERLLGLAKLADANNAGTKYGKDCTLILTEGDSAKALAVAGLGVVGRDNFGVFPLRG KLLNVREARHDQIMKNEEIQNIKKIMGLQHNKDYTSTSSLRYGRLMIMTDQDHDGSHI KGLLINFFDHFYPSLLKLPEFLVEFVTPIVRVTNGKKHIDFFTIPEFEKWQESTPDSR KWVSKYYKGLGTSTDADAREYFSHMEKHMIPFAPTQDGDKELIDLAFSKKKADERKEW LRQFKPGTYLDHQMDEIPFSDFINKELILFSMADNVRSIPSVADGLKPGQRKVIWGCF KRKLKSEIKVAQLVGYISEHAAYHHGEASLAATIVNLAQDYVGSNNLNLLYPSGQYGT RDMGGKDHAAPRYIFTKPTSLARVIMNPSDDNLLNQQKDDNSPIEPEFYMPIVPMVLI NGAEGIGTGWSTNIPCYNPTDIVDNIRRLMKGEELVPMTPWWRGFKGEVKLVAKHKYD VLGVVKKLNDTTVEITELPIHKWTQTYKAELEAMIAGDKEKDKEGTVKDYKEHHDNLN IHFIVTMSAKDLEKAEAVGLHEFFKLTSKINTSNMICFDFEGKIKRYDSPEEILEDFY PVRLSYYQKRKDFMANELQTMFEKLTNQARFVQMIVDRQLIVANRKKKDIVQDLRKHK FRPFPKVVKPTAVDEPQEENEDEGEEEEVDENGADSDFDYLLGMAIWSLTREKIEKLK QQAADKEAELLVLLEKSPKDLWNADLDVFLKEWELSCLEFEEKKTKDAKGKKVKRKQT VLRTRKSIGTGHMSDNSEDEFRPTKAAAAKRKPVETKKPAVLKEDGPPKRKVSGPKKI VELSDDDDDEYDFAPPPEPRVRVAAAAAKKAAPANEEKEVVPPPKPKAAAAKKVTTSK KIDSDEDDEDAFVPRVKSRAPVKKAAKQAESDDEDVSAPVVKARAGTSKKADAPKKTS EEQSDSDVVMVEAKEPARGKRKAASKALVIKDSESESEADYKPPSKGKGPARPKRKSM GSTGEESEDELAKAKPAKKTKTTTVETKATGAGKLAKKMKPASPAKTKKAVVIGSESE DGEYGGTTTGGVVAGRVGRGKTVGKYVEIGSSDSEGDGSMFSE JR316_0000782 MSSEDIAEEIRSSFPGTEEVIVQYLAGYLVDDAGEEEDVLQVAH DILKSVAGNNNDVLAKLMIRLGDILEDHLNARLKNKSRPKLQKLDQVMDMSKSHLSNT LILSEGVDLESINKGKASRVDVKKLEKQEAKIQAKLEKRARRTDLLYEGSKLLDAHRK QQSYEEMFMKINPLEASAASKNKSKDIHLPSIDVSFGSNRVGKSTLLRQIAMREVPIP AHITILFVEQEIVGDDTSAIDSVLKADVWRDHLMKEQALLDAKLTELDAEGDDKRFVD AREELSARLSEVHTRLSDMDAASGPARAAALLAGLGFDEQDQQRPTKSFSGGWRMRLA LALDLNALAWLEDYLQTWPGTLLVVSHDRAFLDAVATDIVHQHSGRLDYYKGNFTQFY STKSERDKNLKKEYETQMEYRKHLQAFIDRWRYNANRAAQAQMKIKILEKLPDLQPPE QEETEQFKFPETDKISPPLLQMNEVTFGYSPDKLILKGVNFDVGLDSRIAMVGANGAG KSTLIKLLTGELKPLSGHVTRNGRLRVGYFAQHHVESLIPTMSPVQFLSSKFPGKTEQ EYRQHLGNFQISGMTGLQSIGTLSGGQKSRVAFALLSLQRPHILLLDEESPTNHLDIE GLDALMTALEKWNGGVIIISHDERFITTVANQLWVCGDGTVKKFKGDVQSYKNLIVSN IKMKP JR316_0000783 MKFPIALIFVPAITGVYAGIVPRQNNQRKGGNAPATDPQKSFSE LKLGSHAAIPISLDPKVIATGFSNNGQDVPTEGQVPSLTSTNNFINFCLTVPNLPLTN GKQIETGSCNPVPIGAIPSVDKMPSSKFTNPKNGDVIAPNVPFTIRMAIRNLQTGAFV NAQQNYFAAPQQLNGAGIIVGHSHVVVEQLTSLSQTTPTDPRKFAFFKGLNNAAENGI LTADVTTGLPAGAYRLCSINAAANHQPAIAPVAQHGSLDDCVYFTAGAGNGNANTGNN GGNNGNGGNNGNGGNNGNGGNNGNGGNNGNGGNNGNGGNNGNGGNNGNGGNNGNGGNN NGGGRGRFGNNRGRGGFNRGRAQ JR316_0000784 MSSSAQTLSASRSRNQARKKVNDDASYFGPPVTAPGPSALKRQA IEKADGEPRLKRKKVEPNVLAMGVGKKDVAEVDTRRSLVEFQKMSTPMLHRYMIQFDI VPGVYPSPLTAEDPPAPSSLADLEQQLSQAASPPALTPANRPRRDPKDAQTRRRSSRL LEEEPRHRVPVLADVQELHGVLATIVERHFREMNSISGREEVDTLAAFMCAVEKTKSA KLIGNGL JR316_0000785 MSTFGKLFRVTTYGESHCASVGAIIDGCPPRDEKDLVQLQSGLE MGVTLGTPIGLLVKNEDQRPHDYSETDLYPRPSHADWTYLEKYGIKASSGGGRSSARE TIGRVAAGAIAEKYLKLAYGIEIVAFVSSVGKVHLPSTISSASTSSEEDDTAQDALSK DFVNLLSTITREEVDKYLTRCPHPETSEKMTQRIIRAKNAHDSIGGTVTCVIRNVPSG LGEPVFDKFEASLAQAMLSIPATKAFEVGSGFKGTEVPGSQHNDPFVKDEAGRLRTTT NWSGGIQGGITNGEHIYFRIGFKSPATISQPQETAQYDGTPGSLATRGRHDPCVVPRA VPIVEAMAALVVMDQLLIQNARKTAASLLPPITTLPPTMIIPKKDN JR316_0000786 MPGKNGETLLKEKVNYGEGYPLTGKKPLEDFLFTLKANILPVIV TNHTVTIAAELEDGEHLVGQCEISHPMVSPEPAIPQPSDDDAPAPDGIGEYVSPKQNV MFESIGKDKYEPLPSRISRLYYINGYGMEIHPSPNPDFLANIASRDILVYSCGSLWTS IIPCLAMKGVALSIAKSPSIRAKVLLLNGENDRETEGYTAADYIRSVVALLFVAIVQT LNNNNHSTPVYGLGGANTTYPTSAFITDLVYLKGTKVPVDVKQITLMGVRCREFDGGS RFDAATVAHAMGEVWAGAS JR316_0000787 MGDRDHSQALTIPHHDSPGSSVFDLPIQPVNPVLPVNGLGVSTG IEKSFSPAIDQDELSVDISTRDKSFLVISGGTGGNAICSAFRNVCYVLPVSDDGGSSS EIIRVLGGPSVGEITHTTLALYISKEIFQVI JR316_0000788 MSQDSFPALPGFYRLLFLYLEPMSTIAPFLMVWISPGSAWFHHE LIPSDNGPTGVLSPRTQMAIWQLANCYLLLGLISSLVFRSVRDALPNNPAAQERILGA SFLALGIADASAVLRTTLESQR JR316_0000789 MTKFDALPGYYKFIFLYFEPISEIGPFVTSFMWGPSWFYNELVP PTGPPPDSMDPRATIAVWQLTICYLLMCIMTSLGYRAVRDTLSNNPAGQEKLMGVFLG SLALADVTQ JR316_0000790 MPPSLASRLLFHAAPAPLLFHSHNVAPELTPEIYDFLALALRAY VSPWWSKITRYDKDFLPHISAVLTTVIRVLDRRIQALDLPDLVFHDAPAIIVQHYRDY RNAAAKTQTAYATGGAASLSTLFAHLQPHMAISPDGSLNREYYRQIVDHILKACLPPE EYAPEAERLIIREVVVKVLIDDVIPKITQPWFINRTILDLMGPQEEPIFVSQPPVPPS TSTSHTFLVIVLSLLQSFSGMCLALIHTYKQTMSTIKLVQQSPPRTPQPPPPAFVPSV PEPITRTSSLASPLSTTSSISSHTYASAIPTMVATDSHPASFGHHNYADQPLVLLSEL VSAQERYTSTITLTIVSMITASMTPFMDKLLPHMLHNFLSPAFILNVTRTAKRTMFPN GYPGPQPPIPSPEEQAEWRARLVSWKGTGVVALLLPLLLGPDSSKTLESALDPLSDAE CNLRLLVFLLDRILVGLFPELSGS JR316_0000791 MAHHQPPNAPILISFPDSNELVDSLAKFIAKAQKDAIDKKGRFT IALSGGSLPKMLRGLIGNPQIKWAHWQVYYVDERVVPLDHPDSNHRSCKEHLFSKVPI PDENIHAIDPTLLNDLEELSDAYEKTLIHEFAQKDAARFPVFDLILLGMGPDGHTASL FPGHELLAEEDRWVAYVDDSPKPPPKRITFTYPVINHAARVAFVAAGKEKAETLTTIL DHPEEGLPSSRVKPAFPGQLYWFVDDAAASKVAFPRTPFQL JR316_0000792 MGRKSKRKEEDDDDDDDDDEVYHVGKSSSWEPPENLKSGCSRLL ESFWTHVGTDDKDYLPGYVVEAKKDWIEQEKQYFRAESEKKLQTEPDKKKEKRKSKKR KRDKSSESSPSMSTNNAEEPFKAIEDSEDDRPLTETTKNNKIKIPQKSKKVILSPEPD ETPPSPRPRKIRKKHSAGSNIDDRTEGSKSVPNSPKKSPPGSRPQSPTSLFSDRSSPE ITLASTIQPRTRTTSVSVAKAKPRSTRKVTDPQAKIASMPESALVTSSGITTKQRIAQ GALNPKAPKDLAPSQSTPKPLSRVPHLLNFKKISSSTDSATVTGVHSPSLESPSLHSP AHSPHSPHMLSGSFSSVAKQSNVSNSIVANQQVVLPQSRSFSTNSIMSPVTIPSPTAP MLEAENFLQSIMPSSLAAPLRPASEAPSGPMPPKAVVPKSSLPLPGRPPKLWSWSGPL YLTATSSSICEVKLQQQSPTQSKALGFSVAFQHIEEIQVSCFYDIANFRSNLGVFRNA PSQALVTWHEEKDKGKFGIFADYVEKAKKVFLLNITLDNNCVGQILFMAYAHGPFLFG MNLHPHYKQAKSLVAVALPYALSLDQLQLATKLPPSLISAGHSIDQTIFSQKKSVFAF EHGMRLLGFSSQLYSYIKEPSDRRYCIWSERPSGRSSALETYFLTMILEKTRAPRVHK QAEARLVFVHAEALSSLHKFPAFAERRAQHNYVQFYLYGSNSELGPYIPPVQEIYPCG GIVTFTPFAMRNDPLGVLQLIRKIDHHPLWSAYIVPSALGLLAKLECGQNDPLSELGK KPLMLDIILETIEEGQLSLLEALPEKPTVTKIVVQNKETRKEEVRYLHDREQWAKKYV SFAPPRQLAALEAGVRAFLQHKNLSAKSEGNAFMTVMDEITITDMAHMQRNPAFMSSH RRFVVIDSDKRTPPPQDPYVQGLEWIPLNKFSFKDDYYPNQSS JR316_0000793 MAFTQNAGRLLVPLAVGVAALQASLYDVPGGYRAVMFDRFSGVS DKATGEGTHFLVPWLQRAILYDCRIKPRNISTTTGSKDMQMVSITLRVLSRPDLEHLP KIYQSLGLDYDERVLPSIGNEVLKSIVAQFDAAELITQREVVSSRIRADLLQRAGEFN IKLEDVSITHLTFGKEFTQAVEAKQIAQQDAERAKFIVEKADQERQAAVIRAEGEAEA ALTISKALEKAGDAFVALRKIEASKAIAQSLSNNPNVAYIPSGSGNFILALDCHLVCL CISRLPFLFSSPLSSLSSLLFFLSCDGLLSYTISQFSVFCLIFSLIFLNHESNGHG JR316_0000794 MLTEIFAVAGPVQHVKIIPDRNYQHGGLNYGFVEYMDMRAAETA LQTLNGRKIFDTEIRVNWAYQGQQNKEDTTGHYHVFVGDLSPEVNDEVLAKAFSAFGT MSDARVMWDMNSGKSRGYGFLAFRDKTDAEQAIATMNGEWLGSRAIRVNWANQKTQGA PPGASSPHASSAPLGGTGGAPAPINFQGGPLSYESVVQQTPAYNSTVYVGNLVPYCTQ ADLIPLFQSIGYLSEIRMQADRGFAFVKLDTHEHAAMAIVQLQGQMVHGRPIKCSWGK DRADGAAAQPAVSPTAAATPYGNMPMYGMPQPTSYGQYGFGGYAGFPGQAAAAPGGAT SASPGMPQTGAPTAGLGLTVGGQQPGADLNSAAGAQTAQPQWGATDPNYYSNYWGGYY GQQAATTQPTGGDTQMQGPA JR316_0000795 MTEPSWQELLRLRLVERNNTESAFAPIIEQYRRLAQQTKLLKER NATLLRAVGSVKGSPTGSSSGEERVFILPSNPVRAAYLASLESQISSLRDELANLYKT QGQNAQRLLSMNETLREKEELSRIDSENLRKAREEITSLRKKVDLHAELIAEKDRTIQ ILSDEVNTSLLELSQIEERNLTLSKDNAKLLQRWLDAKQLEANRMNEANEFYENMRTR HETVLSWREGSGQDGAMSNAGTSDSSRPISGSGKELTNPDIQTVMKKNGPSPPIAQGM DLTPNG JR316_0000796 MGRVLLKVIILGDSGVGKTSLMNQYVNKRFSNQYKATIGADFLT KEVMVDDRLVTMQLWDTAGQERFQSLGVAFYRGADCCVLVYDVNSAKSFETLDSWRDE FLIQASPHDPENFPFVVLGNKIDVEEGKRQVTQKRAMTWCQSKGNIPYFETSAKEAIN VEQAFQTIAKNALQQEAEEQLYVDYPDPIQLDSESSQSYGCNC JR316_0000797 MYEEEMYFAGTERFSKGWRLACIYHANGQIDLTIRFTLRYQKNK TMVSSSLMFFALLSKQQIYVIFLAGLRESSERLYFGRDPLGRRSLLLHAPTQDMPYLL LSSVSGGANPAYDFLELPTEYIYSFDLKSWEPSLDIHASFHQNLVANSRVPALSDVVL KSFAVPAKINITLPSGDIPQVKNLANIPQHLKHAVDDLIYQLDRSVMLQVSNIPYHSE RNKARVAVLFSGGIDSTILTFLANKYIPLEEPIDLLNVAFENPRKIRVQQQGNIGGVS KRHKKRTHSKERNMEHNEKRVISYLVPDRVTGMQEVEELRRLCPGRTWNFVEINVPFE ECQAARPLVESLMHPSRTVMDLSLALALFFASRGVGQIRKNFESDPVPYTSEAKVLIN GLGSDELLGGYGRHRSVYSSGGWEAVINELQEEIDRIPTRNLGRDDRVISCHGKETRH PFLSLSLVSFLASLPVHFKMDPRLEPGIGDKMLLRLAARKIGLTEASSRKKRAMQFGS HSARMDGERRGDMGLDEAIS JR316_0000798 MNDKGFTVVAYNRTTSKVDHFLANEAKGDIQELVSKLKRPRKIV LLVKAGSAVDDFIHQLEPFLEKGDIIIDGGNSHYPDSIRRTKELEAKGLLFVGSGVSG GEEGARYGPSLMPGGSPAAWPAIKEIFQKTAAQVNGEPCCDWVGETGSGHYVKMVHNG IEYGDMQLIAEAYDILKRGLGLAEDEIADIFLKWNKGVLDSFLIEITANILKFKDDDG EPVVTKILDKAGQKGTGKWTAIAALDAGTAVTLIGEAVFARCLSAIKDERVRASKAIA GPPKEPFRGDKQLFIDDLEQALYASKIISYTQGFMLMRETAKEVGWNLNYSGIASMWR GGCIIKSVFLGDITAAYQKKPDLESLLFDDFFNKAVHKAQPGWRRVIAQAVLWGIPTP AFSTALAFFDGYRSAIVPANLLQAQRDYFGAHTFRVLPGKENDKFKAGEDIR JR316_0000799 MAPPPVIHEGWVLKKRRKKMQGFARRYFTLYQSGMLSYAFEPGQ PIRDHVFLHNAAISTSPGRKDIHVDSNTATFHIKCLSTDDFEKWMSAFRKFISVGLEA KKTALHRQASRKASLNLNLNRSGTLLEEMGTSIVELENSISSLILDLNPPRIPSLNRK HAHSKESMFALFKRPHHHTSQEANQDFAHEVPHSTIKHETLQDVKNKFEVVKAQYTSL VKLMQESLNDSTLMAPLPSTVEEEELPEHFNQRTSTPATRKSFRDSIASTSDSVVEWF DAVDEGPQEFIMDLGHDVSEPGSRLLSTSTETSSIDTDIESASSEPVQDVVASGTVQV VRRTQLPCLPPSDEGSLFTILKKNVGKDLSTITFPVTFNEPLTMLQRTAEEVEYYHLL DDAAATDDPVARMSYIAAFAVSGYAHTRHRSGRKGFNPMLAETFEDIRMKFIAEKVRH NPLEIAYHAEGTNWELSATSCGKTKFWGKSLEIIPLGINRLRIGDESYVWKKPSSFIR NLMVGTKYFEHTGKMTIENMTTQYRCVIDFKQNGYWGPTNVVSAQIYDSDGETVGHLD GKWDDQISQMLDDSHFHVLWKINPFPKNAPECYGFTSYAITLNELDGNSVGKLPPTDS RLRPDVRALENGELDLAEEEKLRVEQLQRDRRNKGVERQPRWFKQVGDEWHYTGGYWE GRSRGWKQDPILPLW JR316_0000800 MSPEWASAYTKAQAAVKKLSLQDKVNLATDEIIRAINVAATFNR TLMRSRGAALGAEFRGKGIHVALGPMMNLARVPAAGRNWEGFGSDPYLSGEGAYETIM GIQANGVQATAKHYINNEQEHSRETSSSVQHELYALPFLRSVQANVASVMCSYINGSF ACENDKMLNGILKGEFGFPGYVMSDWAATHSTLSVNKGLDMTMPGDITFSSGTTYFGN NLIAAVQSGSVPQSRVDDMATRILAAWYLMGQDSGFPAVNFNAWNLNAPINTHVNVQA DHKNVIRDIAAASTVLLKNVKSALPLKAPKTIAIVGNGAGPGSKGPNGYTDRGGNDGV LAMGWGSGTADFPYLINPLDAITSRAASDSSTVSSSLSDTDLNSM JR316_0000801 MVPRISSPARLLRRSFATHVPRERDCTSITPPYALLIQKLDRVR KLLNRPLTLSEKILYSHIIDPETSLASNGRIRGEAYLQLAPERVAMQDASAHLQFMSA GLSQCAVPTSIHCDHLIQALDGAESDLKRSIVTNREVFDFLQSAAQKYGIEFWRPGSG IIHQIVLENYAAPGMLMLGTDSHTPNAGGLGMLAIGVGGADAVDAMTGTPWELKAPTV IGIHLTGKLNGWTTPKDLILHLAGKLTVRGGTGSILEYYGPGVTSQSCTDKTSTGLAT IANMGAEVGATTSTFPYSSNMREYLKATGRASVAASADQAASQGFLSADEGAQYDDNL SDLEPTINGPFTPDLATPISKFGKFIEEQGWKDEFSAGLIGSCTNSSYEDMTSVADLA RQAKSAGLTTKVPFLCTPGSEQIRATMERDNVTSTLQDVGALWQRVDKKGEENAILTS FNRNFKSRNDGNNRTMNFLASPTVVTAMAFSGKLSFNPLVDSITLPSGEAFKFMPPSG QDLPSNGFTLGDQSYYPVPMPKPQPEVEVVIKKDSQRLEILEPFKSPFESSSKLELPP LKVLLRVRGKCTTDHISAAAINDEGGDMNVAFDRDNNTSGTIPEIAKSFKARQQPWAI IVDENYGEGSAREHAALQPRFYGCAMILARSFARIHETNLKKQGVLPLWFVDKNDYSR IGSGDLLETIGLADVFAGKPDASVRIKVTKLDGTVFEIATKHTMSADQLKWLKAGSAL NYIRSTKV JR316_0000802 MVLTRLSGQLACTRQYNCLTLTRRAYVTLKISESGGSQPSSKHN ETSSASPLPKRSQKTKVKFSDLPDVYVAPSGISASPLISCIQKIESDDKVSVDNAAKP TRKRRSVKSQPPLEVADEDVESKLPEKVSKASKVAKPTTFLYRQIVSNLKQFPHCLLL TRIGQFYEVPLPINPSFNTCLPSPKSYFDQAVEISHLLNIKLTSRKWNGERIAMCGFP IIHIDKHLKTLVQQEKRFVALCEEFPLQSDSKFNSKEFERRVTRIVTPGTLIDESFVN PLVNNYLLAISPSPDPDDCTNATETGLAWIDVSTGEFFSKQCKLENVPDELARLAPRE VVLEDSLRQKLKHPIFSAFTEGNFLVSYTTPVSSTMDHLYSASSIIETPQSVLDDVSH SSDEVFDPPLRDGEETKESSVLPSQAAIRESIAVNLLTKYLQDNLLEHMPKLDAPLHE SAHDRMQIDLHTIQGLEIRESGHEGSSTGSLLSVIKRTTTSGGARLLARWLCSPSTSI PEINARQSLVAFFRSRPHFRMDITNILNEIDDVGRLCQRFLLGRADFGDLASIRNTIH FWSSLKDICAQEKVLEQSESRDSCRLGEWNAMDSLLERLISLESLSEKITNAIIINAN VDSNDSLEVIGDIDAPPDSQILTSMSERPESHKSFINPQFSEKLTNLNETLKRLSMDK DKMESDLRLKYDAPSLSLRSASGQGMFIHLSRAKRDKRKLDLDPDFYSIGESLTTKSY IYRGWSELGAAIAETSFAIGQAEREVFEGLSLEVIQHARGLRQNAQVIDELDVAISFA ILADEMKFVRPELSNDGCYNVVNGRHASVEMGLLSSGRQFTPNSVSMDPFSNLHIITG PNMAGKSTLLRQTAVIAILAQVGSCVPADYAYISIVDKLFSRIGAKDDLFRDRSTFMV EMLETADILRRATEKSLVIMDEVGRGTTVKDGLAIAFAALHHLATVNKSRCLFATHFH ELAEMVGNKNNVCGSGAFHNVRFYCSDVEDAGEGHFTYSYRVRPGINRDSHGLKVARL AGIPSSAMDVATDTLSWLRKNGTGSIQENYNDFLQSAVNSTL JR316_0000803 MSFAGRRRGNKAKKGVQFTLMVVGASGTGRTTFVNTLCESEVLA HKVSDTPELAHVEEGIRIKPVTVELEEDGVRISLTIVDTPGFGDNIDNEFAFQEIVGY LERQYDDILAEESRIKRNPRFRDNRIHALLYFIPPTGHALREMDIELMRRLSPRVNVI PVIGKADSLTPSELKGFKKRIMEDIENYDIPVYNFPYDVEEDDEETIQDNSELRALLP FAVIGSEEEIEIDGQPVRARIYPWGIAEVDNPKHSDFSRLRSALLNSHLADLKSLTHD LLYETYRTEKLSRTVHSADPHDSSILPEELASQSVRLKEEQLRREEEKLREIEVKVQR EINEKRQELLAKEESLRNLESRLAAQGSQGEFRE JR316_0000804 MFGCANRGQPNQTTPVAEFSQARLLSSMDDLSDPPPQSYLPPPA LRHHHSALLAPPTDHPHRSDQRPSSRRALTRALELAREAVQLDSTNDNPEAAVAAYAR SVALLSEVMERVRNGEDSTSDSHRRRRRRSVAAQEEEVRRLQNIHDTYADRMNILSII YSIPPVPYPSYSAALASDAPSTSPTSTSPSSDNSPQIPHQVYTQYNPDSVPSFSTPQY NDTRDSVYRLDEEVLERSAISGLPNTSHPYALQYDVPPLPQPQPQLQPQPIQPVPSFR NSVIASRKSRPPNSLPPKPPPPSASLPPPPVQTELAYDPPPLNHQRPGLQPRLAAAAA VESTSHRRTPSASNLRPLEEENQVSERQLSRPREDTLDSQKPVPDALRANRRESPPLP PLPSPPLVPETNGISRSVPISKPPPSPRLPSDNRPRAMSQVPSRTEISVPNIHSINQG TLYGRRKTSAPPSSRSSSPAESTTSNGSVPQPRSTGRRSRSSSQPGHRPSTTGGRASP DPPPPLPEITPGNGTPRKRSTASKPQEPVSFVYPASTFGNLPTTPTSPLPPAPPSDPL LKPYHMMNLLRNTMVASTGGYVTRRLHVPHEVWSQGGAKLSNLDEKIRVVTILCSALE DLEGNSAEHFGAGNVSSGMALGIGSIGRREADAWLSKLDEFSNVCDHVVTNFGKKLGV GEGFVSRKPTWGGKLFSRLDKFTNNGKNLDSPAAYVQGLKKLFLLSQLLDEHTRAILS QPIAPAYGAFPLDLRGSAEQKLKRFSEFFASVVLTFVIRDLSQLLDKYVKKCEKWLAE JR316_0000805 MAASRRTSPAPAATQRRVIPIKRADGEPLTRVDIQYDFLQAVFD NTQEVFSDPYAAGTDGKKLTFRELYIKAILNSPKATKALKDKLNESPTFTLDFAMLAL LVNVGRVNTTMSFFPEMKTAIRTYHPIPSLQRTNGNMQDAPRIKHILKMSLLAEEATS PPATPADILARCKTGPPPSTSVTNLIFVLAHHTGPIGQAHFNGRIDFLDLFLRPDVSS ASRAKAFLWLCYNYLESPSSEDDYDEEPSPNPFADSSKQFSPPSITTLTPEEVEEENQ EPPEEVALAQKLILQRNRIIHNQATKVAEKASNKASLSGSVAGDDEDPPLSIIDEVKA KGKRNASSASVKIKGKQRVHQEKKPTTSKPTKEVSKPRHSDRMALDFDLDDDDDDAEE DTLIDALLDRRHMSSKQSGPEPAESFSSLFPSRIASQPIHRRSTMQSESQHNSKSNRY SPYGSHNSKSTSPVKDTHRSRHNGHPRNMLQQAWKAISSTDPLVDSDDEVGGDEFTRQ DYIQRLKVINQLSQQRWPYERSPYSSSITAEPN JR316_0000806 MLARSPFVNDLVPLILDANNDWWPRDFVALSLVSSAWLYHARKR LYPCPTVHTFRAAILLADTLSETPYLASLVEGISLQPLVTDSQRRPLPAELKSLRHLL GLEGLRRVRLGGELAVKAERFLRLISNAEEIKELHIDGSAIRDRLSMHASLEWDESLA FGFPSLDKLRLTDTELDIIPPSLQYTTPITQLILENTYIVDGYLTNLLAGATTLKRLH VSTGDPDVFEEQVRLVLASCAVECLHYEAQKDNKTVVQIMPSNGGALRCLHLRGLCVD LGVLASVNEECVNLVELGVWGRGVGISAQEWTEFLKSGGFGGLERLGLPWGSNVPPFA TWGPTEIERIRRDQVDLNYIHPMQSILSRHNTNSSQHIPRKSSSFLSLRREKDKSSPA DPSFDVAGPSNSSPSSYFDAYPRPKPSTIPRLVKSRTSRPSPTRDSPTSDPSTPGPTY AFPSFDPADDFSTPRPPLGTNQYSRTRSRTGPSGKSNWDSSSAVPQLRFSNSSSTQHT ETPPRTPDDYTPSIDSFDVFPSVVAAPIAGVETMDALVDGMNGGDALSSSRRTMISRP RFGIPGHHPLYQPPLPTPPPGIVLGGGKARPKLTRKSSSSADSSDDEAFSSMPTPASR TRRRRVRPSSSRASVNQIATPAALSRTPDDQRTFQASPNPTRSPRPKTTESADARKTV VPSISEIIRNHAPPEAQVRSRPAIVRSSSLYSPSSQGHATVHEEVETELGNATRGEAE EEEEFLSRSSIDSVADEVQRTIRHQGLPKPPPVPPPSSASAYLKRQSTISDNASVLSP RSDPGVASIYSVSAPSSYYPTSPLEQGTFISMAKNSPSQAVAQYLRSARLTTLLKLTR SPHASQDNPLTVSLSDLGSPTGFPVVVFLGLGCVRHIMGLYDEMASCMGLRLITIDRW GLGRTEPRSKSTKGIMQWASVVEEVLDLLRIDQCSIMAHSAGAPYALSFANKLPSRIV GDICLLAPWVGGTESSGYKWLKYVPNSILKTAQAAEWKIQAWMIGKPPTIAYEGIGYT APSTSKPSTKSSTSTAVNLNNSVSSEARPRPSMGSNFSEYDDLRDFDGRFESRSTLGL KQRPVSQYGRRASEEGSVPVTKRKTSRGILDRFKGTSSPPQPMEKAPTSAGKKLKALR SMGSLKSKSQNQTPRSSEPSSPHLPPSLLIDVGLGLEDLSWAANIENDIDNVNLHTAR PPVYGNGSMGSLPRSTGSRSISFTSSSNAPTSMPGSPAPSSLNISFGQTQSNLGNHHV AIGNALIAASHAESAKGTHNDLLQILNHENHSWGFSYSSYPHRVRIWYGDRDEKIAEN AVRWMERTMGTDQCSVKVVKGADHGLMYRSSAVVDVLEWILSAWRPDDRIAQPFSDLR JR316_0000807 MSSNTPLYAIPISKRPPNTWLRFFQAFLFTILFNSACIMINGSQ FVFLLPFRILPFRWSRKLYYAGIRYTKGAFGSLQILMCQWFAPTKLLITFETQGMGAF TQEEIDKYILKDKEGNAVGLDLPTKFVLIANHQVYADWWYAWCLTYFMSPQGIHRYVY ITLKKSLRWVPIVGWGMQFFKFIFLARSWASDRQQLARDLATLGREAEREGKPLCFML YPEGTLVSKDTRPKSKKYAEKMGITDMKHILLPRSTGLHYSLRSLAPRIPDLRLFDLT VAYPGIPPLGYGQDYYTLRSLFFDGVAPPAVHIHIRMFDVTKGVPIGNLSGTTSAATP DPKSKRIVEVDIPSDEKEVFDIWLRKLWQEKDDGMDKFFETGSLASKGAPTVEIPLRL RRKREILDAFCFFWPASVAYLWGRLRGLVSRNRWTQLERQFSSPLEKRVDGVPVTHLE KCKFQYQAKRESTNLSQQVTRMSKVSRERSSHNCLLGFKKRSQLFLKNSEHGSTGMEV EETHLSRLVEFFGHDDGDQV JR316_0000808 MPSTFAMDSIPATRVGYLSRKALPIKRNDAEPLTREDVQYDLLE YLFTNSDAVFTDPLSPTGPKMTFGTLYASTVINSSKCSKVLKDKMIEAPAFKLEFAKI ALLTNVGRINTTMAFFPEMKTALRTYHPVPSLQKTDGNAQDAPRIKNCLKAANLPSEI RPEDVPASPEDVLDKLRSGQRPSTSVVNMVFLLASHAAPLSSIHFDGNLNFLDLFLSS NRFASADRGRAFLWLLYHYIEDCEGTNPFDDLHSKANPGKIPSLRQLTASEQRRENVD LPEEIQWGLKMSNQRNVFLQKLVAANEGEKKARAIAPHFVTASGSNIPRTPRQLQDNP KDEAAFLFYVPSQDNQPPQRPQQIPIYAAGHRVTRSTNERTMFQHAWHLATSVDPLVD SDDEMIDHHNRVEYS JR316_0000809 MDYSSSPIPQISISLAPPEVPPIEPSSPFSSLAFNTIQDDDGFR AVHLTPPPTISSFKRVLSPRSPLNPDPAKQSKGLDNDRFQALLNATKERSAYSGGKKD VDLRKEIALKVHKNKQLERRALFLSKVQAPPSPTATVTPKTPPESPAIFHYSLPSPGL VSPLALFESLNEDRGAVPHTWIEQVDFRLPEEQSKQSKYEKVGPPRALPSLDQISARL ISRHGKADPLGHHDATPIITVSRPRPSVGVGRLRMPIRAQPAAPIEQKPQPVLPPKSP LQLDPELRVTTLVVPRTSTTYRAVELTETNLNALNSRGQTAHNMLSTLRRRTLSTESK AAAPNPGDAEAQLKWRRRSAPPDMMQQSRARTGFEHPVLALPGGF JR316_0000810 MSKPAFWLRCEKKEFERRSALTPTTSKKLIDAGFEIFVERDEQR IFDDSEYEAVGCKLVDNNSWPSAPTSVPIIGLKELPESTDPLPHTHIQFAHCYKRQAG WSKVLSRFHHGGGTLYDIEFLNDTSGRRVAAFGFHAGFAGAAAGALALAAQRKGQPLG LLTPYENETDMINSVRELLGGSGKGVKALVIGALGRCGRGAVDLFRKIGLDEDDILKW DMAETAKGGPFSEILDVDIFVNCIYLSSKIPPFINERTIAAVGKQRRLSVVVDVSCDT TNPFNPIPIYNINTTFDNPTVPVQVGEGNPPLSVISIDHLPTLLPREASEQFSGDLLP SLLDFPSRQTAPTWANAEKLFKEKLAEAVAEEKL JR316_0000811 MTYILRVVDHDICPVFGSTSAVLQLFCPDFWNDILLKIWDFCPV SERPKGSIFKIFVRPKERPLGLLSGVLSKAALHSFTTSLRAQLHATNVHVMEIIPPLV ESELHDAQGTTPMLSKFWMPLDQFTKETMEGLQRGDAHIAVGTSKSAFEKYEEGKAEA ALGIQKTRESQGA JR316_0000812 MPSIDDSKCILVTGATSGIGRALALNLARLPSRPKVIATGRRKE RLEELEKADLHAVSFDLSVNLETMKKNMDELIAHFPELDTIILNAGIQHIFHFKKGIE LSSIVEEFNVNYLSVVSLITYILPHFLKLSEQGRPSFIITVTSGLAIVPNPMMPNYCA SKEDP JR316_0000813 MSSKDNDKVSQETPLEEDYLEVANERKQEGNDHFRAGKWNEALV AYRSALNCLPKRPASPRAEKSQLGEDDEGGEPEPTPDLPKAEEDVIDLSSPAVSEVDK EAARLRAVLNANMGACFVKLGDHKEAVKLCTEAIRDDPTYIKARERRAASNDALNTWT SLTSVQEDYEALLKLIDSPSQQSDIRRKLQLLKPRLEAAQKRETDEMLGKLKSLGNSI LGNFGLSTDNFKFEPNGSGGYSMNFTR JR316_0000814 MRYSKRAAVGSFKVFNFLFAVHIFMTYVGRFSFMAGPSMLPTFA VEGEIVIEDFITYRLFPDKMKRGDLLIVRSPIEPTKVICKRLIGLPGDIVCVDPSGVV YPSTEHVVVPKGHVWISGDNALASRDSRMYGPVPIGLVKGRVFARVWPLNSFKVFTNQ ISYIE JR316_0000815 MTSLSCELFEQTQDIEGMEVGTRTLERSHRHKMSSFKRKATGKQ TLTVYPGTRISPASNLSLITSTGISSLDDILGGGLPLTCSLVFGAPDIHSSYGDLIQK YFVAQGLGNGHRVCVVGEDAEKFVRDIMWFPKAQELQRAAESDDDDKPANQDEKVKIA WRYEKMKQFQTTVGSQDGDCLPFELASRVPKEMIDDGLKSQRIRVVNAGTNMFDILGE ISRFLEAETKEATRICIAGLGSAGWGDVSPQVCERIVKRETGLTDRNAANPGLASIFP SHHGLVSVQRVPSPQTQSAPSERFSTLRGLGSSGENNLGFKCTRKRLVFETVHLDIEG GTGERRTKESNEGARKVKTSRKKVVFENDF JR316_0000816 MDPALYPDPYPSYRPPLPALSSGASSASTRSSAYTSSGSAQPYP DYSNVHVASPDEDISAIASHALLQMLASDPAQSSSARGPVLRSPDRSRWSEANSAGLR SRSSSLGNGLSSAHPTDFSPPSLSQKPSYDLTWQTVHERDEVLMSEEETDDEPTLDSI DPDPDDKYEERTSAAVVAEEGRGLIVQGDNVPIVQLQIPPGTTHLLIGSSSTPNAVPS FLTSVLPQIAHSLLALDISANFLGALPPVLAVCECLEELNVASNPLRVLPVFLADLLN LRVLIADSTGISTLPDALVDLGKLHTISIRRNKLHALPSWLCLLPALQTLCLDGNPFQ GPWKALVDPLLAKVPTTPVYPPSTPMLLPLSASAQSSNADAETDGTDVEDDSDHEGSS PRQAGYVRSPEEEDHTITPERGPLLSRATTSPLPVSPPPSSKPLTRTRTTPNRSYFEQ TRTKPGTGVPSDSKARLQPPANKYDAQPSPSAEQHEIRKMKSAGDLRRGRSATTVTEE PSMPGPPITKYQTSLSSSNLLQMAEANANASTSPNSSLADEFNRRFASLGPTSQYGSP SRMPPNAARPMLSKSMWESSPGPYNSPTPPDNLTRASSYSPAAPPRVQHQPPISQVSQ ELKPSSQRQRPSTRDKGTRWGFLKKMSMGKMKPDPPPSTLSTAASPSSSPNPPRISRP QTSNGTTNPHFGSISRGIDRLSKTPQIDVRLSTTGTIDALPMMSTPQPLPPVSHPPRQ DSLAVPSSSLLVPGQPRTTKRRSFLPIDAPGGMASLSIPENSTFVTGVVLSQDGEDQH GGDDARVTTPSPVLDHEQYIRREEDRARDAYMRALRSVMAYLKDMNDLGAFQQQQNML SMYGSSAQDEHLAARSRRPTVVERESSTASSSSTTMVDSTGHLRSSDSIAGLRSGSSS QTLSVATTDSSGSSEERKFKDDKGKRAMVIREILVTERTYVKGLQELVDIYIKPAATP VNLLSGVGSSKDTAVPASERKIVFGGIDALFSFHHDSFLPALEGAAAPLMRPAAEMQE ADADGQLSLSVAKAVGDIFVKHAAFMRMYSSYINNFDSSVQRVKYWTSDKHSPAPPSS GTTLSPSSSTAQLVGLGISMAITSNPNAITDSAAATGIPNLTTSQRKRIKTYLKRCRL NPRHTQLNLEGYLLLPVQRVPRYKLLLEELLRSTPPSPEYMGDDPLDRALAEIALLAN NMNEGKRESESRRKLVQWQARIRGKFPSPLVQPHRRLIMDGTLLLTRVVRKAVVSFEA INAQGDASTVQVDCLAPELTPRPLIGILCNDLLVLCRDPSEGQDPNSNVDLWAVLRMQ TTPQPASIVHGTSLRLVDNKAILYFDAPTPSAALNWYRAINLHIPASKT JR316_0000817 MSIEKEKSDHQVAEVGQKIQDASDTESLRNDIDPLTGERKLVRQ LKNRHIAMISIGGVIGTGLFLGTAGSLANGGPLGLLLGYTTMGSICFSVMLCLGEMVA FLPIPGGHIKLAERFVDPAFSFTMGWNYWYNWVIVLPAELSAASVLLGFWRPDINPAV WVAMCIVVVVTINMLGAGAYGEAEFIFASIKVITITGLIILGIVLDLGGGPDHDRLGF RFWKHPGPFTQFQGIGGAKGRFLGYWAVLTQAAFSFIGTEIVAIAAGEAKNPRRNLPR AIKRVYIRILLFYILGTFVIGILVPSNSDRLNLKATHGTAAASPFVIAIQRAGIKSLP SIINACLLTSAWSAASSDLYTSSRALYGLAASGNAPKIFLKTSRNGLPFVSVIFNSVF TLLGFMGVNSGSGRVFGWFANMTAIAGLMTWFGISLTYIRFYKGLKAQGIDRTTLPFY SRLQPFVAWYSMIACLIICILSGWAVFLKGQWATDTFVTNYLPLALFPILYIGSKYYY KEPVKKAHEMDFITNIKEIEAETYDDPPPKNKLEAFWQWLVSLISNVQEL JR316_0000818 MAKGHFIAAIIAAAIFSPVADAVSPQSYSWKNVKIGGGGGFVPG IIFNPSQKGLAFARTDIGGAYKLNADDSWSPLLDFADNARWNYWGIDALATDPVEPNR LYLATGMYTNSWDPNNGQILISTDTGTTFTPSPLPFKVGGNMPGRGMGERLAIDPNKN SILFFGARSGNGLWKSTNFGSTWTKVSTFTSTGTYIPDPTDTSGYNSDKIGISWVTFD KTSGTSGSATPRIFVGVASKGSNNVFVTNDGGNTWSAVAGQNTTYLPHKGVLSPAEKV LYVSYSDGAGPYDGTLGAISKYDIASGIWTDITPVSGSDLYFGFGGVAVDLQKPGTIM VAALNSWWPDGQIFRSTDSGATWSPLWAWASYPDLNKYYGYSDTLASWLGPNYQVTTL GTLQIGWMMESLVIDPFDSNHWLYGTGATIQGGHDLLKWDTTHNVTIQSLADGIEETS VQGLISPPTGPSLLSAVGDIGGFAHVSLTSPPTTGFINPTWSTTADLDFAGNKPTTIV RIGTGDSTSGKQVALSTDSGATWSQDYGAADNVSGGKVALSADGDTVLWRTSSNGVMV SQYTNPFTAVPSLPSDAMIASDKKNNSIFYGASKASFYLSTDGGKTFTAKGSLGSSTA PAKVVVNPGVTGDVWISTDKGLFHSTDSGATFSAVPGVSQAWAIALGAPAKTGGYPSI FAAADIGGVGYFRSDDQGANWVQINDASHGFGSASSNCLTADPRIYGRVYIGTNGRGI FYGDAAGASPAPSSTVSTIPSSTTKTTTSTTKTTTTSTKTTSSSVSTTKTSTTTSTSP TSTTIVAGDT JR316_0000819 MAAPHRRRRAPASLRIDAPSLALPLAIALADEDSSTTLSSADSD YPPFHAQPEDRSSRKNMKKLSLTLRSSPAPLDPPLPVSPVPADTRRRPSVISLPAPTP TPASLIHRKDEDGPSDAAPYANGPIQIIPGIWIGSEDNARDWKCLVERGIRSILNVAK EVLLPFDTPIPATPLRLAASTPNFRNRPPKDDPTYYPAHLPSGRPAMHYLKLQWSHGQ QNLVDDGFKAGMAFADAALSRGEGCLIHCQCGISRSATMVIALVMRAAAERHTSVPPE VWSLQGMQGAYTFVKEKSPHVGPNMSLIYQLLEYEKKLRGDKASPSDSDGSSDDEEEW GRRRQMLDDASDNEADERESHIVMQEAKALDKAMEDRIVARKSSASSMSSTGSGIGMG PAWRSRYGSRKRTGSVASNQTNQSFWSEDLVEEDEEQELLGTGGAFDSESRLDRASLT ATSSPEDEQHDSTPRNESLMALHGPATARPPPSAPVWKSSFNIPPPPKTAVRSTFDIP PRPKPRGKPRPMGLSLLPVVPSSPVTLVIETESSDENDHQPGPPPTQQPPPAKPTLPL PPVRQRAESRKLVPPPLHLRSSVLRRASSSSTSTTGSADVAGLSTPSQTLFVFPPSPT LTTRTPSTMTLTSNFAGPVPFPSLSTPRVSTFHSKGRTRSFIGLGAPPTPTVAFSKVD VRGYVGLE JR316_0000820 MPNKYQLAFLVSFFSVFFSLLFLSNYLGARLRDAGYDVRNLILI GLPTDNPPPPSLALVAHMAAEQASAFFADIASANFHAVRVPYAGTYDLVAIASSPTFL ITGAVILATAFIAKVLHTGRTKPLDANVWKEFSLEKKIRVSPNTAIYRFKLPHPQDVL GLPIGQHISISAEINGKNIVRSYTPVSNDDDRGHFDLIIKTYEKGNISKHVSLLKIGD NIRVKGPKGNFVYTPNMVGHLSMIAGGTGIAPMIQVIRAALKNPFDRTTITLIYANVN EEDILLKDDLEALQDVHEQKFKIFYVLNNPPPGWKGGAGFVTKEHIKEHLPNPATSDS KLLICGPPPMVGAMK JR316_0000821 MTRLSLLISLSFALPFIPSGLAGPACARKHYQVANCVSICKSKW GWTGSMMGTDPWGSVVKKVDINDWDSVVSVACGSPVASPTPSTAVQPIPSTSTALQTE SVTPTIVVTTTSSTSSATSSKSSAISSSSVLSSSSIAPSVSAQGFLASARRSSTTVVP QPTTSIRPPQFQSTSTKVETVITTRQPATTKASTTQAPQVTQTPNNGGSTGSSGGTSN ADIQAYLAGHNTIRAQHGAAPLTWSDSLAAKAQQWANGCKFQHSGGSLGPFGENLAAG TGSDYGISQAIKDWTDEVSDYNPSNPVASHFTQVVWKGTSEVGCAVQSCNGIFDASFG PAKYFVCEYSKQGNIVGQFALAPTHGTFMRLSSRVLRTASEATYVFLALVAVVATGLS CAAIISQAVRTSPGRSWTNNFNALVIGASYIIVFAASASFCVKRRVAVRLKLQRISKT YRSVGKHDLPDSVHKHVLQEYTRACLVSFESLPKNVYHEGWGRPGTKYNGVSFRRALL DTIPHIDELAHVVIPLHPRLKPHARMLHHFRFLNPLLPKDEDGMTPLHYYDSAIQLAR HSSREVTEEEFETGMEAAYQIEKCLNECRLEMLESDSTTQLEG JR316_0000822 MSDSVPNPPFYILLAHSSLSNTPTAALSNTLGHPAIQYHFADDS PVSLLPSHPDEHVLILTYGGNSSKPTVQSISDRLAVVGLRREEAPGASAEDETGTRNN TMYIIETTGNDHPMAASHGDRKSAHEVLAQYKQRNAILRKALQYPANDPQDTIASTET PNLVPASSS JR316_0000823 MTRISLFLLQLLSCVVVVFSFDITGKVLWNDECPDTTALGQAKV SLNEGILTGGITRNGEFTIPDVPWGTYILTILAHDYYFDQVRIDVSNSTSPEVRPYVA GTPMDPPSTIFLPYPISLTPREKYVYFVPPERFNLAGMLANPMMLLMVGGGVMMLAMP YLIKNLDPEALEELKEQQGKMGSIQNAFQNGDFKSGFSSLMAAADQPAQASPSKAGGA AKARGNKKAKR JR316_0000824 MRQKRAKAYRKLMHLYCMTFGFRQPYQILIDSEMCKSAVAQKID FVKQLHTVLQGEVKPMITQCCIHELYLQGKQQQPAVDLAKTFERRKCNHREPIGGDDC LASVVGDSNKHRYVVATQSQTLRVKLRFIPGTPIIHINRSVMVLEPPSDTTVQAKERA EDTKLHASAPDLALVPVTAPEERRVKRKGPKGPNPLSVKKKQSNEPTKPPTKAQPPTG SKRKVDDVDSDPEGESIPAQVSAPTAASKRRKRRRKNKGAVDMDTQRAESDSVKS JR316_0000825 MASIGRRQIDTKFLNSGILNKSASQSSSLYQKCSLLRGRLRRIR GFAELFPDVVESPSRDPVAYIWDLFSTGTPLCYIYDQLPADEGFKKINHYALSKRNYE TESETNRAKKHAIALFAMELRVSNITEKISGCEMFTVTELWDRHSTDGLVKVVDTVTA IVDHLPPEVFDDDVASEDPSNAANVHDAVQQNIIREMLETERKYVQNLEVLQSFSNAL SQGNIVSQDTIHLLFPNLNKLLNFQRKFLISLEFTAQLPLQVQRWGQLFLENEEEFSV YEPYCVNYKHATELMVDHERDLSSFNHILNAKSELPALLLKPIQRVCQYPLLLESLVK ALPPEVYEHHYELIRASESAKRIITKINEAQRRNENQEIVKDLAARVADWKGHHIENF GELVLHESFTVTKSDVDRNYEAFLFEKILILCKEATAPVNKRKKNQAILKKSVSVATP QPQKPPLLLKGRIFIANVVSAKPTTPNRPNSYPLGVYWKGDYEVESFTMHCRREEQMK QWQTAVTRLVTEAASRRIQLVAEQKINGVMRTQIGPTPHTPTSLYSLSSDFATIGGRN RYSAYNEDEESNHYGPDSASEDGELEEYIPPSCPSSGRSTPVERRRLYKSRSLSAKFL SCKNLNGSPLVFGKITRSRSFSVPYIDTSKSTGSPRESSPPTPVSYLTLLSTSHAMDR EWPPHSEDSKVNKFNPSIPMVKLKIRYYDDIFIIHLPKMVDFAGLYANLERKIRLCGP RKSTAPLRVKYRDADGDMISLTSTEDLQIALDHEQVITLFVT JR316_0000826 MTSNPLNPSDPSNVFKQEKSPELASSSTGPGYKLRYILSGHKLS ISSIKFSPDGSFLASAGSDKLIKLWDALTGQIVHTLQGHTEGISDIAWANDNEYLASA SDDKTIKIWSMEERKEVKTLIGHTNFVFCVNYNPHSNLLVSGGFDETVRIWDIARGKP LKVLPAHSDPVTAVSFNHDGTLVVSCAMDGLIRIWDAESGQCLKTLVDDDNPICSHVK FSPNSRFVLASTQDSTIRMWNYQTSKCVKTYTGHVNRTYCIPACFITSSSKGKYIVSG SEDNKLYIWDLQSRKVLQILEGHRDVVLAVATHPIRNIIASASMEKDLSIRLWFDE JR316_0000827 MTSEWLTPSTRSELIENLVSGVDRYNPSNVSILEDYLYHQIRSE EYDCLANLAILKLYQFNPELYNPDVVINILIKALTASPLPDFNLCISLLDERPLNAQL DEPDPLPTVLPILKGLHSLLFRCRFPAFWEIYQSAELENLRDNYTVEVAGFEDAVRAV AIRAVRATFTRISSERLGSYLNLSSSDLIAYIQKLGWPVDSSTSVVTIPPNPDNQIEA TVVQESVKLPQLTKIIAHSVAKA JR316_0000828 MSSFSPSFVVGSASSARVSVRDAIQSYRRAQYLVAGSTVASSDA SDLEYDDEDDEEAQRSFRLENEDSDVEDQELDATPSGEPRGRDDSFIGQLNWDEEDNE STRHASLREQTSILSDRRLRQPLSIQRLQLSGPSNVREDTPLLAKKVSFSALPRPYRT VEPGFPAKDLLPQTSVDEVSSASRRRLSTSSAASKGLHRQWGGQSTFGQTLFNSIAIL LGIGMLSEPLAFAYSGWAVGTLLIISYGFVACYTAKILARIILSDPRIRSYSDIGRKA FGPKVTPFISGMFCLELFAVSVVLITLYGDSLHSLMPEYPANTFKIWGTFLLIPTVFL PLSLLSYTSILGIVSTVLLTFVILIDGISKKEAPGSLWSPAETDFGVKSFNKLGIAFG LFMAGFAGHAVIPSLARDMIDPSRFDTMINWAFVVATSIYALIGYAGYMMFGNDVSDE ISLNLLSTPGYNPFLNTLCLWMLVLSPLSKFALNTQPLNTTVEILLGIDTPISSPEDL VDKPDGLSVAPGSSNFGLKRVLAILQRIFLTTLAVAVSVAVPEFSSMMAFLGSFSAFM LSIVGPVMAKVVIDGRCGWFDGTIIVSGVTMAIWGTAAAFLDA JR316_0000829 MPTCRRKRVVLTEPSQSLLLAAQSDPTRHVFYLEQTGEIFETYE AYAARMSFYRLKQFQCEVTGKSGLDYFQAVESERQEARTLHSRFSEPLKPAVLKAVQW QVMGRLDHLVEAVYERFKDRYFPGERVLIDLSGVKYYARVEKVYPPKYNADAKARDAH MDAPSSSTIDDEPPHVIGGDLKVPAKDAYAKDDPTLYYYWVHLTDLERDKSHEKGKGS VKISDQDKRLVGSLIEVQCSMMSRDRLSFSKSILRRFIRDCVDRDAAVASPWTVKPPI AKRYGVDSVMPEETRQGVENIKKGEIDKRKKIWEDKEGPPTKKQKKMTAAQEEKARAL AVAAEKREREAREKAEKQRLAKEEAERIAAEKKKKKPVRYPTEDLDVRIGDKEKKAGM RVTRPLANKLSLPFNDIPGAFESFLMAWNFLVVYGQPLHLSVFSLDELEHALRHSVAD QPCSLLAEIHSTLIYNLRTVAFVRHSALLSLLKRKEDLEAAGKQEVPVLGLTIDELVA AMADVGNNWERVPLRYAEVRDGWEEALVGCLKDHANTTNFPRIQEILTKLLFAPADTS SESGAPTPNDITHLKNIAHPSDTYYNLPAEDRIAILSFMCNLAVSSKAIHAHMESCEE QLTALRKEKIEVNRQKKQYQEEMGVLLDETKEEESLTPNGNGDDIAMPESSELSDSEG GSESTSAAGKKSTRQKDLRLKAHTIAHSKEREAARAKQASQKQAIAEHRRLDEEVNKL ERRLEGIEREFRKLLGAVRVKPLGRDRFYNRIWWFDGMGSASLVGSGGIALYGTGRLF IQGPSEFDLQQLEKKALEDNEDIDQRRREEEGEEGMLKSSDWAAYTELEEIEAFVSWL NPKGHRELALKTLLTKWWVHITAGMRKRTADLNSNAKLPDARRSARKSALGYDLSRDP YMLWTNRRAINSS JR316_0000830 MNSPSPGTSQDLHFTPRKEFIKDINVPQSKSFSLLALSSSHCIR LYSFSPLVIASLRHLLEQSVHILASREDLSHNLYEFALDGKPWANPKSVSTEKLLVDI MAVIYRSGYTYLSTIDYGREPDDRLAMTFSTTCNTIPLPLSRTTTPLPPSAHLLNHNG SAAGCSSSPGEKFLPNRVPFAISFSSVTVMRVIAPPLHLTPAILQAVRKSWPRGVVAE KKVGENSYEFKLKGYKWFQEDTFATDSLVHILTLLTSLDAHSFTLLTSISLTNRSRVR DLWVFTGPAEEGGSPHESMQHLSDGTTNIAGVPSSPFTEELPSNNSAIQHRRIATDPT FSSPHTPPSPHHQRTATDVPQRSRHDSHRQVLRKPAPRAQVPVSVGQDLDIPDLPVDS APLRTHMPSTISSGIEDMTGIGAGPNIYNIPSPPIGASRQTHSVVKPAAQLPANPSPP FIAPDSTNPSPPVDPSSSLYKSSRSPSKSYFDMVPPGTSDMPPSLPPLLGVGTFHDSA FSTNSVASCEIPIRWTGPLEEEIKKEQRMKTSRAPSSGEPPFPGGWQPTPIVEKSEDE TRTATSPSDDKKTPVHEIGSRVDSPEIVRPDMPLRKSEAALVGIIHSTSPQPPVPQLN RSQQSQSPGSGRGQGWVLVDVDNPNVPSAASQSSQPTESSRELMPVKSLVPIEQPSPT AKAIVIVDAMDMKHKKTQSTSIPKAKEGASGVRRFFSLNKKNSKKALNTEGGSEIEKP APTSIPRSNLRDKLRLIGTPEAPRREDKRRSID JR316_0000831 MSELKKLNVLMCGTGEYTTGWTGSGASTSDKKVDHLAMVGVNGK KFPAIRQHLTNNIAGVYADMDVNFEEFPKDGLVDAESYKTAIDQMKSGEAVIIFTPDS THFPIALYAIERGLHVLVTKPATQLLSHHNTLIEAARKHNVLCFVEHHKRFDPVYSDA KAKAATLGEFNFFNAWMSQPKSQLETFRAWAGKDSDISYYLSSHHIDICCWILQDIAI PTRVVASAATGIATSEPYNCVPQTEDTITLLVDWQSVKSKTHRGTAVYTASWTAPLKS GVHSAQHWYYMAEKGDINVDQAHRGYDVTVDDTGKAWYNPFYMKYSPSESGHFDGQRG YGYISIEKFVDAVRSVNAGLTQPSHYDKHGLPTISNTVLTTAILNAGRISLDEKRPVV IKKDGETWVLE JR316_0000832 MVVTIGRLAVQLAVSIDSIQRSSDAIRFDMKDVASNKHTRNSQV YPKKRPSPHGISKAHRSPLLPANGLARRTPRSTKIALMQQRQREAQIQALRREGIFLE EEYKDEIRYYMHDMERCTMSSAQSMDLQPEIKWHMRPCLVDFLVEIHFTFRLRPETLY LTLNIVDRYVSRRVVYIKHYQLVGCAALWIAAKFEDAKERVPTVQDLVQICRETYEES AFIQMEGHVLSTIQWSLGHPTAEAWLRLMCCGPSTEDAKVQHVARFLMEITLFYREFV VFTPSTISLASLTLARYLCGKSRRVWEETEDCLKVIYFLDEKLAFHVNDLSETLVKKY SYAFYSKAATFVVQYYLEGNRFTPPSPASLPVTPKRSSVSAISTPASSTTTASDVSDD MPLTPVTPAFSSDPFSASYSSDDKENMGSTSTFEPVLNKLRIDNPPEQFLPHDYVTFG RPALHNLNNISSPRTALVS JR316_0000833 MPSPAASSFQEPQESGETPTDSPTPSPDNTALNEAVGEQHDEAP PPSARPIRKYTRRQLVLLSASPLVKPPLNMPELKVWFGTENENSVKKDDPPTSGGPRE RRYRRDAEEGDVSARPSFRTALTQPSQMGNFKHQSLRPSDRDRDREREGDKERERDMR EKEGHERLRHLSDKYDRDRLSLPLATRKDREVAPHLNANSARTQQSLSSNAASRRGDA RETIKKKVGEASEDWRRGAEPPRPSREDRIDNGRERTRDSSRPKRDASLSRRDREEPR DKPQRGDRERDDHRRDKDVDGEEDSRRWRDDGKRDERIATSRRERGRDKPNNDHTWEG NADKRWATNDDRAYKRSSARERKPGNPSDDTKDKDERKDKEREKEKEPAWMDTYVPNE ATQGILGGHSSTGELDGIQAWKKGLKEKESKEKEASLPPSKSTTVDKASQPATLPGRS EAMDEIQLFKLLMKQEEEKKKNDLDDIASKSDHALQQMMKQESTMASTSKGRENAVED SLGESYSHGTNSETIRPQAQSTPAFSSTSPPPFPPGLKDLAVSNKASTESSQGRTSSE GREPINLGASHDLHQPTALKPTDSVAVSSFQPPPGSRLLAFARPPIKAPNSDNSSNTL QTLNGGLPNVTSDSNIAFSKHDSPRPQSGFSPFDDQTRPRYGFDEQREANILVNTHHR IPIEQNFSSNVNSPMETNYNGLGAKGSRFAKFFDGKGRDGTASGKPQLQGGFTSPSPN HGQRQDHPNINQGNPGDQRAMEELFAMLNNSSQRGNVATISNPLLNHNGGFSSPGSSL HAHQQQHLIPQPQHHSNRLEPLYDSRSDDRSFVPDGMVPGLRPIPPPPPRGREPHFVE HVDDPMHYNYQRLPPPHNQQQQSRNLDPLYPTPTSLYAQQGRPNAGLNLQNLQQPNFR GGPSPNSAQGVPIPNGPQQRLPPGLANLGGRPPHEPNQFLGMPNLPTSVPHNPLHTNS QLQNPQLPFSNFNATSAIGFNGMQVRGPVPGPHLHNSGAPLGNNALPNLDPRLSGHHH LMGLGGSAISGNRLNGGFPQQGPAQQAHLAMRSQQQQPQSHLPPHMLPHLIPPHLQQG HPNSTNQSHDLMALLLGGPHRE JR316_0000834 MESFYLAKTLPPNNGLHAQLLSFSQYVHVPKSELDEAQLRQLEE HEISQGPLSVLQQAVRNHAQVLISLRNNKKLLARVKAFDRHSNMVLENVKEMWTEVPK GKNKKPVNKDRFISKMFLRGDSVILILRNQA JR316_0000835 MGRLRVKGKAGAAKNYTTRSAAVKKLQCSLADFRRLCILKGIFP REPRSRKKANKGSSAPTSFYYTKDIAYLAHEPILKKLREHKAFAKKLSRALGRGEWSS AKSLEENKPVYRLDHIIKERYPTFIDAIRDIDDALCMVFLFASLPSNSRLPSELVENC ARLSAEWQLYVMHSKSLRKVFLSIKGVYYQAEIMDQTVTWLVPYLFTQNVPADVDIRV MLTFLELYQTLLGFVFFKLYTDAGLVYPPPLDVKKEEQGAGVNAFDLQDSSRQPANKP IKVAEVDGRKITSKVVRQTIKAITSGDSEDVAGLNLSNPESTEADMEEEEFVQQSSKT QKTTTVILPTLQTLSNLPQTLSTSLFSSYTFFLSRETPRSIFEFIVRSFGGRIGWPSS SGSGSPFDETDPTITHVIIDRPVVEKQETPEQRELRLRRKFVQPQWIVDCINAGKILL EDPYAQGKSLPPHLSPFGEYEGAYIPAVEAADEETMQDEEEEGDGEEMDVDDEGNGVR GVLDVVAAAASEETSLRAAELAAEAAGVDFGTFEATVKRAHKKQVKKSTTDALEEAEQ DMNKMMMSNKQRKLYEKMKYSNQKKEAERMHLESKRKELTKQKRKDRHA JR316_0000836 MISTPSPSSAHPFLIPAESHMSPLKRPNVSNPHDAPSNSPPPYT QSSSSSVLLAEMTTTRTEVVTTTTTETTTHFFSLPYWKRRNGALSPASQRTSIDDLSS SITSSKSGTFPSFVDKALPPTPPEPLDTSFTTNEKRDGQTTNTTSPIYHPDDVPSQPR KVSTGTQSAAALAHAALGLGLPHVGLPHSSVSFPRPSSSHSPLGSRTSPSLSSPGVRR SKSSHRILSKRVSETQENDHAQIRVDSRRQRGLSFNSSSFLNVANSDIKGKGKDTAIP TTAPETAKKPPKPLTRKSSFWNRRKGIDAGESTNPVSDDSFVSLPPLPPVHHVSPFDV HDFQNSPNSTIGSNREQIHSTRPFHPNLSSPEILDGSKSTLPWPTSEQATTFNGVTES LQSNGTPSSYSRARRQTSTPFLHRLSLGVFSPGESSPSASSALHVYPQSATASITPTI FPCKQETPIPRPHSEEESPEGYLTRLKNAVSKSEVAGILASSSDPFHARALREYISQF DFLDIPLDVALRKLLMEVGLPRETQQIDRVMEAFAYRYMQCNSDIFVSEDHPYILAFS LIMLHTDTFNPSNKRKMTKSDYIKNTKLPGIPTEILDCFFDNIVFAPFIFIEDPVDFN GQPGLVSDVTRPSLVSTPSSSVSVNGSGSFKMGNRVDPYYLITNNLLEPLRVDVNSLV SLENPFTYEGTDGPWDEHELHQAFVNASVIEIEMPTVNRAMSLFARSPSLKSTPLVSV GNGAEDYPSVQQKGETWSLKVSKVGILNRKDDVTGSGKKSSNRKWKTWTVVLTGSQLL LFRDNSWAAALNQPYESFAEHVVSPALPSSTFRPDETFSVKDAIAVYDSSYIKHKHVM RFVLPDGRQILLQASDAKDLNEWISRINYASTFKTAGVRMRPQGLSSEDALLTGVAAA TSHLHDLQKHPDHSNIARARSWDSNAPQDLMEMLSGPPADRTALKRRVTMVTTNANFD LDVPVAPEVEGAEQFKATFDQVKADLASEIWPSDHEAWLPEGDEVTNVYDSPLGSPLS IGSTNSRLPSRSQIIQSKIIELDSKIEATQNHLDADMRFIRNIAILTPFQKSTRSRLA TSLQGVAKRVTQLRLEMEKLQCHRAVLRCDLSSEGRSWNYSKRVALRVAKKTLQTRRS QTIPVMSVELPKSPLFSDDLSKESPPLSQRLGSSPSDSFHSAAESGFAWPSSEDLNLL GSQFGTSRPDLSCSSSSFPKEWTNEDKRQRSSSLSSDSNHLSSNHGHEDIGLVYFDTQ EGIEDDLAEEWNRTRCAQRVSLIQVPSNIMITNRLKNQSHS JR316_0000837 MTAAASSIVFDDIFSINAIDKEGKKFDRVSRLYAHSKNYDMDLT LDYNVELFPLQKDQNFALALASSLAKGGAPKTEGAGDDDSERDVWRPDGKGNRGLEDE YDYVMYGKIYKFDSDSGEIVTAYISFGGLLMSLTGSSRHMTSIVLGDPIYLLMRK JR316_0000838 MVLVSATSWDDSSGRMTSLSAMLAEKGFTCVQTDLKISDCSMND AQAIMKSFESELSSMIRLAAIPFPPVIIARGAACVVAQTYISSHPASGMILLSPPASN AELVESCKLPTPLTEFNFEPNFPIAIIGSPAEVKHLRKNNRICQSQNVDIISVEDMDE SQIFFEIDLWLDKCGM JR316_0000839 MTLPFLFQPTFTQGLIIGQLSILVLLGMILKYLFLESSQDPLRT ASYHQQVDKDAFARQRNFQARKTAPDHDPDASETTEWFNTILQQVVAVYRSKLRDDVE GIEGDEIARKRIEAYANSVRPVGFVDHITIHSVNLGSSAPSLYNARIHRVQDNPPTQE IEFDASYTDTISLSLSTTYLFNYPTASFARLPISLTISLSQFKSSICVRPPSTTDSAP AFTLMISPNFILDLTTTSLMGSRAKLANVPKLHELIQHQVRRVLAARASWKFSLPGFS KVTDEEKMAETP JR316_0000840 MSSINALVSWPAAPLTDSLVRKALDQLSLTISFVDKAQAKSVTP LLQWCTYDDMDHELMHFNRKTVLSSSYTFRKALIRKHFLSRIIHSYTTKNPTSILKNS VPRTFEIEISFADELDELWTDELWELGAELDNGKSWWILKPGMADRGSGIRVFHSKEG LQEIFEEFEEQSDEENEDGDGNTQRETSVVTSQLRHFVVQEYIDTPLLFDPTETTKQN PKIDELVGRKFHLRVYCVAKADIELYVYDRILALFSSVPYTSLSARDGGDEGADIDLR PHLTNTSLQTELGESNVRLLDELENCHIISGEENVKFTTSDIKSLRADVAEVLGDVFK AALQNPVHFQALPNAFELYGVDFVVSHEPSNPDKKLHVKLLEINAEPAIELTGPRLTW FLEDLFKRIGQVCVEPFIVHKEEGAKSWHVGETKYNFIKCLDENIRAMGSN JR316_0000841 MSLESGPSTPSKRRSKWEEYVEEDLPHISHLKRRIKHKPSKEVE ESQEVAHPTPNNLTPTFKPLHSIFVPARTRHPPILPSRSVYSYERLNQIEEGSYGVVF RARDKKTGDIVALKKLKLDEEKNGFPITALREIYALMSCRHDNVVGIREVVVGDTLTQ DLKTSNLLMNNRGTIKVADFGLARRYGDPVGVGGMTQLVVTLWYRAPEILLGATTYST AIDLWSVGCIFAELLLKEPLFQAQGELELISMIFKLLGPPTKQSWPDYSSLPLAKTIS LPSPQPHQFRQKFPYLTSVGLDLLMSLLTYDPEQRISAEEALQHPYFSESPLPKHPDM FGSFPSAAAGEKRRKPYESPTAPRGANYGVLDEMQ JR316_0000842 MVCTSTGLPRLSYSESIRQPIFQDDNGLAVKFFIQKDLPQEVQA ELCETVTSLGGRVEAKVPRQGYILVQPGTAEEERLRLCWTSPDRPERYFVPYTYVEAC KIAGMLLKQIFVENGAPLRMHIHPSIANVNARSALSSRIMHSGGDPQASAQSARVILA DPNTEVFQHLVKTYQGVSDKYIESYLWVKKCIEKGSVVFTPLVYKNPGGRRPGEERTQ FTDQDEEHLCNWIAAKIPYKETGGRTGNRLYQQLCDLSSDPEYAWVTRHTWQSWRERY KKNSSRLDVVIARIVEQKKPIPGDKGQYGYVRQPEDKPKRVRRKKAKTNEHVYQSEEF TNEDATNSMPGPSNLSNIRPEINGAQLHHAITTLQDLADVGSAYSAILPVPSSVPLDR STIRKSPAEEEDDDPEWAIRISNDSPPSWSTKRKAPDEGDNEKEKRLRVSFVTSTPTA DSSLNSESQSPLPPSALVAIADMHVIDQGIRDIARDFRFTVEEVQEFYDKCGEMSQTR MRFQRMREELVAKFSDPKDFKT JR316_0000843 MFVSRLCVRPAACARRAAYSTLVHPNLTSHLTPVTEQDISHFAS FLPPAAIISTLPPAPSPPDELDQYNNDWIGRFRGQSTTVLKPKTTQEVSKILQWCNQR RIGVVPQGGNTGLVGGSVPVNNEVILSLSNLNKVRSFDPVSGILVADAGCILQSLTDY VAPHNHIMPIDLGAKGSCQIGGNVATNAGGLRLLRYGSLHGTVLGLEVVLPDGTILDQ LTTLRKDNTGYDLKQLFIGAEGTLGVITGVSILTPPAPQASNNVILALPSFDNVLPLY QTVKRQLSEILSAFEFIDRTAYDLAVKHGQGRALSDEDVEGAECFVLVETSGGRREHD EEKLNDLLELLLTADKPLVNTGVLSQSPAQFSSLWALREGVTEAVSKEGKAYKYDISV PLSSFKDVVDNTREHLRSKGLLHDKAVKFVLGYGHVGDGNLHLNIVADSYLPEIENAL EPYIYEVVSSHRGSISAEHGIGAMKTHALPYSKSEVSIQLMKKIKGIFDPNGIMNPGK VLE JR316_0000844 MVHDPTVPDGPDTHLSAAPKPPEERPLVVQQHPDHPDDVASVHS MPAVHVTAPSSPLVPAPSPVSSHAALLSTRSPVPSPLPSPSRSNTMQSDESSTSQQQR RARHRSAIEPRSSNRLSGFFNNLMHRREPVAASSREPIHEDSSQPEPAASNSELVSRS SSPQPIRPTTPPPSLPAPTLYELGLSLSALTSDLCPSHFSTPPSSGALLAPHYLLLCH AQGLDVLPLVSPPALQPYALVRRVAFKSVVVMEQRGVLVAIAGRRDGVRVYALEEVKK AIEWRIDVEVRRERDRSRRENVKKVALRNVDLVESRDSAEKTRKASLSTPPPGESNRL RTNLLRKNSHNTLPAPPSPPPVPLIPRSATPRAPKKRTKPAVQIPPNIPSIPPASSDP PPYVSPSEVANPSLQNRSSYISLRARSRGGSVSNVLAAAPSHHRTAESSRSQNDSKAD WAESSDEEAIDVVAAGSSGSHLDERTSATLSSSRAATTSQIQPNVTQTLPVPVLPRNS TTSVRRNRPSNLDLSLTRSNTIPPPEPSPAPTLITLRQALVHSPSLVDGNTPNPDTPF GEVDDDDEEIEGHISLAQALLESRIPDLPPIGTMRPQEPIILTPSSPPLRPSEDTVSL SPADGANSIGRSNAHNNARRRRRWSIMISSPTTEVGNNSPPTAAVPSTATSVRSLNRF SRSRSFRSSSSHTPTPLEVLPPNASTATLPNTAAPSIPDTASIPVTPRSGRSSRFIPR IISNALNSRRHAERSPARPATPVEVGDGLKWAPGGNQSPPPKLEYVKLPGTKGALFIK AVETPKKSFLAILCGDNGEKVELFAGTYRTALGLSRTFILPDSPRSLELQLQGDDLVE VFLVFAQNVFGLEPATVRVREVRIGRAERRAARRRARETRPGEPTPAEQEPPDDETNV NVNIGISVPVASTATEPESERSGSPTLVASEHPGAQLERQPTPEPANPSPTPQSEELV MLATAQMGPYTTFQQLSFAPNFPLASIADEYIIPPTYPSFLEYKAEHESDNSGTSTNV TQTQFSPPGLPVPTPTAPSKWYYRDPKNVVHGPWKASLMQAWYKDGLLPPDLPVRREE DTEYVLLKDLRLQSVDPTQPFRVVAPLPDSATPSPKTRLVASQGDLLKPISLLEQPRH FGPPALFYSSRGGASTSIVDARGRSVLKGKFLWSADEGEPTKTTPSNKMGDIKRLEAF DVRKGSVLVAMRQGGLEAVSLGDALLNPADESRTTLPHYNPPLSSINRREPFVWRIGT PIISPQAGNLDEKGVTTPALQGSKKSSVTLIKSSNSRTDGISTEPDVDPLDEVLFLGR KEDDVYFCERNAASFRILRLSPTTYDTL JR316_0000845 MLHFNPTPASVPPPNNPLAFILRAAQIYPDKVALVHTDVEYPVT YTFSVWAQRIQNLAYALIRAGVKPGDRVGVIAPNCPLIADAHYGIMAARAVITPINTR LKPTEVTYIIEHSQCCFLLVDHEYQNLVQDVNVPFVVSKDTGRSGDPYEDFLNSGREF SNERGWPGLDPEVDENAPAVLCYTSGTTGRPKGVVTTLRGSYLAAVANAFEGRMNHDS TYLWILPMFHAAGWTFPWTNVFSFAKQVTLRTVNYTQIWNHFRHSGVTHYCAAPTIGI VNDPQASKLPHQITAIIAGAAPTAHLIAELQKIGINPVHVYGLTSTYGPFTRNYAQPS WENLPLDQRARLMARQGHAFATADVARVVFASPEGDLNAPLVDVPRDGKTVGEIVTRG NIVMKEYFRDPDATKKAFAGGSFHTGDLAVMHPDGSIAIMDRSKDIIISGGENASSLA IEQELSSHPHVLEVSVVARSHVKWGERPMAFVVLHPQHVATWRGRHHLFEKDLKAHAR SRLPGFACPEWVEIVPELPKTSTGKIQKLELRKIVAKL JR316_0000846 MPPFYIQRKPGHVSIEKNPGSGSTQIPQESYRNLPMPFREPRQT HQNFRPQKLRKMDSSEFSLSDKTVSHCLLFKSLLSESPFSKASPRVPEQPKIEHSMEK SKNQVIMISRYNHYAIDKLLTWFANNHAFISNGGIVTFTPLALRSNPLRAFQLIRQID KHPLWASYIVPSALGLLASLECGESMDPMSVIGKKTLILDILLREIETGHLSLLEAPP DTYAETTIATKNPNTGTEEISYRNYAVEWTKKYFLLSPPSQKDALQAGVTAFMNEAKL SSKLDPNSVMMAMDDIIIRDIHGMQRCSAFMSRYRRFVVIDIDNRSVPKNPYVQGLEW TPLSKFSLNDNFF JR316_0000847 MLLRHSRLSGSVIHGIFIILAATCALGDPNDWVNIDYIISQSSA DSSSALVSSTKEAQAGIIRSATDLASKGPWSVVDSSQIPLPPSQNPHDYLSWAPYHWP QCNWCSATGRTHLVHTGDSSKNDSKSNTSETGPVEGEDYNESKFSQFFVHNYGSNGSI ISLTSISAIQTAGDRGSTFLHTTTAITEAAASPKPTSTVVLDSPMSSSNPPSTSNSKS AGLAQAAAKTTESSCTASPTRALAPSATWTTCSYISKDGFVNPDTRMLKGPDAINAVS QAALYNAVAYALQMTSKYSKNVATFVDTFFLNTSTKVNPNVDFGQIVRGPGESGRTGT FTGILDLRGLVKIVNSVSILKALDSPDWSKDRDNKLISWFSTYITWLSTSGIGQKAGT RPNNHATFYTSQLAAAKFYTGDRAGAITDLKRFCSTTFLDQVAKNGEQPFEAVRSRPF HYRCFNLEALITNAKLGDQMGIDLWSCKSRYGATIQTALDFLMTTDPGEEDKSQLNPH VATISSVYGDPTGKYMSFLKDNEPNYQRKAYWFYDQTAAFSVAPASRKKARSIIWKKE VPLLLSSIPASGVNVTFVCPAVFQDAAAVEIEDDVFVTCDQLRPFYEDGI JR316_0000848 MVDAASGSADKSVQVKLVLLGEAAVGKSSVVLRFVSDEFQPNKE PTIGAAFLTQKCRLEDRILRYEIWDTAGQERFHSLAPMYYRNAQAAVVVYDVTKASSL EKAKSWVKELQRQANPNIVIALAGNKVDLVQSSTSSTSAASPPESEDEADDATATPGE SAGAGNGEPESLRQVPREEAQAYATEAGLLFFETSAKTGEGIVDIFTEIAKKIPIEHI LAATRGNAGRSGTSGSSRTEGTRQQGVNLEEDPAKAKDACNC JR316_0000849 MSDHIPPPTYSQQDPEDSVDSQAQHDILSDPQILIIPTADAVNF QKGYLGAEGEHAAIEGELQLKGVDTNHWGRVTVSLRSFESAFQNEIELSSTEIELYSR IASPHLPCPLSMPFAIPLLPDTPQSIQTPHSFLGHTLTASLHPLDGRASILSKSLTVY TRRYSSHTSAVYSSPEEHALEDPTRVEVQLPRDTFTSGEPIPLYVTVPPPSKETVVDK GLRLRNVRAELVRVVKVKREHFDEDDLDTDGETAPEDLDYPQSSSSLLNPSDSYEQHQ SLASSSKLPLSPLFLGSSYRAVIARSGASCRFHSSRPIQLRFVLHQNSSGAPSESQTN LGRTESDTECPLVTQTTLLHSVSFHIKVHVSFVDTSNQTERISTLQIPVTILAPPAPL PEVSQSLDEAYQKKHDRPPARTVREEENDPSIPHYSEAGPSMQSSGAPPPFEERDAPP PFFVSSFEASSSSRLPTFQESEREIILPDSNDLPTDVVPLLCAIPGEGTIFGFSSDQQ FDGHIDDMRRSSTPPPTLEMASRDTNLTALTDQPGHVAIEALSIVLDQIEEVVHPEDN PPPPPPAMDDPSDPPPSIDSDFRSPGSVHQGSPSRASPPLNPSYHQSDPPLRIQASTP TLPPRETPVSHGHAPPPYLNAETHRGGENDQVMRPPPYAD JR316_0000850 MDPSFLDSPQVAKQMAALNAASQARIAQNTRIAPSFHPPSVGTS SGPYLGGINSHSFSADLSPNRHANFQLPNSQTPNSATGVSFLDPSMSHQDSLRNPTNN QHSRRNAFFQGIATFMSKRNTPLPPSLTGIQVPNYDPTNSPYSIIEPGSELGFFRLAG KEIDLWRLYGTVFQNGGGTVVSNANLWPKLLPAFDLPERFPEMQANNSASVAVMLSQY YMAILHPFEEYYRNNLSEQAKKVQAGQQQQQLLQQQSQQQQQRVMPNKPFPPPSNVGR PVTAMPNMPQSGVRPSNTPGLMSQSIPPSNGMPQYPQIHPQNRPASSQNPHQQESNVS LGSSEIDPLAPTIDSNLLDQDVQGIKRKHEQEDRDLKRVRQKTDPPDGNTVLMSITPE EGGNLQSRQTSQPPSNLNASGAPRQQPSRRKIEYVPLAREAQTYGGRDIYQFDAEWNN IIARRGIRESNDWGIVDIECLCMSIRSRLSIEMSYALTTMAVLSTMRGNNPGSGFPLQ QCPDLLDDTLDLLEELAFGEPEKASFSEPTDAPIITYRELVALVQDSQGRPFASLEKQ QGLQDISAGIRQRPGDIIITIIGIIRNMSNLHDNAGFIANFPRLLDMVLRVCMISGSP PSPASKNLSLSDLLTIRKDVLAIFSNIAGHITLPNNTSPVTLRIARRTFGLFASFLVD PNDCAPPLASVQVAGLPPNPNRRPSVLADTALDIFTRFSHPDHNRQVLSKAIPSAHLW DLLTSLVYRLPVVDADFVFMQRSEHWLSFIEKSVMAIYSVVFLSPYELKQKIKSDRSL GLKDVLFRLARQVLTFPADPHNAYLSSPRRAIETMKLLDKSEDLADNSEPTMPALSFG MGFSDGNDAGLEKGTGILGANRDAAYDLLIMRDVVGDEVLFSELESMVRIEYQ JR316_0000851 MSEIDAIFASKAAPPPPPSPPPTKPAKKKSKKKKTAPQPSPPPP PSSSKKRPPPETVVDTSHKRIKSSQPQPNPDKKSTKTDKKALDGFKDSRGTASRRTTE EGWLVYKEDELGIGDTGGGKLQHSPLSI JR316_0000852 MPSIPSNGNTGKLSSYEASTQARNWRYSVDQLTRIRTSLNQAAV GVIRNTFESDEPGSSSNVSFLIADEEVLLVKLYITKITQLCGLFRFPEEVEATAITYL KRFYLKNTVMDWHPKNVMRVLTALFLATKTTNNPISLETYTAHIPKTSPNDVLDLEFL VAQSLGFEFAVWHAHRALWGIWLDIQNLPSVTEKLQPELYDTALGHIRASRLTDAELI YTPSQIALAAFSLTLPDITSEWIASKTPSDSWRLTKSINEIKEMITSQGHPPNIESVR EVDRRLKICKNPEKVVGSKAYLARKRDEERIAEEKRNKKVAELQKELDESDPFGTELG DKRRALAGGLVDYDDDDDDD JR316_0000853 MAPKRKSDAMQVQPHGISEAVAAPLTEEIVLQPSNKKARVTEIS AELKPLPSSSKDNAQSWKDIKLDGEDEGEVPV JR316_0000854 MAQGPVIVLTGTPGTGKSTHAELLVNESPLPLRAINVSEWVKEK GLYEKYDEEWQSYTVDEDRLLDELEPIVAEGGIILDWHSCEVFPERWPDLVVVLRCDH SKLWERLEKRGYPLKKIQENNEAEIMQVVLEEARSSYPSQIVIELQSETMEHLETNVA RIVEWIKQWSANQEDS JR316_0000855 MVQPSATVVPPEVLSSATPTRSLPTLVGYPSQGHYNNLQPRITP TPTSTCPPNALVVQLSTTLLIPDYSRFFAPTNIETFSPSYTALADGSLLTPPFNRDIT DSNLSLLVTGALAIIFARNIIVSGDYVRRGKVKKRFLFYVLFLSQILSPIAFIPVILS YFSQSLHCTAVIILSCVSGTTSLALLITVILGVKVYKCLNNAWFVLVILGLFQAASTT LVVFDVISTKGIRRLTGSSCIRSDDLRYTRYFVITQFLESLFICCCFVYVCWKSRGSP DARGRISIELSMDELPIEVPEDPPEKVQTTRRGWWDYVSEVDNRPEPDDRETTIKKPP KRVLKTFLSTIGDFRERQREIKRKRLRAYKKTAQRNVENPSNLPREGEMNDSRNSFAP SYMSRLSRLIPRMELFQEVIKDELLYTTFFTSTCVIVAVLAIIGVNFKNGLSVTGWIA LNCNFGRVVHRHERDVLLQQPTRCAIITQAANKIAARERRTQARTLSSTLPLTRSLRI ASTSDPFADTHALEKPTNPFISALDDKVSGEQPSPEDHDFSSTISILRPNQRDENMTT SQFAARHLSQLPQDLDVPTSTLGTPLVPVSEADISQQQRFYQDWIISGSRPSSYSASE RSIQVRETLYGDRTQ JR316_0000856 MDVDENDELVSVLPIHYSEALSPNLHIHQFPLLTRPLQAPPSAT LSGKRINARIKHKSRRIEIHVPIDTRPEVYNVEKSKHFGAGRLEDDREKNQERIDKTR EDEDPRISEVRLRSEEIPRRGAHILGIVRDGKLHLHPVQEMHQFRPTLTYLDILSRKN RRSMGVGSDSDSDDGPPPDPDEVAPVPVAKKEKKAANDAKEVHVSARKSEDQGGQSGL SSVRREMLQIMRAEEDEKWEDVQFCDVTTDQSVVAFESVFSQSDEILETSTDISTFLK SINGL JR316_0000857 MSTFRPKTRMSLSPNVYAPGHSMDTTRPTITKRCHTQQLQRESL SCSDSDDDIVRQGKRRRRDNSVSLIFKHLRLDIHYALQLIEETVDNYLEPFSWPRQSD DALQMDRVSQFPTTSSAFSFFPSSSPPLDRCFRSSSPDSGGFQEGAGEGPSDLARLRT SAFWELRQSVTENGEGLVRRMRDYERSRSRHQTHQKAKDAEKRGRKRATRRQKTYSIN ASEEEDDDILISSGDSSTHIKWCAFVNKRSRSLDDMDVDSQKDVRSSIHQIRSQSSGP PSDVHHPTGSQYHQQNKEVAALLEDLMSPYNSCTNPSLEPTPGLSHSSCESANSSLLS LALPPSILEADAALPSTASEKALAALSLALENGAGSITDYSSIWKYQEQFSLGETHDY GELWN JR316_0000858 MSQFPDYYKILNLNKSASQEEVRQAYRKESLKTHPDRLVNATQA EIKKATERFQAVADAYYVLSDPKRRSEYDSIYRTRADRTADPGSSSSFFSGMFGNGNA HQSRNTQPNAEGVFADAFEELLRPEVESRVPWWSWFGAISGAGLGFIIANVPGLMVGA FAGNRIGAVRDAKGKSVAAVFNDLGGQQKAEILRALALKVLGSAL JR316_0000859 MSNVATLLPTSVALSSDAAIEAILSDIPLFCEGIMGIGVFTFLF FTKHVNLLSIFLYGTSFIAFAAATLDLGQVLARGPQDTAKGIGLDSVVGFIYAREVFL ALSVGSLDLFFWKLVAQSPREELSLVGSKDRSQIHSASWNYWGAIGTVLKWGSLSALL SVPLLQILWRTMSGQRKYGCIYIAETIIQTSITFVFVLKLMLNVYSSTRTWWDAFKFQ IVPVAALFIGAGLGVGNIIMFAFTETSLGRLLRAVEVYCLIVFCLYTTFHGLPTSHSS PLTESPTNVDREKFRGNDLLPFAYSSTGYNAQYNASSQLKMMQRPDSNSRVSNLSWIL SRRERFPQSTDALQRSDVPTQGPSIEAIAFSSQEVDTGSGGLVVHPDRSESPSSFVKD RESFKSDAAFTTVSLSYYTMGDRTKLTSRHSQPQPHNLEQTGSDHEKENPRHIVENSV FPPPLSDNQQGSISSIDEIFRQQNELDKSIAALRLLSMQGLSVQSQLSIPGPTTTTTK SFVRSRSTLNSSKTESISNRSDFSLSIFPEPPPTIQQAQATATTRYSLPDGANNMDNV VPPMPIDKVEANHREVVKPSRLPAESLSESRSPVQMEPIPLPDSNDIQYNSLYEGKYL KDPPGVGTSLGEPSSSSLSSDDESATVASAVALRPMILASTVLALSSVQEFDASRTTA PSSYNADPGKSSSFRDSSSSQNLRPLLLGKSISSIPQTMPSATMIPLAQRRRQRGGTL TNVPRPVIGAPKLDSQTEAPPGAFERPRPPPGLRPSR JR316_0000860 MPSFTLQQVAQHKSQNSCWVIIKNKVYDVTEFLPEHPGGAAIIL KYGGRDATSAYEPIHPPDALDKNLPASKHLGYLNGESINILQQEKLKAKKTKDERRVE LARQRMPPLSRILSLMDMENVARQVLSHKALAYYSSASDDEITNSENARAFTRFFFRA RVMRAVAHCDPSTTILGFRSSIPVFVSGAALAKLGHPDGELNITRAACKEGIIQMISS NSSFSAADIMASADQSQTLFFQLYKHRDDDIAERRVREMENLGYKAIFLTVDAIVAGN RERDIRSPWILEEQESGPVYFASGTSDEPKDGVNVFGTAGALVANDDRDMTWEKTIPW LRSITKLPIVIKGIQCVEDAILAAEAKVDGILLSNHGARCSSLPPLEVLYSIRQQHPE IFDKLEDSLFSDPLAGGIRRGTDIIKAVCLGAKAVGLGRPFLYAQSAYGQSGVSKIIE ILRREVVTAMRLVGASTIRDLRPEMVQRVDWHPHVHVKL JR316_0000861 MSSRKNQKPLPKFEIGDDYQLLHAIGEGAYGTVAAALHKPSGRQ VAIKKILPFDHTLFCLRTLRELKLLKFFSETCVNENIISILDIIKPPTIDDFTEIYFI QELMQTDLHRVIRTQHLTDDHCQYFIYQTLRALKSIHSADIVHRDLKPANLLLNANCD LKVCDFGLARSVKTSVPGGKEVGLMTEYVATRWYRAPEIMLSFKMYTKAIDIWAVGCI LAELLTGRPLFPGRDYSHQLDLILDVIGTPSLDEFYAITSRRSRDYIRALPIRKKRPF PTLFPQASEEAIDFLSKTLTFDPKKRMTVHEALEHPYLSSYHDPEDEPVVTPLSPSYF EFDLHKDEMSKDQLKELLYAEVLSFVPSI JR316_0000862 MFAFSLGLALLPFISAKVIDIQVGQNGLTYSPEAISAVPGDQVV FHFHAKNHTVTQSSFADPCGKKDGGINSGFQPVAANQTDNLPTFTVTVNDTQPVWIYC AQAAKTPASHCGAGMVFAINCGADGAPNSFTNFKKAALAVGASLSAAAATPVSTPADA ATAWTTAAYGDYTIPPAPAATQVTQVITLGSTSTWTTTYASYPGSPAATPASAEGAVH TVTVGANGQLAFDPPFISAAPRDTIVFEFHQKNHTVTQSSFDDPCRKLNANGVTGFDS GFMPVAADATSFPTWNYTVTDTAPVWAYCRQQTPASHCGQGMVFAINSVETSARNFSA FQNVAKALNGTSLAVTSNTTTSGNNGNNSSGALSVSVGSVAGLSLFVAALIGTFL JR316_0000863 MSPSMTRDQVASAQLSGDYAIKSEKAAPALDTSQWPLLLKNYDK LLVRSSHFTPIPSGCSPLKRDIVSYVKSGVINLDKPSNPSSHEVVAWLRRILRVEKTG HSGTLDPKVTGCLIVCIDRATRLVKSQQGAGKEYVCVLRLHAGLPNPASLPRALQTLS GALFQRPPLISAVKRQLRVRTIYESKLLEFDDKRNLAVFWVSCEAGTYIRTLCVHLGL VLGVGGHMQELRRVRSGAMSENDDMVTMHDVMDAQWLYDNKRDESYLRRVIKPLECLM VGYKRIVVKDSAVNAVCYGAKLMIPGLLRYEADISVHEEVVLMTTKGEAIALAIAQMS TVELATCDHGVVAKVKRCIMERDTYPRRWGLGPVALQKKKMVKDGKLGKHGEKLEGVT PAEWSKDYVDYNRDEVTTTTAAAAVASEHAQAGPSTTAEDGAASPKKEEKEKKRKRKS EIPGAEEAQAEDGDVADAEKAEKKKRKKEKKEKEEAEHGEEDEEARRERKRLKKEKKA RASMGGE JR316_0000864 MAPKVAASKNAATKHKFVIDYSKPAADSVFDGADFEKFLHDRIK VEGKAGQLGDNVKIVRDGNTKITVTSNIPFSKRYLKYLTKKFLKKNSLRDWIRVVASS KDNYQLRFYNIAGAGEDEDEE JR316_0000865 MAKKKKTQLKPVARGFTTTSLPKKVVSGDIAEVERPSIEASVNT FGEDDDGKITQRIEPVEKETSSIISSEEVDLQNLVDRHQDKTEREVSRTLKSIEVDRR YSQTLPRLDIDPLLRDKLLDISLNSEISEGQKTVEDSEEKTLSRLSISYGVLRRLGFT EERVDECLRSISGVELDEAFDWLYLHCSEEELPFESQISATFKLPSGVTFRKNVTPPP TPRIEQVPLYHSKPVVNQSPSSTGGVNISNSNSAENPDDLVVMDKPKTPPTDEYTTSS EGTGSDDEFDNDPTLAYVRLKLKLDEISSNPQSQLRQEQLKQLRDRLNKVAQDYLFDE KDAFLRYKEERNKIDQQLLNERLRLSGTDLSEPSKSRDKKPHTTKPSKGSNIVDDGND TDDSIGMLGILEDPNATEINIKGVTFCLRDMTVPKHWSGPMPKTMLRDLVTKMDRYAA ISYTTLSDHSRARRASVSISWQNKKRDEWIMDDVACSEDSQAEQYIAAVALHSLTHPL AEGFIPSIPANASSSTSFRLLPAVYRDLWDEMEISRKARDDAINRQIWSKLLSIMEDK IQRDSKVCQHLQKQQKTSSGKPLSGLIRNPLDNKDHFDSLSLAFQARQQTTAYQEMLS RRNDLPIARFRDRIVEILAYSQVLVLSGETGCGKSTQVPSFILEDHMSKGKPCKIYCT EPRRISAISLAQRVSYELCDPPNSVGTNNSLVGYSIRLESNICRNTRLAFVTNGIALR MLEGGSGQGGSGTAFDEITVHERTIESDFLLIVLKSLLIERPDLKVVLMSATLDAEKI SSYFGNCEILQVPGRTFPVDVKYLEDAIECTKWSISDNSPYARRLHDKYYKGKNRSEW TEDAPTIDDEDDELSDSKNNTVKLEKRYSTETVATLNTIDERLIPYDLIIRLLEVICF ENYVYRPFSPAILIFMPGLGEIRRLNDTLVEHAFFGSSEFRIYPLHSTLSSESQGAVF DVPPPGIRKIVIATNIAETGITIPDITCVIDTGKHREMRFDEKRQISRLVETFISRSN AAQRRGRAGRVQSGLCFHLFTRIRHETQMAESPLPEMMRLSLSDLALRIKIMKVKLGS SIEDVLARALDPPISINVQRAVSMLIEVRALTPSEEITPMGRLLSKLPTDVHLGKFLL ISTLFRCLDPALTIAATLNSKSPFVAPFGLEHDADRARNSFRIENSDFLTIHNAFSSW RTSCADPNFARKFCRKYFLSHQNLLQIEDLRQQFLGYLIDSGFIQVDKSIIRELSRAR FSRNRTRFVLIPSELDANSDKPTLVHAALAAGLYPKILSVDQKNGQLRTITNNQHAMF HPSSVNFGRNPIDFGVNHLTYFTLMHSKKLYAWETAPVDDLSMLLLCGEADFKLAADT IYIDRKIKVCTSPKTSIALKILRERLTSLLARQYEGKVLTDSQSKWMELGLLALSRIK LVSENDGAAIGIR JR316_0000866 MSLRHILNDEPHTAHSRQAFAATSRMPSGEPPVFPEDRTLSPHP GSPSPPYLSPRHSREPLSSSRTHYRSSAQNDLSRDSRSGDWTAEEHPSYPIEHRSYSY DEDSSVVPVEPQHNYPPEGESDVVSKKRRKGGDKMKYAVFEPTNPRRMSLRKKVKETR PVSPSNESDDVNKVHNSTTGAVEEVTLDSSDLEDCREIWADDLRDYALETKQRQQSVA QYFWASIVERNSITALNLSRHYSSKIENIPTTPPTPPLAPAGTSFEDDFENMTTGGMR SNGQERDHHRRYNSSAEDAALEFERSMLQDSPGPQPKKKGDKKRPMRIYLPPTVPGDD NDEIKPAQDAPGPSAKRRKLDNETPSSAAGNGMETPVNQESVSLKLSISKLKGKGKQV QREASHDSVSVTPKTRRKPGPKKKGGIGLELENEQLSRPSSIHGDITPAVSRPNSPGP ANITMVYELDETIPPMKRAKKVDDAAMMKRIKSLEEAQRKVWTNIARRDVAKVYKYHV TGYQTRQSQLERIAKLASMQARKPFTRTAKANKDLQAKAKRLMREMQVFWKKNEKEER DVRKREQKEALDRIKVEEEKREAARQARKLEFLISQTELYSHFVGSKLKTTEIEGDSE EIPLPAGAHVTDAEDPQNLPEIDFNDADSTNLHRHARHNAQEAIALAKERALQFDAQA ALDRKTNEALKLAKAQAHIHEPESEEATTTSTAPLVDLDSDELNFQNPTSLSGPLTIG QPRMLMAQLKEYQLKGLNWLATLYEQGINGILADEMGLGKTVQSISLLAYLAETHDIW GPFLVVAPASTLHNWQQELTRFVPRLKALPYWGNVKDRATLRKFWSKKEISYDQDAPF HVLITSYQLVTQDQQYFQRVKWQYMILDEAQNIKNSSSVRWKTLLGFHCRNRLLLTGT PIQNSMQELWALLHFIMPSLFDSHDEFNEWFSKDIENAAENKGSKLNEHQLRRLHMIL KPFMLRRVKRHVQNELSEKIEIDIYVDLSPRQRNLYAGLLANVSVADLLEKAANIGDA DSARSLMNLVMQFRKVCNHPELFERADVVAPYSFCNFGRSGPLMREGDFLMLPYSTRS PIEYSIPQLLYKEGGLIHVPSETSIAPSQSGCLVKLLNIWSTDWIHRSLYEDDQSSFS FLRFLNMTPTEAHRIHNSPLIRRRLMALEVESSLPDIRYSTDPDFSAYDSVHYLQISS FPVIPKPDASLVLPDLLSISRCAWQSSCLSRPAMHWYTPSAVAPSISLYCNDRTFLES EALVNDAPLETLAMYGLPHDIRDSEQACDTYEKLLPGLPPVGLVGISPSDQLPASTMQ VPEAKSLIYDSAKLARLDSLLQELKSNDHRVLVYFQMTRMMDLMEEYLIYRQYKYLRL DGSSKLEDRRDMVIDWQTRPDIFVFLLSTRAGGLGINLTAADTVVFYDHDWNPSNDAQ AMDRAHRLGQTRQVTVYRLITKGTIDERIVQLARVKKDVQDIVVGNKNFTDVTKPSEI VQLLLNDEQLASLESNRLPDGRKDKSDDSGKEGQATVRDLWNEEGDDFFGHTASAPTE KPDEENTPAVSQSTRGKRRKVGATGATRGRKPGPKKKIASNNVDIPLE JR316_0000867 MSSNNFRVEKDTFGDLQVPADKSLQNFDIGGPAERLPLPLIKAF GVLKKAASVVNVEYGLDRKIGEAIQTAADEVISGKLADHFPLVVFQTGSGTQSNMNTN EVISNRAIEILGGQLGSKTPVHPNDHVNMSQSSNDSFPTVMHIAVVTEISNNLLPALT ELHQALQEKAKAFDHIIKIGRTHLQDATPLTLGQEFSGYVQQVANGIERVKDTLPRLR CLAQGGTAVGTGLNTKKGFDVKVAAEISKITGIQFITAPNKFEALAAHDALVEAHGAL NVVACSLMKIANDIRYLGSGPRCGLGELSLPENEPGSSIMPGKVNPTQCEALTMVAAQ VMGNQTTVSVAGASGQFELNVFKPVIAKNVLQSIRLLADGSRSFTKNCVVGIQANEKR INNLLNESLMLATILNSHLGYDNVAKCAKKAHKEGTTLKEATVALGFLTPEEFDQKVR PELMLHPDN JR316_0000868 MASAAAQIILHPTVSQGLKFGATTLGRDKTYRAIQYFARFYAWY LAAKGNKLDSARWAALKGHLGTARKLMRLGKPLEHLQAALRATFSAGPVEETLTTIAR QIGYFGYLSYDAIVWANSIKFITLDPATAKKVAKRAFQFWFAGIAFSLVHGVLKAARL AKEAKSLRESEVYGEKDLSVEAARETRQSAINAARKSNRQQMLIDILDISIPATGAEL VNVNEGTLGILGLVSSILGAKAQWEAVNGKK JR316_0000869 MNSLSRREEETLLKATKATALRECDEFVKAFAECASGRTVSVAW ECRGQLKEVQDCMIQFTAPEPMEAVRKEYIRLRNLRQSSSG JR316_0000870 MLHLPKALRTCPSFAQRRCLATASSFATPSGSKFKQTLDNGPSL DDFISGDVPERIVLGNTKGPRLPSYLKTSIPSGESFNKIKKDLRGLDLHTVCEEARCP NIGDCWGGGKSADGRNTATATIMLMGDTCTRGCRFCSVKTSRTPPPLDPHEPENTAEA ISRWGLGYIVLTTVDRDDLVDGGAHHFAETIKKIKQKAPHILVEALTGDFAGSLEHVS LLAKSGLDVYAHNVETVEELTPYVRDRRATFRQSLKVLEHAKKEGVQVTKTSIMLGLG ETNDQILSTLKELRAVNVDVVTFGQYMRPTKRHMKVDRYVEPTEFDHWKKVAEDMGFL YVASGPLVRSSYKAGEFYIENVLRKKKQTQSIPSLETESTQTTSSREPIV JR316_0000871 MDSSKAPVKLAKVIKVLGRTGSRGGVTQVRVEFMDDTSRTIIRN VKGPVREEDILALLESEREARRLR JR316_0000872 MPVRVPRSTPLKNPERPVLALGLEGSANKLGAGVIRHSPDGSST VLSNVRHTYITPPGEGFQPRDTALHHREWIFKVIGDCLTDAAITLTDLDCICYTKGPG MGAPLQSVALVARTLSLLYNKPLVPVNHCVGHIEMGREITGAKNPVVLYVSGGNTQVI AYSRQCYRIFGETLDIAVGNCLDRFARILNLSNYPSPGFNIEQLSKQGKRLVSLPYAT KGMDVSLSGILTAIESYTFDKRYRPDGAPKSVEDDDIITPADLCFSLQETVFAMLVEI TERAMAHIGSKEVLIVGGVGCNERLQEMMGIMAQERGGQVFATDERFCIDNGIMIAQA GLLSYRMGVTIPVSKSTCTQRFRTDEVHVAWRA JR316_0000873 MDEVFWSNVVKRWSEAAENVIALRKELTKVQEERALYRSLLDTY RRSDATPADQRQIERVEPDSVPLNDGIDTTSHVGLMQDAEAGLGRAKEEYKRLQQELA ASTEQIKALKQENETISAKHIEETTELKDQINKLTFVNDNLRKSLEKAKTDAAKARAA VVAIHAQPDESKKLSAAYWDLERQVETLKLSVKDYETENHYLRSELSTIQERLHRAED NSKVLLGSIKKLQGITRHATILRPLSNTSLYSEARRSSGKLSAASGAHSPEPDRDMLP KARAFKQKEVIRPSKSDGDMAKKEIVTHNCPNLPPDREAMLAVFPSVPVPAVVDAHNA FERSFLKKALGEDVQSLFKYLPEGQIDRKAITSSYLCPTLNHHPWCPSNPGQHGFLFV GLGKERDSYNSPVIRNLFVGLPKGPSKTRTFRYLGKYKVTRVKHLSIDEWNSFSPDIK YMYAKLTADKTNDVRPPEDILLAYDKGTLSVPCVQLQFLSFDNHIYSALLAHKNDLRE HKK JR316_0000874 MASSLARVLSRGFHVSARRRALAPFNMPAMSPTMTEGGIAAWKK KEGEAFSAGDVLLEIETDKATIDVEAQDDGVLAKIIATDGSKGVAVGSVIGVIGEVGD DLSGADQVARDAASKAPSQPVESAKEDKAPPPAPAPEAPKAAPPQESKKELQSGSRIF ASPIAKKIALEQGIPLAKVKGTGPSGRITREDVEKYKPETVAATTSAAPASAQPSTTS VDYVDTPVSNMRRTIGSRLTQSKQELPHYYLTVDVNMDKVLKLREVFNKTLSAKDQKL SVNDFIVKAVACALTDVPEANSAWLGEVIRTYKKADISIAVATPNGLITPIVKDAGSK GLASISAETKALAKKARDGKLQPSEYQGGTFTISNLGMFGVEHFTAIINPPQSCILAV GSTEAKVVPAPEEERGFKVVQSMKVTLSSDHRTVDGAVGAKWLSAFKGYLENPLTFML JR316_0000875 MSSLFLPGQPLLPPTAPLPQLGPGVYERHGVVRASLLGPSRLDG STLTVPRVQPHTPIPGSIVIGCVTRLSPLQALISITVVDGVPLPPGEEFTGVIRSQDV RATEKDRVKIGDSFRGGDVVRTQVISLGDARSYFVTTARNDLGVIFATSEAGKWGRSR FAFGRLIHDFDFLRSYARACFMAGDALSQDRAHRKEKMRKAIRYAVVELNSISICLSS SSKLATLSSTTAATFAARPVSQATGPLMTAVMSATDALRKDRHMSLDDNNIGFSSNTD WQEKYNEVLDMLAETRAELDEFHQASKELEAELESELARTEKSQQELQTKVSKAEHER DEWKGKFISLQTTHNTMTTSLQRELDQLRQEHQKTKVHLRELEMGNDDLERNERAVSS SLADMEAKYSKALEEKILLEHELLEKATVEEDMQRLKDELRDANVEISILRDQLKTYT SRRSSSSSLARTEESRRSVLSTDDLLKTTPPSTIDLNDAPPAFDPSANVLTTPKASLS RPAVPSPFMRASQQDKQEKPTPLRNSSNMIRSTTLPSFSSPSTSSPRTLIKPSTSRNP TTLSTTSSTGSVAKNKGVQMVSDMRARVRNLEQKIHTRVPRLRMASITGRSTFTPSVA PLTPVNGTSSSSSPTNASTAKTSLDSQRKSESRQSNDSGSDIYSKKDTGDSSGWVLIM EDSPSPQKEAKRLKEKRRISNPSAPTAFRPTASAIHRPTSPSLSTGPMNPMAASTGLR RPTSRLSGGGASSSASSASRPQTPTFLPMPSNSTYAPTSSMKRSTGPGASGAYNQLKR SSLGKAPPAPVPPLPRAVRERPVTLTGYPGAIPRAASPEVSKALPSLPDELISNVTIR PSSRVPAPTSSTSLLSKSRIGRPSGGFSGRKSGGGETSSSTAALDIKDLERPRS JR316_0000876 MSSSAPTQTGSSSEPQRSLNRQIVLEEEEYTEALSKIIARDFFP SLAHLDATNGYLDALETRDPHLINASVRRLEDISFTPRTSTRGWTPARTPSQTPYGAS AETPLRSVRGEPAQKRARYDTNMSLDDFQARYTSEDNSSFTQILDEENRVRKEKWAWA WNAQKRVEDQQAKMIENREALLLEPSTVPGVREKFTIEAPKPIGLLTGAEDSSIKEGE RQRTESMVVVRSKETSGDTPLDVMAPQKDKRVAGVDGWKFKARNSLMFSPDADTTPYD PKPTADSSGDSAKAVNYASTRLFEQEHEAGESRPLSEPPSPTRSRINAAITGTPYHPS SSKDMGFSLVPNLPSPTPAELGPTALKQLMTWGTLNSTPRIISQPDEPTNLRTPFHIP DISSREAISHKLSDRASKSLRMKAEKLNSSARTPSTVKSSVLGKKGSMAPPSWTPRRA EAAGSLTPAARRLLQRTATGTAASRRAEVMEREAGWDTGSKERDLARIRWTPTPTSTV RR JR316_0000877 MDQSLIPSLSEGVVGSRFITQDEVETAKQRREEQWKAAYARLGQ EPPPQQQEEVYDGRSLAEKLAANRIAKQEEWEEKTKLANQFRALEEDEIMFLDSIRER QEEEERQRKEKDGEEVRNFKEAVAARTSSVNQPPTISSLSGNASTSAATTAVKPKATL PKKDAKRGLKGVVVKKKAKPAVPTESAPEKSQPAKKQDSDDDLQPNAKRRKVTEDS JR316_0000878 MSTSSRIPPPSLPSTTSLNHNTSLRSFHRPPPPITTVVTSPRAL FSLLCSPAVAHHHLAWARDEPPSPKEVPSDTQGAQNHTESHAHVSDTASFQSGPAEGT SRWWTFTLPRTRQEAFNLLPPPPRLDRKSFRDISLSWMPASATQREGSTFLRKDKEKE KEHATEPMSISMPPPSMPYTMAHANTPGWDTPWSARAAAQGPSRRSRDDASSDYEEDE HSDTSPKDLKGWALRRKRIRSFILVNTYVPLLFRFINIAFTTAALGMAIQIRKIEFNH SAAGAVGSSPTVVIIFAPLTLIHVMAAIYVCLSPLSSRSSLNKPYHRSLNTLVDHWVC GGRLPNLPIHYLRSSSSAPGLLPYHYVSITSLLPLSHVPQNQRRLGTISYLGPRKTFQ ALTAA JR316_0000879 MFFQPKASKIRTSKHHASVKLPQVASKDANQDLPSFESIRPTEA EVTASEGRQLVIQQSKKAKQMEKREAFLQKLEPSTKQASKSHERRLKRKAKEQLVAGL NELQSALASLEEESGREEVQSTNADTLHAEKTSKPAVKPGIIGKSGSSTLSRAQRKRV L JR316_0000880 MATTSSKTNPPPSRRAPASSPSPTPSTLQRGATARSAASPRLST LNGVNARRHTISKSPSPSAVGNGDTPEVLAASLKQETDQKELLLVQVQEKEQLLSNYA TENNNLTFALNSAETRLNELYAEQSRWEAELAQRIDISEKLRDQVRELEKEKRDLQRR YNEQTETFEAERQAFYDNEQHLKSRIQSLTEARKRAETLTTHVDPETEDEKEENEPSI SLIKQTKPESTRQETDLNDPENEPAEMTSLKLELSTLSTSYSSLQSTLILMQTQLVDL KRVNQELQEENESYMILLREKTLNGQFDLMRQVGGTTSTDDEASDDGDLDDTTSSMRS HGRSQLDRVEEEDSLDIMESELPGHVSDPDESQLSRISRHSRPDRKRTLSSHGGRGES LANLPITGPGLDLAAELGRAENKDILAGNPIEDRDRPHKGKRSKKGSDGSRLGISESA GVDSNTSTADIDALRTEVKSLKDANKALSLYASKIIDRIISQEGFEHVLAVDYDKEPQ TPATAIPNSNSSKLPFGQSISKQRPQSVIVGRSSSNPNADIKNASPKISAPDPKAKRR SLSIDWSTLFGGEKKPEPPSNLRPLKLTPGSTSMTGARKLDNIEDEEDRKERERLNAT MKLMGYQPQIQSPMNSPTPSFIERAFSAPAPAAANPTPKNNRRFSLFGSRTPVETSES ASIHSTSSSLGQFSRGQSGLTEEALEHVQAENSLAALDARERDLSVEIAKGSGGGFTE IVPRASRRGRKSAGSSGSTVWSAGMSAGDD JR316_0000881 MEQHLSSTASSEQMLLEIQSESIQKLLTTVRQKTNPSAATAIPV LDLFLATLSSSTANQVFVEKPPGNSIVIRGPPGCGKTHLLYFLLATCVLPMNPLSQSI GGWSKAAFVMDLDGHFHMSRFHDVLVDRLRLSVPGPSIPAIVDRCLKLVHIFRPASLS QLAVTLKHLAKYHAQKFPSFEMGMIAVHSIDASHWLERFKVEQMRFFTGMAKSPSDDV FRVLQDLRMSYRLTTVVTYGDILHQYISASDYRQPVPGSTRLDLIPVSTTDITITPSS STFDHQLRKTQWCAEAFGDPQSIIFSSVIHKGGIAVS JR316_0000882 MDTVQRARSRSRVLSPSPIPHSRNNYFIGIVLLIFVVLLWTFSN FITQDLYEIGYNKPFLVTYMNTSSFALYLIPLLIRRWWSKSGNDKSRIPAVDRSIDEY QPLVDDEPIEVKSIEQFAYCELTQMAFQPLPPLTDKQTASLAFTFCLIWFAANWSVNA SLGYTSVASATILSSMSGFFTLAIGRLFRVETLSLVKIGAVIISFTGVILVSISDSVP TQSIGPESSFNSRVNSVAFFGDALALLSAMFYAMYVILLKVRIKSESRINMQLFFGFV GLFNIIVCFPLGVILHLTGAEIFELPPSRKILSTMLVNMAITLSSDYIYVLAMLKTTP LVVTIGLSLTIPVAVLGDFLLLKPSKFQVIFGALLVLCSFVAIGFEDAENQEETIAIT GAHET JR316_0000883 MLRRAFLAQNRHLESTISKCILLSHYATPSLVQGSRFASRLPVR KHLTSIPETSQISEYTTNLQRYYIYTPTPRDFIRIMAALESYQPLEKILRLIKNSPSL AQFFSNRRNVREVAKELARSPKPHRSIDILNLAYHLGHTLNPGAYESVAFHLAQSRNW DMILGVVGAARKHVGHTTLRLLNWRALALMESQRYASLRRILDEFKSASLAPIRRTYH IMLSGCLRNQDMAGAKHTLQLMRESGIPMDSTTHALINNSYRKFGVDLQIRNNALVSL PNLVPHRRTAVINSMIQSALDRDDMSATFHLLSLFGDKNVFRALSLASPEFALGQVQP NSVDIPSLPNLDMKPNSDTFALFMNYCIRNLHPEGSLGIAKQSLLLGIPPSPSLVTSL VHTYFQQERGDDAINMLSRLTAGPKAQVWTALRVDSNSQRDPEFSLQGFAPLSLTTRI FNALLKGVLYRQGLSCVPIVFSLMHANHVRPNSRTIEILLSYMNQVNIPHPRTFFQVL RALTVESHVRPSIQHLHIIVSRIFRDERAMVIRSAWAPRFSRRLAPHQGYRKRITLLG TTDVFDPLAGIELGKHLSYRASARPAIQSLTAHEVKSDSAMVFLRIRRQSVLHSDVES AHRFLQTLMARGMHPNAYHFGALIEGYALAGNFSSALDVMKSASDSGVQPNAVMYTHL ISAYARRQDPKSAVSMFKAMISHGIVPDVASIDAVVSAFHAKRDENTARDLLKTLWTY IQPFPESLASADLPTMLTHFRSINPTSKKAKFSFNKRIETYLQVRKIIRAYRKYFGTH PHTQRMLRRRRARRQLSAKSTDGKLCPPK JR316_0000884 MASASASSSTTTLWATASKEWVIQPKPKPGRKPKKDSTSPTKAE QEQIDTKGRRIQNRAAQRAFRERKQSQLSELQARIQSYEQGEVERNVALQNIAKRLKE ENEKLRNENLALQAKLSQMQQQQQQQEHLVLQQTSDQKPSDDKDKKKRRRDDSPAISL TLNTDNRSSKKTRKINNCDILTSTAPAPAPSLSFSPSVASTPDSNDTTDSQFPPLSYG TSTEMDVNSFNHFNDLAPNVKADEMSLRPFVSFGCGFCNEDTICVCQEMAVVDRNSND FTNTQVLINRENLDTNFQESVVQEVPSILDNLPPYQPPVALRRRSGAVQVNSVFPVQV VSTSSRPLDENCSGDPSNCLACGDDAFGKAFCAAIGNTASALTDCNGCPPTPGRPRAC CGGKSNGLGCGNCPSSPLDDPTINGADLIPTNDAWKKIKAHPNVEFADLSLLAEVVSS RSKCLGPRIVISPAPQLSQNAVEINNFSTAGNGGEIRPGRTSPPLRLVPQEVLLECGR RRLRQVHAEGVRDALRLLDAKFS JR316_0000885 MPEATNHVDDGIDYSDIENKYQVQYDDGFDNLVVVDGVPIIDKS KHGKLMDKVVKEFGKKGVSIKHDDIFMPWDSASGKSKGFMFIEFRNEDDASHAIAAID NHPFDAKHTFRVNRFTDIERYADLDETYVEPQVEEYTPREHLRAWLADPHGRDQYVTY RGDEVLIHWHGKPSQSEVAYKPDWKDFLYVAWSPLGTYVATLHRQGVRLWGGSSWKAQ QRFAHPLVKLIDFSPCEQYMVTWSNEPIMVPEGAIQGPQYFSPDDEGNNLAVWDIKSG DLLRTFSTYNEGDPPAVKKQMQWPVLKWSPDDKYVARITPGQMISVHELPSMYLQGKK SLKIEGVVDFEWCPLGEKDKEDIMVSAVPGAKPTKKVRENKLVYWTPEVANQPARVTL MGFPSRSILRQKNLFNVTECKLYWQNQGDFLCVKVDRHTKTKKSIFCNLEIFRMREKD YPVEVVELKDTVMDFSWEPKGERFAIVSSNDPNLGNPGPGVTIKTDVSFYQLDHGKND FRLLRTLSSRTSNAIRWSPRGRHVVLATVGSSSKSELEFWDLDFNTDDRKEGQSDWGS GIQLLGVADHYGVTDVEWDPSGRYLATSASAWTHTLENGYAIWDFRGQELVKHIQDRF KQFIWRPRPPTLLSKEQQKAIRKNLREYSRAFDEEDAAEESNVSAELIALRKRLVDEW NAWRTLRRKELGEERLQKLQGKEDAKEEIEVWIDEVIEQTEEIVVVD JR316_0000886 MVDSETPLPKEKHVEEVVDSPVSYAEMKIIQSRKQRLSPYFTIA AAAFGLISDGYQNNLMTMANVVFKKLYPKDYTADVSTRVSNSLLVGAIIGQVLVGIIC DRIGRKAALVITTALIVLGATLATAAHGTHGSAVGLFWFLTFARGLTGISQGVGGEYP ASSTSASEAANEKMIKQRGPVFIMVTNFVLSFGGPLAVSVFLIVLSSAGENHLQTVWR VCFGVGIALPLTVFYFRIRMLSSMLFKKGAIKRRVPYWLILKRYWKSLMGTCGAWFLY DFITFPNGVFSGTIISSIIHDGDIKRTAEWQLLLGAIALPGVFVGALLCNSLGRRNTM MLGFSGYLIFGLIIGVSYDKIIKIIPLFVIFYGLMQSFGNLGPGDMLGLVSAESYPTP IRQEQQSELKLLPQFKCTLEKTICGTTGILVTYFFVPDMTGVDLADEDAKFMEYLAEN GWTGLVGEEEDSNSSLSDKK JR316_0000887 MSGILFRTPSHSSLATSSRLWIPRKCLHTSSPAQKSVLSSTKAN DKPSLVKALNLTAAETRKVAKKRGKRVEYKPTENLVKKHLVQLRDNPFTVQDVEHLKP KKQTNAKSKNYESEYQQVLNVLLKSFTWPQLRQYVEHANLSPPSRGNKLATAQHILEN IWGWTPLQQVLQDRADWSESGEQLFTLDPGYSFLLVGKDGTELLQLSRRYNVKMSFTN PRTLKVQGLKGALKQVAGYIESFRNDVTTEDFDLPTKKKLTVLADRISRVSGAHVKPK DDAKIALTFLRSHPQTAYIAKRLILQAITSESSSTRIVYNGQPESSEKVASERYSLYP FLPPQPLSWQNPSSSFFRYRRVGEWLKSPQFDKPETQLGTSAGSRGIESLENEFGNSV ESQLLLQLGESGSGSPNFIVSTGHLLIVSPPNQRTTLTPPLIGHVDSGVLLDWINHSR NRVIFHPSAPTPTLSTPTKEEYTIRRIVYRSMTSAQNDASHDNLKPGPTTTLTFELPC VEQNSETSTSASEFASEAAADNLNRVSLLKGVESSVEIFVPDGSSDLMISASRSQVVP NSSWPEEWKNAAVSLLTSERKLQNDTSFPLVITHDGEQFFFAGDWHVHRSLKTVMLEG MEDEQTIIVETTTDHDTGKSVTMCKANCEDYKSQSQWNNFLRICGSLSNPLQKCDTKV HSIEEFSPF JR316_0000888 MGHEKKTGKGRLDKYYKLAKEQGYRARSAFKLIQLNKKYSFLES ARCTIDLCAAPGGWLQVASKYMPANSIIVGVDLVPIRPIPRVVTFAADITTPQCRTLI RGELKDWKADVVLHDGAPNVGTAWIQDAYTQSELVLMSLKLAVEFLAKGGTFVTKVFR SVDYNNLIWVFNQLFGKVEATKPPSSRNVSAEIFVVCRDFLAPKLIDPKFLDPKHVFK DLSTTLATGEITAGNAESNVFHPEKKRRHRDGYADGDYTLFKAIPASTFIMGSDPISV LGSANKITFLTDEEKEWYKLDVTTGDVKANCDDLKVLGKGDFKTLIKWRLALREELGL DNKAKDTEDATEQVEVVEVVDEEQQISEELERLNAEAAARAKRERRRANEIKTKTIQR MQLQMTAPLDIGLEQHDAMLGIGQDDIFDLEHTDGLSRNRKKTTLAMDDNGDAIMDSS DEEKEDEDDTQDVFDSEEEREKKVAELEAELDGLYDIYQGRLRERDTKYKVKEARRKN AEREEWKGIKANGSDSEISDEEDAGGWDKVQAAKLDAGSSESGDSSDEEDEDDVPEVA GRMKRSRSDADVAPKGTKRQRFASQPGPPTASATTSAAAQLWFSQDVFAGVDGLDKLS DSEQSEPEEDEDEDESSKDDEEHVASSDEDDDSDDFEVVPQEEDEDVDLWDGEGEDED LKVKAGLQKYGLMTPEAVTLAQQLVNRQKTKTQLINEGFNRYSLNSKEDLPSWFLDDE AKNYKLNIPVTKEAMAALRAKQRALDARPIKKVAEAKARKKFKAAQRLEKAMKKAEGV NATADMSEKEKAQQIDKLMRKGISAAKKAKKEIKVVVAKGAHKGLKGRPKGVKGRYTM VDSRMKKEVRAKKRKEKATRKR JR316_0000889 MINHLDQPKLPNLPWTTLAKLSANHSYSHPHGHTHTQGHPPLLF RPRLDDQKAAGLDFTTTDLHRLDAAAALSLTSSDAATDLSSPPAPPVSYRLCRRHPSR LDTPPAPPISTPPPTPRAAASALCCRPLLAALFPLFAAAALSPLAVVFATSLARSLRY LVHTI JR316_0000890 MSFPTKDAEHRPVSAVVVEEERGDFSEYSDFPWIKLCRALAVRY ILGSLGYAGDQGDVGRKGSSDDPTSERGYLAHRESYLRNVALLTLAQRLYFANDAVIY PTGASSGEDIQLRIDDVEGRRRAFQRVATEAAALARTGGVKGVMKLNDEIAVNVIRDL EIVLE JR316_0000891 MNSSAPLKRYSKVASNSRKRKHNLIIYVFDMSSTEPKFQRRKVI SSFKFLALPHDIQYLLMDEYLESDDILSMARTCKGLRLRCVDMYLLHHKVVTHTSQSR VRIKILDSVPLLAIYILLSAIPENHFSPATHASIDMDVVSLLDFSDLICHFLESRISV FSLSLYFNYHDLPAFSTSERLPSVFITLLASLGTSCMSLNVEAQKNGSRSKSSQYAAR SNRCARRGLLSPSGKSGKRALSKLTSFHRQHRLIESKAKDSMNQFLSLDLDLSLFQPY AMQKLVPFLLKGSTSIVKLNLTSPTNLMICDALQDLHLPFMETLTVSVNDVHSIILPP HFSSRHPRVRHISLLNEVDILTTNPVPYSERPVLELPPLTTAVFSANYSNWSMTDVSS LASIEVHPLNTIIPSSSGAICTAMRSLIAPINRYKGTPAPPYSHVLDFPAFLSEHTTM LSQKTCTCFAGVSEDLAVNIQDLRIYVYAVTSDLHPCTIAIPSKMASLVSGPDVPYHI PLRGSASR JR316_0000892 MYEWSFSAPKNSSILLESAYRDTAAPCYPADDPSPPSRPQQTTH QHHSLLLQVHELPRPNAQKMPAPSQIPSVPNGSTLSSLSNALDFPPCPNVLVTSLPNG TVSASSPQNPMAQAPVSRINVGRSKESQRRTVPRHTVSQPSAPPPIASRPLASRPLAP RPLAPPSLPQFKAPPPSSRSSKTGPFTAADPQYPNLVANFSHPDDILSTMGLNLSTRS NFLRASSLPGFLNGISTTTKQLLDVACDAVTAAARGNLLANDLHSSRFTSHSPARAAA FYHTEHRRRALCQWCIVGEAVET JR316_0000893 MQNLREALDIVREEKEKALKELALSRKHNVHLVDSLDKSVDAIM LKDKQLKDLRSQLEQTREALECAKMQAAVRQACSENQVKTLREEIEAQKADIEELRGS NEDLKSVVQKFSKDVWHQQDHIALRYMESESAENAMEALRKAIKESQEAQSGKYVSAE NAMEALRKAIKESQEAKRGKDVSVAREVSITLMVIQSGIGSQKNAFAPSWMYQQVYIP P JR316_0000894 MNASPDMKILSFEEILKIEAEVLQQSSPHSFHPCSCSSSGTESE NAPYSEAQFNAHMKAAVEITRFRLEKSTLALFEFVVDQTNWNMDSNLLQLGEDKISEV EMWHEKPKTPLWDSPKYTPMHVASYTTTGWTFIHEIESLLAQYQEWKDKVSQSAQYSK SQTSSTTPGSVSAIFPTAEPNSMA JR316_0000895 MLGLVVLDASFNLVSSLIQALKWEGMNEDVSPPPSPKMCAAVHI TSPIVSSPSTLPALESFPTTPHSVLGPPSATFDPSSSTLDLLSSIDTACSNTISSAQK RKLKRNMSAKNARSKRREKAAEALSYRVHAFRASQKATSQKTPASMSTSVHCEIDVHD LPVTSTAFTALDPPPNTRKRRRAFLKSRTRRKPQPVFTLADLVGPDSKFQFKLVKATS KPTAIVDSEDRIIGVVANHPDDKDWAQLMQEAADALESHRDSVSQNDLIHRRGAFKAL RCGVSYGGGQEVPGNLVDGDNADILKALNGMKAFKRLASFASSVMCTWASSLFNYYAT MLDGLHNEHRHLVRIFRDSIFSAVSYNFGPRTVCYKHKDYANLAFGFCAITALGTFDY TKGGHLVLWDLQLVIEFPAGCTILIPSALIAHSNIPVAEHERRYSFAQYTSGGLFRWV SHGFKTREDYLATLSCEELAAHKENDSKRWEFGLSMFSKWQDMKGPRHSEH JR316_0000896 MPLQWARPSFPLWEDDPTPSDVEPLRPAHGTAPDSNETLKLIET RANAMHVDVDQHKEEVAVELSVEGGEVDVRMRRPPAAKRGIKSKEENVVHSLIRAFLT DKKLIKQDGQGDHRNEDENDPLPSFPTSPDDSAVKSFMAMQTNTGPHRHNPLILWTSP MSHPWNQQVLNHLLDDFPHYAKGKKLLELTQLLKQSIGADTNILEILDATVSPNSVRQ ILEKKLDARRQGMQAAIRRAHRMQRPTPTSVALTDIELRAQVKKLKIENDRRNRRRER RNIRYIRRFEIIEEQLMLAADDEARDLWRSIQKFYAHFMNEDISSDESEGECRPPLVK GIRRIRRHWISPAVSAVFHFIDKHYTSEYTTGMKKRGAAPLPRDLVSTTVDFLSKPRP ELPINFYSSELTAVVLRGLRPKPVFQIPRIPTGVQ JR316_0000897 MKRKHHEAQIDGIKRLKNEIEVFRKALCEEFGDNFDHSLGSLQG VKVAALKRICAMFGLHVEKSGGVHRPTHIKQDYIDSILTHLTRLKDEPEAEDFDMEVD SEIQLLAPTNVNSRACIKVRLYNNARDYCTEYLDEMEITYHHAVGIVIGDLKAMLGSD TAQMLIELGLIEPLLKFEDNTSKQPQTNCGAQ JR316_0000898 MKKTQTVMLNADCMKSQDLSRIFRLGTPDTESLEHTLGWSTPLL YRVTVQRRGREIKMGSNYEKQSLRFELTPAVQTILVGRILVGNGVAESQIMKPIALGT MTTDKIPAAVESKPSPGQSSPDLDNIGEEVLNAAETIEVVEDNVVELEDILALRVTKD SEKDVNVVDSCVMVDIGKIVVNTRDPSADDALVASDGVGVTSRVEMDIS JR316_0000899 MSFPTKDAEHRPVSAVVVEEERGDFSEYSDFPWIKLCRALAVRY ILGSLGYAGDQGDVGRKGSSDDPTSERGYLAHRESYLRNVALLTLAQRLYFANDAVIY PTGASSGEDIQLRIDDVEGRRRAFQRVATEAAALARTGGVKGVMKLNDEIAVNVIRDL EIVLE JR316_0000900 MINHLDQPKLPNLPWTTLAKLSANHSYSHPHGHTHTQGHPPLLF RPRLDDQKAAGLDFTTTDLHRLDAAAALSLTSSDAATDLSSPPAPPVSYRLCRRHPSR LDTPPAPPISTPPPTPRAAASALCCRPLLAALFPLFAAAALSPLAVVFATSLARSLRY LVHTI JR316_0000901 MDSTSPPLAFFPSAAASFHPAPYAPSAAASSSRPPPRSHLRSDS LAVPPLGMDPLPSDPGVLFIHPPFTTFPGSDKCPDGLTFNTLAENSEWFLDPRDFITA ASAPTPNQIPYPPHLEPPRGWCPAKKKDLRDRGSEGWPEGEEPRLRCTFCRRTYAGVN AKSMWRRHVFEKHKIAMANRRDGNVDRPRGRGSGKENRQASKSRDESHDSLVTMVVAP QTAPENVSSKSRFRSTNARPVLQASNKRGRDRETKKDKEEDPPASLPSQPSQQETSDQ SESESDSEPPAASAIVSPPLTPHPDTSSDPLNVAHPSSDALVPNSPTFIPTRIPESPY NPLQTPSFRHSPPRLPSEQPWRFPSPSHPLHATRDISLTMVALPLASPIKGFTTSSPS TMLALNSSPISSPSKVLSQSFGFETPARSTASFAKIVKRPQFVKNQMSSPLSSVTTLS HATKECLPVSSPFKRPMATPHKRHSSEYSDSWFADSSLTSTSGSSLSKSVTEDIFSVY DSWPTIYGNHSPILRSGPLPPLDRLSFGLLEPFGFNKDDELDTDFSSLSSDLEEPPKG KRSAMNANVETSTNASPPLKKRRMSQETSNTT JR316_0000902 MQAIKCVVVGDGAVGKTCLLISYTTNAFPGEYIPTVFDNYSANV MVDGKTISLGLWDTAGQEDYDRLRPLSYPQTDVFLICFSLVSPPSYENVRTKWYPEIS HHAPSTSIVLVGTKLDLREDPATIEKLRDRRMAPIQYSQGVAMCKDIKAVKYLECSAL TQKGLKTVFDEAIRAVLNPPPQVKKSSKRSCIIA JR316_0000903 MSINRKNRYPQGPRHVSGASLDTNASGIAESTISLGLSRFPEPP SSIPSTPLRTNFEAASPSRIAFGSPSLSPISPLRRAPPPPPPPRVPVIPSTDPSPSLD PITASSSHPVSLASQPASSSASAVDWNDGASSIGLDAAEDRLLPTSFITSLLQENKEL RKNKRSSYSSDAFSGISEMTYPPIVNRPESSNSRYVSGKPGPNQRLLDSRSPPPSSFP RPSKASTKSSNRQSDGSDTLHSIQGYPSIGKVVNGNTSGGMYGPAPPYSKSASSQLSA GSMGSVEKGYQNKLSTAYEGDEQILEYAKAHDWPVLTEAQRRSARDDTSKDPLARDSV HSLKSMAPSFMSKISGISLRHILPWRRVKPLPPVPLIPNMTLAAQNAQRKEEETAPLP DLVNRADILREMLDNGHRPYDSSHNSVYQSLPNPAAMRYDQYEAEARRLGFQGGSVQT TSIHVDPSPTVGRRRTSALAFASTKKKRMYFIISVVLIAILAAIGTGVGISIGKKKSS QPSCTDATCVCTSTSGCNGLAQALIDLIPIVNQQLQANFTASSVYSNIWMMQGSPTTN NCASQAILVDVGKGLDQVMFPNRTQWARAAMMWNAVQTQDMDSAQKMTQFVQSRPWND ISSSDGPTANSGGAFSATLSGYNYDFAAQTVTQPSGSFAALSQASKAQISRVSSTAQA ALDRMYSIAQASSIQQQTALKKYWTSVLLQRASDLPTFKSAFSVAPILLPFNASAPSI RNLYSSSPSSLFPPPLSCYPGLSSSALQQINSVETSVFGLQAASSASQFDSACYPDRP IYGVLDVLRLRLPFLDTRSGVVREAAVLTRDATSRAVIYKGELLSTLLSGSSSNTAPF TPAQLDPRQFGTISLADHVILQYLSSIPDITITNALVRFILDTATKPPAPPDISSSLY QSLQSIPALEVAIFGQVPPSDLTSTVAPFTNPSGSFFFGSDDASALRNWTINTVSGSV VWTQNATSPLIVRDKSLSNTTITQTWNAISLAITHNIPNIGLANITTTFTNTGDFSIS JR316_0000904 MDPELSPISHLSQSPPQLSRIAATSFSHSNDTTSYRSDVMWPNW PPSLPEPELLRHLIDVFFVFHPHAGRMFHPPTFMHSISLPPNHPKFPSLPVLHAICAI GSLYTAAVTSPPLPNFDEISPDEIFLEKLRVKDPRPDSFAEKHARLAKESAERLNTLG QDLFQVLQANIILTWFYWSHGRWVDIFVASGHSMRLAVPLGLNMCPPFHSIGKAERPP SILPPARTVIEDETRRNTFWLAYAAERQHGLGNGWALSLDNQDVSQLLPVRGDQFLQG VLVQPVDRQWAQSRDVLLTHPENQTDSFILYIKGTILIALVKAFNLRFRSKHFSGDPS VVSVYNEDPSAADEPVDPRSSAAFIELDHIVSSFRASFPSHLRNPIRDNLVDNHLYTA CLMPHVLTIVLHDPHADVRKSGCISALKILTAARAILDLIYNVWSTSYDITLLDSFCT FCWFVGGRVLVRFLQVAMDANSADQISTLRSEVEFIHLAISKVGERIPMAYRFSKMLE SVIIKHCGSAPRAQADCNLPRALEPHMLQSLFQEICPTPEDNINLTEALHDPGSFLTS T JR316_0000905 MSHEQALGQCRDFIARKLPMVETVKTPSTAAAAKALLDNPPDCA AICSSICATLFDGLEILFNGIQNERSNFTRFFIIAYSQFTELPPVMTSNRHFKGLIRM SVPPPQAVEPESNRARGVVEYLKLLDAFTTRIDRRPALDTTPFSSIYFIEVQGNKANG TTFNSWALELEQVTSRINCAGGDASLLGVW JR316_0000906 MGLAYVHLIEPRFDEVRSEQDKLRALASTTNDKKHGPEFTPEQL TLYPFRQALGTTPCMVAGGYNPSNCWEGIERVRLLFYIQIAFLMVISMTQGDHDAIAF GRYFTSNADLVERLRTGMPLYRYDRTRFYGPFPDNEVGYTVHHRREFASANETPQTSH GDV JR316_0000907 MRSGEATPTSIQASGVDGVPGIFTDEQQLGWKKVVDAVHQKGGL FVMQCKLLSKSALKPLIVVIDISSLVWHQGRNTHSLRTGIHTISSSAVPITDTKFNKV IDWETPKAMTQDDINKVKLEYVHAAKAARAAGFDGIEIHAANGNINHRTDSYGGSPEN RCRFLLELTTDIGIAIGFDKVAVRLSLVLLRNLDC JR316_0000908 MSVVSLAVKEGNPVTEDTVRRLGKKISTGMEFKNEQDVKDYTAI LAAFHDSVDSILAMDDYIPPSLKPDLERFPRENISFPKKGSDAHKRKNSRKGLLEGKT VVLKDCISVAGVPCTLGTDAISPPWTPFVDATVVTRVLEAGGIIAGKASTESMCQSAS SFTSVTGPVDNPYAPGRTSGGSSSGCGALVADGSCDMAIGADQGGSIRMPSAFCGLVG IKPTFGLVPYTAIASNEWQHDYAGPMCRTVHDAALLLQVIAGADGLDDRSGAGCPFPL DVPPYPSLLSIPGADPVVPLKGKRIGILKEAFMMPVLDPRVADKVKQAAELFRDLGAT VEEVSIPLHSIAPTIWMAANRYAGGKTRLGEASGHRQYYQNDLHNLLFPLTQERWEKF IPATINSQLNSLYGWENMSGVYGKAMNKLMEIQHAYNAALDNLDCLILPTVAFVANTH PSPNATALQKIQKSVGQGLNCCTFNGTGHPALTLPIGTLPPAESDWVTEKDAELKLPV GMQIVGKWWDETGILQIANAWEQKYNWKILLVLGIPIFIYDINQNYAVTHTVFG JR316_0000909 MTTANPLAGPSALRDVINPQFHQNNFPAETYIKTELGLKLDKDD QICRLALTPAGCPLGPLHCPLRHTTPSVQNFQPPRQLPSHPRERERLSTVCKHWLRGL CKKGDACEFLHEYNLRRMPECWWFAKYGYCSAGDECLYAHPKERRVECPDYSRGFCKL GPTCPRKHIRKVACQSYLTGFCPLGPECPRGHPKPNMPPAKAYEPPSPPSAKDLGPPP PGYGRYADFDRGGGGINSGPGSANIVNGPPRRNLDEVLCFKDIMLTIAEIAMFRATVE AAKD JR316_0000910 MSGLPFMSETKKCDAARPHCGTCVKQWQALVSVPAPVGYAHPTE PQCSYDPVEGLRLAPDTDPVEKIKELEDQIAELKSKLYDKQNASASPSSTRLTPPVPA GDPNGFTESDGGGIALPQAPLNIIDLIAPDSPQTRFRSSSGSPQLQKPGTDPFMDLLF SGWNPDLPDPTTLNH JR316_0000911 MQQCAASSRWSPQNIVTLPDGTRRDQFAEFHASKTRQYIDKTMA KGRWVEVWIFAAFQTRVAVPLRLNYPGTFSTHGNSSPGAYLAPPKDLRDLESRRRTWW MTIIFDRIASVGGWIHAVDERDLGTELPLRTADFESEHTELTGVGVTKSPIPSNPQDL TTPNLFTEHPPHYTDSFLLLIKAVMLFGRVTDFNVRGNLRAPTAPSKNQNPFFLPGFE ELDKLVCSDFLESLPHIYRNNTGVTEQPDGTSSSLDTDLYMVHIIPHAATITLHNPYI DFTDPQNVSTARCVNSARCILGAYYVLSATSLDITRLHPFVTICWYLAAVVQVQLCKY FIEINDSERESTVWGEINVLRFAMMEYGQRSPIGTRQERLLQGLMREIVRMTAQKQPL EVGVPLFPFSHTTLFQKDVGSGSQSEEGSTAALLPVSPPYEQQSLPGRHSSVHPTSPN GSLLGSTWPRRDASGSPATNNYAL JR316_0000912 MDDTDTQPKQPRAVFKYNVAWMDRVFRSRYQIEDLLLPEKEAGN ITTRDYDASLDFFPNNRRYYPILCSLGIASLTLLRPISTKWTRRRQGLSAFSAGFFGY TVGKFLCLNAHYNFVRSLENPGGFDRAMENIKGKLDSYVPDGPIIQRIYEPSPTDNVY GAQVPQHESGLMLPTAPDSPSSPQAPIPKPISRWDQIRNANSRSATASSWDALRQKHE KQTVKSPDANEEVRWNETA JR316_0000913 MNDLQPDPAFNSRAPSPLDAKLPPPPPADIDEPLAPPPPAKQDT LPSLPPIQAPQALTLPPLGSLGAGLNAPLTPTTPTSPSATDGKSKRANPLTDLVDTEK AFVDQLTGIIRAIAAAWSRSNLPPPELDTMFRSIEGIYKANRGLHAKLKDISADPKSA GELGGLLIKWIDDLKKPYETYCSKYCSGFDTWQPVQSNSRLGPILAQFSSANPAPSNG GIWTLDSLFLLPKARLKYYLKLYNRLLKNTDNRLLIGAVETLNHLMDILDSRTSVKVG EQGSDAPAIPALETEDEIVIDMRNHTLSPSAPAKPPLRLADNDTNTGSETSSNRGSAS GGERSSRESQATSTSRASTQTLSLPITDLERRLSTERTLDIFTMTSKAVKLQMAPASL TFTREFRTSLDVVIRFTPRATGVEVVHYQGHIFLLSDLFLICERMLPEDRDKRSSVGA DMWLCYPPLAGKVLRVSEVPGQDNALQVAIMRKEYLILETDSMDSRNRLMTQFKECTE FSSSLPPPSKQPPPPVPSLSAFTGNHPASAPSIDPQTQGNAGPSELPPQPPAYPSSDG KPLPSPSEPRSRPETNLPPAPGPPYLRSSASAQPFPRGPPLGSNTIIPPARSTSYLPG THGESSNGPNFPPANGMYGQGPGAYIGNPQGGPAPSTQQSMYPLHPVNGRPNFPPGAL PPPRPPSEPNIGPGPIHKAPSIRSLGSQYSQGESFAPPLPRYPPGQSGPMNQGPRTTS YAGGMLAPQARPLLPSAQLGQRSVSMAAEIPFTEPSPPNSPLQEPQHIGPVVSTISAQ MKCKVFLQQHHAQWKSLGSAKLKLYRQDPTNVKQLVVEADNKEKSVLISTIVLTDGVE RVGKTGVAIELSDKGARTGIIYMIQLRNEASAGGLFDSLLAGSDRAARG JR316_0000914 MATHAKIHQLTPEQLALAKARKEKKERLAQQGNPTADRPTSLLA RAWLPIHNPRIERPNPPAHKAKVMTWNEVDRLDKLLPMLEKAGYEHCYASGPRKLHGC LIAYKKHSYSLVSKKTVFYDDEIPGADAQYNVTGASFKTRNIGFMVALRNFNFTPNDP AYSLVTGDTLLSPQKDTIKPSRVVHSSIDPSILINATSITIEDESEDVDPDRVIVNAR PPRPEDGILTISEIERWFATLPKPVSAYNVGLRTIRGNGDILPTFGTRTELPLGRNGY DEPEYTSYTHYWHLTLDYIFFFEAQQRPIAVSGLLSPLSESDMTPGLPLKRVSGSDHT CLVAELNW JR316_0000915 MSQPSESFGNYDLIKRVKLDFTDVVVSKWKSRETGLTVIHLDYE APIVNGYFVIPTEIFDDSGCPHTLEHLVFMGSEKYPYKGILDLLANRGFSNGTNAWTA TDHTAYTVSTAGEQGFLQLLPIYVDHILYPTITNAGFVTEVHHIDPKGEDSGVVYSEM QGRENTSGDLMALRAQRLLDPPGSGYRSETGGLMEALRVLQPQQIRNYHARYYVPHNL ALIVAGKLSSGTTSLLRVVQEQIEPSLIRHKQNHGTRPAGWKRPFVETPSADRKPISV TTKDVVEFPEQDESMGELVINFMGPPPNAFLERKALEILGTYLTSSAVAPLNKEFIEI ESPLCEDVRATSVNLPIYIGSVPTEHLSTFDEKLKASLQKVINDGLDMQRMEMVLNRD ERQLRSKLESAKGDTFSNTIISDFLYGTENGSELQKSMDEINHYIQMRTWNSDQWTSL LSKYYVEPASVVVIGKPSASLAEKLEKEEKERIAKQVQQLGPEGLKRAEAELEAAKAE HSQEIPSEIITSFPVPDVKSISWIPVQSVQEPGKGRKVAFPSTDVSLSKHIESDGEPL PFFVEYDHVERQSGIKLSHEEVINQLDNETVSYEIELGISNSFSDMVRVSIKVETALY EKAVEWLRDLLYGSEFDKDRLQVVLAKIQQTLPELKRDGNNVLSSLWSTLLYAENSTS SHTGVLPQAELIPKLVKALQETPNDVIADFEEIRKHIVDPAGVRFSVTGNVLNLNAPR STWGKYFSIPSVPLAPVPMASETLSETGKTLSKKAIVMSLPTIESSFVMHTAKGIQGF NNPEYPAIRVALEVLNATESYLWRYIRGSGLAYGAYTSLDVEAGFVTFTLYRSSNSIK AFEEAGKVIRGLVDGSIEFDETILDAAKSTIVYGVAKNVSTPGRAAIVSFTNQALKGV PRTYQIDLLEKYQDITKADILEALKRHFLPLFDSASSVAVVVTSPVKADEIATQLTTK GFDVTQKSIEIDPSEMEEDDSGSDSDSDSGSSGR JR316_0000916 MSSLPPPHPSLPLPPQPSSSNRNTYYPVTSYPAYYNSHYVQAYS QSPAAPYSTQLYPAQASMTNSNYASQSAHTGPARHFTPRPIWYEPGNNRCTHPGCTFS GSAKTVEIHMMDRHLIYPPGWEKRKKKSEWDADPSLKGKPIPIQGTNVILDTPEVLAA WIAERKRRFPTANRVEDKKRKLQEAAERGQLDIIDSSHFNKRPKTEPSSHHFNRDDTR HDSRPTQHHKSRGRQDDRHNRKQDSGWPRKAKNDDRVVESAKSIPVESVATDSGSVSD DDDDDDGAPESLSSKVVPQTAPSVDQDAEATVKESTRSALPVGSTKGMNGKKPLLEPK LPPKNPFGSRPTLLRNLLLPEIRVTVSNLSQAIRFIVDNDFLRDVELKPGQAAESNKI RVVELDQDSTKT JR316_0000917 MPSDSSRHDNASPAQSRSPSPSPHDTLTLRALVSTKDAGVIIGK AGKNVADLRDQTGVKAGVSKVIPGVHERVLTVTGSVEAVSKAYNLIIAQLVASTPSSP VTTSSPSLHTSIRLLISHNLMGTIIGRNGLKIKAIQDGSGARMVASKEMLPQSTERIV EVQGSPEAIGRAVEEIGKCLLEDWERGLGTVLFHPGPTDDRSGNRRGHSYSSSYAGSR RGNGDANPRGRASPPGSPTNAHTPALNPPAAANLRTQNISIPSDMVGCIIGRSGTKIT EIRRLSGSKISIAKAPHDETGERMFTIVGTPEANEKALFLLYNQLESEKERRVGREAQ QQAEIQD JR316_0000918 MATFSSTPIVIDGKGHLLGRLASIISKQILSGQKIVVVRCEEIN ISGSFFRNKLRYHNFLHKRHIVNPKKSGPFHHRAPSKILYRAIRGMTPHKSARGAAAL ERLKLFEGVPPPYDKKKRMVVPEALRVLRLKPGRKYCTVKRLSHEVGWGYKDIVDRLE EKRKIKAQAFHERKLAAVKLRQKAVADTASSFEQLTQLGY JR316_0000919 MSLARLIPRATTVSRIVRTAPTLQTRWVPRAMYSAGGPLSKDVI TSRVLETLKGYEKIDPAKLTTSASFHKDLGLDSLDAVEVMMAVEEEFSIEIPDAEADE ITTVQQAIDYIAKSPDAILESLDLRDDRCDASSVKSSALAVA JR316_0000920 MSGKREIIVERPWLKVGCTLGEGPLYDPSTSLLHFVDISEKKVF HVDVHTNEVTFEKYDEAVSCLVLRRYAEGLACAAAQGFALLKEDSTISYLHKPLPSEH TPFTRFNDGACDSKGRFFAGTLYNPAKGIPGQLFRFDPTTNTCKIVDEGPFTDSNGLG WSTNEKTFYFTDSLVNKIYAYDYNDGELSNRRVFVDAIEKGFAEKTYCDGLCVDKAGG VWSARWGGSRIVRFDEEGSIDFQIMFPTALNVTSCCFGGPNNDTMFVTTAHCGAIGGD ATRQQNYPDSGHIFQVDLAGLFEGVERGQFAG JR316_0000921 MLMLRNLVTSLFEHEQIKTTLPKARDTARLAEKIITMGKKGDNG ARNRASAFLLKPTVLTKLFSTFAQRYAERPGGYTRIHKFGNRQGDNAPHAILELVDNP RDLKWEMTSRAVGWELLKDKLRTSSPDQLLNEGGDKALQVLRSERNMSVEEKGVLRST TRWNVQKILRYRDQNAITELSKKASNYADELLATPLAYKSVHEDLKEHNRFAPPPRRR AGDRRIGEERPALVVARGALAPGFKTRTKSPVYTMENALTEGFKQERA JR316_0000922 MPALENYDIFLDLTNDMHDPVSIQLLRDYDRQTGRVVLLHPTES LTLILESGSSYQYAVKFRTKVANVTAKSWKDITCSISQLFTGSPTSDSLTSSVSSAVS GVRVDRLWRDHRFSIWNEA JR316_0000923 MKTSKSREYCCCAIPMVNAGIYAVLIEQMVLAIVVGTVAISTPS IVGASNPSFAKWVLAILCYIAAGVQLLGILGVSREKPTVYRRYVTLHGIAITAAFSVG AAWTIMSATRHSTAKAQCIKDFFPPANAQTNVSSEGDTLCTIFPWVDVGIMGGLLIFL ALVHIYFFIVLSSYGTSQRRDHDRYDQVYDPAQPLTSAENIPLGEQNDPWDSRPSGEY NYGRQDKNYTHIRNQSSVSASDVLREEYREPKDGFSTVNYGYSGYPQKQEPAYPTNVY TQEAVPTPTNNYYGSPDDHYIERPMQAQPHPAEGSFKRKTPRLPN JR316_0000924 MSRDVQSDSKVSVGEAKVGFNFLVTTMRLFFLSVASLSIVPLSL ALVAADQQPLQAVGGPVHTTDSWSWKNCGLETDPVQIHSISVSPDPPEPGKDLTVTVN AEVVEEIVEGAYADVTVKLGLVKLLRKQFDVCEEARNANATIQCPVKTGPYTVVQTVA LPKEIPKAKFNVDVLGFTAADEDMLCVKLTVDFLKRFPRLW JR316_0000925 MPTCTLPFEILLEFVNDTEESSTLHLTRGGDDTFSANATILLQP SESISLVLNAGSIYQYILKQKNRKGHVSVRVWKDVRITATDVFVRKTYNLSPGMPALP SQGITVVCQTG JR316_0000926 MPKSAKKKKDKVADFSKAKLKLGKGKATPTNAIDTSFKARSIAL PSQSIAIEKDSSQPITRRQLTFDDLISHLKHYNSGTRKDALIGLKELFDNNWDLINSC LTSLINALVRLIGDEDASVRKQLLSLLAWLLPRIPPEDLLPHTPSLLLFTTSAQTHIF PEIRIDAIRFLNVLLECVPESVVSGWCAESEGHGSRVLSGYLGILNAGTKYGENNGPL KATSTASVVLTPASKLVVLRSLSTFLQVALSPQESQSMSESSSSSNAALDAVFMSNAF PSREAFYSFDNLLCSISHSANGTGHRKWLAEVPGDEEFADIFTQSFKLLENNPGEGWT LHDLMPTNDVLGTQHSRMASTEFVAHLANTLHSTIIETYLDCAPSVFSPGPTPSETEV QLIVTIVRIAQSLYHVILRSPQNVDQEHISRLESIINYMAPYFPASTRDNKLDQAYEE FNLIFCELTSLAVNASEIGPSQSNQKRKARKGPSYSSGKAKASLQTQRVTQYITRRLR GEAASPAQIGVPINPTAYRALLPTIWALIGSAGTSTDESNEVILTTLDHALKVSSKSA CKRLTVEFVGRLMLLGLEPHYHGSLSADRNPSLKSKFDAWLVHLPQVLWELGNSNLAC TETILRVVLRVLQRRSKGAQTETITMLQSRLVPYFYMEHPSRGALPGPYRKLPPSSAS HVRLLVLDVVYTIMALGRQEGHEFEGLSKAVGLAVSGEDEQGYWGHIASFPSMNKK JR316_0000927 MSSKNVLVVLLSLFVAANALATPHAVRHSAHHRALAARVAVPEP LDVPALPLQKRQNNRRCKQRPSSSAAHASTSPLVVQVPSSSVAPPPVTTKAAPTTTPT PKKESTTPPPVQVPSTSKAPPPAKTTKAPAPVTGNLPSFLVGTQTGQGTFYSTGLGAC GITNKDTDHIAAVSHLLFDSFPRLDPDQGKSVVVTLTDRCTGCAITDLDFSPSAFNQL ADFAVGRISGMTWVWND JR316_0000928 MAATSMAAALAASLPAPSAVSAAPAPTYEAIPASMAKVIDIEAD IPLTCVQLDGLVVTKIIKHAREAVGATAHGLLLGLDLDGILEVSNSFPLPHHAGEEDE KSAKASARHQASMLRSLKEVQADDSVIGFYQATTLGAFFNQTLVDTQAIHQEKLRHGG IVIVHDLSQTARGNASFRAYRLTAAFLDAYKKSNFSTSSLMSHRLTFSSILEEVPLKI RTNPLLSAFLGTLTETRAGALPSAAGGSTTGSALEPTFSVLDLGTGGVTRNLEQIVEA VDNYRTEEGNLSYLSRQIARERAKAENYVAKRKEENQARVAQGLAPLPEEDVSRLFKI PAEPSRLESLLLLGQIDAYGKSLAGSASTGLVKMYATHAGSEI JR316_0000929 MPLSRSARIILLLIIDVCFFLVELVVGYAVGSLALVADSFHMLN DVMSLLVALYAIKLTNGNSPDSRYSYGWHRAEILAALINGVFLLALCFTISLEAIERF FSVPDISNPKLVVIVGSLGLASNIVGLFLFHEHGHSHSHAHTHSPARSETSSSAASVH SVENTIRGDTTPTKKQPIPVRGRSTSRSRPSHSHVHSPSDSFSSLYGHPAATRASLMQ TANEIASARSPSPPRHSGSYTHRLSFDSSVVTSPIVPQATPVTPGATMGSPVEFSSEG TPLLHDPHEHSPEEHGHGGPHTHGHSHGSMNMRALVLHVIGDALGNVGVISTGLIIWL STWSYKYYCDPVISLVITVIIFSSALPLVRSTSFILLQGVPPTVSLEEVKNSILNVDG VLSLHELHVWQLSESKLVASVHVLASRNHDFMPVAAKIRKVLHHLGIHSCTIQPEYYH PNTTIPDEHLKTNGETNCLILCPPDQFCDPAENACCPPPPPTTDV JR316_0000930 MSAFYGQMSSNASADEAGVHVQLQSSFPFAVLYPDRHQLPPPAF SFISPDTTVHNIPPLVPMDQLSLHYSYLSLQEPQPALIPGAESRNFSNVAETVDNTPA EFPIHAPMPSPAHGAAFMQPDYFYQLENAGPALPEVFYTAQDGFPGVPSSQSFPLFHE TGNSLSSHETFLTPSELRLAELEAKAQAQYQLTDNSLSEGRVESASKARRRAMAKSIG FTPTDPDIISSHEKKRHYLECLEQYVMYLHEQFALVGVTPDRLERVAPYKGLSSKSIR TLLVHMENTTRKLNLRTQAEEQKYYNLSKEILQREGGSL JR316_0000931 MDRTDLETYQVQLSQVEVALSADPTNTELASLRDELKELIELSQ AAIAQAEAAASSSKSESRKATSTPTHVWSAGDDCLAKYSGDGAWYPARITSVGGATEN RVYSIVFKGYNSTELVKANEIKPLPPNYANTTAPSANKRKLSKAEEEERERKKKKNEK KLENKAAKAKEQMAKQATWQKFAKKSEKKGVHLPGVAGTSIFKTPDNPLGKVGVTGSG KGMTEVAGKTKHKFEARDDDGQ JR316_0000932 MKLDATDLRYVTSDEFRVLTAVEMGSKNHEVVPLSLIVQISGLR NGGINKLVGSLAKRNLVSRVQNAKYDGYRLTYGGYDYLAMRALSKRDSMHSIGNQIGV GKESDIYIVADADGNEMILKLHRLGRVSFRAIKEKRDYMGKRKSASWLYMSRLAAQKE WEFMKILHQYDFPVPRPIDQARHTILMEFIDAYPLRQVSDVENPGKLYSQLMDIIVRF AQAGLIHGDYNEFNILIKRETGEPIVIDFPQMVSTSHENAEWYFNRDVECIRTFFKRR FRYESSLYPRFRKTVEETGSDNFRLDVMVEASGFGRKEMKILEDYMESVREEANDEES SGSEEEESEEEEENESDNARDETTRTTTLDEKQQSLSTEAVEASSIPLHDASSSKSLS VSVDPNTLKFDDLEISDLSDTRLSRSPPESRRDLPERPGSEDEDSEEEARHQGDIKSI VSSDISKKRAQQQRKYHSKRSVRSAGRSQGSKAKQSTKVKLGDYGGFWG JR316_0000933 MLTSSPAVKSANKASTSTLARSVSASYDIPSLSPLLQVLHSSHL NQNQRDSVWRVFEDNMYSLYENSSFGWDPDSKRKELFDKLSRFILVYKEGSTELLAFV MFRFEFEVDEDVIYWQVASSAQGVSLGRRLIGELETLCRMYKMKKIMLTVLKANSRAL EFYKAVGFTMDPTSPGYVDSDAEEESESGSEQEIVDYEILSKLILP JR316_0000934 MLSSSSLVRGLRASSSRIQCKRFASHEAPQYNEPSGWLFGEKPP PPGQKRVKEDWENVWYIGMFGTMAFATVMLYYKPDTSVQSWALQEAKERMEARGEKYQ YEPQPSPPSTS JR316_0000935 MQSALRTMLRAKVPMRPYRPSALPTSQAFRAGVMHSRNLHIKKY TEEHEVVNYDDATGVGIVSITDHAQSVLGDVVFVELPPVGTSFSQGDQLGAVESVKAA SDIYAPVSGTVEEINEELSGQPGLLNKSPEDKGWLVKMKLSDPSELDKLMTFEEYKST YED JR316_0000936 MIPLTSCRAVSRALFSARSSLVSACVRQRMPSTVNTVSKRGYAE QFSRSKPHMNIGTIGHVDHGKTTLTAAITKVLAAQGGAKFTDYNQIDKAPEEKARGIT INSSHVEYETANRHYGHIDCPGHADYIKNMITGAAQMDGAIIVVSATDGQMPQTREHL LLARQVGIKKLVVFINKVDQISDPEMLELVDMEMRELLTTYDFDGENTPIIMGSALAA LEGRDDERGMNKILELTKACDEWLDQPARDLEKPFLMPIEDVFSISGRGTVATGRVER GVAAKGSDVEIIGFGSNLKTTLTGIEMFHKELDRAEAGDNMGALLRGIKREQIRRGQV LAVAGTIKAAKKFKAQLYILTKDEGGRYTPFMANYRPQCFIRTADITASLTFPEGTPD ADEKMVMPGDNVEMVCNLVFDVALEVGTRFTLREANKTIGTGLVTEILEYA JR316_0000937 MSTPDRQELINNATQASPVTQRIQFLEAKGLSPQEIDLAMKQAA FAGSSRVQYANQYSPNPYAVAPLPRQRWDWRDYFLNEKQITAVVSGAVTYSAVTLFKK YLLPHLQPPTSTAYEEDRDALTAQFDAAEALLKEIQNETAAVRAAVEEQKDRIDQTTE DVKAVVVELRDGESKTRDEMREIREEVNNIREMLPKMIEKNKESQNQSLAELQQELKS LKALLLSRAPTSATSTPSSPLPLLGRPSIPAWQLATSPQPGGNETSVSTSASSSSTTP FASNTNGKGKEAE JR316_0000938 MFSQFRNAVEQLAQQPIRVLEGSSPESTPAEHTTPSRSQSLDIQ ANRSASPLSSTQLAESAISSLRKSFASQRIGGASSSPSPKANTPEPAAPAPRKSNLEE RLRRATFAIGEASGSSTPQHPSRVASPSPMGRNRKPKPEQSASSTPPSASPSVTTALE AEKKLDQSSDIPVGDTEVSTAQPPSDAKEAATDSKPSNIEKPVATETIATQTTAETAA TTTNVIESSEDPLGIAKPDESIPCPPEETPAISNLESKEETSVSNIDDNVPPTPATTL TVETSASLEPDEGVSIEVASEETKGKEITAESTSVVTAQHEGTSKSADADDTKEVTPT VDSGLPENSKSTPEIAAEDRKEVKTLDDSSEIVTIPAAVDSPLEDLSSPPQPEATNVV AAETRGEEASSPSAEQSSTVPPVVDRTAEVESLQARLVLIEQRFSDVSASFKRLQAEK VAADAILRESSPLESINDANALREFFDNLKIKDEVYQEELKRLNQKLEVQEARFAEVR EIHKLETHSLTDEVKKIRNQLDETEALFQAAQRATSHAEEAVDKQKEDFARLQKEVEA AKNTAKEEEEKRVKAISLLKTVRQKLVKAEKDKEDAMKEIAAIKEREKNEKNQEQIDR LNFQQELEAVQTKHDEAVANLKAQFAKEMTSTKERYEQEINALRGQMELDVASSKATH IKEIGTKNSQITTLETSLNNVTRDKNAFFDQLQLRQAELESAQGHLESLQHENAELQF QLRESTDRLSLLKEEYSELLREHDSRSRDPVTSADEVARMVSATEVKYEAKLAEMKRN IAILEKERAEGEADWSKKLKEKVKELEDTKRILGSAARNREADENAVAVLRADLAHAH EMNQKLQKQVSELPHLQEQIQELQKAAKDQEEEISVKISVLEKQIEESKSREAQLKQA NKTLREELRKVQSSAALLERQRNPGVGYWTTRAPENGGSADGRRPSVSTPPPETPARV SSPAPSTTSNKNEEEVNLEYLRNVILQFLEHKEMRPNLVKVLSIILHFTPQETRRLIA KV JR316_0000939 MPVPGFLASFADKAQNAINATPLAAHIPASLQHRASSPDPATQP SANDAAAQGGAKSHTLESLQYQLRSFQQQYSTTTPVQKIITVEKGLAIDFDSVSRNSK AQSKELYTWGQSEAEDLKDVTDRLAYLNFVQGSLASSLSAKLDAARAPLKALRDAEAS LQPRRNIRAGFQTQIARLEHEQQKGGDKRIAEVRDQLRKAELDDQPLEKEVELLKRKA VRESEQIKWDAIREYGEKLVLLSQAASPIIAVLPTIPPSPTLPYSGAQTTGAIRASLQ RALDNYKTGHINLPAQTTASDLSRSDTRSFGESHAKELSRIHSDVPSVSHSPNKSTGS ASQSSSPPPINPHALNQAPAPITSVSPPLAAPTSSDGPVAPLPTVAETGMPLSAGPEG PGPASGSLHDIKHASSDAGPRSGGLPGNESIVPPYGQHPVASPIPRHESAEEEKRRLA AAYSQAPGGTNSNPTNMPIPSVAPAQAPHQETAEEEKKRLEREERERLLHAGGSGPAV PPKDKGDDSDLPPYQEPGV JR316_0000940 MSATSTLTGPALQASRAALSAALADHPPVTSENDDLESGEIQEV DMQAQAEGIRTVFSDPSNFNVKHPLYSPWTLWFDSPATKGRNMPQTPVSAFPQTPVIP QTPGVAAAQGWMEDIKRVISFDSVEEFWGLYNNIIPPSQLPQKANYYLFKDGIIPAWE DEANKNGGKWSIQLPKDKNRGQVDKMWLYTMLAAIGETFDPSPAPADGSSSASLITGV IVSTRPQFYRLSIWTRLAPSASDDEANTILRERIEGVGKHFKTSVLGYPEGARLAGPL ATEVEFLSHKDSEKKGKPKKLIV JR316_0000941 MSSMARTLPAEVVCVWEGGLFKTERVNGFFDAFKHVARAEGVRG LWKGAGTTLVIGVPSSSAYILTYDHLLNVMLPPLIPSQTMVPLFAGVIARSAITSIMS PLELLRTNLQSTPLSADNPHTVRSVLNSFRTLVQQQGFTSLWRGLGPTLWRDVPFSGF YWASYETWKRNFGKYGYSGAWVAFLSGAISGTSAALITSPFDVLKTRRQALIMSSSNH HVTRTLPLLVQLIRAEGTSALFTGLTPRIAKIAPACGIMIACFEGVGKFLTKPS JR316_0000942 MTAIQAVFIRGYEERTSPKPHIVYRIDIQAHVRSWQMWRRYSEF DDLHAELTKATGVPPPCTLPPKHKFSILRSHTNNKALEERRVGLEAYLRAIVSAKEDK WRETYAFKEFLGVPIGKLGNDGAAAPTQFTSASWLDEHAELQARLRDVWADINRRDAL SDRGDVVSAHKSNVGAKSKLAGVLSRIGTLGRGLQELGMGGMSEGELQRRTDMVARLQ DDCEKLSRVVSVARQTGMRPGGTTSSAAAAVSSTRPAEADREALLGPAATKPARRVFG APPQETEVTRPLDNVGLLSMQQTQIQQQDNQLSQLTTILQRQRHLGEAIGSEIALQIE MLDDLSGEVDRVGGKLHSASKQMNKLS JR316_0000943 MPEAPRDIYTASCNPPRRAKRSNVPTVSSKATATASDGESQPAN SSHSQLRAILPMKPYTPRESATKESLEPPPPPAKRGRKPGPLSRSAREAQRRLNHSII EKARRTKINDALATLKQLVPINYGQLQKPTVASDEEGDDDDEYEGGTAKAKSRGKNNE PKPSGKKEEKEFKLEILVRTVAFLQDLLGRVAALEASAMTATSTPMPMPSPPAPARSV SPNMTNLWPACPKCGTGLGSVSQPKKRKGLHIDDEEVAHDSCRPSQPEQQYDSDKSIR QSKIPRRSDSGTAADDNPSVSSPCREPDDFIPVSVSVAIADPGASGPSSAEYSPHHRS HSDNRFLPPNESSERLPPISSWMPDIVPNNASIDPQLFPPRTRSHSSSASPLTLHPSP VPKSYLPSPPASIHFDTVRSSTIPPQLNLGPVATSSMVGSTVGSGFSSTGIDSNASVA RSRSESTSIRTPEDESAASLLLQISTSPTFRPVSSSSSDLGAPADPSRFWLHSSSDVR SQPPVGSHSGSISNRGGERQGQKSHSQAEAQTPSSLLGLSHILHNNR JR316_0000944 MSDVGINVFLASGVLLFIALLVLSSTRMRSNDPYGLFHLTLNKA PSQDPNLPPATEWLNMGYWKKHVEVGVNCFLGQRKEFIPLWLRSSRAKINRGWQTAHR GKSVRLLPSTTTQPNQLVDSLPDVGHGTGESLLFLLSEPSLPRISHLVGITSLEVHHE RSVDRVHKLQALRDIDTIVDLYHADAICTDRANPAHPLSTLSNETFDVILALDCAYHF NTRRLFLEQAYTKLERGGTVALADICFSSDALKTRRTQFLVSVLRLMPRHNVISKEDY VAQMKRIGYSDVVLEDITDDVFPSFSRFLKTQGWGWWVLGKVIDWYASAGARFVIVSG KVDTVDAE JR316_0000945 MWPFIITRTYVFSKVLPASKEEILQIVHDPIQSIQLSPLCQDAK ADPNDPKWFTVTEMLPLLGGLLESKTTIRCGFENDKDGRYTEVFAGLGTHMDSTVRVE EVNEGTKYTEVVVLKASQPALFRWITL JR316_0000946 MATLSPKARRKPSTRRRAGSHAPFPLQEAHDAALAAIRSFLKGH SSYDAFPVSFRLIVLDTKLNVKKALQCLLLNGVVSAPLWNSDRSRFAGMLTVLDIIHL IQYYYRTASYDYAAADVETFRLESLRDIENELGVAQPPLHREHPSSSLYDAAKLLIQT HARRLPLLDNDTETGHEVIVSVLTQYRLLKFIAINCSKEIQQLNQSLRRLKIGTYVAQ LPQDVPEGQNPYYPIATATLNTPVFDVVHMFSERSISAVPIINEEGIVVNLYETVDVI TLVRLGAYQSLDLKISEALNQRSPDFPGVVICTASDSLGTLLQLIKKRRVHRLVVVEG DEEEKRGGKKGRLLGIITLSDVLRYVIGKVGIGEGLEPEEDSKSAKPSSSRT JR316_0000947 MSAQFPAAAPQPPSDPLLVLLPLLIVLSTFLLLLLTFLICAIIV RRRRAIILSDSDGPVDLSREHLLEADGGFDNLEARFLEDASDSARRAYLRAKEYQLQY PPNSLPTDITLSQFLSIQEKGVSAWCFEPDYETVNSLLVHARTEITFLPDPASSSCVQ SNLPLPKLNEVYYWEVKMFDLPQSTTVAVGLATKPYPPFRLPGLNRYSVAYHSNGDKS HNYPFTATPFGPPLKEGDVLGVGYRPRTGTVFYTRNGRKTEDAFIGLSRWNLFPTIGA DGPCSVHVNLGQAGFVFIEANVKKWGLAPSVGTLAPPPAYGSERGSILLDVGGRIRTN PDGTPSTSSSPSSPHRHRSRRPRQSSAPGVASPLRSSLLSEPPPMTPPPPITPIDEAV EDDSSSDDPSAPLLNRDPGSEGVSRSPSPPISRPPDAAPSSDLTVHLHPPPDSPMSPN INPPTPGVRDIHLQAISPGHRRAGSSGSSNNNPSSTPTDRLTPSSPLTRRDPPAYSPL DAYTYAEGVHIDLPAEVIAAALEGNSVPAASIGQSNSSQPSDRRRSRRR JR316_0000948 MFLPRADGESDGCPSGHVYCAPPSGTAAIVGNQSGDSDSGSGNT TYANKPGMHRFIGIAMVIVLLVVAFVSWLCYIKRTRKESWGASARAMLCCCGPRRGGG NSSKTSGSDASNVRPVTPVVSEKDQRRSSVLLQSEPRGLVKEVSGGVVMFTEAPRAAL LPGKDRYPVGWEFEHVDGVRFEVIPVTSPFSEESTAA JR316_0000949 MASAEQPNQTPPPEQPNQNDEGAPTKSALKKAAKAAEKAAKAAE KAAKQQELAQQKADAEVDYAKEFYGALPLNQSQSRPRRARDQIASLSNRVGQPVLIRA RVQTSRAQGNKMVFLNLRQRTDSIQGLLVVTPEKVSKQMVKWAAGLADESIVLIEGVA EKTSEPIKSASVSDVEIHISKIHLIAGLDSRLPFTVEDANRPEQDANAEVQYNRVLLE TRLNNRVIDLRTQTNQAVFRLQGAIGDLFREYLSANGFMEIHSPKLQGAATESGASVF KVSYFKGNAFLAQSPQLAKQMAIAADFERVYEIGPVFRAEDSNTHRHMTEFIGLDLEM AIEEHYHEVMEMLDGMLKHIFSGLRDKYRKEIDVVQKQFPADDFKWREGPEGTLVLSF KEAVEILVEDGVPREDLDDFSTENEKRLGRLIKAKYDTDYYIIDKFPMALRPFYTMPD PEDPTLSNSYDFFMRGEEILSGAQRIHDPKVLSEKMLSKGVDPESMKPYVDAFRMGCP PHGGGGIGLERVLMLFLKLNNIRRASLFPRDPKRLEP JR316_0000950 MLATSFARRSALGVTTQALRSVSTWNAVPAGPPDAILGVTEAFK ADKDPRKINLGVGAYRDGQGKPYILPSVKKAEEIVQASAPDKEYLPITGLPEFTKNAA LLAYGADSAVIKQGAVSITQSISGTGALRIGGEFLARHYPHAKVIYVPVPTWGNHIPL FRDSGLEVRGYRYFNKETVGLDFEGLKADLKAAPEHAIVLLHACAHNPTGIDPTQQQW AEISDIVKEKKLFPFFDMAYQGFASGSTSKDAFAVRHFVAEGHQIALAQSFAKNMGLY GERVGAFSLTTADPAEKARVDSQLKIVIRPLYSNPPLHGARIANAILSNGELYSQWES EVKGMADRIISMREKLYNYLQKDLATPGEWGHIKSQIGMFSYTGLTTPQTKALAEKAH VYMTADGRISMAGLNDSNIEHFAQSVDAAVRDKL JR316_0000951 MVMNPRPPSPTLPGAWASAWSLRKIFKSQEGTSPKFSESAKLCS VVCDTENPPAAIPRSPGVGGSNSSNTYDDDKASLSASHDDDESITSDDFHSFTSDEVF NSEGEQNKEGETTLPETPQSKIFSSDISSTLHDSASREDVTLSDTVPSKVCSTSPTRP STKLPDPALNDDLANSSSDSRLSEASSGTRPPSTVSRRTSSSSSCSDRSVAFRRIENE YDGESTYSNYSGLNYPRMCTLITRLGNGITSEQSLTASHPQNVSPVSPRQILRRRFEN EYHDQRNNDFSLSQPDGNDPWTLSLEPTLTSAEPSISQTSTSSSSGTSNTSEDEDAPR RFFPAAYPSRSQSFFQQSSSNPSRVRATYDTEVSTTRRGSENSVYALSREEIYAPESA SPPRPIGEDAPRPRNVVQKTKEFCSKFKKLLVPKKAPKPKDVKGLLHDYPLSDHIFSS NAPVQPIIPHLLPPSPREVSPWSFVRRRGMQREPKMSLPTMPNPEHRSQRIVQSSTTS VDKHSYEYHARPKTLEEIRSKRRFSLPVFSGPPAGQPSGSIGHSHLAYSYQRRPMASS IHSASQTTAS JR316_0000952 MSLFSSILNDEAHRHPLLIVQSSLAQSGLPVLRSILTNNVGAKG KQIDRENLLFCLLYPPSSLVDEKTTTSLNVYDWTDRVSGYNEADLQTELLAIVTKAVE SHSGPINIIVDSVDTLLEDIGSVSETYRSLSKLYAVAKVHSDIRIILHSQSPSPLLPL ITQTTFSPSLSHAIVHPPALIAHLTTEFLLPPPPISPLPKFWSVFIPVSERVHDTERI VFGPAGQGSGSTLELVVELIVREGAGRKRGVERVLEGWSLALGGPCALSDLESIMDLT KKQKEHAQPTAPDPTQNLPFNLSLTSSQQESRAKVPLPYAHEGKPMTSSAPAAIFYDP DSADDIDDDDPDEDLDI JR316_0000953 MADPVAQIRPYSPEDRKLVQFMVSKANLQALAVANNKAYAHPLT IAIWAGLSSLMIYWLKWWPADQHGWMEYLKPLPALASIAVPIMFFIDWINRPFFEEMT QEILRYPDLADISKYYFQQPSSGLWLLEYGQTFVGLIAMDAATPSGKTKKIEKQPKTA VIRHFYVEEQFRPSKIQDDLLKYAVDHAFNNDPKLERIEASDSPLVSYLRPCLRSAGF ELDHHTKKVGILQWNLGVRYLDRETWSKKAN JR316_0000954 MLINKTFHDIPTKLHPNGRPIRIFVIAPVIPDYPDAKFPGPVER FAGQIASQGFVVACPSVYHEFEGPEPIPYDTEGTDRGNNYKIEKELAAYDEAAFDPRV LASVCFFATDIHSASLGKGKNDDSLIKVQKGDLKGKGELVMIFGKQDTHVPRQGRDLI RKTLEDANVPVSVSL JR316_0000955 MGKEKQHVNVVVIGHVDSGKSTTTGHLIYKCGGIDKRTIEKFEK EAAELGKGSFKYAWVLDKLKAERERGITIDIALWKFETPKFMVTVIDAPGHRDFIKNM ITGTSQADCAILIIAGGTGEFEAGISKDGQTREHALLAFTLGVRQLIVAVNKMDTTKW SEDRFNEIIKETSNFIKKVGYNPKTVAFVPISGWHGDNMLEESTNMPWYKGWSRETKA GVVKGKTLLDAIDAIEPPVRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKAGMVV SFAPSNVTTEVKSVEMHHEQLEQGNPGDNVGFNVKNVSVKDIRRGNVASDSKNDPAKE AASFNAQVIVLNHPGQIGAGYAPVLDCHTAHIACKFSELIEKIDRRTGKSIENSPKFV KSGDAAIVKLVPSKPMCVESYNEYPPLGRFAVRDMRQTVAVGIIKSVDKTEKAGKVTK SAEKAAKKK JR316_0000956 MLSASAVGITFDDDDWNSTPLSAPRLYRTASVGSWGVTSIGRGF DWNERPERSKASGTLSTPSEELEENNADPVPEPQTSHGRKHHNSFQDKSPVINLVMTS SPQSIPQPLSCRPTTPNIPPLARSPSSPRPRRRSSQQRVSLIAGRVSIAPIEPPSPPP MLSDNLRRTPSSGSILSNVSTRAPSPSAEQSFLGGRNISEFVIEGEIGRGAYGLVKRA REVQADGSLGPPLVIKQVIKSRILADCWKKHPKHGTIPIEIYVMSAISNTTYVLPPRR PWDPARFSKSTVRDNTQSEQLDWQDGQVVKGHPNICPLLDFFEDSHYYYLVLPSTTPE RKPNDPSPPSDLFDLVESYPQGLPPSLIRTYLGQIADAVCFLHMHGIVHRDIKDENVV LGPNGKCILIDFGSSGLVKKSGWDTFSGTLDYAGPEILRGERYYGKEQDVWAFGVVAY VLLVGECPFMTAAEAQEGLASPFANAAISLDERCAEGKEKDGEEQDGGGALGDAAALV RACLQVDISARPTFERILQCRFLAGDGGWT JR316_0000957 MAIKIVKKRTNKFKRHQSDRYHGVKESWRKPKGIDNRVRRRFKG QTPMPKIGYGSNKKTRHLLPNGLKKFLVSNVREVDLLLMHNKSFSAEIAHNVSSRNRA TILERAKVLGIKVTNAEARLRATRNASHAGSWYTADGKTLDAELTQWLKEVGETQEPY PIKGCKAIIAPHAGYSYSGPTAAWAYKSIDTSSIKRVFILGPSHHFYLEGCALSKCKE YETPIGNLPLDLKTIQDLKATGKFESMGQQADEDEHSIEMHLPYVRKIFEGKDISIVP IVVGAISESVEATFGSILAPYLASEDTLFVISKPAPSSASGLRLSRSTAPSADFPIFQ SISRLDHEAMDLLTLPPSTATKAHSEFAKYLARTKNTICGRHPIGVLFGAMSALEKSK GITSEVKWVRYEQSSHCHSIRDSSVSYASAYVRF JR316_0000958 MRPPRVGSRLDQASGPRDIKNAPPPRVNQSTSPTGLPRDPPLTA YGETQAEELCQYFLSFPEEDRPTAIFSSPYYRCLQTSKPLAQALGIPIYVEHGLAEWY SPVAPGTGLHPRPGPTESLKTYFPEVDPSWTSIYYPTRKGESVEELHERVDTFTSAFI PTIMKRLPYEHRRRVLLVTHAATTIALVRSFVGDRNLHMKVGCCSLTELQRKSPEEGV SDKQVLGGWKPLALVSGLHLSGGSSREWGFEDIEVEKGRVVEDPGVSGSEYEEDAPVG LQNHLISNL JR316_0000959 MSVVSKNPFALLDDDEVQSAPAPPKAESTPAPAPASRGQAQKSR GGPAARGGKYYARGGKPTSKDSTPVTEETEGQKKYEGREGGRGRGGRGGSRGGPRGRG RPFDRHSQTGKTDSDKKVHQSWGGDDGNAELKTEEAAAIDAVAESATNDWGTTGAADA DAWGAPAADAAPADGDAKPEGRSRREREPEEEDNTLTLDQYLAQQKEKEAAAIPKLEN TRQANDGADDVFKDAVVLTKDEEDAYFVGKGKAAPKARAKKEEKVYLEIDARFERPDR GAGRGRGGRGGDRGDRGRGSRGRGAPRGNRPNNGAPVVNVDDQTAFPSLS JR316_0000960 MASRRLDISSLLCDDDQPAFSPLDALVHAATEERRRLDASLHPP RPPHYDRQHEYDHRVREELERQRLFDRERERERERERERELERERERERERERERERE QEREREREQERERELERERYVVQQQQMRIHQQHHIDLIARQHRQQSHPPSISHLISHS PPSVPVPLPQVSTVYLIPQGPIDSLDDPRPIKKRRYSESPTRPLPDDKERIARERDKM YVGELGYGRVDPSSAGPSYPPPPPRRPGSGHGHTHLRKPVSVNDLLVDKEPPRPLSRD TDHHRVVSPLGRRSPPGSQIGRAKAARKSDEFAFREPPPIIHTPLESEVKKPLKDDFK VKQEPKPRTTPFLDEHRPKKPVVKQAPPTPTLHNKPQSSKSQQDAHEWFLQHYDEDLS PTTSNRPEPPHTPSPSLSPLTPAQPHPPPPPVSVKTFTDSDKPLTPITSAVATLEQEL EELVSEPAISNTSTTLVKKQEPDLDMDLDVDLAVTELVDTLESDNVKHESSNRKMEVD VEDELLSLVQDDRPPPPPPPAPSRRAPGSAGSSSHGSAATPSTTVSTHNANTKPTSTT SLAPPHRSVSEAARQTSPPVMSTSSSTGPGPVSGSSASATARQTSARPTSERGSMPPP ASTSSVGGSAKKSTEPVSGLKKKKESAPKAVPKAKAAAATSTAKGRAKPAAKSKKATA PDNGASPTPSAAAAAPAPSKAAKTLAGRKTAPSASRSRSASVMPAGSASVGPESDTVK PEKQEEEEESEEENEDDKDKLYCVCKTKYDEDRVMIACDRCDEWYHTQCVHMPDLEVD LVDQFICPLCVEKNPKLNLKTTYKPRCLYGLRHPDPSSTKACHKAARGAFSKYCSDEC GVKYMQSRIDTWAKKGGKTEKLWESVKNAEKREGVVVCAAESDCVKTETADIKVEKPE EEDNPKPKQQQRIVPPTKSKVEQETDRLNALLDSVIKLREEIKKSMEVVIWRERLLKL ATERAEAVGQCGWDQRLCLDDEEWADMGEAVLESYEETKADPDAEMEVDNAEEQWWCP GKVVCDRHAGWQAVRHKDVCKEREKKEEALVKLTTREREIRKSIEDMLDPQNTNNPST ATSANAGKTTVVKEAAPNAPLKSSTKAVNGHPKPKGGAAAGETLKKGKKRKAPAS JR316_0000961 MLVILRDGRKLHGVLRSYDQFANLVLEDTVERIYHGNAFAESWH GLFLIRGENVVLLGEIDLDKEEEVPLKQVEYNLLAAFHKQDAESKKEREEIKSQILYE QKGFCKEGGEGDGY JR316_0000962 MDVCYLGRSVNRGGTGVLLGLLACTLPRFRCLEQLFLFFTTSMD SLIPFTTSGSLVDCVDSTSAQSHRDSPVPS JR316_0000964 MFRLTHPLRQALKRTTGIHGLAVHPNPLPELVKTYESTLATLSS IPQTSVYRQGVEALVRHKLNIVTNKANGDISLAEKLLKEGHIEESLDIAADELSLAAK MVEWKAWEPLEEKPEPGQWEYVGQRETTPL JR316_0000965 MAALALRQTQSILRILPFGRWQAPAFAVPSLAAPSTSWSLPSLD SLLDLLPPFLLAVPKSKTSHSRKAMRSANKGLKDKRNITNCAGCGSVKLAHNICPNCY SAVTRAWKAKNKDVPDLS JR316_0000966 MLLPSRVSLRRAVHPALIRAASSSTGRYNGLADILEKRPDDVVI TFAKRTAVGKAKKGQLKDTPVDELLHALFKATLEKTKLDPSKIDDICVATCHPPSPLY VSRAAAIAAGIPYQVPISVVNRLCSSGLMAIRSIAHSIQTGEASLGLAVGVESMSLNP RPTPEVVEAVSEHPHAYDCMQPMGWTSEMVAQAYNVSRKKQDEYAFISHSRASKAVSK GIFADEIIPIELKGQVVSVDDTVRPGVTLEGLAKLKPAFPNWGEASTTAGNASGVGDG AGLCILTTRAKAEEEGMEIVGKYITSTVVGVEPRYMGISPIFAIPKVLEQVGLTKEDV DVYEINEAFASQFAYCVEELGVPIKKINPKTFPLTNGQAGVRQVVTGLAELKRRNGDI LLTSMCVGSGMGAAGIFVNEAKQ JR316_0000967 MSAQISKKRKFVADGVFRAELNEFFTRELAEEGYSGCDVRVTHA RTEIIIRATHTQEVLGEKGRRIRELTALVQKRFKFPENSLELYAEKVQYRGLSAIAQC ESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVVVSGKLRAARAKSMKFTDGFMIHS GQPARDFVDYAVRHVLLRQGVLGIKVKIMKGWDPEGQLGPRKPLPDSVQILEPPVDKV VSEPTSEQREPVVPVAVPAPAEEYAAEPGYQQPEAYAEQPVF JR316_0000968 MDGFLTERALMTGKRFGFSDLNSLAQGEPSQLLGLKTSNSSSVF PTNGTTVSSGSPTQIIPVTQSGNGTSALSVLTPTSITSAVQSQNTTLLPTSTATNPSS QPTQSIDPTTLDEIHTLQSRRLSSIIGAAASPNQIPAWLSTLGSDGKWPDNEVDYTTG CPARRANWPAQTHWQRILVMAAAWHGGLAGGDQFVQDSTLRAAISSAMDYWFGRDFTN PACLDSGGTPACPCDNPDNSLWNTNWFSNIILIPELVSQTCLLLNDTLAETQLNNCTH MTGRTYATFDTSINGVGTLTGANALDVAKIGIDQGLLTYNVSLLTDAYRRVHLELQIK NGIKADGIRADGSFGQHSGMLYNGNYGVTNDLLDLEVEAGGTQFAANSDSQDAFATLF DGDRWMIFRNSITGVLHWDFQNVFLIFVFLFITRRATGSIKINLTEVLELGNQWSSDS LINFANSLNAPTDNANAGQLQGNRMFYANDYMVQRGKNYVTTFKMFSSRTQNTECTNL QNPEGFHLSDGVLYTYLAGNEYEDIAAAWDWNLIPGITVDYGATPLVCGTTQQTGIES FVGGASDDTIGIAALRYTNPLTRSLHFQKAVFFLDNDVQHIMISNITSQTNAPVYTVL DQKRFDGNIMVNGVASQSMSKAQVQTLWHGNVGYTFPDFNGTASVSVQFGEKSGNWST IGTSTQPPITVNLFAAWIEHESLLSSIEYTAFPGTTQKQFKQKTNELKLQTIQNDGVI SALFDEPNQTFMAVFWVEAGGSVTFAPGKKAAPVTVETSGNIALIYRLDTGEVTISDP SQSIIAAQVSLALGVGKKPKHWGAGLIKTMVFQLPMDGLAGSSVTQTFN JR316_0000969 MKLQAITLSCVCILAIQPALVFGDDISVAREQRLSIIVGSTTGS TSIATWLSTLGSDGKWPDSEINYTTGCDAQTASWPAQSHWSRINTFAAAWNGGLKNAG QYVGNPTLRNAISLAMNFWFSNDFTIPACLDSGGTTACPCTTPGLWNKNWNSNIILIP GWVGQVCLLLGDSLSSTEAAGCQTMTGRAYRTFQTGINGVSAITGSNTLDIASIGIDL GLLTTNMTLLADAYNRVHQELTIKNGVKTDRIRPDGSFGQHAGILYNGNYGKDYANDL YNLELTAAGTVFQAQDSSRSAFITLLLANQWMIFLNTVTQVLHWDFSVIGRFISLPVA DLQASSSIKTNLTQMQVLGQLWASPEISQVFNLLSSTASTTANIGSLNGNQMFYANDY MVHRGNGYVSTLKMFSQRTQNTECTNSQNPFGFHLSDGALYTYLTGNEYEDIFAAWDW NLIPGITVDYGGTPLNCSTARKTGTQPFVGGASDGTIGVAAMRYETPTTKTLNWRKTW FFLNDDIQFVMVARLTSTTPVPIISVLDQKRQLGPVYVNGVQTTGGNFTNASSLWHGG VGYSFNTTNTGTALSVSLATSSGSWQTIGSSKQPPTTVDLFSAWLNHIDTTSAASYAI FPATTQALFEQKLMNSQLLSIRNDGSISALLDISYNVAMLVFWVDGGGSVTVPSTIPG SAALTVKTNGSSILIINLSTWAITLSDPTQSLTTLTVNFTLGSGGVPPGWASSSKSKS ISVNLPSDAIVGSSVHQTLF JR316_0000970 MISGLSKAVVLYLAPVLQLTAILLSLFSLLAPTLLLHDRVSLIT VLPSTELQAETQSVDGPSVFMGMLVRQSQGRCKHKLHKRHNVPQICNAPTMLLSAPSA SVPAFVAIGIFLSTVFFVIFTVISFRHKMGAKLAALLEKPMLPRVSAWIGVFGFLIGL TSFLILRMWFGKSVQDFNGSISVQGKNGPQLIASIGNAFTMAWVAYAFYAVPVISSLT KLNITSTKSI JR316_0000971 MEHATSPTGQAVDTEDHALPPPLQKLSTILDESPETLAVGDSEL HAVALAAAKHIFDLSVQSEEKSRPHIGSLITSISPAEAPQTRSKLKADQNKPKADAEK NLFDFTPLKSLFVDGMDEEQIWAQLDLRTKTVCKMLDFVLEGEVGEEQDNDEEDDEES EEDPEEALRKALEGNKDIDMDEFMAKYGLSEDDLEDSEESQEEVEMGIDEDVSESEEG EEEEEGFSPLRDPEEEGHNPKSILRKRDPKPSLKKKRKGGHPELDDGFFDLAEFNAET ERAESKSSTRGRLSGKEDSDSDDMDIDLFASVDHDENFDEEDLQNGEGELYYKDFFEP PSRFEPSSQKPKGNGKGQVHFHDQVRVKKIKATGKNRSLHDDDDEEEEDDDDELDEDA DMPDFQFGDRDTGGSDDEMEWDESDREEDDDDDEGEDSDSSESQVDNETIARMKNDLF AEDEEVPDDLTTYERRMAALREQISELESENVAPKEWVLMGEAGSRQRPQNSLLEEDL EFDRVMKAVPVTTEEAVKSLEETIKARILEGRFDDVIRIRPLEDKPFLPSRLLELKDT KSTQSLAQIYENDYVASQTGGEAVDDRDGKLKKEHEEIDLMWEKICSKLDALCNAHFV PKQAKATISTVTNAATASLESALPTSKSTSTMLAPEEIFATSPSSLRARSELTPAEKR AQRSRDRKAKKRQRESLEKSVDKLAKSRGIGGVKKQKQAALESVVKHGKGVTVIGKKG ITSSKDKRKNASNPLNNTMHLFKKKNANAIPPVSEPKLPPSSTSVNGSFSSYRSSSST YVASRDGDPYLNTSRQQSYDNKSVDNASYVDPYNRNRGVGDVYSRGGAELDKDRNELF SGYNPAKGGSGRFFDGPNTGRNPAPGEENDEDVEAIKQQTRFVKQESATSTRNALRMA REAEETARNTLTRLGDQSEKLANTERHLDVSKGYSQRADDKTDELKQLNRSIFRPVIT FNKDAKRAAQEAKLQARYEDERTERERAMMDIRESQNRLGRATTYGRGDNEDELIGGR SRMKSAEQMAAQKEQRKRYQFEANASDDELEDEIDGNLDEISEMTKRLKALGTTMGDE LDRQNGRIKRIEEKTVGLDDRIFKNTERLKRIK JR316_0000972 MPSISSYEQLRLENIKKNRELLMQLGLDKPIFEPTEKVVKKPTA TKKRKGPDTPTSENAEPDTKAQRVSPSQSTPESGVRRSSRNVGKTVNYKREIVKESPI PVAYSSGVKVAENEGPMGREDGPRRCDPKTYGSIPGIAVGTWWPTRQGCSADAIHAPW VGGISGGKQGAYSVALSGGYDDDVDLGYAFTYTGSGGRDLKGTKTAPKNLRTAPQSSD QSFENNASFNKMLQRSCETKKPVRVVRGFKCKSEYAPSEGYRYDGLYRVERAWMEKGM NAKGYLVCKFAFKRLPNQPPLPVRASLDSMGNSARETLEEDEENPEEVEDEPKEE JR316_0000973 MDGPSPTPISPSTVHVILDYLSPLSVPIPPHLLSRLLLQRHHFL NLAPDNPEEYLAWPSEHRSHAIHLLQTTPFPAHDNPLPVHYLPDPENIQAHVRITPDL RLVFLWDKDHGWQYHNLALMPFPPNSYSSFNDSLLASSIDDFLPEQSEHSVSFNVEDD DDSYWNSYGQGDEPNHHSVSAANADQNLSSEDAYWAQYSAVQGSGDSTLPSPRPHPNK QLSSNNVLPERIIVASDEFQNNKVEVYNPLEPPAPASLARRLEALSAGSGAESPPLFD NDDPTSDSAIASPLDPVPALTSSSSTASPKQSSSEEFVVLESNKNSIDDATQNILCDN IKGLYRLWKLNRPNTVVEDDKEVFLATVRQALQQL JR316_0000974 MYPTLLLLLALPFLALAQSSNTNSNSASQTASNTASASNTASAN ATTRAPTSTQFTTIVSTSLTTFVGVVTSGGNRIETTIVSSSVFSTVQPVSTGNSTANN SSSSSSSSTTTTPKNLPTAPADVNGGGKGGAPVPGAKTQNGAYGPDDNYIAAATGLAA RAMVASAVVIGTHNGTFHCDEALAVFLLRQTSTYRDGDLKRTRDPAVLATCDIVVDVG AVYDESKQLFDHHQRGFTEVFGHGFETKLSSAGLVYKHFGQEVIANRIQAPLADPKVN TLWLKMYKEFIEAIDGIDNGVSQYPNDIKPKYRSRTDLSSRVGALNPWWNQPSDSQTM DASFLKDVQSTPMLFEKASQLTGQEFTGKLDYYANAWLPARDLLVDSVTSSKAKVDPT GKIILFEQFLPWKEHLFELEADESVPAIKANQAIYVVYPDETSQNWRVQAVPLSPESF ESRKALPEVWRGLRDEELSKASGIEGGIFIHASGFIGGNKTKEGALKIAQAALEM JR316_0000975 MSNSDEDYRRSTCDHLLEVAREADNDDADPGSPTDPDGILGHIE DHEEQDVIAVSSLRSQQRRAFFIRTVALLCACSLSVGSHYATNILGPLKSRLKRELGT SHTEFGLLLSAYSLNSTWTPLVGGILASRLGTTYTSILATGVILLGQIFLLFGDIWAD IRLMTLGLFIFGLGVSPLAVVQETIIVRFFKSHGLGISMAFGLIAGKGASFLSARTSY PLTERFGSRAPFYVSTTLAAISVVINLAYISLSKWLIDGAGAELEAPDISEEAKRRLA TNLTEAQALEKVAEKRKVHIRQIAKLGDVFWV JR316_0000976 MGAYKYIGELYKKKQSDVLRFLLRVRCWEYRQLNVIHRASRPSR PDKARRLGYKAKQGYVVYRIRVRRGNRKKPVPKGATYGKPVRQGVNHLKFQRGLRSTA EERVGKRCGNLRVLNSYWVNQDGVYKYYEVILVDPNHKAIRRDPRINWIVAPVHKRRE ARGLTSIGKQNRGLGKGHRYNHTPARSTWKKHNTLSLRRYR JR316_0000977 MSATIKPRVLLTNDDGPPNTTESPYIMGLYRHLTEHLGWDVKVV LPSSQKSWIGKAYHIKEVTRGNYFYPRKDGSGEITAKSRPLQDSEVAEWVLLDGTPAT CANVALHNLFKDQIDLVISGPNLGRNTSSAFALSSGTIGAAMSSSLSKKRAIALSYGT VVHPTPTTYFEPAHDLGCRIIKYLWHNWGADDCGLRQGEVDLYSVNIPLVEEILSSDG LKICWTRMWRNSYGRLFKNVSPTGVETVDRLVQTPGPDTNTNTETQASLPNTKNEIGD LLFKWAPEMRGLITPSLDTLPVGSDGWALHQGWVSVTPLRASFGEPQYHESDPENLVW KMKL JR316_0000978 MSVSNEPIDIPVLPPLETSPFNTNNDNLLVPADKDSASFQSPSL SFAESFSTALSSPGDASEEATKLPLSSLSPLQNLRKSISVDSFVQYGREGSRNYSNQS PKHLKNSLYDTEMGTDSNTHPEVEPWSSRRENLSSSSTPVDHDSPSPLDFDVDRSDSI TDANSSERFRRISLKTQEPTIPFIRGGHLPLPSRARNNSASMASTVTAIRERGVVSSM SSLQSPSLNSSTYEFFPAGRTRSGSLGYNPPQSSKRVVNTVLESIQTVVLAVIGTTGC GKSTVIRKGLSQYKLSETTTLMESSHPSRLPRSVDKRRSSSLTVDLPASPVSPVTSQP AIIDSIEVEISSTSNVPLPWPKDLPTIDGLIICYDRSNKSSFEPVEALLKNYRSTNLP IMVLGCKSDLSSEVDSNDSLSMLKKYDTGLIEVSNQSDDGKEKMRQSFSYMLKAVARQ RGIVSFDLLSIVINTGVVPKKQDDARNPAAPPPLHRVGTPWTDFEQTAPSSSTLIPST SETPSKSENTDIPPNTSPPIPAAITQSPIWSQSSNDDNIDSQPSVGTELLPAVSSEDT HTEPVENLPSHIDEPAPSIVQKKTVKEPRPAQWADLDALLDKLLFLAVSGEEPNFITN FLLTFRRFTTPRTVLLAMQKRMRQLDNPSGDPMFACFAQMRICHLLETWIHDFAHDFS VPGTSGALNALIKSIIAKTHLLHYGSEFLPFLEQLPSLKDQDTAWAKKVEFIENESDE SEAEDDETRVGDTESVEMDSDVLPPSTSPQASFPARERKSSTPLPKTISIPPQLNSYL QSNQDQPPKQQLKELVKLAQEVLNTDPSEIAQEITRIWVKLFLNIKPRDWLHYTFVSG KTIGEPITAFNTVSNHLADWVVSLILCHERPRNRARQIEKFVEIAQKLRQMNNYSALR AFVAGINNATDDPTMELFKTKSPDASKNLRSWDVLLQQVRAHRAYRLALRNSKGACIP ALEVHMTDLIRAHEGNGDSNPAYPDKIHWGKFNMMGRFISSTLQCQAQCRNSHDYDFP ERPAITQLIFKANVMSIEMQKSRLKSQEFDYDDAVPHSQPKDVAGLRKIFFW JR316_0000979 MGGADRMSLWSSVRGSRIWEIDVGNGNGVCQAVAIAWSPDGQSI AVVREPLAISIHSLQDGRVAYNLPINTVSEPGNPAGHVTGVWWFRDDKTGPSNSSIPD IFKRNNLITGSAHSILKILPLLDSMQEETDKSTATDLFAFQGSHTHSTQKSHLPKIIE VWPSLLADPAAASIGRTSHGKIAMDEANLDEADTSNLDSVLMVVDNLGRIYSYLDGHF PLGIVAIGKEVDFVSAVKHPSRPLFIGQPRIMTEGSYSINLTPAIIRMPLLSQRKSRD LAKLSTTAREIVWYAMRSVKEMREAWYGSETNTGAREWGPRWIKSLETKQKEQFGQLY SDPILDLTYLLGTGRSSEALQDFLGSGEQMSERGLLKWETTVSEAFIKLRDYSEKRIA PALQRLHIVLEEVQGWSNLQQFSSFELSAHDITYCLDMASRGIIIASWLAAVSRRELL RFREFISWLRYEVTNLNNTSNEVNVIQHDILEVNNYFIAGLEESPVDQWFTGPLPQFR LVDLGFAEFQNGPLGQALEHAHSVAANASQMAWQKTFPQRDLSGVDRNLEALIQELGN CCERVFHHASAAASRSAVVSFDPLSKPKREPETMPVPEQPLGFPFRERSVLSENSELI QHLISHFPYPDSNALLLVELRFEVEAPELPSEIGVALLECYLTEEGEEQSNFDLLDAD FFDDECVVIIYRLREGETTLSYDNIGYQNLLHDAYVKRSTREDLMQDTLQLWKDGQLP LQRVSVDRRRALSGCKTGAVSMALNGRVNRRVACVLDSTGTWLESFDMEGDAEDMEVA DDAGAG JR316_0000980 MPKSNTNDSPPRSTANPKPPSEVSTRMTDVALRKKKNADAQAAF RARRANYIATLEETVTNLESVVLQVQEASRDLQNLNAELRQENIRLRVESREREKFWR ALFQTRKSGRVDDLPALPSLSSPFLAQAQLSDLSAHPPGPAPVVVHTQYGGGQITYRG ESDASQGHYSSPASGNGANFNNQSPTIPFPNTTEMAADGSSASHLNARGTKYPPAHPY PLSRDARWQASPPIVPGGESGTPPHSQSPVYVHSPTLTATSDMITYPGRFNGDEQKGA LNSVLENAPYVFPNGDRFHQSVGDTLPNSRSLSPTASTPSSSTSIPMTSSFPFSFHEQ PSGQDRTGFDYRRHSLPHCPEVTLHGGTADISLTGQTSDPVRYRTSRRPETTAEYPPI IPANDNELDLPSSDGDIPFTNEHLRTRRNTAHSHPQPEPSSPGPTPISCTVAVIKAHA FGALRRTRARGKKSSQGAAKVAMDVLEARGISVPTGSKRPRLEEDDFDVETP JR316_0000981 MTRTFEHYGWQKVLWKRQDYPDNYVPPALFLASLQRNPNFRPYT YWPLVVLSCSLTQHLAVTFIFLAVFVRLKDQKLDPRSLICGSVLCFLVGYVIWNTLDQ RGSAERHSSHLKTLKSSIMMFLALMSLSPVLRTLTAATSSDSIWALSVVLFSLNALLA DYTASKPEDSHEGLSSVLSMNAAVSASVVLASRLATDVSVFALMLFSIQSFALFPMLR HRLQSSSIVVWGLITIGLWFSAIYLIAELSRTIMWLCSSVLFFVTLVAPGVLLWAQRY KNIIRGPWDVAVPVVR JR316_0000982 MGSSCSKTSAGSAYEGGHTVLGSGPVSRNQATARDTNREAPKPS DPRAAAAEAAERRLQAAQNRGTNKSNPNQGKLSEQLAKQNSSKPGPPQQEVERLVWD JR316_0000983 MIHSKLVFLPHILFVLLTLLVSVEAGWKKWEATNFCKCICFQTS SAILTLNIPDNPSQPCLSCTKQWCLNQNLPICAGATIGNTDPDTATGKEGTVEARCFQ RDSPRDQLVVTLFLLTVFGLLLGAGIKTRMLKAGITAELPWNRRNTNARWWEPWIPRR DEPDGPLGLTRFGRTAVPSDSRGGYGPVSDN JR316_0000984 MSGEYDDVLTNQPVVIDNGSGTIKAGFAGQDHPKCFFPSFVGRP KHVRVMAGALEGDAFIGRRAQEYRGLLKIKYPMEHGIVTDWDDMERIWNWVYTEELGT LSEEHPVLLTEAPLNPRSNRDVAAQIFFETFNVPALFTSVQAVLSLYSSGRTTGIVLD SGDGVTHAVPVFEGFSMPHAIRRVDVAGRDVTDYLQLLLRKSGHHLHTTAEREVVRTI KEKCCYIALNPAKEEKDAAGRVEEFRLPDGNVVQLGVERFRAPEILFNPELIGQEYAG VHQVVVDSIVRVDLDLRKSLFSNIVLSGGSTLCKGFGDRLLNEVKKVAVKDVRIKIYA PPERKYSTWIGGSILAGLNTFKKMWVSAEEYQEDPDIIHKKSGF JR316_0000985 MGRSIRIVCYSAKATTSSKADNSAPVTLRTRKFITNRLLARRQF VVDILHPSRPNVSRAELSEKLAALYKTDKNRVVTFGLRTQFGGGRSTGFALIYDDEAS QKKFEPKYRLVRSGLEAKVDKPSRKLRKERKNRAKKLRGTKKSKASEAPKKK JR316_0000986 MSASQFASFAAYTPPPDEHEQLSVPSGTTKASSSWLHSDPPENS YQSGIIPTLHSDAFTGSTLVPARQLIQSQWETRYGWRVDILAACSYILGPISALLLLI VETHNDYVRFHAYQSALLTTPLLTFRVVLSLVQFPRWLQSLCTVFILSLQCYMR JR316_0000987 MQLKYIATVVAALIPLAVSTSVGPKNCKSNEFWWGAKNCCLEKG GPPKPPTPPKDTNCPPTSYYWGEKQGCCVPRNPPPSNPPPPQCPKGWTWYPALSRCSK TPTPPVTPPSQPSNYNYNNGKSQHNGKGPGQFFGGHKRSVKSRASLCPSDLDACPISG LSGDYECLDTSSELESCGGCTSVGQGQDCTKITGAWNVGCERGSCVVLTCAGGFRIGA DDILEAIFIDVDDSSPLKLSENVPHYSPLDLFQHLALVALLENRRVELRQFYTFSYVT QVSATPIPLPLPLMAADYTVNLLSVNRSSSSISPRRLQSLPMGLSVFSHDHGATSSKR NLDLDALTQSYDAANLNAEELRDLAASSAGVDEDDYDFQKQYVTGLTSFSDNMSIFQS ALAEAASDKGLANYDRQNDVETLLKKVVNANKDVLSSTTTVVYNIPGIGPVLGPIVYD VKCLVDGLLDATEDLTDAIVNALGPSLRALLGQASEAVCKSGAEVAGLCV JR316_0000988 MDGSFPLEDASLLYHEYIAPIAILMDELRSEDVQLRLNAIHSIP TIALALGADRAREELIPFLQDSVDDEDEVLLALAEELGRNFEEYIGGKEHAHLILGPL ENLSAVEETLVREKAAESITKVANVLSQQQIEQYYIPLLKRLSQGEWFTSRTSSAALY PAVYAKVSPGIQDDLRKGFTSLGSDDTPMVRRAAAKWLGPLLTHFSQPHIISDGLPIY RKLQQDEQDSVRLLTVEDLIVIAKRLSPAEIKEQLLRQIKQTIGDKGWRVRYMAATHF NELSEALGVELVREELIGQYVQLLKDNEAEVRSAAATQIPGFSKLLDKEVILARIVPC VRDLSTDTSQHVRAALANQISGLAPLLGKEPTIEHLLPLFLHLLKDDFPEVRLNIISK LETVNTVIGIELLSESLLPAIVELAEDKSWRVRQAIIEYIPLLSNQLGKPFFDEQLGN LCMSWLGDTVYSIREAATVNLKKLTEVFGVEWAKVSIVPKVMGMGSHPNYLFRMTTVQ AITTIAPSLNLEIIRSDVIGPLLELSKDPIPNIRFNVAKALEVMAVSFADAPEGRVFI RDWIKPTLERQQSDGDADVRYFASRALQKTIPNDS JR316_0000989 MPTETTERGIILKHPSGSIAEVLFYGSTVISWKVPNASGELEER LFVSSKASLDGSKPVRGGIPIVFPCFGQPTHPDHLKLAQHGFARNQMWTFDGAVMDNQ AGVCVKFVLDPRGNEALSAIYTTPFKLEYVVTLAAHQLSTDLHIKNPSASHTLEFQAL LHSYIRAPADEVTVTPLKGLQYYDKTETTEEARTTPKVESRAEVDVKKYTDAVYENAP MKYTVSWPSGGVDIKAQNFKDVVIWNPQEEGRKIGDMESDGWKNYICVEPGYVRGFER LAPQKTWIYCQRSISHTRDLLASAERQLRYLHDQADRVRDLQDCLATLSRRIDNLAFT TSLSPAILSGLHARLDDILSSPLFSRSSTPDSLPDLEPSD JR316_0000990 MQEHHSVQANPSKIPKLQVRINQIDYAVITPGQLDNSTLPKVPV LRIFGSSSTGQSACVHVHQVYPYIFIQYLDDLSPQHVKQYISKLFRSLNHAIALSLKR DPSSRKSHYIRAILLVKGIHFYGFHSSYSPFLKILVSDPGLMTRAVTILQSGSVMGAR FTVYESHLSYVLQFLADFGLYGCGTIDIEDALERGISEDDPPTTIRFGASPYFREARV PLELDVIAPHILNRHNLVPRNIHHQLQILPVAEPPPPDPLIPSVRELWEDERNHRRSL GLNPSPEIPVDPSDSSRSGKGEWISEARWWDEIRKRILEGKDAPQPTEAFHGWDDRFV MTTFESIEALWEKQYTTWKPPKRSADLLYKPDGLGQNRRDQEYNLEDGGSGDDKHIQI EVDISMFSNEEFYQEDEQNWNPEQLNGISAIIDNEDIMEENEYDEVPDDGSDTMEEIV RDERSNDPFVDDRPGQEGITEYRLESPRPPRESSPQALAESPSFVNSVLEENLSVKPQ KFTEEHASQNEGLLPDSLEIADQLITTVNSKGLPDEDWNRGIKGVDSPKEIISLGPEE TLPAIPSAEERFLLIHARAVQLSKIHDTFKKASTNSYVYSLQPPTWSYLQSGLQSLCL PDKVYQAPYYSNDFDIPEHSREYAGLTYRLKGGQGIATLEDWSSTEPHNELVSNAASG LVAAGVGGWEYASHPPSTKEIRQSIKLVPKFIGIAANSRSQIEGPTQANIFGFKNSPL VTTSLLRKSSDLSIMSVEVFVPTVDDKIPNADHDKVVAIFYSYHISGSESIQNGVMVL QNPQINPARLRHVKYEVLDTELDLLNKLIDLVIELDPDILTGWELQLSSWGYLQMRAD TYGLTFSELISRAPPREPGASLDQWSLRKTSTFKVAGRHVLNLWRIMRSENNLTSYTF ENVAFEVLGKRVPKYSYKTLTEWYQSPVPSHTSLLVNHFSMRAYTNLELLERTETVTK TAEFARVFGVDFFSVLSRGSQFKVESFMFRIAKPESFVLISPSKTDVGKQNAAECMPL ILEPASAFYSSPLLVLDFQSLYPSVMIAYNYCYSTCLGRITSFQGTYKFGVVNNLDIP AELIEKLQDHINVAPNGMMYVKPNVRKGLLGRMLVELLDTRVMVKQAMKLVGGDKITP KLQARKRILDARQLSLKYIANVTYGYTSASFSGRMPAVEIADSIVQSGRETLEKAIDI IDGTSRWGAKVVYGDTDSVFVYLPGKTKEQAFAIGNEIAETITSMNPVPIKLKFEKAS JR316_0000991 MYYINRVLIPPLERIFNLVGADVKQWFNEMPKHATLELVSPRKP RAGTTSQPETIERINIDAHFFNNQCLSCGDPADQKTTIANLNYRIRSREERLTNTHLI CSTCTGSAPPEPIHCESLDCPWFYARRKSEAAMELIPLFINLIEEIEERDKESDNGRQ SESLVYDLEESSASDFYVEDSMEE JR316_0000992 MDFVQTLDPSKLVLAGTGLSFVLSIAATPPYNLAIFLFGCYAQE AAEGSQSLQTFTGLLGVSTIFDVVWMIKNEQAGFFKFLTVVLLLLKLPTFFAFANALR QRGGQFSGLGAANLGGPTVWSMPGGFTSNGREGYQTVDDDTFVRNIRTAPSVPHKSAQ SAEAPAAPGAYQSV JR316_0000993 MVSFDTIWPADSLEFCPAPGFQDIFVCGTYKLLEDPAQALTEPS AEANGKSKISQSRRGQCLAFRVIPEAKNGYSFQQVQSFDLPAILDMKWSHGSNEDGPI LGISDSEGHITLHSWREQSFKKIASIQCASEDTLCLSMDWSDRRTSVTGHGNLVVSLS NGNLCLLSPTEGSNLEVTETWHAHDYEPWIAAWNYFDTNVIYSGGDDLKLKGWDIRQG FAQPAVVNKRFDAGVTSIQSHPHIQHLLAVGSYNNTVQLFDARKLTASVAEVDVGGGA WRVKWHPSETRKHDLLVACMHDGFKVIRFDSASDNDWISGPSQIINHFDEHESMAYGV DWSYDDSLAGEETLVGGCSFYDHKMSLWST JR316_0000994 MSTTKKKPSTAANSTSWLDRIPSLSDDPTHPAQIALRTYALALS LSLGPSLVPFIVQALSPKKTVNGPALRRVLRRELGHDGLAFAFTLCVAGGAALKQSIQ RFSKLTDLHAKDASPASTGVHAIDAAARFLIRLKRWILALSPGEQSFLSYVLSSSVGI LLIQAGRERTARLQLARRAAEATASGPSIVSTLAHPALRATANRTSPTLDLTLLLIVR AIDSLVQAFILQKPIPRSVHHDTLDRQHPSNAADPKLVQEKLEKERIKRENRIRQKWT SQVDAFVFWACSARIMWCFFYEPQRLPRSYVRWINTLANLDGRIVDVLQYIRAGTWSY ITGSPAHASLLQASARELGLPASWGDPVSIPAYGGPAATAAWKKMGVKSRAGVGGIPC EVLHGRVGSSLGLTGSCTANASLRMLKGFSEALAIYLPVHILPVLLTRPQILLRPHRL FESLFGAVRSATFLSSFIGLYWYTVCFTRTLVLARLFPSISHDFWDGPLGCIFAGCLA CGGSIWIENGRRRGEMALYVLPRAIRAILPDAWTKSGNQSFKFAERIAFVLSISTLLT AATHRPDSLRGLSRWTLAFVTNGPSTGFWKRKRRDPSVPSTPSVAPTPSMSTNTRVDE NFRADTPRI JR316_0000995 MDTPLVASPSDQCPPSPPPPPLALLQDDPHVLDQARKRLHKKSR FSRLFSRRSSSRLRPQQSDDSLQDTPGLQVFDTDNTPIHLDNTALAAEDVYTDRYEWA VLYENQRGITIFSIPYYSSLSLLPSDPSPFTLPSASLKRSKQPPITLDSYPLPDGNWH WVSRCWMIDMRTDSGEVQHDGFEYNWMFRRHNWRAQIGSFNAGGWVRRRRWIRLMVRP AKPRKDDTDISVLTPSTAASSRSSDKRRHRHSIASSFPPSVFTQRTDMTDAWAKIDPD EVWMGDTAMDWLRCRQLMKQFGRDGRKLELWKLWLGCHHPEHKQKFLEPDDKGKRREK QWTEDDEPLPSEIAAADILSREYVAIAPRELVIALLRVHGQELLHLFIFPESRVQFLK LLAQADLLSELNVGLGIGFGSTEIDFWSYASGLGESVGSTPKDKGSVPQNEKTSTLE JR316_0000996 MTHHDLLDVPYEPNPFHVDPRRLKAQFRKAQAQCHPDSWASKSP KQLDLAHTLSSRINEAYQCLLDPLARAEYILKRNGVVMSETDQTDDMELLEKVMDANE AIAMMGPEDADQISELRSKNQDDMKRVSDVLEGLIREQRWQEAKGEAIRLRYLQGIER SYRKWQEEQT JR316_0000997 MQLDPAITRPTSSDPHPPVKRPFSHQAVLPQPRASFPTAPPAKK PRSASPLHQKFMPSFPNRHRPSDAGPSSQSRRKPPRNQPKSKARPLPSNLLDGPFHDE DYIEREHKKSPIPLKPVYKNTPKSAVNNFYSTAKNLIPEYVSVQRPILVGDELQQIWR TTVTLDIEPQIVGIGDSKDKKQSTHLAALSAVYQLQELGILDPKKLVPAQSSTETTLS DGSVLAYSQARSFMDYYCRQFNFDRPDIEYLETKGAWEAIMTVGGRRIGLGAGANKKT ALTTCYLDVTKYLESCDPELWKTYVEAAKTGKDLGMGPRVSFNVSHGLGDAIGDVCYD IRKTALYRNRPSREAIAVPEPDPNRNSRLRRPNLNALEARSRQLWDRRQAYLVDKNMA KMRQTRMALPVYGKAKEVLQHIENNEVTILMAATGSGKTTQIPQIILDEYIERQEGSS CNIICTQPRRLAAISVADRIAKERGEVLGQSIGYQVRFDAKLPERGGGSVTFCTTGIF LKRLQSALSGGRSDPLAGNFDDVTHIIVDEVHERDIDTDLLLVVLKQLMAQKKAKKQS FKIILMSATIDPTLFQEYFKDETGTPAKVISIPGRSFPVTKYFMDDYLPKLLSGPMKW MANEENVAQYIHRELGPVVARQIGASPPRNESRTEDIELPYPLIAATVSNVLQTSDDG HVLVFLPGWDEIIATQKALLSPLGPLPINFNSQKYSIHLLHSTIPLAEQQAIFEPPPP GVRRVILATNIAETSVTIPDVVYVIDTAKVKEQRYDPDRHMSSLVSAWVGSSNLNQRA GRAGRHRSGEYFGILSKQHAEALHPHQTVEMKRVDLSNVVMHVKALNFPGMSVEDVLA AAIEPPDSGRVEAAMKNLQMVGALDSGKNLTSLGRVLLQIPVDAQMGRLVLYGSFFRC LDPALTLAAILTNRDPFMTPMHLKEQARKAKNSWVTEEFRSDPLTTLKAFDAWWAMQS RGEYVSANRFCIDNFLSKPTLLMIQKIKTHLLQSLYRAGVIAVSAGGAINKDEVYNLG TRGLSVPAALNTNKDSYPLLTALITIASQPKFAVRVTERSFRTITDKTTSIHPSSVNH SRKERTVETESTSSVPRSEKQLFAFSEKRRNDTSGANAQTFLVGTTRLDPLTYILFGA YNVDVVERGLECDGWLPIVGDVRVLDEIQRLKKSMESCMLRVFEGITMSRQRPGQQLP IIAREDTEEEFDPNDDNGNIDYSLSSEEVRDLDLMTRDIVSLLNRHSDERIASQSRQT SRAPTPLFGSPLPFNAKLPGAGSISGYSTPAGHNMFQSRPGTPSRFRRM JR316_0000998 MATSMRGLTQFIADIRGARVKELEEKRINKEMANIRKKFKDGNL DGYQKKKYVAKIIFTYILGYKVDVGHMEAINLISSSKYSEKQIGYLAVTLLMHENSDF LRLVVNSIRKDLDSNHEVDNCLALHAIANVGGSEMAEALVEDVHRLLISPTSQNFVKK KAALTLLRLYRKHPEVIPAAEWAARIVSIMDDQDLGVVICVTSLVMALAQDHLDAYAV CYTKAVDRLHRLVIDREYAATYAYYKVPSPWLQVKLLRLLQYYPPSEDPTIIAVLQQV LQTIMNNCAEPSRNVQHNNAQHAVLFEAISLAIHLDTNSPLVGTAAVLLARFISSKET NVRYLGLDTMAHLAARAESLEPIKRHQNTIILSLRDKDISVRRRALDLLYSMCDVDNS ELIVGELLRYLRVADYALREEMVLKIAILTEKYANSYKWYVDMILQLISTAGEHVGDE VWYRVVQIVTNTEDLQEYAAKAVFEHLKAPSTHESLVKVGGYILGEYGHLIANEPGYS PIEQFQLLHSKSQFCVASTRALLLSTYIKWVNVFPEIKPQLLNIFERYRHVLDAELQQ RACEFYALASRPDEDEFLQNICEEMPPFPPRVSALLGSLNRKHGDTGDKRIWVHGGKE ANLDREITKRKTLMVDVSGNDNTSSTSANGQGEILNSLAGLDLSASPNPSSSLPLIDN IEKGPRLTVGPNVERWFEKLTYVAEGVLYEDVQIQVGIKSRYQGHIGQLAVYLGNKVD APLTSFTATLHVPDSEALSVSFAKIPPTTIGQRSQSQQLLHVECKKVFTAPPILTVSY LAGSHQSISVRLPIVLSKFFEHVKLGQTDFFERWKLIGGEREAQSIFPIGLTSAGQLD LTRNRQVVSGYGLNILEDIDPNPNNLVAAGVLHMSVEGKVGCLLRLEPNKDAKLCRLT VRSTSGDVATEVQKLVQKPLQVAP JR316_0000999 MSSTWDNYPTSSETPREFLVSVELYVSNAAGATLRKPATTRKKG DKRDSAGDDVKLKERASSNWLSLQKGLNGTGQWRPATCRLLEEGERCLLNVYLDESIL FQTVYVHLLNQTDIRQADNSLFYRKDCVGIFCIAGQRWTSSHTAEPLYIQFPNADTSS TWQALLKSYAIPEIYGRWFFPMDGGSYRMWRQVELTVTQGRNIGIPVNSYNGHDYGAE NDGADVDVSCEIHLNDILCSRTTTKRGLGALDWHESFLFSGLPPFENLEIVVWREKKL SKPAVLGITRIALANFRRGDTVEGWFPVLQTGSIGTEVQFGELRLKIRVDEEIILPYS CYKGLMKTCKSRNFLDWMSDLESRLKLKNISSHLMSIAVATNVVIEQVQQYAAQEVEN TSTSQQTLFRGNTTLTKTLELCMTWYGKTFLEASIGTVLRRLCAEKVAIEVDPMRSGK GTRDVERNVEQLKYWCQEFWTQIYSVRNECPNEMRILFKTIRTLVEQRYQPNPASLDN NKNLRWQSVSAFCFLRFIVPAILNPHLFGLCPGLPSVPVQRSLTLIAKVIQSLANLNP NVQKESFMKRVQGFLEESVPVMIDYLHAVSTPVEGTPSSMLGDAMNRHDRLNVVNALR HRTKKMKVLDKEAVPILPHLLDIPKHLAIITSAVIRGSRDLNFRPRIGDEIDHAIDEF CSKCFEVEEEALLRVSQLASKLSSESGRPTNQDSSPDNELLINGAQPIRITEVSGTVM STNITPNRQRRTSRPATAPSQTPTSPTRRHILAGESSLISWGSSSATDEQESWISTTT RQLHIKAPSTDSVPAFGKRDSPIPIRLLPSTEPSADSTDDPGKRKKGLLRGILRR JR316_0001000 MASLAGFLLDIIPYRLPVHLYSYVEGTTPLSTTKTVTTTLISYL VIIFGIQALMKDKKPLKLTTLFQIHNVLLSSGSLLLLVLMLEEIVPNIWNNGIHHALC ASESWTPRMEFYYMINYYFKYIELLDTVFLALKKKPLQFLHVFHHSATALLCFTQLNG RTSISWSVITLNLAVHVIMYYYYYATAGGAKFWWKKYLTSMQITQFVIDILVVYFGTY ERMAFKYYPSLPYIGNCAGSEQAALFGCFLLTSYLFLFIDFYFRTYKKPASKPASNGS ANGHANGKANGAANGIANGTS JR316_0001001 MLSLYPQTSPLSLPQQERPQISELGSLREAISSFTKLTADSGTS FVRLYCTVPTFSYSTDTCLDLHDLNELRSRFLPFVHDLLQSLRSSGISATYSLAPSNS SLCLVSQKPINTPALLDKLDESSRRLKREQVALVDLDGVSCLIIT JR316_0001002 MWWLDPGKELLNVLFNRILAPYVMNLDLNQVNYGIGQGQLTLRN LKLKKGALDKFQLPVDVLEGHLGKFTLSLHWMNLGNQPVEILVEDVYLLVVPSPQTST DPEEDEARAQAAKSERLENAELLHVQSQAAGQSDDSTQSQGLVQSIIAKVINNVQVTV KNIHIRYEDNMSVPGHPFAAGLTLAGFTAVSVNSNWEAAFIESTAGAIHKLAKLQSLA LYFDTDSPSMAGLPTVESIKKFSSMISHTDDDGQHQYILKPVSGEGRIIVNHKIDPQT PRFDIQLLFEEIGVILDDNQYRDAISLADMYHVYLRKRQQYGKYRPAETEFSKNPAKA RLQYAATAILEGVRDKKRKWTWQYFAERRDDRNAYVALFQKKLLNPALIGPDLQSLQT LEKKMAYEDIRFYRSIARSKLKKDAALRKRLEAEKKQQQPQKQGWGAWLWGSSSNDTS QEDPTFGGPMTEEQRQQLYDVLDYDEKAALVDSLQASRDSLKMRVTAKLNKGSFGLKT DPHGKNTEVMSVVFDVFRANFIQRPNNFESSISLNSFRVFDGTTESSLYPQIVHVKTE LVGMDNKAIAGEADTSNPFFFVKFENNPLDERADSALTVRMRHMEIIYHKGYVEAVYK FFKPPSSQLESVEALLNVASQTLEGLRRETRAGLEYALQTHKTVDIQMDLNAPIIIIP EDVTTNACRHLIIDAGHISIESDLVSKEAIHTIHQKRHQKYTDEDYKNLESLMYDKMS LRLQDAQFIIGDNLHSCRAALRSESHDTLHLLERITVDLQIEKSIVPTALNLAQFKVA GTLPSLQVNLSDAKYKSLMRLVDVCIPHFDENTDPSTLSPQSHSHTSTFPLPPTLFGQ SENEYNVDDDDDNDEQHQESDSRTVMEEQFFEAEDGTSEHPELRQHIFELQFKVDKLR AAVSRSTADGIDKSLGNLDMEGFSLSFALTKYEMNVDINLSSVSMDVIQPSGQYMQFI TTPELGPSIDKDLLRISYHRIQKESPDFMTIAEGIDQSVDIKMSTLVFQAAPEPVLDI YNFIMSTFVTDSQPVVQPSAEWQASGSNDEKAVVFAESEGKIRVLMKFEGIRVTLINE SITLATLALSIADVAILVRPKTLRVSGRLGNLTLTNDNKKYAILGDFNQLVSIDGKNF ADFRYQTFDPEEDHYTGVKSSVHLDAASIKFHFVEQPLHDLYLFFSKLAKLKGLYDAA TQVAVQRASEIERMQFEVSIKSPIVVFPSNSTSSSDTLVLRLGQISARNTSEALVNRI FASLNGIQLASYLGQGDTNSSLKIIDDIDVSAEIIQTSNIDRNQDTAYPDTQVAVKIS DVKLHLTQTQYCLLMQLATEIPRVFIGAPEVESETAESIPPSKIKKPEGASAGVDLQP ELRSQSQQNWTTVDLVVSVNTVKLHLYDSHALSDDHLKEHGIARFALNDNTLRFKLLS HGAGEAQVVLRSFTMSNTRPGNNKFREIIPAAQHNRNQFMLLYTMSGGQDSSSLAVLT VDSPQVIFAIDPVISLLEFFTSTFNGSSSPSSPPDHVESQNDIQVAQEGQGGLEFRLD LHDVSVSILENDADPESRAIRLYINQILFSQQGIMALSVNRLGMSLMRMGRSAESVRF LDDVDLTFSVDSRSSSQQQMMNIELTSKPIVFRASYRDINLITSIVNKAIERYGNSQK SFASQKQDTIADGGSSSQTNRIATPSRKSDGTRPQPTGKARVLMSKEVLKGSFDGFRL VLIGDLHEHPMLHLKVKPFIIGAQDWSGQLHATTTMTTTITYWNLTNSHWEPLIDPWT LTITAAKDSPVGGIDLNLSARERLDLNISTTFVELALTTFNSWSQEGESLLQKPRGTY APYRIRNQTGAPIFIWSDTDSTTNTKDVDVVKILHDQSIDWRFDDWKTMREFVEKSWE QLRGIPVDREGEFVFSLRPRTEKYPSRLLCEVKVVENTKIVTIRSTYKVENLTLYPIE LMLVDDSGHPVYSLERIVPGTEFSLPIDAVTKNRVRLQPDQGLGYKWSAPIRWEDLIS RKSFTIKCPHGDPREAAFRLQAWVHTDSTESSPLKYPKINLKLRAPLELENLLPYNLE YRIYDKNTNQNWKSYLRQGGIMPVHSVELGHFILLNVNLEESVFKPSEFTIINTDGHS DFDIENTLTLRDQYDRKLDLKLNYVRHPDSGGAFKVQIYTPYIIVNKSGLPFAVKSTR SNRTGSQDVAGDTRPDVLSKPIPFLLSHTNKHGHEFMFKISDSLWSKPISLEAPSAET ELVVASQRQKADEYHIGLSWSEGLGKYKLSKVVTLTPRFLIKNQLPDSIAFRQHGASP RDRSLAEPGQKCAFQVLRSGDEKLLTIAYPGLNARWSPPINIEDIGSVHFRLPRLGDA GGHDLVRADIRIEGSTIFIFISLASGGPPFVIENDSDYDISFSQQDAGRDQQLREPLR YIANAHSAIPYAWDFPAARDKKILLAIGSARRMVDILEIGDLMPFKFNDQQRVRAVSL DVRADGEKQILRITNYNPDRSLYRPRQRNNSLSVSRQDTISSSAEAFEAITEEVSSDL TVVIDLAGVGISLINKRMVEVVYMVMDTIKFEYANSSVAQSVNLACGTLQIDNQLHEA IFPVILQPTPIAKESAGIGALPTVQASVIWLKDQAHGVLFIKYCSILLQALTIEADED LLFAIYDLTQIKGASWEQGTVDVLLQNINSIPEPSTQTVGQDLYFEILELQPIKLSLS FMRTERVNSEEKLSIRNPLAVVVNALTMTVGNINDAPLELNALAIKDMRLTMPELQSR IMYHYRQDVLRQLYRILGSADFIGNPIGLFTNVSSGVADIFYEPFQGVIVHGNKELGI GIAKGAASFVKKTVFGVSDSFTKFTSSVGKGLSAATFDSEYQARRRMTQRRNKPRHAI YGVAAGGEAFASSVTSAMEGVFMKPLEGAESEGALGFFKGVGKGLVGAVTKPVVGVFD LASNVSEGIRNTTTVFDNPERDRMRLPRLVPSDGVLRPYSSREALGQSWMKDLNDGAY RREFYVAHINSPGADNVILLTASRVLSFWSKKLRLEWELPFTQIQGVTVEDTGIRFAH KAGKEQDKFAFIPDKASQSWFFNQVASVVKSFNARRRMDG JR316_0001003 MPPARSPTLPATAHTASPIPPDRILKSRFSDQSLESNRKGRLGL QRGMDPIKDAAAWSARNPGTEAATPIINVSVTTHLSPPPNSASADAVELDVALADELD YITVNSTADSSPNALASRPDKASRILGIRHRKSMEPIPASARNSIVSTRSLRDPPPVA SFVPTLPLTSLYVVSGLPKSPHTWTLADPDSVLGLHHSESAVNRWWRPEVLGSTVSPG AGGGKKKKRGKSEEVSKGAGALTKQEVGKMLSKALKLSFTREVEVIASTLQPASTIHT FTFNLPAPNTPLAPTPSGDLLRASVLANPDNRSSAASFSYPYSDDPFARPSSAYLGPP SLLERGAHSAPAGVSGNNAGDINPSSSNTIAYYGVCLTVWSHADAERSSAIRRTLEAG RSRKESAQSAVASRLKNLRADLSGSASDTQDPTSQARRSAKRNSRGPWADVETDGETE ADGAMSESDFEVASTIGHGPGESTLFLPGDTVFWLPYALTLVSRHPVYDLMRDYLTLS WARFSKDVQSHTLQISKILAHPAPRAGDLIKLDASPKNDGSDSNLEVVARFPGGLDFG RGLVDINFTMWPLFKCLSIDNILTVCEIALAPTGRVIFFSRYPAMLGIAVCTIKYLVE LRGWNGIALPAVHSRDAKIYIDDPGPWIMGLATEARYSVRAAPEVCICDLDINYLSCP SPPPNMVSTKQQREKYRQKLLAAFDTHYHPDHSVPSEFKEAFPAGRFRPVCKIQAKRG ASSAAVADQIKPPEWWHSTRIIQAFDSVLADKFKKPSFLKRISMFGNPRRPPQLTAAE QLIQHSIRKRATAFVDARDDLETKIGRLSRRLNFLMTESDLWRDKFVTFEQYAEKLSA EANQLRTKINKEQRETKRLSGLVTLTAVEKTKLQNQLRDTENAHKEAILELERMKETM DRMEQERAEMVAEVEAQIERALASMAVEMDDSDYGSSRPNSRLSNISGTRSRRASDAA KSRHLRSFGTESTLAESYGIEAVEEEETIADKPRGTETILEDDEEELSVSKKKRFSAS DVEVPQDGMNAVDEGISQKSENIAQKVLEIQQKLESALSTDRRPTRWKSHSSTQESGE DSEVGSTRSRHSRTRRTNGSNKASSKPRTRSGTTSSTQTSTPTIKPEELKKAALPQLK PALSSPVESESKTPTRETFFDDTLDESGEKTPELVPSGENEDIAQKANVSAAPVPQPI GLTDDSDTDFQSAYSRSTSPRESYVSIENLDIEATILPDGSSAENIEDRLSAPPKSRR ERVSSTSTTKEISEPLPAPSSSTTPIPVRVRVANIDKRA JR316_0001004 MLTITHISIPLGIVIGLLASFVQSLGLTIQRKSHVVNQSLPEHR QRVEHRRPLWLLGFAVFISSNVIGSFVQIASLPVVILAPLGAVSLLWNAFFARLLLGD VFSPWMILGTILIAGGAILIAFFGIVPEQTRSLEDLLHLFRRPTFIAYFSVLGVTVLI CLVITHLTEYSISRRIKAESVPDSPTNFSPPSSAIHLPTHSTNTTTGLTEAVDDNLLS HERTPLVDPKALSQSSRSNSPRNDSDLTGRSINRTRLLVAISYASFSGIISGMCLLFA KSGVELLLLTLGGNNQFWRWEAWVLVLGLIIFAVLQLWYLHKALILADPTLVCPSAFC FYNLSSIVNGLVYFDQFSLIRPLYLGLVALGIVILLGGVWVVSIQSGGGGVDVGPWVE ETIKLTGEDEALYSEPTYTDADNEASEVHALSDQPTETTPPPKPGVGPVPMERETRSE SYLPNIQQPSLVGLGIDMGSPESTLPGKTQSSISTPDKRTIVDTQLYPSPRTPSRPAK RKPTADINTSSSSIHHPHAHGHSRTQSYQHAHHGLPSPPLGSVSALGPGFQIGLSPLS PGFTITPLERRRRTSAMGGGGSTSFADVVSEAMAREQGRRRTVSEGEVTREPVGGSAA SVVADGDANVQHTREDSRREDLRVRNASTRWKWLKHIFEASDD JR316_0001005 MTSNMEFTDKTQQTLAEAIQLAKDYANAQVYPAHLAFVLLNEGS SSEPSMPGAPPSSSSSGAPLFASVVSRAGGDPVGVKRSVQKLIVRLPTQSPPPDETSL SGASMKVLREAHSLQKTMHDSYIAQDHILLALIHDPSIATVLKENSLTEAAVKTAIEQ IRGNRRVDSKTAEQGFDALQKYAVDLTALAEEGKIDPVIGRDNEIRRAIRILCRRTKN NPVLIGEPGVGKTAIAEGLAQRIVKRDVPASLIARLYSLDMGALMAGAKYKGEYEERI KSVLNEVEKASEDGGPGIILFIDELHLIMAGRGAEGGGMDAGNLFKPLLARGKLRCIG ATTLSEYRKYIETDPALERRFAQVLVNEPTVPETISILRGIREKYEVHHGVRILDGAL ITAATLAHRYLTSRRLPDSAIDLVDEACASVRVTRETEPEAIDKLQRRKLELEVEIHA LEREKDAASKERLQLAKKAIADVEEQLQPLKAAYDNEKKRGDEINQVRRKMDELKAKV EEAERRYDLATASDLRYYALPDLQARLEKLEAKKAAEDAASAGSGADTVTPDQIADIV AKWTNIPVTRLVTTEKEKLLRMEKVLSDSVVGQQDAVKAVANAVRLSRSGLSNPNRPV ASFLMAGPSGTGKTLMAKTLATVLFDSPDTMIRIDASEYSEKHSISRLIGSPPGYVGY DAGGQLTEYIRRKPYSIILIDEIEKACREFVTLFLQVLDDGRLTDGQGRVVDMRNTVI MMTSNLGAAYLNEMGQGPVDTKTRTLVMGAIQAHFPPEFINRIDDIVIFRALSQKDIL KIVDIRLKEVNDRLADRKIVLDVDSESKNYLMSVGYSTAYGARPLNRAIQSELLNPLS VLILSGQVLDGETVRVRFDGPHNRLTILPNHEGTGVEMDIDDLDSEDDIQVEEMD JR316_0001006 MLGRRALPRFNNALKTLSRNASSSTTPAVKSAEASVKKVAEAGP VTLQQAPNYPTTWSTNQAPRPGPGSGPRFEQTAMEFQPAPLSAMELIAKEPIRLVQGR KAVCDGGVSSSSFSLRVPMSLSMDRNWLGIEPAC JR316_0001007 MRVESGWTADNSISCLLFFARKTPPPLPRLSFYVRLIFLLPAPL AKCKVCRFSSSSTPSSPAPDGHPQHIPNGHPTQPQHLPPPPPLPQPTQHRPQLKAPIT VVGIPSVSVQDNRLVVGSSQSRSTRSYTPLKVLGDGSFGTVWLCDWHGTLPPNTPLSP MQCGAGARPDWAGKRLVAVKLMKKRWEGGWDECQKLKELESLRAIPFHPNVIPLYDFF LLPDTKELYFVFESMEGNLYHLIKARKGRPLAGGLVSSIFHQIVLGLDHIHTHGYFHR DMKPENVLVTTTGLFDYTSLSPIAPPNAPKEKDVVAIIKLADFGLARETKSKPPYTEY VSTRWYRAPEVLLLSRDYSNPVDMWAFGTIMAELVNLRPLFPGSDQVDQVARICEILG DPSDAYGVDMFGSRIGGGPWAKGNKLANEIGFQFPKVEPKDFYALFAETVPRSLIDCI RDLLRYDPDKRLTSRQCLEHRYLFETIPRNHITLPFAIQGSVSNTSIPNISTYVNGTH SQPSLPTLSPQHPPLPPHSHSSQNLHQPQPIIDPSTTHRIPYPIPPAPQPQFPILPNP PIVVAHNDYRHPPNGWPASQADYPMDVSTQEPPNPHQHINGHTHEAQDSAMLQDHTSP PAPQPQHASSVQSQHGNKFGKFVSLKKNSKWGLSMFVGDKSHHNTLPPVDETSPAIIF PSRKRAQSSSTDSKSLRESSPVREQPVDQRNSKKVQNMNKKEAERLHREAEMAKRELA RMNAREQARSVLIKRQQMVHHNNLTDDLEWVGGPDQRLELKDSKGKQPSSGPVRQQVT NGNAAPSSTLGAAAGKFVMQQNDPLLSPLDRDRDREWRGSQERERFAKARRREFDDDH SMSSSDMHSVSRMSSISFATVDSDPGPSSRLRNRPSLYNISRMTSRSSLRTSFDDFPP SARSSNSFSLEGQLAHDFRTQASVSSHLSGSVSPPPIQLLSLSPTMSPPLSPSPAWVQ IQQHKEGLLSRTQSPPFVAVSPRYYTNPSAPHSPLDLHSQLPPLPASPYGHPPSSYGG YPPSSGHTPKSAKSAINPIFKVPPLPPPPLPPLTGDDRLKPLPPFSQLDAVAGGEYPP LSPIVFTTPEEV JR316_0001008 MLNGSGIKIFSGTSHPELAEIIARRIGLPLSKAEITRSGIGETN VRITESVREDDVYIINTGCGAVNTSLMELCIMIHACKIASAKRITAVIPLFPYARQDK KDKSRAPITAKLVANMIQKAGCDHVITMDLHASQIQGFFDVPLYAEPSAILYIRTHFN VEDVVIVSPDAGGAKRATSIADRLGVDFALFHKERKKANEVSRMVLVGNVKDKIAILV DDMADTCGTLCLAASHLSEAGAQKVFGFVTHGILSGNALATIAESNLEKLIVTNTLPQ NANQAACKKIEVIDIGKVLGEVIRRSHYGESVSKLFHEVPY JR316_0001009 MASSSSSSPEISSAEPVRFSYKNASEEEVLDDLSSRFILNLPDE ELASLERLCFQVEQAHWFYEDFIREENNNLPSYNLKRFSETLFKACPLLQQWSHDHEQ AFSTFMQYKTRVPVCGAIMLNDAMDKCVLVKGWKASSGWGFPKGKINEDEHPRDCAVR EVLEETGYNLASQIDAGDFFEMSIKEQKICLFVVPGVPEDFPFKTKTRKEISKIEWFR LVDLPTWKKKQAAPGKFYLITPFINPLKKFISQRKPRKVSGKNGKQKNAQSTVSSSKG ETSSQRSDPAPEPNSQSTSEENQTSSSRQPESTETTDPAQMDPQLARLLFSLAKSATI SPGREDESAKPIDNASQSTSLLPIHNSDASEESPVVTHTHEHQDWSSSVPSYEPQANL SDQLQQSQAFVSAPAIAAVSPPSNELPPKTTVNGSTSDNSQTVSFPSTKETPSALSSP TSNASPTSRRKSSSTADISPYLSRQAEVPTSAKMLKQLSLLEAVADESARMAPIIAAR AAMASRGPIPGGYPIPSASVPPQHVPVRESNAVYSYQPGLPPPPASAAGFHPRYPMEN QINNYQDPFQVRSRTSQAFHRGPMHNPTGSVSMNQNHLLAAISGSRAGPISPHYQMGP QFIQQQQQHQMFNTVPPPPHYGPPNPTQFPHHPAHPPMNGYNMPPPPPPPLPSSIRPY PSTNANPIPVVNTAPIAQNPTSVSLLSILNGRPVQQSTIPGPAHQ JR316_0001010 MAHTGLLTWANSIRLTMTSDPGRQVFKEQVHTHGFLFLDDYLDN IVSGGKQDPLIELVKTPGRKKAIARKPKLMASKLANLTSLSYEVRTIKSLYSHLALHA FTKDPMVNENAPPPHSLQATLHVAKVADNSREKGNNEQDAALQGHPSRAPLAPIQSIH NPSDMTVESIPAGLGPTRPSQRPASMEHNDLSIIAEDDESSERSRMSNAGHGVEVPHS PIATTIPLPPSSSVSDFNTAHHDVNMTSSSSAATFQSIPLQSPTPSIRDEAVSTFSRD HKSDEPQEPTSLSESISTETRQSINEQSIPGALEEPSQADRKSSDKPITLSIPSLPEP MFSRKPRDPSLNMVMQGAATPSAARGKRTSYLTKVREVNAFEGTSKKSQPTSSISNTG QTPVAQGTKRKSGDMQVNDQISLQHDQERISKYAKVSDGEFACRTSKDTQLEKVQDNA PKIQSLPDEEDPEQDPDSFMQEGVLDRLKKTVEDFGVRVGKNMSKSLGGGAAAALAEA RAAAEARVAERDRKEDEMTIASGVPAAESHLSAQNSKQVHRAEQKESEIQASPLRQND GRMSISDLFPTEGRVKEKHMVPEKSFQFDPNPAILAKESESSALARESTSTTPPHSPP AHISSVQIVAPPVFNKPSPVFALPVAKTRQPFSPVSKTKPRPFSPAHKVFNPPPAPPP QVNNKTQSSVYPSLRSSPTSSFKGKAAQPLTAQSTLESVISDRVFDDEDPPAWLPSTQ DTDYTSGYTQSQRYPDTQICDEDDSWPLDEKISAGVQWTFGSKDDSMTWSTAPSQSTR GDTGPFTRASPLREQKNDWVSPKGHPIPGAFDTEIEDAEEDGGNILPKDPELEQAILS APKATIVNDQPKHARSQSQMSIASLASFESSQSQPSQGGFLNQASKLLSSALGTSNKK KQPEVKTVLQKAAIAAKKQQEEADKKAARLKEMESRRQLAIQRKAEEEKARELEEERR MKEEAERRKKEKESSTDKRALKLPSVKKDDESQKKRKIEVEKKSELKKPGPNMLFGKS HLKSSLKQPSNTSFAFGSSTIASSSNMEAEASSSKGKERAIQENTVDDEISQPSQLVQ SQMAARAKALIDAAKDTEPLVPSESIELPDINSEYSDSEDEDRVRTFDPPDWAQSPEL RQALQMQSTINPDDIFGAVRPLKMEEIFKTRTSRFRARTSSANWTGADRLTMQEESAY ARRMGFK JR316_0001011 MSDPSLGPIAFPNITGARPRLYTQKNRSQLTLNSSSIISNSSFT NNPESELTESQLRELYDNEEIDRFLNLFSAFVTEVRAPDTPTSKEAEASEYVSEENSS SSTSTYPEDDGEWTPRLDEDQDGEFLTPQISSRYSSPSKNNSISEEIANRYLLPILPH AKPATHGFTLGRLRLAVQRLYVVAFPAYNAFIQKHISLSTWENHGKSLAYCSVYWTLW WQNLLGPSLVFYVLFTLLKARIFPYPSLEDLLRHREEVSRADEFGERVSARLSASSTS VLEIWRLFRLFETTKRNIVKGKTREHTKSTSTSSLSEGRPSVNHSEDVTVLDDINDNE EAQDLKRIGLEILEDIAELHERIRNTFIWRRPSASRRYVFVLLVLFLVTLLPTQYIAK LTFFTLGFIYWHVIPVIAALPPSESRRLPPPLWDVPNDAEYAMELISQRVAAGLPINP NRPSKATKKQHSVESFEAQNAASTNTVEHSRSRENSIDWKKWGDRVTKGKSVINDIKR LKPSKAWPAHESWPPRHPMIPGIVGMIQPRANVEAHTYPCQHNSGPGLITLTHSALLF TPLMSQSPKLTIRLASVKGVKKSGLLKGLRIRWTASLEDGTKEIKEDKFPWIGNRDEV FALRIMFFTPELLSKRDSGFGLLWLAATLGSRSTFKKLPKRSVLTADISQLCDLITEP EEPLALRLSSNLMFGVVRVYKVKQEIFLNDVTICVATLKKVVQELRSSGNVQLQMVNP TVRPALLTMIPDSREAYALDYDAFVADWDEYLNMEAATRTCSIDEDDDTDFDPTQSKK KSKTKSAVKSAPAVEVMRKEAHTLDEHHEHVLSASFDMSFSNNAEQVPSSSQADVPFD NFFPFSDGLDVGEGLGDDLARELGWATSPIKSVRSNRIMGNAEKEQHGLQFDEGDYNI GAIDFDFNTEDMHIFMDEPGRVAKNARPSTPVPQQAPKMAKTPQRKENAIPRLGSVVS QRQGPSPAMSFSRMLLSQDEEQPLRDITTEESNRHNQQDLTKKTKRTRLLLDARTELT DDELKIARAKYLESQRRQRRQLLSKKTEKDSGKFIEELIWSVPKGIQEPGLRNFWQEN FKVQVEARSGVLRIHPEASSDEPPSKRRKIAIPPAIEEEPRNGFYNEEPVQHDVDWNM DWVVGNDVDMAVAVDQAGDNRNSSEEPGQARRISRSASILGASNLAFDLGPRGSGNGS QRSSFFPWDHAGPSSSSGNVPFAQPEVDHIVERVDVRLRSISTTRRESPIAGSRDSHR GSITGSNVLLSPAMGGRTSQILGEDFAFEVEEAVPQEDTQQETQKSEVNLVTLERNSF NFLEYAKMQYQTLPKPEGRLEFETVAPKSTSTSHVAAAAFYHCLVLATKNLVGLKQSE PYGRIFIDII JR316_0001012 MSESRAVIAARAALASIDLSSYDPEQSRLMDERCILVDEDDNAI GAVDKKTCHLMENINKGLLHRAFSAFVFRPSDGKLLLQQRASEKITFPDMWTNTCCSH PLDDFEAEKIEKDQLGVRVAASRKLEHELGIPPSQTPVDQFQYLTRIHYLAPSDGMWG EHEVDYILFFTGDVTVNPNANEIRDYKYVSKDELKAMFEDPSNSFTPWFKLIARDFLF GWWDELYKRTDAQGRVVAQNLAGVADGSKVIKMS JR316_0001013 MGANGPPGGPGPSSGYPPFYGGFQPAPAPQHNNPPAPSPYGFDA DAYALKHSATTSAPNRQHRRNPTIPTAAAPLKSAMKKTMTAFGTTDGNGGRHFYNPFS HPQPQTQPPPSNQAPTTFTRTRTHSNPNRPQNLTSIEQAHHESPMFHMLVSFHNYNEL HIEHITRVGLEEVRKLLWPIWQDGVESDVNIGHTCVVKFRNTPWDLNGPNVQQSYKLI TSLFTLFEQRGYSFQTAVNIGTPTPRLIFQVTKPANAVFFIAFFSQDGRKITLIDPPN PVDLSIGAQLRSALPTSHVSDNVVEENTRVLEVRRKTAQAPEVDATTVFVELLKILCN LGFQLDATLPLGRRNALGLRYSRELLVFKSVHPNQQN JR316_0001014 MASTFYTWLRSPAARQYFFSTHFWGPVANWGLPLAALADLKKDE EVISGTMTTALMCYSMVFMRFAWRVQPRNYLLFACHATNASAQSLQEYRFVNYWYRGG REKKLAEEGVVVPVVEGKVTQAVKAAKEEASKTVA JR316_0001015 MAGKFFDMPKSTKAAPPQQANLQEMWGKKKEPILSKLEPHAPKA EADAMVVDTPKEEKAESSKRKESGTPIASSPKIKKRRIIDSDDEDPNDIPPRSSPEPT LNGSTKSSSPIPDLSLPSSKVRDKEQALTLSGTTTNGVSPPDDAESSSSEEDQGEEEA EDLEEEEDEEGLATEKAVNTKSAQVALQKRDDVDIEGGWPVGKPVPYAALSKAFSLIE ATTKRLEKNAILTSFLLLVIQRSAKSDHASLLQAVYLCINRLCPDYVGIELGIGESLL IKAIAESTGRSLSVIKADLKKEGDLGLVAMNSKNSQKTLFKPKPLTIPFVFSHLKEIA LSSGHSSQAKKVSIITKLLAACQGVEAKYIVRSLEGKLRIGNAERSVLVALSQAAVLA ERERSNKKWSQDQLAARLEESANIMKSVYSELPTYDLVIPALLEVGIDGLRQKCKLTP GVPLKPMLAKPTKAIGEVLDRFENKRFTCEYKYDGERAQVHLLEDGSVKVFSRNSEDM SKKYPDLVDQLPKCIKETTKSFVFDAEAVAIDRTTGKLMPFQELSRRKRKDVKVEDIT VQVSLFAFDLLYLNGEPLLQLPLYRRRELLREHFVVVPGEFGFAKSSDSETTEEIQSF LEESVKDGCEGLMVKMLDTDASFYEPSRRSVNWLKLKKDYLAGVGDSLDLVVVGGYYG KGKRTNVYGAFLLACYDSDSEEYQTICKIGTGFSEEVLQTHYNTLKPLETTKPRGDIK VGGAKPDIWFEPKIVWEVLTADLSLSPIYTAAQGLVEDRGISLRFPRYLRIRDDKSAD DATGPEQAKMYERQALAQPKGKKKKGGDADDEFW JR316_0001016 MADIQIEKAYQKQDIFLNTKSRGGKKVSTKEKRWYKDVGLGFKT PSEAINGTYVDKKCPFTGDISIRGRILTGKVVSTKMNRTIIIRRDYLHYIPKYNRYEK RHKNLAAHLSPAFRVEVGDVVTVGQCRPLSKTVRFNVLRVAKNKAATKTFGKF JR316_0001017 MMGVVLVELGGIKSTAAIYKTLSPVTSSSELKLAPTLLSVFTSR LSKSRPQSPQPPGASMGQQPSKKSKKAGKDKDRESPADGATSEAHHDPNDDNTPQSSI SRATAPSTAHSSDSSSLPNGNPSINVSDPAGSTVPSSATSARAHGSPYPPQATIPSIE TAQLSESLPSPLPSPMTASLPLDIPVTQTILSNGNALSPSSMTSNGNAPTSESVGNGG AKDRLKQFDVDDMIQRLLDVGYTGKVSKSLCLKNTEITAICLAARDVFLSQPTLVELS PPVKIVGDVHGQYSDLIRLFEMCGFPPAANYLFLGDYVDRGKQSLETILLLLCYKIKY PENFFLLRGNHECANVTRVYGFYDECKRRCNIKTWKTFIDVFNCLPIAAIVASKIFCV HGGLSPSLHSMEDIKRIQRPTDVPDYGLLNDLLWSDPSDTALDWEDNERGVSYCFGKA IINEFLVRYDMDLICRAHMVVEDGYEFWNDRTLVTVFSAPNYCGEFDNYGACMSVSED LLCAFELLKPLDGAALRKEMTKAKRKSVMTTA JR316_0001018 MHTRSPDALATPHLGGRWAVILFICTLFAFVIESQLTQYVQTNL GYRQPFFIFYLVHSSFAIIFPLHLLYLRATTNHSTVSLLNGLAIAITNHLTQDQKTPG VKFPRAKFLRLILFLTLGITVPALLWFLSVSLASVSDVTAIWNTNAFFAYLITVKLFN LEWEYRRLLAVLLATLGTVAVVYGGSTASPEKEPTAGNLAGISLKPTAPLLGNLLTLV ASFAYGLYQVLYKIYAALPSDPEVASHRTYEQIPDDDETVNTIDGNVNVDLEDAVYPP PFGLHPNLLTSLMGLCTTFVLWIPIPFLHWTGAEVFRLPPNATAVLTIFGIAFTGVIF NAGFMILLGVWGPIIVSVGNLLTIVLVLISDIIFGAGMEVITFWSFVGSGVIVIAFAV LAYETFTKRQ JR316_0001019 MSRFTIIVAATKSHGIGLNGRLPWRLPKELKYFSDATTAAPEGQ QNLVIMGRKCWESIPKKHRPLPKRLNVVVSRNQSYDLGASPDTAVLKDSLKSASALMD PSHLHRGFIIGGSTLYEESLGLPLSPTEPCVDRVLLTRILSPAFDDCDVFMTDFVKDD PRWTRAAHAELKEWVGFEVPEGVQEENGVTYEFQMWVRK JR316_0001020 MATLRHEFYETDEKLTLSIFDRGADPAQVSIQFEPRKFTYTNGE KSLVLEPLKGQIDPDKSDFTVGKVKVEVRLVKRVQGRWATLVGDSPDPLATAPVASSS STPAVGVRKPRKNWEGITTEILSSEKEKTTDEDPNVGGDSTLNSFFQKIYGDADEDTK RAMLKSYQESGGTTLSTNWDEVKKARVEVKPPAGSEWKKWN JR316_0001021 MAHLPKAVLYYSPVSVWSAVVLLTIDEKGYGPDEIDFRSVDLSK GENYDLTFLRLNPKATVPALLVPYENTLTDAEESRYKALTSTKAIVEFLDKSRSALSR TQTTSRAPAPSLTPATIAATNSCKVIIDDILHIEEANPNTLRYVNARDDESLRALAKE LVPSMKQRQKVLLEHLAEAEQGSARVSEKVKKLWADKLDAINVILAVLADAETAESDL GEEGRAQRQAFYKTARQAWETNLSQVMTQLSKEMVGPYTLGDQFSIADLHLAGWLTRV AKLAGGQSSDDGETIARKLEGHIGGGFRFARDFITEQARREKAAVEKGSKVGAFWDAL RERASWKKVYREGLF JR316_0001022 MDNAPHSDSTDSDDTARQPHHHHHHFLFPPSSASSTPTQSRSTS PLPQFYPQPTSCPSESDSEEPHSPLLRTHHSRPPWWREQPRRPWWSVAPRRREERAWR PARLARRWLRRLVRHPFFPSQPITIVLTLILFSIFAISLTLLLMYVLNPDKEPLPWRA YCSVPSLAPPFDRLLPPNSPHVYPNYTNVHTVPPFPHADLDQLPPAGILVGVFSIASS FERRMLMRSTWATHPRSRDGAGQGDGGLSTSRTIVRFVLGQPSKDWERRIKLEMEMYN DLIILPITENMNNGKSYTFFSWAAINAWVPPVYNPSTVPPPSFSYSNFTSEPPSLATH DPYLAWQDVNSGKPKPWVRPDFVVKVDDDSFVMLAELEARLRLELHNNTRSDKPNLHR SQEGATNSSTSLPLTTARSHSSNQLSASAIATNDPLVYWGYLVTNRLHIFMAGELYAL SWSLVDWVSKDPIVKTLIWGAEDKQTAKWMRMHPRASQVRWTSERCWIYDHPRSGTVY SHGYLFPSEVTRVKHAMLAAQEEKETLAQAPVQGNGGSTPTATVASVGLGGTPAAWGL SSVSTFGARYTPPLPDLSTTHSVEALVEGSAMSLLREGSPMTPEYAWTHREGRKTRYE GNRVGGTVVVHFIKKNMWYLETAIAMLEGAEESESERYEAGKTTTLTEETRSYSHASS IQRSGKRQSRVH JR316_0001023 MILENTAADDKSKLSKKYSDNVPNEGQSNASTSSYLNGANERDH LLVDLNPQGPPPDFSPYQAEHFEVGYDDVVSHDPHLNSDGEALYRFLLAQSSSCPIYR LHCKGTHTESRTRWVSQRDSHGRTRNVQETYNDTITDFNFCIDIIPGDVDASLSTHDG MPGRTRIVKPVQWTVPDDEPAYRGKMVREYEVEPYSVTAAASSAEEWNESGRRKARRK ETKAYDKWVEMRTAHGFPPWVREHDVSSGLLRDPASASISEKDTLKSSKTLRQWADEY CASPKYLKEFVYSKEAYGWNMEQLERAVRATIQSTPYNGTLTVELTPHNTKIYVRPDN KLSRMLSNKWLKFLSIILLIFPFVWLYKRFHPRGGGRWEVCGGAYALKQWVPVESEQD AVAAAAEFSTTPGNSRSTTLDDLPSYEDAADVSVTGSAMPPRNPSSSSSSSSRRFMQT PTGPKKLVGIKEGEWYKSWEGAITRAVIGRYQSDIPLPRNAWSTIQARSLDGYTDAPH VPLVNF JR316_0001024 MQDYKDENLNAPALDKLQPVKEPHISIDIKHNDTPQSEPPPPPF APYEPEFFVKSDGEVISHDHHLNEDGEALYRFLLAQSAILPTYILNIHGTHNERRTRV VSRTENGKTTQRTETYTVTVTDFNFQIDLSSYIVHGPIFWSYPDETAAYRGLMVRQVN TPTRRKATKDEITAFKSEDYFRVNGGLAPWQYSDGSYSSNHQASSKTPRAWADEYAAS PKLLKEFVYRKVIYGWNTSKLTEAISSAIAQTSYTGHVQSTFKLSNAKISIRPDNRLS RTLSSTLYKVLLIITLIYPFILLFKRFNRHGGGRWEVVGAAYGLKHFEQLESPPKESN DQLKGNDNVNAEGRHPCHIPRVVETEHGMQKLIGYREGEWFKEWEPVIKRCAAMRLTS NEPLKSVKEARADPMGALEGYGTSNLEGY JR316_0001025 MKIGLESVDKLDAIAIIPSDPSCDDSSSVKPEDSDIGLEVTKDK EADVMIFVVKRVELGEGEETEGEETEGEEPEDVGWPGGTGKIILLEDIVDGSSVGGII LLVDSDEDGEGLGEVGASCPKVDEKGTKLLEGEDDGGATVGEMTINDDEGCGENVLIP SPGNGLDEKDEEINSSEELELDISLGVEEGGNDDKENGPVLGIFNVEYRVSLEILDKD VRSLEEDKARVGLIEGNAGVEVPEDTAEEKVAKENCE JR316_0001026 MALSSKENSFFSNVGAVASVFILAGLVATSIVLWIIFAMRRRRR TRRLEHDTAVSASLAAAGLYRTPLDEDDDERGNDTAVGLYNSGHGLTENPLHVGSISS LPSVPRTSTIHHDTTSGETDEFALNPFEEIDFIVPSRARDGYVTGNGLEFAGTEANMH SQESNITSNTEPLLTAYRRTSLLNPAPPNIPLVSTDSGIVGDDFSLSPSSSINPIRYP SLCSSSQGHGSTHRNKSHDISDERLIELNTPSDTSVYSNNGSLLDDRLDPGLRQRLQR TTSDKSELRDEEDYSRPIFGVCVQLSI JR316_0001027 MDSLSPSEEQRVPVHDTPISGLVDRPKVPTAVEYGNETDFWVEY PNGLIDISREAHLSRVYPPPYGATIPPALKSTQIDIAVDGNRIVRINKARTALVVIDM QNFFLHPDLRIHTKGLQCVDPLMNVIPHLRTLGSKILWVNWGLTDHELETIPPSLVRG FRKHNGGGFGSQLPGDFGRLLMRDAYNSELYGPLQAEYLKGAKEGTDFWIHKNRMSGL WGYQTALDLFLRENGITTLIFAGVNTDQCVLGTIVDAYYRGYDCIVLSDATATTSPEG AFENVIYNSGNSYGFVTDTQRIIEAANRDKK JR316_0001028 MLHGLSLSTSLVSVANATSASVDITTDSTPSVVLITSTNQASPS TSTSAHSLPVTENSTSIALASEITSISTTQALAVTTTTSISSSTFSSVVITVESSSKV PKSSSAFSTGSSKSLAKSTVPILASTAASSSISTPSAVASKTSPKSTVPTVISGSSGG IIDSTSSMSAAAALPSIPITPNSSKNNSPVVTLADQTPTMSNSKPGATVSSHSSSPAV STKEAAPPPASSTRSEPLPAPPSKPVEPSSSTSSKSSTTIPTPTTSHSVGTTTSVATT LLVVVVKAPLNQSTSTVTQTADTTLSSAVILTSTQSNGSKVVTTPALVTLMSTSTESD GALTTVTHIVANPPNLGNTQQLSSDNGPLHKQGVLAGICVVVGIVAATAAIGLIFCIR RQRRVNRRKRWLAGMQHQRPASLATDPFRDPQESYQGPAMRSVGSTRDRNWDQGSGGS PLLSQEPMSHEQRNIFGGLSLIPDPYPAAHSINPHNQAHYEYPHAGIAYTTDMANPIA PFKRHSLTPSSPSIYPATLPPDDNERPEAGDPNLEPVQKELISPVSLSTVPPRPPRSH LRESAKFGHYAAPLTPPESNSSHNSQPSSPVADTNRPQDFFTRRTLLDVRNR JR316_0001029 MNSRDSFLNLSRWLADARTLASPNLVVVLVGNKSDREDDREVEW AEASRWAAENGFFADVHFLEASSLTGENVEAPFLLAARAILLAIESGTLDPEKAGSGV SYGDRALRRVNSSSRLSFGSLSGARRRGTVKLKVKNWIPGSKCC JR316_0001030 MALQSNTPLEFLNGPNKQALLDAYVGKPIDSIRTPAIFIDRKLF AHNCANMHKKALDWGATFRAHLKTHKTVEGTRLQLHSQANQTHAVIVSTLMEAWQVVR SGLVADSTVKDLLYGLPVAINKVQDLSNLRQEFAQSGGIVRLLVDHPDQITFLDQFEA NQATSAKWSVFIKINGGQNRAGVSPGSPVFYSLLDAVVKSKFVRLFGFYGHAGNSYAS TSLSDASAFLSGEVRAVNIAAEYALDMISKLNHRVDVTQPFTLSVGSTPTAHAASKQT RELLSEVLHGTLELHAGNYPMLDLQQQHTSLIDYARIAQHVRATVVSYYPGRGVDGVD EALVDAGAIAFSKDTGPSGSFGEVIGLPWTLSRISQEHGILTPRNSSATPLKLGTIVR IVGQHACLTAAAREMRLLMYGSHGRDGECGGKPLSHL JR316_0001031 MRSADTQIPSSSPLSPKSTSRSPDSILSSGPTTPGPTTDSYFGN AIYESPPASDSCESESSYNEDEDDAQTDSTLDDAGLHPEFGHPLQRMPRIRSADHGLN YDSDAELKSLLKSASSSQSNVNIPDSDMVNYDRIIDILLERKPNPFISHNQHDLVLFD SPLDDTMYRYQEALPPLMVKRFKKSSSLELLLLLELNVPELREDPWNPSPHIVRAVER DDYIYLCMHRLFEYNNPPLASVAHYIDFFRQVLEGLSFLHEQRIAGFRCGEHTSFMVD LSSMPHTPALLRPGDELATPRFDRYSYPVRYYFVDFSQATRIPNSSPFLSSSTSTQDG IGANKRADNPFKRDIEDCGAMFNALLNDVPQITTKFKSLTKAMIFGGFMADDARRLFE ALCRSLDASVFDKLAIHEGPNKTLERAHTICHLIADPKNANRCREKVVSR JR316_0001032 MSVEKINSTKNSNFQHILSHATKVPGLIFLSGQTPTDVNGQVVQ GGIKEHTAQCIHNLGNVLEAAGSSWEKVVKVNVYLKNMDNFTEMNEVYEKLLPSPKPA RTCIQAAKLPNDVDVEIEAIAAA JR316_0001033 MASAPISNEILRTSSKNRDQKDDLIRLDMSQVDPEEERELRWMF DLNLLPPMAFMYLCNALDKGNVGNAKTDGWDKFWDAYFFICEEIFRCTHPSSDDDWIC EPLHANRTQIGFIQAALVDILGLDRGELASRIGLFYGIGSPILPKTTVPINVV JR316_0001034 MSSATGKMEIDKSPNNHSSRNLSTDTLRTRRSHRSVSRDHDHDK KDRRREPDERRKRSRSRDRGSERGYRDRSRDGYRDRDRDRRRDREDRGHRSSRHDGPD VRHGRRDRDRGDRERGDRDRERDRDRDREDRDRRRKRTEDYDGPERDHDDRVKRKRSE TLDDIPPPPPNLPPPPPPRDSPRSARSGDRRRGDKNDYDDPRSARRRSRPLDDDDDEL GMRSPPPPGVRRGSPSYPSYDNQMDDPYNPDEPKEDDSEARSVFVSQLAARLTARDLG YFFEDKLGEGTVMDSRIVTDRLSRRSKGIGYVEFRSIDLVEKALALSGTVVMGLPIMV QLTESERNKLHPGDGSLNLPPGVTSSHGSMQLYVGSLHFNLTESDIKQVFEPFGELEF VDLHRDPMTGRSKGYAFVQYKRSEDARMALEQMEGFELAGRTLRVNTVHEKGTAKYTQ QDSLDEAGGGNLNAASRQALMQKLARTESAPSLPEPAPRVNIPQSMQSRSVLLKNMFD PEEETERDWDKDLAEDVKGECENKYGSVTAIKVEKETQGEIYVKFETIESAKKAIQGL NGRWFGGRQVSAAFISDAIMQAHQ JR316_0001035 MEEIAISEPPCGTWFVIWTATSERPIKIYLACRNTQNLYRLHLP FTSMPSAKIYYDSDADLSLLEGKQIVFLGFGNQGAAQAQNLRDSGIPNERILIANRRD AYAEDATSKGFIVEHDFVKAAFVADILFILIPDQVQPKVFNEQFAPNLKPSATIVVAS GYNVLFKLLTFSPQQDVVMVAPRMIGSSVRSLYQKGKGYPCFVSVEQDGTGKGFSIAL ALSRAIGATKAGAIASSAREETAMDLLAEQALWPNIIMLFREAFSVLKEAGCSDEALC YEMWMSKEPAEIFERAAEEGFITQLKHHSIVSQYGQLNGALSLDGVSTRAHFKDILNN QILSGKFSRHLTNIEPELELEGFDNPLNRLYEQMEQSELAQAEKRVRERLAGLL JR316_0001036 MSQPFALTLPHLRRIVTSHDNKGHSVVVSDSEIPAEAMELVRGG KSAAIWVTTDSIPTHDNNNSEDGGKRTIEDISNFGLVHPTGTNLRSTELAPGAITGMH RTCSLDYNILVSGELVLINEDGTEKHLKNPGDTVIQKGTMHAWRNPSVHWARWITVLI AADPVVIDGKALVPEFLS JR316_0001037 MASSKDKYETFLINNVSTISTLESSLRSITWFLPGRFKDAELAS EALTSLLNVTSMYHDTLLARIVKANASYRPLIPTSLHTRYTRAWTDKNTLYRLTSRVL QIIRFTELVVEMGLRRKVSEKTRWRAVILLEAIKAALRLFLLKVTRRPLLSPPIPERE FDPASLPSLSTTSSPTLAPSSPQASPPMTPDHLRNNHVPLPPHPLLTSAQANVSAEDY LLPKALTTSSVKPSISLMRKLSGPRDWLSETIFILRPLVYASLVAADRRSLERSNRAI TIVLLMEFASRSLRRTPPASAELERAEYAKRDKDILWYLLRGSIWNSYTRPKLESFVT RTAHTPLLGLFGALLKDWMPLIDDYYYYTAP JR316_0001038 MSRAAPQSLDVLRTSPVRGQLEPYDPRTPKGCRYIQTTDTPDEL KMLLPPATASMYEQLLNLENYELSKKPNISWERIMEIRHLKDRMCRKCQKLAKTAMPI ARTSSRGDSFVFQTFVAPPDFRVKEMEKWFREQQKRGTVTSTPRKTIAPNASGTQDYS LAGPSSSRPVPPPSSRQPMQRSITIPETSNQVKQTLFHKPLPVQPSRLVQSEIRHSTT APPPPDLAGLLSPPPLPVLLLSQRESYGLEGDGFQSELPLPAPPVEVETGPSPTPPEP TLRPSLSRKNSRRNSLLELKTVSWADNNEIDKQLSKYAAAAREAQASGKWDEVRVLYL EQIGGLESLHLQVKEGLEQLRSETDHLQRIDETIRKQRGALDATFQELEKKQALFQEK VQEALSEANDALSRQGLKRDLTPINES JR316_0001039 MSVHIEHNQEDIYRGEPPHIHNELPDSAPSLSSNSADSVSSSSS SSSSSSFLAGGRIGAIAAKLELAITRWARNVRGNSSNASDSSSSSSSSSSSSQSSVAT LTKSQLARRRRKRTRSISSLRTMLSERDIAARITRMKALERSRQIPREFALYLPPSMM PAVHEQQAPQISTDAFQSTDRRVTWTTSLPLVLAQLDIAIRKPAKHRRPRPRNKFLGI SEAASDSPQSPAHYIPRIQRSKTSTPRSMSASPMGARKARKGKERAAPRMDKPTVIRE EPQVKPQAWFLDVANPTWADLRAIGKLLHIHPLTLEDILQQDPREKLELFTKLGYYFI SFRTIESQATRDKIRRETGLMETSSKSALDEGSIGEANVYLTVFKDGICCFHFTDISE HTNRVRNRLLLKEEFVNKSSDWITHNILDSVVDSFFPFLEDIEKEAFAIDHIVYSGET GPIVPSGPPVEFSKSITSDFIPLLKQEESPLSKVTLVKEKYSPAEKLRNSYRPRFASP RLNVRLFFRRTKRRVANFWELLWARPEMAPSGTQLTLRRMARTRKLVTVLGRLLATKS DVVMQIQKRLAKAAADGHNSEEFEVAIYMGDVQDHILTLQHSLAHYERMLSQSHPTYL AQLRTMSETSKGSTDMNLLLLTVVTLSVLCLQTLTGLFSLNVTIPTNAHAVGSPHNVF GIILSLAVVILISYLSLVRYWWNNAKRRRTSL JR316_0001040 MPRRPRPLRRAPPHNLGTPKKNQKKAVPEIKPKAVPKIIHFADA DSESQSENGGADNDEEVEELNEDEDESSEQVEFEEGSSQGTGLEEDHGQDDDVDADAP RVAQWEDDEEENFMYKKPIEEKSAMGDGTENLPLGALRRAQRILSQAEPESDSDSEAD SERISSNSGSETYNTKGKGKEKGQKVEWSAKPRTDITKRSSKHAPMEVTSKKPVTRRR TVIEVPKIVPRDPRFLPTAGEFSADRFSKSYGFLVENRKRELQTLRETLKQARKLLSS SPKELRSEREHEVYRLEQAIKRTESLVNKDRLDQVQREALSKVKKEERAKRSEGKGAW YLKKGEQRKLIVQAKYEALAKEGGQRAVKKVMEKRQKKQSQKEKRSRPYAKGEEGLSR KRSSDSGWPTAKRQRVV JR316_0001041 MAVKDFVQQTEQPRCSSQSTSRIPTRQAELLESNGPARVGQVSE VPLNESVLPPRPARFITPALSVVDNLDDPRVSFSSNRTEVSTATFSSNVTLLPTIQSV TSKTIRTNKLFDPCKNATQSTDILRTNLSPLGESCSSNRKGETTAIIKHIYPPDDSKL NKDAATMEDSHISMKPVPALSVFSRNAYPLWLPKLDHHIALLSAPYLDDGVDSRLDGM FPPMDDLAKTGRSIDDLETNSTVAPAWRNRTSIIGGSTNFLIGFLVRTMFVGQPTVDV KTRSSSFLVPMGGMDVEDKWRKLFLGTIPNILALNFAPVVIQSLLFFVAFMAIGAVLL YYFHLSTCQCDRYTSIEGLQQTETKRNQWGILIVTFLLTVLYLPLSTMSLHVLVWSEE LWAIPNPYLNLTTYPPIVAPLGPSTEFRDPLDFCWTTTMKRNEVNFAPIAVVLSAVVF LFFGLWFPIALRRVISRSVPKLDQFTELGRPRSSVDLDGEYHRLLNRDRNPFAFLYNG FRRGWGTYISTYLFAKLSTLAIIAIIDPDNCLFRTLSRSKVSVIRQSLLLVSTLSFFI AQCVFAPFLDPVNNASEWTSRLNYLSTSATALAITLNIPGKDIIDSYVLYCIYVITYG LGFYFSLINFNWMQRVVKRLTRRIDFSVDIFSPRYFHPISEFTDKYLTPNSRLDISSS SIHTKRRIWQESITTLLLTDPKCKIPKEQAMAFAQARDSEFPPYLLDFMDTPGERHVE NLKILREVGSIAYNRAVSLTTGPDYAWYRRLEDEIQKNYVGPDSYWKRSDRSSNPDCK SHFGNAWWIPFPPTLVIRYDDGPYTVLNDACDLEAYITQNSSRDIQRRRFVRMSLRAL EGRVIQWPYEDVQPVGSYSTWWRTRSYRAVTSTRYEHAILQINRRGHLLWKGLQLGSG FSVKLKYSKTVTVSSDILGLTEDFDLTPTLARFLQINRELIDEGLFEIEETLSHYRLY HRQECQRKRRIMTYRFLTFVYDHPRDPDGLIASLCEIEGDRRIQDLMVKSEPAFRAAY TRLEAVRSSELSTWWYIFWDDLWRRNHDTISSLEKYASDFNPYYKTSIAYTPLPRAAL ENFLTQRGMLHKRPRWGDYFHAGFLNKLYLRLNDTVFRDSDQAIMFHIGNDKRELDME DVDIITQGPSSTLGTGGGTDHNDSWIRTRPTYRWEGLLSDPPYEAHPFSYKWMAKLGA WMGITPLWRSGPRSRGLYLDLKLENGRYVLLDDHQGRSAFTN JR316_0001042 MSSEADSNLTPKSSLVFQPDSEATSRTSPSRSPRRNHTVPLVEE DKMNTYRRIIVFCDGTWQDGISTQCSKYTNVLRLARSVNRQDERMQPVISQIVFYQSG VGSEHNFYSQYVEAKSELEAKLGPWRDPNSNGRRRVDIDGDKFTVKCLGVWDTVGSTG LPEEIAMSKGASHVRMFGFPNHKLGVHIQYAYQALALNEMRKDFIGGGYDHHDLSDIS LMWMASQVEDLMSMDMNYLRALINPVAPWGKQKPHDSATGIFILADKIQRELPLTPNN VHTHETFHSSILEQETLDPTLAEKLANVPEFIEPLTSLEEAIKQYWPYDHNTPEAQAY ATSVNMSPPVPTLTRSPSWFTTLFRSISSSSLRITGTNAKASGQRTSVVQTKQSETIT IQIDPGSPSLRKQIGHGLKP JR316_0001043 MQGFYASLLNIFHFIYAVILLIRSFWQRNSAPPPLPLRASRPRI PKHLAIVFNVDPTVSDAAAQELLTESVVDSVSWCRSVGIHKLTVYEENELLYKCIPNI RRRLPLHGQESESSDSETEYPITPPASEYSESRPLSPDTAQGRNPPITTILVSDPIPR RERQMHKKLQRRHQSKIADEISARANLLLCFASWRCSKPAIATAAQELARKHSQKTRK GFRSRVRDAYRLSVDELNQILEGKDDGLSSPDFMIIHPIESSSNILAPPELHGFPPWH IRLTEIYQNKPPKSRLQRIFRGKWAHLPTALDETSFRSALDEFTSAEMRFGK JR316_0001044 MSFTFTSTSKLDKRNSFQTSTVYLTPTKRRRLTSQDPQVGSSIQ DIYNWTFHSDAVAKCFVGDILELRPSMDRKYDFYWLKSVPCRSVKIVGMLVGIQVYEK RILYSVDDGTGVIDCYHALPRQYTALKADKLLNSEIVPPAPPPKPIATIGYCLEVSGT VREFHETRQLHVTDIKVCSSPNEELLHSKAVQALHTSIYRNSEPFIIPISPQKAKAQV TPSILSEITPSSVSSSPIKSLQVNSKNKSPIKLRHPSRLHSHDLTENTFRIYVKHFMD NAPITKGYSSTDDDFDSHAAHIPSTPTKSYRDDDTPRQFHSGTNVTITPKPRIEPLNY GVNTPLQSTCSRAPQEKLRQGVTLSFLRRVPELSLLAGRVVQAVGRRRLREEKQKLKD SGIIPSRAEKARILALTSIPSNKFAGKKKRLFQWAIIQLLKEGCLVHWDGLVCPLPET PYLETSRLWKTNITSFLNDDSNVFSTTAGSIASASTENSGDDGTLSDPDPDEEAYISL TADLLAEHVEAAIKTLVDHYEKNGKPYIGATKDGILSVLRKDDRWRHVGEWNVQDALE LLHGEGKVWAMKNGRWDLTE JR316_0001045 MPSKSPAQVLLEKADKKANSSGGWFTSSSTKYEEAGDLYQQAAN AFKVDKLFKEAGDAFAREAECRENCKEENEAANAWWNASKAYKRGYPDLAIQALTQTI VHLTKSGRFRQAADREKEIGQIYLQENNDLRKACESYVRAGEWYQQEDAAATANACFK DAADLHAELEEYGQAIARYEQVADQSLTSALTKYSVKEYWLKSILCALALGDTVTARR NLTKYSNQDVTFASTREAKFATALIEAVEAGDIEAFTGSVFEFDQVTKLDNWKTNILL KIKRSFDDEPGLA JR316_0001046 MAGLPLEAIKSFSDAHPKPSELHFQYGTAGFRTLGNTLDSVIFR VGILAGLRSKKMDGRTIGVMVTASHNPEQDNGVKLVDPRGEMLEASWEAHATVLANAP TTDALVEALEALVINAKIDLSKPSRVVYARDTRPSGPALISALEDGFKALGVEARDGG ITTTPILHYLVKAINTKGSSESYGEDSEEGYYTKLSESFKKLISGRTKPSPLVIDCAN GVGAHAAAKLSTYLGESLPMILENTATSTTGTLNDSCGADYVKTMQRLPPNLVNVMKP GQRACSLDGDADRLMYYYLDERGLFHMLDGDKIAALVAAFIVELVKLAGLDSEVKVGV VQTAYANGASTKYLAERLPVKCVPTGVKHLHHAAENYNIGVYFEANGHGTVIFSPKTQ EKLAQHEPSTPAQSTALNHLINLTQLINQTVGDALSDMLLVEVVLAHKSYSGVEWNSL YADLPNRLVKVVVSDRNSFKTEDAERRLVNPPGLQAKIDELVQRYEAGRSFVRPSGTE DVVRVYAEAAVKAQADELAFRVAGLVYDEAGGDASKRPKEFL JR316_0001047 MCRFVIYKGTSPVQLSHLLTRPCHSIINQAFDSRLRLDRRRPMN GDGFGVGWYDSVYDEELGSQPCIFTSVTPAWNNVNLTRLAEKIKSPLVFGHVRATTAG SLSLDNCHPFVHGKLMFMHNGGIAEFPVLKRRLQKDLPDVAFDMVQGNTDSEWAFALF LSKLPDPNARSFTSETLRKAMTDTIASLNQYAEEFNITEPSLMNFCVTDGETVVVTRY ISSKTEEAASLWFSSGTEFSEYAEGGHYKMSKADKRENIIMVASEPLTFERADWMEIK TNHMVVITPKMNLLQIPIKDKFYVPPSDPAAAKRKTEFAHEKGFLNLREPISDKPLKS ARRHTLTH JR316_0001048 MEGLSSLLVVQKLGQGGKRLAARGEAYQFGLLIATSIAYVASAW WIVNSYPTAASSPLSSTLLGVAITAFLFLTIIGFVLRRTNIIESSGLAVFIAYNVWLC GFDQKSFTDPASSYAPLLPNILPHIQTLLNFVINTLPKPVLIALLYRLSILHLASGIL PTIGADSWESEEGVDDNWNDRPTSMLTRLLLTYRQSIFITVYSHLLLLDHSSQIWWRW MNIFFTLVIWSVELIVSSEDDIAAKEYKVD JR316_0001049 MALTPAASVEASLRDSQVSCILTYEPLDTYKITTSVQDVTSGAT AVFIGTTRNYFKGKIVTRLEYQAYSAIAIKTMMNIGKSAITGAFRSQHQPHAIQPTMR CAIHHRLGTVPIGEASIVIAVSSPHRKEAFLVCEEILEQVKLKAQIWKREYYEGEDES EAEWKANF JR316_0001050 MQCWRRSLSLSHLQCRRHNSTDAVILRPYQEHCLQACTEALASG STRIGVSLPTGAGKTTVFISLLSRISPPPENMQATRSLIIVNTIELAHQAATRVARLF PNWTVEIEQGTKHQATGTADITVATYQTLNNERRASKFDPLKLKAVIVDEAHHAAAPS YRRLLARFHSDIIVKSELDEDNEDHAPKDSGREDLKSNAEFDTTVASYEVSTLPKVPI IGFSATFGRHDGLALSSVFERIVYHRELAEMMLEEWLCKVRFIGVEADLDLKRVTISS HNGDFNPTSLAHVVNTEAINKIIVKSWIFHASQKKSTLIFCVNIAHVEALTNTFCEAG IDARSISGRTPADIRKSTIQAFKEGEFPVLLNCAILTEGADIPNIDCVMIARPTRSRN LYAQMIGRGMRLSPTTGKEDCLLMDFVDTHDRMNENLAVTPSLFGLDPNLISKSESKS QESDPKVSFSPTPIDISDPKNVTFTDYGEIFLNHLLPGSKPSHIYSLSSLAWVDCGKN LYILEVLKKGTIRLKKEGGGRFVITYNPKLGYGPQKIFMKSKEIATREDLPSAVKTAE VFLERVLLKDNGGWELAALRRSAKWRKEPATDQQQKFVMGKWTEKISPGMTTAQKKEI LASLNKGNIADIITRLLNGSTSHMQRLAAAMTKKAAKEEKEKARRSRETVTVGPLPA JR316_0001051 MSILRSLQGTRVRSLHRGFSTLSDLHSGNRTLLKGTPVDIHPEV EEALAHNKPVVALETALVTHGFPYPSSLKLPLDLEQIVRSTGSIPATIGIIGGRVKIG MTREELDRLASRVNKPAKISRRDIAAAIALKADGGTTCSGTLVFTALAGIKVFATGGL GGVHRGGEKSLDISADLPELTRCPVGLVSSGVKSILDIPRTLEYLETLGVPVITYNQS KEFPAFFSRHSGQNVPWNMDNPSVVAEMLFAQHQLGMQNGALIAVPIPEEYEEIGKEI QEYVNQAVLESERNGISQSGKDATPWLLSRIAELSAGKSLPSNIALLENTALVGGQIA VKYQELVNRAAYTSGNKSSSIFHPQSTPSASSFSDHSNGENAHHVNLYPLRTQTQFDL PINQPPANVVVIGSAAVDITSQEHPNTNSALAVHSTAPGRVNLTLGGVGRNIAEASHR IMEARFPSLSTVLISSVGRDAFGHLLVDKFNEFGIRTDGLIRLDHASAVCNMVLDSKG TLVGGVADMTIVKTMTSDVILPLLKKHTPTIVAVDGNLSPETITSIVEYCEIHAIKEN SSEPTSVIKSTAILPAIKSSQQVNIPAKPVAFCTPNLLELDHIYDAAQSDLLEVDNSS WWSTITSFNLGSAYRNDLEQLARMPASDKPDSNATLAFLIDEGIAQKAIHLLPYFQHL VIKCGDQGVLVAMCIDAKDAATSGWARLRSNPKQRYVIAHGNSDEIILLQHFPSLPIA ALENVTGAGDSFVGALLATLASDTNALYHPKSLKDAIYVAQKAAVLTLQSHSAVSPAL STIDN JR316_0001052 MSDAKKGKSPREFAIDFMMGGVSAAVAKTSAAPIERIKLLVQNQ DEMIKQGRLATPYKGIGDAFSRTYRDEGILSLWRGNTANVIRYFPTQALNFAFKDYFK SLFGFKKSEGYWKWFAGNVASGGAAGASSLLFVYSLDYARTRLANDAKSAKGGGTRQF NGLVDVYRKTLASDGIAGLYRGFVPSVVGIIVYRGLYFGVYDSLKPVVLVGQLEGSFL ASFGLGWGVTIGAGLASYPLDTIRRRMMMTSGSGVNYKSMFDAGSQIIAKEGTKSLFK GAGANILRGVAGAGVLSLYDKLQEIMFGKVYSGGGLAGRFKSFI JR316_0001053 MAATDVWMDYDAIRHGIKGNTVDRLKQILTGLNEECGTHMSKSG KKQEIIDRIIHTLESWRANNSEDKWMRAKSIIYQVRSHGSYTPSRMPSLTTTLPPVPN PHTAYDPPKPNHYTQALPGSSSSIGHYDPYAPPRRPTGLTPHPSSSATKVTGIRFKDS PFFAIDQSVSSLVECPESTSSTDRRSQSLTFTLTADQSTKMKTSSKFQLRLFCTSSVF FPGMGSFRAGNFPCPMEFPPTCEVRVNGTQITANLKGLKKKPGTAPPPDITKYSRTTG TNRVEMVYVNSQQPVQSKKYFIIIMLVEATTIDNLVNNLRGQHLKSAVEIREKMLQAL SEDDDIIAGPQKMSLKCPLTFVRIVTPCRSSKCVHPQCFDATSWFTMMEQTTTWLCPV CERTLDHKDLIMDGYFDEILRQTPDSVEDVMVEADGQWHTTDNKYGSREWIAAHPPSA NSSSSSSKKPPTPTHNPTCPPSNGSDQANSKGKQKEIFVLDDSDDDEDEGRVKRELSP SYASSMNLSFEGTLPPMTQPQSQSRPQSQPSTQVIDLTLDDSDEEHPIISHAQGKRRA TESDLDNSADPVWKKARIDPSSRILPAPPVSSIPNSIATLPHTSLMNNHPPPSPVHYQ SVFSGNVLPPPTVNGYPHYNAGRIGPANPSLQLPPLTNPTYRQPPPPNGQRWRPA JR316_0001054 MVSDQEDNGTLTPEQREARDKEARLREQEEQAALPYSWTQELGE VDVTVPVPQGTRGKDLAITIDKKRLSVGLKGREPIMSGELCKEIKVEDSTWTVQDNKA VLIHLEKLNKQTWWENVLTHHPKIDTKKIEPANSKLDDLDSETRGMVEKMMFDNQQKQ LGRPTSDEIKKMEALKKFQAAHPELDFSKAKIS JR316_0001055 MSDPRFARLKSDPRFRRPKTKNNKVVIDERFKSVFEKDKKKPAG RKVDKYGRPISDTQDQDDLKRFYRLERDAEQHEDAESAPRKPDLARGEVLLESSDEED EEGKAEEKDSDEEEDDGFVTLGRDSSRPIAVPNDDEEEDAEIDLDEDTFADLEAQAAN YAKAHQDEDENEDAGGQRSRRLAIVNLDWDHVRASHLYKICASLVSPTAPVVRASAAK VPERTKDRKGKRGEGPAQVNVVRGKVLSVRVYPSEFGKERMAREETEGPPPEIFKKKD LDVDEINEKTVFEVGGEDEYDEDALRKYQLERLRYYYAVVTCDTVDAASHIYNELEGT ELERSANVFDMSFVPDDMTFENEPRDEATDELNTTYKAVEFVTDALRHSKVKLTWDED DPERTQITRRALTRKEIEESDFRAYIANTSSESEGEDAATAPKKKKKDKKASRDKLRA LLLGGNDEAMPEGWGDDAKDDVDMEITFTPGLTEKKDEENETSLEKYQRKMREKRKKR KEELKEAKPKEKEKEKVLDDEFFEPDSDQDKPAPKTKKGKTKPAQNEEASSKQEATAE ELALLVASDNPNAEPKHFNLKSVIKSEKQKKRRKGRKGKLEDDAEAQEDFTVDVKDER FKALFEDHKFAIDPSNPHFKKTKAMSALLDERQRRQRELWGDRDESIPIPKKDLPDQG SKSLQNLVESVKRKSAAIDAPGQGKRRKL JR316_0001056 MLSRAALFSLFVVFLTGQVIAAPMPMPAKLSMRGVAQRSPEPLE TIAVYRRAVNTIPAEEATKAPDGVIQPYKRAVNTIPAEEATKAPNGVIQPYKRAVNTI PAEEATKAPNGVIQPYKRAVNTIPAEEATKAPNGVIQPYKRAVNTIPAEEATKAPNGV IQPYKRAVNTIPAEEATKAPNGVIQPYKRAVNTIPAEEATKAPNGVIQPYKRAVNTIP AEEATKAPNGVIQPYKRAINTIPEEEATKAPQGVLQPYNKRAVNTVPEEESTKAPNGV IQPYRRAINTIPAEEATKAPEGVIQPY JR316_0001057 MTEYDYSPEAYERHLATQNRIANWVQHTEQHRPQFENARSPSPP QRTRHHQPPRPLYIHPPSPASDSSEGYAHRPGPMPLSSPPIMMYPPPPPMLIHPSYTA APHHKPSHHRHRSPHAHSRSHSQQSPPYYSPPVSPGYQYPYPAGGYFVVQRAPGPMPV MSNIPAPPNISSFSSASTAYFQPATSAASSHSAAHLYPPPLPGPDAWSYGAASPSHSV VSGSVPAHYFPQYQGIHSAMASPAYSSPQGLPQLHQRVYHLQTHHYRRSVG JR316_0001058 MSVAPGNYFTGEIEEDEVPWWNKDRDTPPPFKLVRKISVGINLG NGDISLQSLAIFPWDGDSLDYLWGGVLAVEEDVARWRSEVIDQLWDAVAVGSPLDLFV CFTQRKSMPLWTTLNNKQEVHSADKMCVAWALAKENPFLPLVIFSRGSLLFIYNVLQK GMAGCIRGHGGAITSISVHPTTPHLFCTTSRDSTTRIYDLDLSASFEMMPKSHRIADK FSGVPNPPWPPVKGASVAGAAHGLRMINVPNLTGKRGHPPIEREGAGRGRAVKIWPVR PSSETVIHREDKPLLSSGNIHKSRVVSISWLSTDMLLSHTAPAIMRDAPDDKNNKRVH IEPGELAVWQWLGLDRFFPATHEEANIHGLRGCASDYYESGSYKVIAVHRFDDTQDHY TAPSLSVYQSHTHGPLISYVVPGDTKVNLVPVEQLEPRQHPAFSRETTERLLGDSAVA VGGGVSLADIAGRMQLGGDASHREGLAEEEEQEARFEDEANGGQSREGVRGSDGRVAP RIDSWTVSMETSKSRLAGETLNSCAVGLEGELIVGVGTKGGVWVWRMTTRLKAMGALL D JR316_0001059 MSEEAEVSNSNTPAFDAKSPVQEASRIALQGAAVGLVLSSVQNA LGTHSHGAMGVVTRTGGTIGFFAAMGAAFKFTETYVANERQKNDALNGAAGGCAAGFL AGLRSRSLPMALGGCAFLGLAIGGFDYTGQLTGSTETKDERRKRFFKSPPPPLVEVPS E JR316_0001060 MASSVKAVQTFGKKKTATAVAHAREGRGLIRVNGSPINLVQPEV LRLKVYEPVLVAGEESFAPLDIRVRVKGGGHTSQVYAIRQAIAKAIVAYYAKYIDAYS AMELKKKLVAYDRTLLIADPRRMEPKKFGGHGARARRQKSVKIIVISGKGVTVTHSMD APPSSQATQADAPQIFRNSDGSSLPIFTEAGGISGRPKFIRRLKKYGAFICSDPKEAE IILVNQDTTQGRQFIRDWGTDDTKVVLHYTWVTKSIEQERPLLADDNWGGCKTRDDGL PIGEDGVEDEDIRNFKPVEVKKPSSRVSDYDRHTPFSQLQQSARTSMPPPAASTSPTD SRSMTPMSVSQSQLSQVPTPAMAMQAMAMANPMAQMQFPMQMPGMVNPMVNPMMNQML FQQMFNNMMMSATGGQFNEDAFKLALADIVTNKMPGSQLQASTFNRQETRSPSPVPEQ ALPFPEVPRKLSHRRMTSESSDSPPLSRMLDKKGKHKEGTPPPKRRKVLGSPSTNTSN DMKAKKSAGTTASSSKGKIFTTSGRPLSFFVQIDLHNRFNVVDAIKKNGGLIEKDHEL ADYVVLYSANKVQKTFNELLRAAQLAGKTAVPSKYIYECVEKGKLLSPSQYEFDNVVG GKRKRPSTAAVVDESDDIARKAEEKRLLRNQQQNERRKQRLLEVRAQAPKAALSEVAA KKAMLAEGLRKAEEKAAAKAKMMEASNSASISNGAMEGPPSPPVPPEHTRRLGGQGYA FTLEERQFAARSWKTHLVKDLGKDMDAWRKRASIAFRKSLRLSQVGSSSSVVESPMDE ESPPRTSVTPIPHDFIPSQIAHPNGHVNPSTRDGLTEEEFKLLEEDDLNVISQFFAQT DDTEDEDEVWSRLGSTYPCQTRSNWQEFYQAHYEEVQRRYAEISSKESNH JR316_0001061 MLGKAISRVSRLPSRATRLTTRIVKPTVNVKERPPVQQQAAPIL EPVYLSLTPSLKRGRWDPPAESNVAVLEPTVFRHPLRKDILHLCVTHYLDSLRQGSAN TKTRGEVRGSGRKVRRQKGTGKARLGDNQSPMLRGGGVAFGPKPRDFSTKLPRKVIEM GMRVALSAKLREQRLGVMTRLYWPNGKTKYLVRRIDQLGLRKTLFISGEPTVHEGLRR AIGNIPLVQLSSVSDITVYELMKWDRVVMDLKAVEYFEQRLKKQNIPTTALPIAAAEE VKVPVTLLAEESQTLQTSSP JR316_0001062 MEINTDKDHSPTSDANSSLIDTPHENSLSYIHNLSDDLLREIFK LYIHGSTGLEENYTLPQDILSTVCKEWRSLILNSPLLWTTIQLPLQPRYNVATYFERS APALVDVSYDRSHFHWIDDLYDAPSQVLDAISSNISRIRSLKIRTWAEKEATSILCAW KGKQATQLRTLEIISPYIPSDSNLFFGLTSFSDMSNLRSLIVEKFLFQGFYPLPNLIF LRVDKFNQGLEDLRALVDNCRLLETLIIRQFSGSLNGAVPEDSRQKIASSSLRSLSVN IDDSHETGCSCFLPFLSAPNLEYLEIAYVSNHIDAHLESIFYELTRSSNLRTLHIHSD VIWSGSLSILSSLPATTDLHLHSGDLLSTLRIGLPYIFNTTNLKSVTLNLQTQSFYQF FSQPIALPNLRFPFFLRSPPEASDWQEFECQIQELLGSSLAHVMPPSKENGFLGDFLQ TQLLNETWEAWGEEDDDDDFEDYQDDFHSDDSNYSIDIHPGDYLDGWP JR316_0001063 MSQPSQSQELGEDEEQEFQMTGPLLVNKLQESGIHPNDIKKLSE FGLNTVESVAFTPKKVLLTIKGISEQKADKILAEAHKIVPLGFQSATEVHARRSELVH ITTGSKQLDALLGGGIETGAITELFGEFRTGKSQLCHTLAVTCQLPVNMGGGEGKCLY IDTEGTFRPVRLLAVAERYGLNGEEVLDNVAYARAYNADHQNALLTSASALMSESRWD KFAIICMYSALSSPAGRGELSSRQNHLGKFLRTLQRLADEFGIAVVVTNQVMSSPDAA AGPYAGNEKKPIGGNIMAHASTTRLQLKKARGNTRSCKIYDSPCLPESETHFAILATG IGDPEEET JR316_0001064 MMSIPIQKVVASVSPTGTTIHDRFRTARHRESLSDIRQPKVLHP LENEGLRLLILENISLDAVAAFRAQGYHVDHFSKAMSEDELVEKIGSYHAIGIRSKTK ITERVLKAASKLLVIGCFCIGTNQVDLTAAARAGIPVFNSPFSNSRSVAELVMSELVA LSRQLFERTYELRNGIWNKQSKGCWEIRGKTLGIVGYGHIGSQLSVLAEAFGLRVLFY DVVNIMPLGSARQVETLASLLSQSDFVTLHVPELPETINMISKEQLSQMKKGSYLINN ARGKVVDIPALIEALQSKHLAGAAIDVFPSEPGANGAPFDDQLNSWASVLRALPNVIL TPHIGGSTEEAQKMIGEEVAQALSRYLSYGSTVGAVNFPEVDLRAISAEQGGQIRICH IHKNQPGVLKQVNEALSPYNVEKQYTDSKGEVAYLMADIADVSFKDLPHLKDRISSTD SNIITRFLA JR316_0001065 MGLFLLDYGAGNVQSLANSLVSLGYSFQWIKQPSDFAQATSLIF PGVGAFETAIGHLTARGLFQPLKEYILSGKPYFGICIGMQVLFESSAEASSMGLGIIP CPIQKFDDEDKSVPHMGWNTADPLVSTDTEGVDASSYYYFVHSFCAKYEPERYPDAMR WVHTTTQYGQEVFVSSVRRGNVFATQFHPEKSGKAGLALLEEWLSKPEAAHTHAPSAP LLNRTPKAAHNLTKRIVACMDVRANDHGDLVVTKGDQYDVREKTTTADTAGAVRNLGK PVALAARYYQAGADELCLLNITSFRHSPLQDQPMLAVVRAAAECVFVPLTIGGGIKDS VDPDGTPRSALEVAGAYFRAGADKVSIGSEAVYAVERYRASGAFDPKGAIESIAAVYG KQAVVVSIDPRRVYIDDPSTYDGPYKDELVYGAPDSVDERERGKAWWYQCTVSGGRES RPLSAVQLAQGVEALGAGEILVNSIDRDGTGRGFDLALVNLIKKSVKIPVVASSGAGT ADHFVDVFEGTPVEAALAAGIFHRGEVGIDEVKQKMKAAGINARETSK JR316_0001066 MAGIHPSRQSQSRLRSASDPFTDPAAAARHTKQHPPHPPPPPHK SHRMPAAVHSSRNSSQNDIVDAVRDTVQLRSDPRAKVSRTQTAMSPTSASRPSGKRSQ SEDTTYISEKARSPAPPAKSRSSKKGSQHADVIDRLDFTGVGPMFHHDGPFDACAPSR NKHRNKAPMYAYGQDDAPAPRYGDSAYPAPGAYKAFTNDYPDPPKKKVDAIAEAWGIH EPEPFEEFFAGGGSARPDGDTPASSIYNGKERNVPASSSRRPTKDTRDSREGRPHVAA RRSLVPPPQPIFVADADMMDPPTGSPPATSPGFPKRSKSIMQRFRKMRDSPNVPVSST DYDYEHQAPPPQSPPLPAEYANGNRPTHKSQNSFLGRLAGGNRPNQSPQGEVTPSAEP FVFIDAHTNRDNNKDLPPPPQMNEEPSTSGEGYFDNSQSNGLGSPGGGGGLGRKTSLM KKVGRVVRGTK JR316_0001067 MGATTLWTRDQVAARILTGDTLIVYHGHLLNIPKKWLDAHPGGS LALLHYVGRDATDEIEANHQDDTLKLIPRYSIGRVELTDGVWAPFVPPIMTGWVRRRA SGANHDVWFKEALEVYSTEDTKFTPSSSILLKENTAHTTDLSGPSLASLQPPPTPLSL KQQARQSAAYRKLHKRIIDAGLYKTRYFTGYGPEVARYLLLASTSAYAYSHSWFIASA IFLGLFWHQLVFTAHDLGHMGVTHNWTYDRIIATIIADYIGGLSIGWWVQNHNVHHLV TNHPTHDPDIEHMPFFAISPAFLDSLWSSYYKRTMKLDAFAKFLLRVQHKIFYLVMAF ARFNLYANSYTYLYQKAFDTKRARGGWWAWRMEVAGIAFFWVWFGRVLYGCGSWQMAL AYLLVSHAVTSPLHVQIVLSHFSMPTGDLGPVESFPHRQMRTTTDVICAEPIEFIHGG LHLQVTHHLFPRLPRHNLRRASALVKQFAKEEGLTYAEFGFVDGNFEVLDTLRHVAEQ VKIMGTVAKVEAKEAIEKKMDATDARFGLGGKDKVNGVHASRVIETTF JR316_0001068 MGTRIIGIGLPDDFKTQVLHANHFRKDWQRRVRTWFDQPGRKLR RRTARKTKAATLGVRPLTLLRPAVRAQTVRYNRKVREGRGFTLAELKEAGIGRKEARG VGIVVDHRRRNLSEEGKKVNVERLQAYKARLIVFPRKAGKPKKGDSSAEDLKAATTRA PIALPPSVALEAPRKITAEEKEFQAFRTLRIARANQRHEGARKARAAKKDEEEAAKKK JR316_0001069 MSPPSVTVYTNHPFRAAHVGSLLRPKPLYKKRQELEAGKCDGLS LKDVENEAIKHVLKLQQNVGIKLVTDGELRRGYFFDGVFEKLEGMTYVPNRTLFSTSS LYPNTQGLLYSLGPISAFKGKVRRTQPFYVDDFKFLKSLVAPEV JR316_0001070 MIFGMEQAGVDHEALLDTYIRAINVVTQGRPDDLTIGVHMCRGN FKVCLFLLHGSDHLMDDINFQGGMHFTEGGYERIAIKVFNALDVDLEYDTERAGDFQP LKFLPLGKVVVLGLVTTKNPKDEIKKLSLLVEAAKKIWHD JR316_0001071 MVKVGPRLPPPTRRELARQEKEAKRAEQLANGIDPALLGEPREH LALLIAKKTRSKRLEPSVLEQSWKKWEKNQKRAHARRLAKSLKENINSEGSQDYGDTS QSASSITNESNQDPKVETIRGDSVDARLPDMNSTASEMHGILVSSPACPLGPVSSELS SLSLTGTSLSLSSSECRGVLDPGSSTSLLPYASNTPLLGVQISTGDFWPDKNAAPSNL LDDMKEANESAKIKDITHLQGTPERGKCTDPPTVSSQCPLNTLSRVSSLRKSRTVMNA ALAPPRLTSRKGSASSFIFSEPLDSSKFSSKSSVSASINTQRGESSVVGGSESVTPTV ESIRGLNLTPRARVSAYVRPRCPVLDADQTILAESRGRDRDVNDKDTTKLTTWQPPVP PSNDTRPGIENTFGTIAAPASLAKQVTKNAYNNHNDVLNVGILSGGISQHTNRYAAST PEVTSALEPKPIQDRVLKELSNNAPTDQAELQPNTYQSNTQTPIVNEIKPKLGSSCSN VINEGSSSVQTMDQPNVVGAGGEPVGPDTSRTPAVLAPAACIVQDKKPKPIKKDEVVE TQRSQRRSFFNIQPVLRSMLRPAARDNGSEQPTASGKYPDTPLSSWSGIVPPVPTPAT RSPLIFAMGLPSPPWSRSNVLPRHVADTNPEAGTSRGFVFGTPRNVSIPSSAYNDGKG SFSYQLPFDNQTQLAIMMSDSTGFNAGGVSELQSVRPSNGRSCNTTEPTLQQCRPYLI EAYTQAVQPVTIYVIVPGGSVTTLKPPTGPTSFTWNANAARGTSMMFMLVDAQGRQGG SSDIIPVGVSDDTSCLNASSPSTTSNPPFSTSTSSSSPSSTSGSKSGISIAAVAGTVI GALIFLSVTVTLGLFFLRRKQEKANLGFSDNKPSRPPRMGSGTDLPYDSNHGSGVLHS GGILPSNLPPLSPYPYSPNSSIPQSSNPFLDSHSGGPNTASSHNLLLPSGQYQPSPFD ASSEYLPRPPHIQGYLDQSPLEPNKSSSPSKPPGIEPETYLMQTRPPSSIPETISTSR KAALAAAASNQRPTRFIVHTDVEDDIPPPNADGIVELPPQYSERRGAPSSAIHPPEQA GPPPGTYPL JR316_0001072 MFFVARWGSAVYLSAFLVPLVVNAFNFTNTNPTQCDDLTIQWTG GQPPFNLLVIPPGQILRNITIPPAAFANNAGTFTTQLALAEHQRVLFALSDATGVTAG GTSALFDVGASISGAQCNTTSPTADFFFSTDNDLFQCQPFPFSQYPNAVQPVTIIGFI PQSPPLIFHPPIGDSFSWNPVNLTAGTSIAFYMTDSQGRNGGIANIEVIRLSNDQSCL TTASSSGNPLPTGTLQPDSTRVPSSHTPLVAGVVAGAAALILVLAFALFCVRRRRNQG PTEKRSSRKPIDPVYEHAGSPAPEGASYLMIPTQYTVTPLSEAETMSARSRKRQMMLE DRAENQSFPSDTSRIQSMTQYPPSTPGPSSQFGTSGHSILSGYSSMVATSPDSDPRSQ YKPSPRLIIHTDIAESSAEPLELPPQYSEGRMPIPGMVTSEEPDTTLSQNSSSRPGKN FRS JR316_0001073 MNLFHFVPQMTDETMDIDSAPTVAPSNQNIHHSIISTHTNQAPH APTPTIRDNFREIQVKVHVRNPGRDTWSYQGRGVVTQEVSGHSSRVVVRSLTTGKVMT AFGESSDLQAEKRGNFVVIGCVDGNRVISWSLNALNNSETLRLLASIELACYKCKQAV ADPRSHSKSRRKIERLIKDDRRKRHRRRKDQEAMIDAFARQNLSPETPQEVGPPQPTS JR316_0001074 MPGAVSVSSQQTAQYQDPIEAGEILAHGEEEVDANQHEMEEEDA KAEEINKKRDEEDEDYIYSSQPSSDLASSQASKSNESDDEEDEAEFTDEEESEGTEVP DAELHPQKETTI JR316_0001075 MSVATPTGSSQSPSAPSPSNPEAASGVPATDRLIVEYLKARGHP SAAKALLDEIEAASPNESGKQGETISAEDFVKLLSVFAQKQSKPGENALKDSANVIQE LTSLGSSVNIQNLIASIGTVGAEELLSLDPTDKQEGFRELEAWVDGSLDMYRPEFRPI LFPIFCHFYLDLIQHGFKDAAIRFFQKFSSSLAPSHSATLHHLSTLLLPAHVQSDDLA QRFRNEKYAIRMSRSGFGLLVGWLTEGVGGEALGAGDGFTGEKGKRGRAAVMRVVNNH LRFDVTSSNPISVSPYAWEESTGLLSSLIPQASGSKTNLTNPQAFNASKGALKLGQAP IPEDLRTEAERVLREQAMMDRELTSQYDMSLSRPIAMPPGVTAPTDADLLPHPPSFKT IDIEREVSAVRDARKRIRLEPSVLANIDPNSPQASALRARALPSICAYTLHDVAEGSP CCTFSPDTSLMAAGFAESYIRLWSLKGEKLKGLRSDFSASTVKDASSLSKIKEKKGST TRKLIGHSGPVYSVAFDPLSGSAAPPKYLLSASADTTTRLWSMDTMTNVVAFRGHENP IWDVKWSPMGIYFATASRDKTARLWSTERTSCLRVYAGHLSDVDCVQFHPNSLYLATG SSDWTARLWDVQRGSCLRVFIGHQGAVSSLALSPDGKYLATAGEDLAINLWDIGSGKR IKKMTGHTSSIYSLAFSAESSLLVSGGADWTVRSWDVKGAGGPRTKPQKNGATNGSRS YGEEESTETSDLLATFPTKRTPITNVQFTSRNLCLVGGVYLSPDSR JR316_0001076 MASKQANRQAITLKGSTALVTDFFKYAVNTILFQREVYPSDDFH MVKKYGQTVLVTQDLALENYLERILKQVQKWLLTGSVTQLVLAIISKDTRTPLERWVF DIMLVEPPADGPDQSRQAKPESEIQSEIRAILKQIISMVTYLPVIHEPTVFNILAYTS ESADVPAGEWVDTDPLAIEAGKSQQVKMRSFSTDVHRIEAMVAYRYDEEE JR316_0001077 MGETWFQREFLSPRRLFFNILFYGSHVALFAYGWWSQATNTRLA GLNTLKFSVWLSRGAGLVLAYDGGLILLPMLRNIIRVIRPKLAWAFPADENIWFHRQV AYSMAFWAMVHTTAHYVNFINVERTQIRKQTAQDIHYTQPGGITGHFMLLIMVLMYTT AHKKIRAQCFEAFWYTHHLAFFFMLGLYTHATGCFVRDTAEPDFIKSFPFYSTEHCLG YLSWRFIIWPGIIYFGERVWREIRARRATRLSKVLVHPSGAMELRIVKPSFKYTAGQW LFIQIPELSSFQWHPFTITSAPEDPYVSVHIRQVGDWTHSLGTRLGVGPSVVAAMTQA AMKGAEKDEKYGISRGDFVELDPTVSSITLPSVRIDGPYGAPAEDVFNNEVAILIGAG IGVTPFASILKHIWYRQKKGKLGSLRRVEFFWVCRDAPSFGWFQSLLQEVEAAQADPN FLRINIYLTQKIGEDMLWNIAVNDAGADYDPLTLLRSRTMFGRPDWKAIYSQMRQAIE SGQYLPGSTSQLKTKVGTYFCGPGVLAKAIKEATVENSNKSVEFLFAKEHF JR316_0001078 MRYEKTGLRVNELEPHWTEGGGDGKERAEYATARTWRDRLSSKW RKILWRSLVTLQGLLDMQSNPSGAPKFRPPRTVTYKQSLEEYFFDPHGSSSTPVRRLN AAPRAETSRQATRRLPKESHKERPKAKIRWMKGELIGSGSHGRVYMGFNATTGEVMAV KQVELPQTASDRMKTDMKTIVEALKDERETLQDLDHDNIVQYLGFEENLETLNIFLQY VSGGTIGSSLRKCGKFKEEVTKYFTSQILEGLSYLHLKGIIHRDLKSDNILVEETGIC KISDFGISKKLQEIDRAFSSMKGTSFWMAPEAISSEDGYTTKVDIWSVGCIVIEMWTG NRPWFGQELLPVLMKLINEKSAPPLPKDCHLSDAAKKFRNECFQPEPAKRSSASALLN HAYLELPSDWSFPGMQYLGYKPLNKSHDDITEFADLKEKTFIADPREPTIRAPSPVVP VNLGNSHPNLSSRQPHNPPVIAPPRLPSPPIVTIEPPGPRKDRMHRSDDSMTRAKRTS TASESSESQRSNQSFTRKARLVVYNPDDDDPQDTSRRRKEKQRENNQPPPFRYEPPPL PPIEKTPYSNNLAPLAPNRYPPFNNTGSTSKAIMPSQNDLSAPSSSSQAFPTDLNFVK NNENDTFSDTNSTLSTSSTWKKPPADLPISRKNSGERQEQYRTSQPLRVIPLSRHSQR NLGDTRPGVKEVLTHLENFFPSHNLNAVVENTMEEDTDRDTSHHKYVRKSVRTIAEEQ IGSPIRRRQTRLWDSHPEEIKAPR JR316_0001079 MATSISQSRSFPLPNTSTQNNPTSTRQVPGTKPAPVDLPALQNA SRVLIDQLEKDAQIIPDLGETLTASGSQATSSYSIYPDDIRVPFQKRKFVGIPDGLFQ YYETVNVTSHMGLMPEIERVWISIDHKLFLWDYNDGQEIASFTDQPDVITGVALVKPK TGLFIDDITYLLVICTPISVLLIGVALANQITPDGKSRRDIKLYATDLTISTDIEMTS VAGSSDGRIFMCGQQDGNLYELHYQQNESWFGKRIQLINHSVGRGHSLLPKFAAGSTD ERIISVVSDVKRNCFYTLSATNVITMYAPAGDKGISRIQTLPSLYKSAQEKAPGSPAL TPKSFQVVDIHVVDPSESRMGIQLIAITTNGVRLYFAPSLSYDYSYGSSSSSGGIRQI SMVHVRLPPMNLIHPDEQEKVHRPAPTAYGIPQPPKPSTTGGYTVSYLDNTCYANGLV VATQAGDVDGTDYILCLAPDLTRIGNIGQLNLPPQQQQQPQFNSYQYNVGNNPNRRPL TEYATVLTIPGRTWAMASVPKDTISTPTGTPAPSGINELASQFGEYPNQFMLLTNVGL TFLVKRRALDYLRAVLEELHSEGNVQPIIEFRDSFGRDQTCSMLLGLACGSTFLDPSG TSTAGTINTVSPEIAAVAKQAFYDFGERPIWTERVMYGTTAENKGSAIYSGRREGFAL YFARLVRPFWKKKITVANSSGVQVLNASETLLLNVQKNLYALKEFLTRNPHLFHSSPS EPTSSRAVVTEQEAWKAEQNSVSELQVLLTRTIEALSFVLLLNDYRFGELISKCDADV QKLIFDQSFEGLITTQHGMTISRALVNVVIDQQIGQQISVDTISEVLQQRCGSFCSTD DVMLYKAKENIRKAAETRNPNERQKCLTEALRLFSKGAKILEFEKLREIIGDFQQLNY AKGAVILPLTCAQVQDADNVGLECWYAGMIAGDTRRPLAEQRLLCYDLILDSLTVFED KCSKQNSESTGGHPVDDHETVRSHAYELAFASEDEMFHSTLYDWLIQRNLADDLLEMR PAYLEAHLKREPATVQKFQLLWKFYVKNGQPLRAAEVLGALAESTQFELHLDSRLECL TLAVANAKSHPISVGGRHETAIAFLTDLEEKLDVAQVQLEIYNTLLPHIDDAPEIGNR IKSLSNQLFTMTELYEKFAIPFDLPAIKLLCLHVSEHRDDNIVKPIWHQIFDEIMNET DDPVERADLIMARVIPLGQRFYPSESSFPTRYIANLLIKFLLVNKEVLPMGWAARILR QCNVRFAEIWELFHEMYESQVPPFNDQANVQAISGEFAILITDWVTEATRPQANVLRA EFPVGRVDAAIDQYLSELEPARTETKALYEEAKRQLRRYW JR316_0001080 MADQEKQCRICLDGVDAEPELGRLIRPCLCKGSISYVHVKCLEQ WRKTSSSQSAFFACPQCHYEYRFARTQIVGLAANPIIVGGISGLLFTIIVMMASFITT FLMPAFNHPSEDNFYFFISPTQVASDLIAAAFRIIRDGEFEDIPEDPSWFWSPKDPLE DLVAPQAPGIVRRFIRRFILGLPLVGAASLVQMLISAPFIGPVQWIARYRGNRRNRDS KDIAAVIVVSLLIMGALRALYQVYRFTQKLTKRILLRAEDAILEVN JR316_0001081 MAPSIDRFIAISCTVVGVGPGGTTSLLARLAIVDYRGATIFDTY VTPTTTVTDYRTQQTGITHEHLNSAEAMSFADIQRHCSQFFRGKILVGHSLWNDLAGE AESNHLTLVEVRALTFFFDPSTVLGLPHRAIDTRDVGLYMPFRNALRSPSQTIGLQTL MWQLMSRRCQEGQINPVENARAALDLYRSDADAWEAAIGRGNWPCALPPSTFSRCYL JR316_0001082 MPLRALRLLPFPTTSEPSLATSLSFATTLQLAAARAWSRRVQAN ASTTATQRRRVNTPSSPTISSNESNKSLQPSPSDSKRRQYGAISSTPITRAGSDNNRV KCSESKAPHPSSSRSHTKPKPLWGDPVSNNLLYNPQLQLDPVLPKLEQNNLSPQFSSK PPLQPTHTAFADSPHFASSATPPTPESTGSLHQNLLYLLHVRRPLLSLPALLDYHAQF PNLHSTSSYNLLISLSLRHGSYGMTQQLLRRLEDANIPKNVETYKLEVRWYIGKGLWN NAWNYVQELMAEKRLPSDEIPYQIWLEFCRTPRARRVRRNLYDEDGQFLRTYFERLDE APADLRKRQKLISDNRPSNVPTLPNTKPFAVYCLTQLLIRTGNRHRALDLAKAYFQSL PRSMDTKSALRCLDTIHALMVSCPAKNGLPRFYEARRMLVSLLKLHPSLRPNSRTIYL LLGPLQRAKKCGTIAWKTLSFYRRVWGEQVEDKRVRRRVSHLALKEGRMDVVNQMMQS EASDRYRQRRLHEEVMANGVDRGRPGWHIHFPPRELYPKYGRETRLWTRLRGKLRNFL KKRKGAGRNI JR316_0001083 MANVLYPHTDFHPRPVPHTPSSFGFGFGLGPSPSTSMSTATGWG ASTPGHTNPAAFHQLASSLTQSTSNRPQKRRHDPEDEAEHPRRSLSRDESMDRSPTPE RPKRAAPKRARVLNPSESAATKDATSSKDKRNSEDSNDDDVDIGVLLASLPPQSLLPL LSSLLQTQPSLKSVILPLIPRPTLESAEQVLAQAAKRLRDAYPYSSTPTFSQGPSVFG STRAPQSIFGPTSSSSPVGQPVMRDSYIISRLRPHVADYVSTCMSYFPYFSCIPPPTQ TTDSSPGNQTTSATTIQGLHRDKFHPSETFLFLAAVTNQIINQPALTLSELAPMIVPR LTQEWKTWVDKIDEVVNREGGMFGRETVTGWERALDAMVDAKAPGVSEEVMRGIRDSW VSKVGWLVGRSSSQRMDEL JR316_0001084 MSSFKSTLNPAWPHFLGIFFILLACFSGITHLLFFGWADPMHCE ALLNDGSWLDSDYQNWQPNGCMLYPYSEDEVAPCFQSREIIFIGDSVTRKLFFQTART LDATLPSAPTDDTLKHADHTLQSQHATNLTFIWDPYLNNTYTQQVLAGTATTNSMRPA MLVLGSGLWYLRYANTSGGISAWESNMERIFDSLSTFSKPADEVIILPVEEVSVSKLS MDRALSIHHSDIDAMNSDLFHRINPPTDSSGHMFSVAPGGEVALPLVFNKMLDDSLTE DGLHYSDTVVKVQARILINLSCNDKLPKVFPLDRTCCNRYPLPSIPQLLFLFMVLALG PYLSYKAFTAGKYVRTALLGQQTLPPLIIGSSIALIYLADRTNFWQKEQKQFDPWGFS LLCLTSLALGLTTMQRGETDLGFLNRDQTEEWKGWMQLVILIYHYFRGSQISGIYNPV RVLVASYLFMTGYGHTTFYLRKADYGFLRIAQVLIRLNLFTILLAYTMNTDYISYYFT PLVSMWYIVVYATMAAGARFNDRTILLVGKILFSAAFMTWFMDKSWLLEGLFNILHDV FAIHWSSQEWAFRVNLDIWIVYVGMLSSVVIAKVREHRLVDHPRWPWAMKGSIGFSFL VLIWFFVFELFQESKFAYNSWHPYVSTLPVLAFVILRNSSVALRSASSRAFVFVGNCS LEAFIIQYHLWLAGDSKGILLVIPGTAWRPINFVITSGMFLYLCDRVSFATAEITATI CGTRVREVELPATTPTQSSPETPLAADEQEIIISLSPLQADDTFKDESGNPLPVEPDT PIRPGRWVDRLAQNPSQPASHSFTQRLFAANTSWTIRLGVRILAFFVVLWLLNVFWPY PSDL JR316_0001085 MASPKRTSVEKDPYTFGYDSAHQVQPSTSRTTDVTDVYDNNKGR DLSESFDPSKVERNIGMIPTSSSDPANRLTSYSDNEPTQRFHEHMDDSPDTPLVRGVS QRRKGGYQDLEYAEPQNQSRALDSKQAGLSKFMSSAKYPLEQRIEDKKRGIGRQKYPF VVYALTVAMIGVFVYELVLNSREQGSPISFKPTINPMLGPSGSALINVGARFPPCMKL VEQVPPTTLIGCMNNTANPATSVCTVEDLCGFGGFHGKDPNQWFRFMTAIFLHAGIIH ILLNMLAQLTLCAQIEREMGSGGFLITYAAAGIFGNVLGGNFSLVGVPSVGASGAIFG TVAVTWVDLLAHWKFQYRPVRKLIFMTIELLIGVALGYIPYVDNFAHIGGFVMGLLVG TIFYPVISVTKRHRYITWGFRLAAIPLAIILFVALVKNFYTSDPYAACSGCRYLSCIP SSANNHCQGYVFFFIV JR316_0001086 MPAVSAQPIDLTPEPDLHPLYPTVSQISEQLGPNSGLDPSQKAE LVAHCLTRACAFGDITVVQHLLTDPQAQAHVNLGLRDEDGVNLISLTICGFGGDSDRD IEREECVRLLVSQGADMTADKAGWTPLHYAAILSPPTLVSYLMTHGCSPFAVTERKLT PLDIVTAHSTLPGKEDVALLLEEAMRGEGWTGGRMEERRRVLEQRSRKKSHKKEIREG VGKILGVNPDWWGKDSDISDEESDSDEEEEEDDGIYTPRPDYSSMLVFSPPLLAQIFE SLITNYQPTFKDSTPANTLYLLARFAALTCDHTWLEDLIIGATDAIEETFFNRAEDLS CLVFWLYNTTVWLHLLECDTSINEACEMLGSFELIEEVINSVFVFIIRFAERRIDQLL DSAILSYTPVPSELEAVQFESEWSFLRPFAGKRKTPSSPIGRNPIPPSPTPASPHHRP LSPSQSQSTVSSSGSRGYSSLRQTITRARGLSSAAPPLSSVFLESHPPSPFELTSFLT SLHMLLILSDINPAIITQLWSQVMYWTACEIFNRVITRKKYICRSRAVQISMNLTVIE EWIEEMGIPPGIQSHFAPVRDLLNWLQRLSSIAEFPDLVATIQTMKSINPLQMRRAVR DYKYEVNEGRMTEECIQYLTQLQKDWERHRVKLGVEAIRKEITERDRDRDQEGSISSL VNEAESSSTTPSIAPSVEALSPQQNIDILFDKRADISLWEPVHPPQALGELLDSRHML PLLFPSDPRLLAALPAKKVVLEDTKRESVQSFSSSEEGRTGATPLHWISRNRKVREVS IGTLKWVDGVGSASRWGRPVDHDYEPEEQEHAPRSFPPEESEEPEGDEALESGNSHAT PLTRKPSGRTKGRQSMGETTPIETSFDSHVFRQ JR316_0001087 MPPNKRQKLSKPEEKVSNDEESLSGNESEVSFGEDEEMDSISGS ESSQPDEDDEIEGMTRQKSKQTSKRKIRATAGTKFGATLQFLLNTETPSTLPLSLKPS IARKRNDEKLEQRAKKVLQVEKKEKEDKARIKDVIGGWGGESERALRKVAQRGVVKLF NVIQQSQASITAATEDAKANRGSGKPTLPAPMIETKGKSKGKNKDNIIGRGKESKCLS NLTLLNIFLTLKIRSGGVVSKA JR316_0001088 MVNIQRLLFSFLSSTLPSQVPLHYEQEAVSRKSVAIVGAGSAGL AMLKTFTELDTFVNNSWEVVLFEEREHVGGVWLPDYNDVHPPEIPETPLYPLLHTNTP VPSMAYPGFPFPPGTPLYPSHEYIEAYHRRYAQHYKLLDYISFNHKIQQATWSGSPEN GYWNLTVTNAAGHIFHKKFDHLVVASGNHHIPRIPVWKGQDKWLSNAPQNQTRKILHS VYYRKPEAFTGLNVLIVGNGASGRDAASQILDFATTTVVSVRHDGDPLEGVIIKPEIS HFTADEIVFVDGTTYSPDVVLLGTGYEQRKPFLTAGGELAVDPAARNNSDKLVTNLKY IFPLYRHILSLSPSYPTNALAFIGLPTFIANCPSDIAQSLFAAHAIINPSILPSRQKL LKELEAYEAYVRANGRDPYINGHQMLTKIESSDYQDELVEFLKDMNAIPRDGKKFVEE WRRDIFEYQYLKRGWKRIEELGTGQDWTKGVRTESQWADLMKRVNDWQKRWEDANGIE FRADMDLVGYFGNQWT JR316_0001089 MDYLVIEGYKSAAEEFSQEANLIPPVDPESIESRMDIREALQRG DVEDAITRVNDLNPEILDTNPALYFKLQQQKLIEFIRQGQIAEALTFAQEELAPRGEE SPEFLSELERTMALLAFDSAPNAPAAIAELLSPAQRMKTAGEVNAAILESLSQGKEVK LVGLLKLLCWGESLLEEKADFPKVRSRGRFWKMANGVLGVAECTGGNVGVI JR316_0001090 MSEDSRSNKQTARKIYYVANPGSGDSDDAKDDTRPRTHTNGYSN KRPQVSTVSNYHRPTPSQPTLLATDPSVTNSRYPLPQPPLSPDSTDSPSPPPPSTPSQ HAHSYSADLHLKVDPPSKALPQSESYPSYQDYRALNDVQPHVSSFISPSRTSSASTPS ASKGSKLLKHLKAPFGGRPRPPAGEQSRRPYTSPTVTTPESFTSSSSMASSSVSERVI FVTSDSERYVTVDISSARSASQIRELILNKLGIFNEDELYPYSIYRTEIGESQSGEAL TNDRLMAMCRDHGDSKGTLKFFVTQSTAPPQGPSPIQLPDYSSPPVLPPLANTTPLQI KRRSRSRNGSFSSASENIPLEIGYEADLDYPEHDVSRPLARTTHSQPSASSSQTALPS PHRRPSLANQARPSSPLLHSSGAKPQKNEEKYGHALPPLPTPPPPLSPVRPSFLSNEE SSSLGIPQRHHHARSTSDAGSHQDSAVKATEHHPETAGQLYSRGLGKLKPEPPRESTR ERLTKHAHDDEDQPWDIILPPPQRDEQERVSPSLTRGSRQPSYSSQYRPSSPYTPRHP VYNSSRPSQPSVPLPLQTQRPSSQPRPPANVPIPGSVFVNWKGEEGGSSRKPTPPSSN YSSTRLGKSMTKSMNDLKFAASHASSSQSRRNLPSVSQLPMTRQSNIARVNESPYSPS NLPASNMSLNVSAKSYEPPRSFSRPLPVHGTADFHHSSSSSYLSRGGGYSSNLSTTNN DPYPRPQSASGDPVTSPTRGYTRLQSPVYGSTLESGESNQSPRTISPNRPYHSPGIPG PRPRPTTSSDRSGSSDIQSGPETSNTTPPRTPISPHSPRYDPSERNGLVEEQSPPSSP DDIVIKSTESTLKQKDQMNLLDMLHGSYSQPTRQISPPPPPLESKSSYAPDDGDDDDD FDNEGGTWIVRPEAPKSAARPPLTVQIESSSSSSSSSRPTENGTHHSNRPDGSAKDTH PPSSYRPLPYTSVNPVSRRPESTFVDPEGDNWAPRPPPENIYEHLEKFFPTHDLDKPV IEATSGDTSPTNAEPAAALPPPVQIDERAKIRAKKSIRIVAQEHKKRIDRTSRATDTS RVDNMMRKRSTKLWGSKLEEVTTAQGRSVNTNSIPESPSGGPTTFKWVRGELIGKGTY GRVYLALNATTGEMIAVKQVELPQTPSDKNDSRHHTVVQALKMESETLRDLDHPNIVQ YLGFEETPANLSIFLEYVPGGSVGSCLHKHGKFDDNVTRSFTAQILSGLEYLHSKGIL HRDMKADNILVEMSGICKISDFGISKRTEDLQGGAFTAMKGTVFWMAPEVINTNKKGY NFKVDIWSVGCVVLEMWAGSRPWMGEEMIAVMFKLYQSKQPPPVPEDVVLSEEADDFR RKCFAINPEERPTAAELRKHPYLILPPGWVFTGFT JR316_0001091 MPFNSHPSDNDDEAARVAAAIMIQRLWRGSHNLTKERHLNADAR WDDAATGAKLAVRHVLAPVHRNAALDESTTPRQRWNRAAFFITQLKFKNELLSNNGVQ VEAEEKHLEAQHWLEMIDGCVYLSGNLGA JR316_0001092 MSEHIPVGLNYLVKIGDDGRLYWDKNNQPVDTTAGDWKDAGEGR GIVPESMPTRNMQHTKLHGHVPFTNSPITSRESLDQENAATHYAGGIKGRHWWSRYVR RNFTARGVMERLLRKTVRRNTWIYVSLFGLI JR316_0001093 MRLQLSIKAELENVTSLEPAANDFEFFFQVKCNSCNETHPKFVS LNRLEEREVSGGKGSTAHFVWKCGLCKRESSAKFEPTPFTPYTAENAQFQPLLVLECR GLEFVGFDPRGTWKCVGTTGTKFPEVDLVEGEWNDYDEKAALPVGISEFGSRWDRA JR316_0001094 MAHSLARFLLSPKCPRVAPSACRISQASFSTSSALQARKRVRNK APRRTNSVLEDDLEEIWEHFEDSADTYDSPTAGHIILQNERQMLHYMRLIEHEMPKLV AYRKPFVPPSADKPLIVRSVHYQGEQHPVSLKRVIVAPVDALPLKDDSAVHKAILLAG PRWSPVPHADSGVSGNELWGNGYIKIACEDFPKASQNLKWASDTLDKLVAEANNSADT FSDVPIDARHLVSKIRKAKGGDHRGNRPFNRTTIHDFPEEWLPKPVSLQ JR316_0001095 MPKISSSARSSRAYDEVFHRPLQQSQIDAIYAFRSRFVCDGVID KDISEEVRNVLWLTEAILRTNGLSSMERPKVNLMETRGRTIYDAQPIDFGTPTPTPQA KHVLVRRLSEVLGGLEELDPETVIFPIKNIAEPITPPRKTRQDARARYCASTPNASRS PTSDTLFLTLRKKSQKRFFTSPGSAEVPDSPGERRGWSRSPTPPLSWSVESSPESVET MGWEEDWEEIEALKAEDSFQKLFEIEPRGRHTERRIKLRTRQDGGQDEAATADFGLLL PKPKLDVGFATSTKQRQHRPTSFLPLVQVNLKMADSYTSSRNGDIISNGGLNGSSPSL VPTAHTPAPSNVIRKKLMGYVGFANLPNQVHRKSVRKGFQFTAMVVGESGLGKSTLIN TLFNTTLYPPKEPISPAADRPKTVAIESIGADIEENGVRLHLTVVDTPGFGDFVNNDD SWKPIVENIESRFDSYLEQENRVNRTKIVDNRVHACLYFIQPTGHSLKQLDIEFMRRL HTKVNLIPIIAKADTLTDEEVTEFKERILADIAHHNIHIFQAPTYENEDEETIAEAEE IAGKIPFAVVGSDRLVNTPDGRQVRGRAYPWGVVEVDNEEHCDFVKLRQMLVRTYMEE LREYTNDVLYENWRTEKLLSMGVAQDSSVFKEINPAARLQEERVLHEAKLAKMEAEMK MVFLQKVQEKEAKLKQSEEELYARHKEMKDALEKQRADLEDKKRKIESGRPLTPEKSS TTRKKGFLRT JR316_0001096 MANYDIPTLLSALDVFTRTPDKASLERANSWLQDFQHSPQSWET CDLLLSSPEAPLAAKIFAAQTFRTKVTYDLNQLSPEKVTATRNTLVSALEVFKAGPRT ITVQISLAIAGLALQLPSWENPVKALVDVYGRNPATVPVLLEFLTLLPEEVSSNTRIP ISDNEYRDRSAQLLTDNSKLILELLCLYVNASVQSQVFNCLYNWLAAGEIGVIQLAET PLFGFAFEALTSDELFDAAVDVICQIVHETQEIDDNMSVIELVVPRIITLKERIAANY DDSDKIKGYARIFSEAGETYRSLILQHTETFFPLVEAIGECSAYPDLDIVPITFPFWM RLAQILGKKSSVSPLFLEAYKSLMTVIIKHLHFPADITTLTGQEADDFRAFRHVMGDT LKDCCFVLRTETCLLAAYQLINNALARGPAVSWQEIEAPLFAMRSMGAEIDPEDNSAV PKIIDLIPSLPNHPRVRYAALLIISRYTEWINAHPQYIPFQLQYISAGFEDTDAEVVA AAGQALKYMCQDCKQHLTDFLPTLHTFLTTTGTKLVQDDRRQVYEAIAYVISAMPMNR AAESLKTFALDILAQVHAVSTKTIPPTKAEIDEVGNGLENLEVMLHVIQGYGEDLPPA CQNTGQEAWLVFDAFLSSHGLNYDLAERSTRVLRRGIDLFSKSALPLAPSVIHRMSAA FETTGFPSFLWIAGKIIGRYGIEKDSALHASILEIFQRSTAKVATMLEQSSPREIPDV LEDYLQMLLQLINLTPDVLFLSPSFALAFHCAMAGLTVVHSDIVFAALDFFRSILSHD CMEPPLPSTSPKYPMYAAVIRDTMSKEGNKFLEYILKGFVGNFPEDAASTVVTIFRSL VAYFPNPLLIWLRDVLLLLPVNSVPNESKAQLLQEVTSAVNTRQYDKVKYAILAFDRA ARKTRDRRRNTGV JR316_0001097 MLRHAGQSLIGSGRRTFSQSATRHSYDDTIRNLLIHKDTKVLCQ GLTGKTGTFHVTEALAYGTKMVGGVSPKKAGQTHLGLPIFGSVRDAVNETKPDATILY VPPPTAADAIIEAIENEIGLIVCVTEGIPQSDEIRVMDALKSQSRSRLVGPNCPGVIN PLGCKMGIQPGHIHKPGKIGIVSRSGTLTYEAVAQTTDVGLGQSLCVGIGGDPFPGTQ HIDVIKVFLEDPNTEGIVIIGEIGGSMEEEAAEYLEQYNKTRKNPKPVVGFIAGRTAP PGRRMGHAGAIISGGKGAAGDKVKALERAGVIVTDSPAKIGAEMFKAMKAAGLPEAKE MAYQPSPKFDSAVAYLSSASSLSHVSSSTKLELYGLFKYLTSTRTPATSRPSIFDMTG RAKWDAWKSAGEKYDEPTKVEERYLEIARTLGWTEGQVLEDKAIPQLERSTGSDDDVW DKDDGTSKSSGGLGLSVSTMVAPVKVVDNTIHGLALSNDVSGLATLLDTVPETDLNAR DEYGYAPIHLACDRGNVEMVQLLLRKGANRNIKDPDNLTPLELSQEAGHSEIAKILVS TP JR316_0001098 MTMRIRMAMHGHRHRKIFHVVAINSKLRRDAKPTELLGVYDPHG ADGRDARTVRWSVARIQHWLNVGALPSKSVVKLLELGGILKPGSPYHPRGTQPRHPLA EKFIPPSPEPTPIPTPTKKTPTKKAS JR316_0001099 MASQILPLELIDKCIGSKIWVIMKSEREFTGTLLGFDDYVNIVL EDVTEFETTAQGVKKTKLAQTLLNGSNIAMLIPGSDGPESS JR316_0001100 MESTESRTMKARRDAYKAKGPLKQDDLRRRREEQQVEIRRQKRE ENISKRRNFLPSAGPDSDEEIGGGVWDPPLAEEMISGVFSDDPERQLDATTKFRKLLS KEKNPPIERVIDCGVVPRFVEFLKVGHAMLQFEAAWALTNIASGTAEHTQVVINAQAV PEFINLLSSPVLDVREQAVWALGNIAGDSPHCRDYVLQQGALRPLLTLLSENHKLSML RNATWTLSNFCRGKAPQPDWDLISPALTVLTKLIYSLDDEILIDACWAISYLSDGSND KIQAVIESGVCRRLVDLLLHQSTSVQTPALRSVGNIVTGDDLQTQVVIASGALPALLS LLSSPKDGIRKEACWTISNITAGSPPQIQSVIDANIIPPLINILQNADFKTRKEACWA ISNATSGGLQEPAQIRYLVSQGCIKPLCDLLTMMDNKIIQVALDGLDNILKIGEQDKI AAGPGAVNQYALYVEEAGGMITIHNLQQHDNLEIYKKAFNIMDKYFPDEEEVDTAISA QSVDAAGNFAFHSDMNTPQGGFSFGQ JR316_0001101 MRNPYQKHQPTPLPDTQNILKAAVILLEQLLQKPEEFSAHIRQY AGSIVLRVAYGYEVKAENDFYIALVKKAMPPLLQVVHAGKFLVEFIPALKHIPSWFPG ATFKKNATIWAKDTRALRDAPFEKVKKAIEEGTAEQSYVSDNLEKLKINGVVDASEEE IVKNCAGIMYLAGSDTTASVLHSFLLAMVHHPEIQRRAQEEIDSVIGDSRLPDFNDRE SLPYVEAILLETLRWAPVTPLSLPHRVIEEDEYEGYHIPAGAVVTPNVWAIMHSEDIY PEPFKFNPDRYYKGSNKEPLQVDPIAAGAFGFGRRICPGRYLALNSAWIGVCSILSAF NISKAIDNTGKVIEPVIEYQDGLVSHAKAFKLTITPRSEKIKKCIEIYST JR316_0001102 MSSQAVQIAILDDYQGVALTSADWSPLNGRATIDVFQDTLHDED SLVQRLKKYDIICAMRERTKFPRTLLDRLPNLRLIATTGPVNRGIDTGYAREKGIAVS GTGGSGNATLEHIWALILATVRFITLEDANVKNGNPQWQTTMPLGLAGRTLGLVGVGR LGSQTAKIAKAFNMRVIGWSPHLTPERAEAAGVEYVATKDELFKESDVISLQLVLSET TKHIITKSDLLLMKRTAFFINTSRGPLVEENALLEVLEEEKIAGAGLDVFDIEPLPLD HPLRKAKRVTLSPHTGYLADTNYAVFWGQTVENIVSFLEGNPKRLL JR316_0001103 MHPRDRQSRLHLHSPEKPSQRSSSVVTRSSSPSSIGSYSDLATT TDSRDLKYGVLPQQKSVVLGICAMDIKARSKAMREILTRLVERARGAIEVKVFGDKVI LDEDVENWPRCDVLISFFSTDFPLDKATSYVKLRKPFCINDLPPQALLWDRRLVGAVL DHLGVPTPTRLEVSRDGGPKVDPELVEIMKNKLGITLGGFLVTPEVTLREDGNAIIVD GKAMEKPFVEKPVSGEDHNVYIYFRGGGGRRLFRKVGNKSSELDPTLNHPRTDGSYIY EEFIDVDNSEDIKVYTVGKEYTHAETRKSPVVDGVVRRNTEGKEIRFITRLTEEEKSW ADKICEGFGQRVCGFDMLRCGNGRHSHVIDVNGWSFVKGNETYYDKAADILAALCMRV SSTLERPLPAVVPSQEAPTWLLKANVTVFRHADRTPKQKLKFNFPIGEPWTQPFVTLL NGETEEIILREKEQLTWIATAIEEARNLGADGEELSKLTQLSNALFSKIDLPGTKAQL KPVYSKRQVGQTRKLTKLTLVFKWGGEFTHSARYQSRDLGENLKKDITIMNKEVLHNV KIFTSSERRVIASAEIFAAALLDPQHPSYSAHSSGSTRSPLDAKNNGYVNKNVPQQQQ VPNQAPLKLIVRKDLLDDSNAAKDLMDDVKKRLKILLRPGESEKRPELTWPKSMKKEP VEVVKEVIELLSSFRDIMRHNFETLDVDKIQERWCCGDEPWLFRERWEKLFEDFCDVE QKKFDPSRVSELYDTIKYCALHHRTFLFSIFDEHGRTDSHQPPQDRKIHELYGRAKAL FDLVAPQEYGIDPDEKEEIGVLTSLPLLRNVVSDLEAARNNGESSLTLYFTKESHIHT LVNLVLLSGLPIANRRIPELDYASHITFELYERNHGRGNSDKEYSIKLSLSEGAHSSN VLDSTLDARHSLNVQPRRKLTQHLPYSLVIEKLSKHFNRLTDDDDTGLEGPFETIDAP LSVSPAGNSE JR316_0001104 MVKQSSAAGVLALLQDPNPTFKELALDRLRPLVPQFWAEISEHI ALIEALYESNELSKPARDAAALVASKVYYFLGEYDEALSFALGSGNAFQNETRSHGSE EYIETIVSKAIDRYIQARSAEQSGSKEKIDPLLQATVEGIFNRCIGEGEFKQAIGIAL ESHRLDVISYIYSKTQDVSLLSYAMDGVLDTGFSLSYRDQVLRFLFPLFPRPTAGDAS PHVHALTRLLVTLSDPSLTIPFISSLVSTEQLLAYQFAFDLVEGGSQDFLETLRNDLP EGDTKTKPVYDKLRTILTGKESVKLYREFLKRNNQTDLLILKNTKDALEPRSSIYHTA LTLQNAFMHAGTTSDIFLRENLEWLGLASNWSKFSATAGLGVIHKGYFEQGQTILGPY LPQPGGESNVAGAAYSEGGALYALGLINAGCGNSVTAYLRDALKAAQGEVVQHGAALG LGVAAMGSKSMEAFEDLKTVLFTDSAVAGEASGFAMGLVMLGTASATPVDEMLTYARE TAHEKIIRGLAVGIAFIFYGRQEEADDIIKVLLAEKDPILRYGGVYTLALAYAGTANN DAVRKLLHIAVSDTSDDVRRAAVTSLAFLLFKNPAQVPRVVQLLSESYNPHVRCGATL ALGIACAGTGLPDAVEILEPMTKDSVDFVRQGAFIALGMILVEQSEASSASFAPTRAK YNKVTSDKHEDPMARFGAAIGQGLIDAGGRNVTISLQSRAGSTNTSAIVGMVLFCQFW YWYPLAHCACLAFSPTGIIGLNGDLKAPKFEYISNARPSLFAYPSSTKPPKKETVAKV ATAVLSTTAKVKAREKKKAATPGDTMDLDKQEGDADVEMKPDDVSPKDGDVSPIIQSV SNLADDNKATASSSRQKKAEPSSELRPNFSRVTPSQLAYITFPTDGRYQPVRAVSSKT TLSKSGKAVAAQSVSSALGLGSERYAGGGGILILSDLRPNEEAEFIEIETAPAVPAAP EAAPPSNTNAPAPQPTGRHIALDESAPDAEPPEAFEYPFDNDT JR316_0001105 MSFAIRNLSRQVARAPSRLHARPRTFATADKSVANNAFEKYLQE DKALTHHAQETTDLWRKISFYVCIPAIAVCVAWVYNAEVEHAAHIEHLKHENGGELPE TPLYDYLNRRSKPFPWGNNSLFFNPKVNKDMSE JR316_0001106 MPTEISWSFSSSHESFPVLEQRHGPAFKPFVLVDSVQLTNIMFG RFFLVSLCGLILLWSSVAVQQSTAVPLGRYSNDIVERELVPLVEGDEIPPVLVDLQDQ VENFQLQLDQANEGEPGYVDLLEQLTVARAKLDRALRGVAVYVSSTAEPPTETATTDI LASTTTFEADSATSTSTSTFDTSSGDPAPTTVVSDTPVTSDVVVAPEVTTVTPVETSV VVAASQAVTSERPLETIIATQPQSSIVLSLPPTVTSIIAPVHASATAPGKSADATVNG STPQSTSNPATISTGKAANASICGIVTGADAGIDSSVRLNSVGKMGPSLRDSVYVESQ DLSVQAIWDT JR316_0001107 MFNTRFFVASFFALLFLAVSASPAPEPTPAPPYEPQVQERALDD IISDITGGAASIGSQITAGAASLGSDFTSIGAGIGQKVTSIGGQAVTVVTSVGGQAIT LATNGAVTSIFDSVFTIATSAAGAAASAASSAAHNNNSGGMSASSGIQTPLIVGIATI MISTMVGAFITI JR316_0001108 MPRAIISPSVLASDFGQLTAECKRMMVGGAEWLHMDVMDGHFVP NITMGAPILSCVHKGVPGIFMDCHMMVSEPEKWVDDIADAGGAMYCFHHEATDNPIAL IEKIHSRNMKAGVAISPDTPSSAITDEVAAVADMLLVMTVYPGRGGQKFIERCVPKVA ELRARFPDKDIEVDGGVGPKTIGVCADAGSNVIVAGTAIFGAEDPTSVIKQLKDTVNT AQAKFTQ JR316_0001109 MATSAYYPKPQRQNGHHRGRLSFSNAPLPVYSSSSAVQEKYAAT NRIHQSPWWIHVSLRIPGFPTRRLRIFFINPRRLHHFSMSRFGRKRGSFVLCISILFL MFFVFALGKRFGTHAKRWPGPFTTDPPTLIFKREDLQRIWKWEVSSGHYPSRQRIPEQ LRLRTPLDNPALPPRRSSNIPQGPYVTETRGTGSKRIYLDIQSSPENIAYPPRPVPGS VADLDVVMEHCDFSEKKFVRDCLEVLRVGAGLDNGRRVRRGNMDDWKYIYIEQLSNSS SALVARGLDEDTGPSYGKAQVTITEDPNADPDSGLTKRRGVEWETPPIELSNPIPYHP ASTAPSQCDPENPRIFHMFWTGPFTDKPYLAILSFLFTQNTGLHLNEYPSNGVCRPQF WLWINPGPAAAVPNPAAYRDMYDQLKSNPWASPFLHPRFKDIIHFKMWNTTEQLDGVP ELKDEWRSRDLFNSAGYVIPVPHKLNENPGIPDENATAYLSTATREDDMINRAGSKSL SSYDRLSVILSDMARFVLCHRFGGIYLDADTIFLRDWEELWGWKGAFAYRWSRLEKYN TAVLHLNKNSALGKFLFRTALKNNLDFHPMTVSKYTKEAHLEGLLMRLPDALFDSAWL ITEDHQHMRPPQPFFTSFEHFFDTPSTDSAAPQALGFEGFFRGAYSYHFHNFWWKPFD PTRNWPDLGPRFAEGERTARRALRRSATSPKAHQHHSDAEFEDSAEDVRVDLGWATVL KRIFESYIRGERPNMYGEMLRW JR316_0001110 MYPTDGIRSQLEWNSTLSTEHAPLASDTNKHKRKTSIIATIGPK VNSIEKLAELRRAGVNVVRMNFSHGSYEYHQSVIDNTRKMVAADPTGRPVAIALDTKG PEIRTGLTKEGKDWPIAAGHEFTITTDTKYSELCDDQIMWLDYVNLPKVSAPGKLIYV DDGILSLLVLSIDGPNVRVRALNSGNISSRKGVNLPKTDVDLPALSEKDKADLQFGVK NGVDMIFASFIRRGQDVRDIRTVLGPDGANIKIIVKIENEQGVANFDEILKETDGVMV ARGDLGIEIPASQVFLAQKMMIAKCNIVGKPVIVATQMLEYNPRPTRAEVSDVANAVL DGADCVMLSGETAKGNYPIQSVLMMAETCLLAEAAICYPPLYDDIRSIQPRPTETAET VAMAAVAAASEQGASAILVLSTSGNTARLVSKYRPSVPIITVTRNQQTSRQIHLHRGC YPFWYPEPRGIETHQWQTDVDNRIRFGLRSALALNIITPGSTIVAVQGWKGGLGHTNT LRVLSVPTDSADLELQPLGSA JR316_0001111 MSLLDNAVPQDEQLRDRARIFEKFLESDSVLYNYKDEIHRLLRL DQTRLLVNIDDLRDFDRDSANGLLKNPADYLPAFESALTQVIQHVYDPEKHDIASKTY HVGFTGSFGDHHVSPRTLHAAHLGKMISLEGIITRCSLVRPKMLKSVHYCPETSIFYS REYRDATSSSSNLPPTTSLLPQTDDDGHPLQTEYGFCHFQDHQRISIQEMPERAPAGQ LPRSTDIILDDDLVDKCKPGDRIQLVGVYRSVGGGSSGAFKSLILANNINLLSSKIGG GIAQTPLTDTDIRNINQLAKRKDIFNLLSESLAPSIFGHEHIKRAILLLLLGGAEKNL PNGTHIRGDINLLMVGDPSTAKSQLLRFVLGTAPLAIATTGRGSSGVGLTAAVTSDKE TGERRLEAGAMVLADRGVVCIDEFDKMSDVDRVAIHEVMEQQTVTIAKAGIHTSLNAR CSVVAAANPIYGQYDIHKDPHKNIALPDSLLSRFDLLFIVTDDVEEKRDRTIADHVLR MHRYLAPGLEEGTPIHDNLSQPLSVEGPGSNLDAEAVETSPFEKYDPLLHMGMQQSGR QTRQNKPKKMEVLSIAFVKKYIQYAKSRTAPVLTKGAADYIVEVYANLRNEGMEGNKK KTSPLTARTLETLIRLSTAHAKARLSAKVEQRDAKQAEEIMRFALFREVPKRQRRKKR KLNNGAAALKGSADGSDDGETDESEDEEEPVSAERMTSPTTPRSRSAAPPTAQPPDDP IWGDDSQDVSMAVDHTVPAMPSAPAGDGTIRPERLQMFRARVSKIFATRLQDEETVFL KDLLEMVNEGIPTESLYGTAEATQICELMGEQDELMISEGIVYKV JR316_0001112 MLFNSRYLAAISLFLLTSTVAAAPVPEADNLVRGNVDWKRSEIP RGNVDWKRSENESRGNVDWKRSEIDTRGNVDWKRSEVDTRGNVDWKRSENDARGNVDW RRSENDARGNVDWRRSENDVRGNVDWRRSENDARGNVDWRRSGNEVRGNVDWRRSEND ARGNVDWRRSDSVARGNVDWRRTESQA JR316_0001113 MSLSIAYSSDEEDVGSISKDAFGLSSLPSAKKLRVEEGPAQSAP RDAAPHVLAEDPLNMTSLVTRPSDTQMNVNIPYKDLTLPIQGPENPFGDRGRFMNQNA LAGHVEEQSMSEHAFRAQHLTHSILGYSANPSIDPNAPAVLGSLENAKANNFSTIDTL RATRDQKRELKRKRKQKGDLSVVDGEGAYVGPWATWEGEEPQQHFLSGLEGTGEDDDE AEEEEEPEPQFVGKKPKPKRGEGQETSVFHGKSMTDYQGRTYMSPPVAEAPNLLQEAG SQDCFIPKVCIHTWTGHTQGVSVIRTFPETGHLLLSGSMDTKIKLWDVYTHGNCLRTF HGHTKAVKDVSFSNDGRQFLSCGYDRQMKLWDTETGQCLKRFSNGKIPYVIRFHPDED KQNIFLAGMSDKKIIQYDMNSGEITQEYDQHLGPVNTITFVDENRRFVTTSDDKTIRA WDFDIPVVIKYIAEPHMHSMPAVTLHPSKKYFAAQSLDNQILIYSTDNFRQNRKKRFA GHSVAGYACQVGFSPDGKWISSGDGEGNVVFWDWKTGRIKSRLKAHSKVVIAHEWLPH ESSKVITASWDGLIKLWD JR316_0001114 MSERNTSKPWTEEEDQLLRQAVAHYGENDNWKKVAEGIPGRTNK ACRKRWLHSLQPNVKKTAWTPDEDKMLVDLHESYGPKWSAIARQIPGRTDDACSKRYR EALDPSLKKDQWTHEEDVLLMETYHRIGGKWGKRQRSSRSRWRLLERKKASKANHHMS SRTSPVHTITPHETPVIVEEPLPVAQFQEAPAHVQWPPYYPPEAYPTFPVDGESSGDI VFREPSPEVVQQPDPKVAPFQFSSSSLLTALSDPPRPHAPLPPISAVNTPELVQYDIP NESERQPSLSPLSQCNAIPDMNDILMSLEDYTQGSIAQLPSENSASQITYDIESYNKL PQFTFGDIYTASPFTIPTELSRPEHYNFKPVHIPKLFDSPHSIYGGVLYEETSSASST PYVLSSSLSPTSSPLPATLLDLPNSEQPSSNSLLFSPADGPRTTSMSAGIRRSRKNTS RKNKPIIKVQVTTRLSSTLPLSSDPNIRPYACGRPQCWPNTATTSSSCFATSGELLDH SKEQHPDEDASEKPYRCALTGCGKSWKSINGLQYHLQISTAHFATALSSRFSAQLPST PDVNTPSTLEGEIEDLEPERKYMCPQPGCFKAYRQPSGLRYHIKFGHPIDIPTQLAVV PPALERQIPIKAKKLRAKPPPEPVAN JR316_0001115 MSEKQQKLVYSIIEFLNQSIQDGTVKADDQESLEVAIQCIGEAF GVDPSNQEQVQKLSVKPATLQSIFDVYIKTRDKVSSAQSSSPASASTSKPNVPSAEDK AQAEKCKQTGNAQMSSKEYDAAIESYNKAVALDPTNPVYYSNRAAAHSSKGDHLAAVG DAEKAISVDSKFVKAYHRLGHAQYSLGDFKAAASAFERGLKLEPSNASLKTGLQNARA RIDSDNSDDTVSGTRSGGASGAGGPGLGGMADMLRNLGGSGGPGGGGMPDLASMMNNP QLMAMAQQMAANGGLASLMQNPGVANMMSRVQSGNMPSMEEIMADPSLRQLAEQFGAA AGRR JR316_0001116 MFCAISGEPPQTPVISKTSGHLYEKRLITKYINENGTDPITGEK LEEADLIEVKASPNSAAPRPPTHTSIPALLQLLSNEWDAVALSMYNLEQKYNATRQEL SYALYSQDAASRVIARLIRERDAAREALANVSASMGIAPTASAPTEDVEMVEDAGLPA SVVAKIDEVHQKLSASRKQRKNAPPPGFAASADVKTYVATHTVPSLHSASPAGITSLA ISQTNPSQFLTGGNDKIVQLYDQNTDKVLASLKGHTKKVNHVAFREQEGENTLLLSAG ADKIAKVWAHDTSSGEYLPKLTVRTHKGELTGLAVHPTSTLFALSSTDKTYSLHDLNT FTQVFRSTPFDDPFTSLGIHPDGTLIALGTPTSTIQIYDIRSGSIAAVLTPTDSSPFT VHTLAFSQNGYHLLAPDSLSSVAVWDLRYQTLAKSIPLGDDCKVNHVACDLSGQFLGV ATNQGARIFAHKTWNDLLTLEEGGEITNLAFGPYSKEIWGVTGREVRTWGLPAS JR316_0001117 MDAYKLEEGTHYSSAAERVDTSSLRIRPAPDVPQDIFDDDLQSK FIQEHLNDPNFDLHKNYPPSFVSDNEHGPTPPYERDSKKLDYSDADSHYSTSRAESRV STAIEFDDESPYPEVRAAVASVDDPTMPVNTFRMWFLGILLSLLTSGFNQIFSMRYPS VYITGIVAQLVSLPLGRGLAAILPTTVFNTFGYKWSLNPGPFTIKEHVCITVMANVVW GGAYSTEVVLSQRVFYGQKTPMSYQILLALGSQIIGFSLGGLLRQFVVWPSSMIWPGA LVNSALFNTLHKNYGKRDRGHITRERFFVIAMICSFIWYWIPGFLFTALSMFNWVCWI APNNIVVNALFGTNTGLGMSMLSFDWSMIAFIGSPLVTPWWSQMNTGVAFIIMFWFIA PILYFTNVWNSAFFPISAPVTFDNTGAPYQAIQIITDGVFDLAKYQAYSPVFLTTTLA LAYGIAFAAFPAVFVHTFLWFRKDIVRRFRSSLKDERDVHSRLMLAYREVPAWWYGLV GIIAFAFFCTAIEIVPTQLPIWAAVVGIILASILAIPLAMLQAITNQQVPTQVMHELI AGYMLPGKPIANIIFKTIAFITSNQAVNFAGDLKLGHYMKIPPRIMFMIQVVASIVAC IWVTLIQDWMLNNVEDICTPGQKQGFRCPGSTTFYSASVIWGAVGPRRLFSPGAPYSG LLWFFPVGILAPIPFYFLARRFPLSFYRYVNIPVFFAGLAAMPPASGINYASWVLAGF IFNYVIRRFHFRWWMRYNYILSAALDAGAVFAMVVLFFAFGLPKTGGIELNWWGNTVW QNTADANGIPFKAMPDSGFVGPSTWS JR316_0001118 MTTIIASVTEAEQGHGVATLKLRPQEENKGEEPPYKYAHLLPHF SADRYPPLTPFEHVDPGHRALSHPNPRSFLDSASSVDELTPHLGTEVVGVNLAELDSA GRDQVALEVARRGLMVFRDQEDFIDRGPEFYREWGSHFGRLHIHPTSGHPEGFPEIHL VYRDLKKSFNFEYDDAVTPTVWHSDVSYELQPPGLTTFFLLAQPKSGGDTLFTSQVST LKKLSPQFVAFLRTLKALHSGFEQAEFSRGGRRGGTVRREPVEHIHPVIRKHPVTGEE ALYVNRQFTRRIIGLKREESAAILNFLYDHIDRSPDNQVRIRWRPNTVVLWDNRITAH SAIIDFKESGEARHGARITPQAERPIPALDGLDLSL JR316_0001119 MVTTVPKVLPDILFAFIPYTFVLAIFGAFVVWNGGIVLAATFFG WPTLISGPGGPMELFSSLRARMFGSKMFAVSKH JR316_0001120 MPDDSKSNTSPKSKPVTRSSIRQSLNLASVGKAFADAISKDGRE ASKNAKKIKDTSRRNSSLVSLPPAPRASMGDARPSSQNSKRTSTPDSKPISRRRVSTS NQRASPEEPSPKPSESATPQPIAPITRTSTLRPRNINGASALPKYRPKSAVTEIVKPP SPTRAGTRRRFSASDDEKKEQLKPTPSVSPAEKTSRPISPLPQRAALKTNLTNSVNAT PPATPSKTKLATPGSAKGSPSRPAKIVKTTQATTTISRPPSSSSSSLLAPPVATSSIL PKSSTPKGSTPKATGLKAKLGLSRSAQDKAKLTSGSTNVHNPPSRDSPSPLAQRTRKN VKAPTPASSSLSAQSSNMSHISEGNSEDEDSDADDVALLLAPVAAITAPTPAMPKITT SRQRLVPQTPTRVNLPSRGNMSYSSPLPPDAGEKTSGHLRPPPRETASQRAMRGSIMS WEQLANEQSVSLGEDEFGRMLSEIPAPFRSGAASPSLSSHIDMPESPCLSAIDSPGGY GSISQVLLPEVTPSPAIHQSLAQSRFNLSPDTAAMDASTLTLLRLQLAAAENTAKERL FQIQAMEEEFHHIQQAHNLQIEEMRMQMQYMETQWRASEEQNPPVAFLEEQIQRLRDE AVAEAVARCQEDARIATGFTIKAEQLKQEALTSARLASSSWETFHEACDMELSLLQGD KALLSTHWQHVSFTYLI JR316_0001121 MAPKKVSPNRRRSIAVPNQNLPSLVPKGRRRAHSIVPGATLSPL AKARRSLAPRKSILKASINTLNVDSSSQPTNQQPSSTGDDSSITRSMDITHDNTTRKS LGRRVSFAAHSHVRMFETNNTNESSTPSSDPASPEPSQAQNISNENDYPGQTSRRRRS SIRYSVAGSEDMDITAVIPGGMAARGTAILDEEFDYEEDEDYEDADMDVTEAIRGDFA RKHSLSIAGRRPLSQLQSPSQQQFNEADETRSDNANESFQSEATSEQSEQSMAMEFTI PLGQSLKPAEKDEAWLALKQMTHSGNNPSEPELSSEDEMTQEGDMNLDDAMERLRRAR DSLPASQKAEQQASHGQDDTFTSTEDSLDMDDNPEEGNKTLNLSVVMGRASLARNSRI SLGYQDSNMDESEIYGDIVAPVSRDSLVPQSSSSSSENVTGKLTLSSVFQPPRQDPKP KPSIEISAPSAKPSVPFSFTPRAPSPTKSSDASKLKPKPTFSAAFAPPVARKSPKKVA TSPSPAVKRTRSSPQDENDIYECDQPSPAKRQALTKDLSTVTSQSSKNSSSLPSSSAT EADSSQLLSPIKKGSFSTSTAAAEPPSRPSTALRRPSGYFAKRKSLAVGFEQSSTTKD FLNTGNSSQNSSTHARASMGSAPPDAWTRFNREVGFDAAKVVGTSNVVSIDRIAEAGD VRQEDVEQPNTTHSAQSSPGSIQSTAVIESRDPSPEIQNNPALVVPNMETQFPIPKMQ IDRDSTQHWREGVEQTEYEEENEEVPSISVTQFFAMTGVKFMDELTAPRRSMHPSQQP IRQPRNTTEIPLAEYFTAMAIDIPQLDLYSRVSKDLEGWMEKSKAVFAQTEEEAAKVT PELFVEYARADEEGQAELLQQLNLIRTHTRFLARSDWYDWKLQWVEGLRMTAESAFTS LENDARALEGPKKVITSIIPALEKEYEDIMRELEKEQTEVAEIEDCDQDYLNELKATI AEQNIEIESLKAELAEGNDQVRWLQERLEEIDTQTQEAKQTISTAERVLRMKQTSTRA EVFRLKGELEALEDLHRCRITKVNSNLFEYVYSSLFQVSIPCKNFVPIVKKVTITRHG KPETRYKDDYPQLSSFLLNCAKRLIVEGDDMTVREIVQRLADYWSSCARLRLQLTLLN VKFPLEISVQHGDSTPPQFKASVMVMFPSVKAKAVVSFLFSFDTISRWPMAIDSLDCD VEVSYGPIDRSAILTALSSRLSQASPMDNFACLLDACIEAQDVYH JR316_0001122 MSGKQTATRASARTKATATATATVIPIATRTTRARTATGKNAAL VVADASAIPAPVKKTAGRKPLTSKDNSLDIPAPTTKSKAKVAPPVRKGKSANEDSERE PIMAFLRIRPRMGEEGPAASPYLTPLSDTTVNMTDPHDSQSGRSKYRFSTVTPSSLYT FSHVFPPNTTQSEFFTKTTLPLVKDVLVGQNGLLFTYGVTNSGKTYTVQGGEDKGAAG ILPRSLDVIFNSIEGLHGDGRYRPVRLHGVELSDDLDSTAPPIMPEPALADVLGSFDT YDTEFDIDPTVISVDRNHEYTIWISYAEVYNEKVYDLLASVKDETNAPPDGGRPRPTG GKSLFLTRQALPLRPSPASDSADTDVGGKYIAGLRQFRVHSAAQAKALVKLGQLHRRV FGTLANSESSRSHGMVIIKVVRGHRGERNDPTSLQISRLTLVDLAGSERTKHTHTTGD RLKEAGNINKSLMVLGQCMEVMRSNQRKIAMSLSSDSLKEGRVDTRDVKKGLAVVPFR HSKLTEVLMDYFVGDGRTVMIVNVNPYDTGYDENSHVMKFAALAREVYVTPAPAPLQR VPAIGPGAMHGKKIKSLGPLTLSDPDIAPRPFTRKVTISMNGPEHGKKPVEAILEVRE DDEPHEEINKDQDDDEDYPINPLVDALFDEVEHLRLQLFESEMRCALIEAETREEVMR EMEERMRMMEEMYSRRLMSELERNEQKTDAKIDMLHQSGLFGSPTKPSRYIPVDEDLS EEEDVEYGEEEPSDDENDDRSMSQSPLAAKTNKLPRKSSVAPRPIIEKRAFVPDPPML PSDTEDAQLTETEESDGETPSAAPSHTTTATKSNLDDEGDDDDDYTEEEGDEDEWEPP TKRQKETKPPSPRVSPPASSNRPRISKLTKGVNKLQINDQSADSDEDDELSRPVVKKK KRKANAQFLYAPPFTFYPPQNNLQ JR316_0001123 MPKDTSKGDTQASSVPDVLAVESTVTVERNTSARSATKASTLTE LWGKKTTETTKTATNATTKTKKAAASTKNPSAEEAQSNGSTNPPSSSTGGPSKTLVAL TRAILDSLEKDTMEESWRNVLAAEFQKSYFTALKKFLLTECTSYTVYPSVDNIYSWSR LTPLDRVKVVILGQDPYHDVGQAHGLSFSVLAPTKPPPSLKNIYKQLEADFPGFKTPK SGDLTPIAKQGVLWLNTSLTVRAHNAGSHAKKGWEIFTTQVIRAVLNRKNVKQGVVFM AWGLPAQKTFTSIGIDQTKHLLLQSAHPSPLSAHRGFLGNEHFRKANEWLNEKYGKEA EIDWMVLSSNL JR316_0001124 MIPTPNLSHLKQSDYDLIYEPAEDTFLLLDALEVDADNLKNSKP RVCLEVGSGSGCVTSFIANILGPSVLYLCTDINPHACQCTLRTGVQNHVDIHVVNGSL DEPFQQRLSRKIDVIIFNPPYVPTSEEEAMIAQSSRNIGGAWAGGSDGMLVTNVLLQR IEALLSPNGRFYLVALKQNDIPKILQTMEQRFQLCGEIVLQRRAGREHLFIIRFLRGN KTLNQ JR316_0001125 MSALLNVVADNAPDAIMGKDAEKGAAVHSFDPDASPQEKGAQAG KGKEDLQSVRTQPPIQTGKYSHSLDIPIYTFTECVSTLELKMEPAPSPQPAVPTIVIE DAGGEDEKKPIVTAKDTDQVAQDIQDEAADEIPGSFNKGPYVIPDWYKVGWRQMSGID NPPLSEGEERDKGILDRFLSEQYYGTWYHNAGIIVFAVLATHYLTVFRFGWGWLFIVL AVCNTYYSTSMERVRRYARDDIQRELVKTRLASEHETADWINNFLDRFWLMYEPVLSQ TIVSSVDQILSTNTPAFLDSLRLTHFTLGTKAPRIDKVRTFPKTEEDIVMMDWGLSFT PKDTSEMTERQILDQVKPKITLSVRLGKGLATAALPILVEDISFSGLLRIRMKLMSNF PHVQVVDICFLEKPVIGYVLKPIGGETFGFDIANIPGLSSFIRDMTHATLGPMMYDPN VFSLNLEQMLSGKPLDAAIGVIQVIVRSARGITGTKIGGGTPDPYVSLTINNRAELAA TKYKKNTYNPTWMETKYILINSLKDRLDLNVLDYNDHRKNTLMGSATFELAKLLEDST QEDLVSPLLKDGKERGELRYDVNYYPVVEVEEGKEELLDSTVGIVRLVIHQAKELDHT KSLSGDLNPLAKVYLNGARSAAYSTPCFKHTNNPVWEASYEYLCTDKNAATVTIKAID DRDFLKDPVVGYMTIALQDLLASSGQAGKDWFPLSGCKTGRVRLSAEWKPLSMAGALH GSEQYAPPIGVVKLVIDKAVDVKNVEATLGGKSDPYVRVQVQNVTKGRTETLMLECMD YQHLTKDRSLGSVDLHVSDLATETPDDVQHRYQSRGVKTMADPIRLDKGNAHKGTLYY SAEFIPTLNVKFHKFETQNTEAGQLARQQGGDDDGGYVTDSSSSDGHDVPPGVTITSD PKSPVKPAKSSDSMSISGQSTKSSKSQKSNGTDSARRNSGGSAPTSPVTPVTPATPAT STPEKKEVFVEMSDEELLAQQSGIIVFHVISGRLAKKGRVEVLLDDGYWPCFSTVKAR SVHAQFGYVGEGFVKEVDFGRVWLRLNEADENSKDDIVAEWKGDSKAFLQATMSGPRT YELMDEDGKNVSSVTVEARYIPVPVKLEPRESVNNQGILRVDLIDGHEIRGVDRGGKS DPYAVFQLNGQKVFKSQTKKKTVTPEWNEHFEVSVPSRYNADFSLELFDWNQIEQAKS LGTAKIDLSDIEPFLAQERTLNLVSQKHGEKGQIRVRLVFQPEIIAKSRKNTSTFTTA GRAMTTLGGLPVNAGKGVFHGVTGVFKRSGGDKDVVEEAAGVPADLPTGQASQPVGVS DHMQSGHANFPSSESNGHAAVAINEPGTLRVTVLDAKDLPHHDVKPYATVRLGDKEHK TKHTGKTDSPEWNESFIFAASAMTPKMFIWLHDHKTLGKDKEIGEGEIDIWRHIKPVG ISSADVFVELRQGGLVRLRFEFDASSNPNLSSSASTHSGEQMTRTTSILSSPSRFSIR GRRPNEHDDS JR316_0001126 MEELQSINKSSILLEVDVEKGPPQSDASRLPLPAQSKGGSGITV CYVDVFNMKKADLVDLCRQYKLPVSGTIKVLIERLQEFSGQREQWDRLTTGVARRSHL GFSTHSSTKPTASHKKSVQRREKMFLESTNTENGNPKSLPSILSTAVQPSHQWCQTRR AADLDWARYIVNLYPYRREETRNRLAERDAAQFNQKRLFSSSNDDDVKAGIEIANGHL LKIVNWVEAGTTSPHPTPSPSHQLQPSTSHFSVAATKDLQNSQGHSISASTTTTPTRT VTMASGSITFSSSDVPPPPAVSFASDIPGLNRMWDDTSIYWDGYSVLTIKGTAIPIVY WKEIYTSKGSVEWKPKQWESLKGKFFDWKILVHQWRQGSPEDFWAKFTKDGKILGYRQ ILDQLAEQRTAHDALFAQQIMHEYGNQFSSIFSYVKNGTRHVKTKPCHIIKQYRRMKG LINEDDNDEDN JR316_0001127 MLSSMVVPSNSIYAGLNSIISGSPSPTIENEPFIPEDVENDLDG ETETLPNLSLHDLSSEDLDSHNPTASKWRDTITGASKGVTDKTDADYQRLIKQCIKFL ISKNMIKKREDFACCNPPDDAPFFIAAWIMDKCDDIYLDGTLKPTHVVRDSYVHGQKM RASMTYLFGRELGLGSDRWRRNELTGKMIGNPSVSDTVATYMMSLRARKIRNGETPTS ARAITSATLKALYDENHKSENYDIKPYAPGSRKEQDSNHWGGGLARRALEAIYTIAFL CLLRSDEVLKIRREHIVYQMNPPGLVLTLPFRKTHQHGGIKPFYLRLLAENEAHLCPV RAMANWLDASEITDGYIFRKIASGDRPSADNIPMTSEQFLELFRNNLLDIGVDPIPYG THSFRRGGCQYLSSERRWSIRTICEWGGWSTEFSNLTISSALCVDEHVTVHSKIIYN JR316_0001128 MGVKGLWKLLDPVATRASFQRLAVEDGFMSNRQGTRGYRLGVDA MGWIYRACYRHGATKNMELATLNARCSRLYSLPILPIFVFDGPNKPSIKRKKNIRGNR HWIEADFKSLLDAYGFIWCEAPGEAEAELARLTKEDYLDAVLTEDSDSIVFGTTTVLR IDEDVSDDEQVVVYRSSDILQALQMNSEDMVLIALLVGGDYNPGGVKGCGIETALGLA KAGFGRSLACGLKENNYSQEAQQQFLHEWRSQLISEAHSNSSFHLPRRCPSLAHNLPA DFPSSDILSYYLHPVVSDDLIPVLFSRQLSLPAMMLFAEDHFVWGTDAVVMLRHLSNS ILPGIALRSAMQIARARDIGFHYYGHMFFGQIVGKRQSSKRSPELRVKIDFPKDIIQD GINRLRAAQENPRFDQSSVDSWIQNCLPKLRAWIPSNMMEGI JR316_0001129 MPVAIDSSALVWQCNYDLISKGFELPAAATSTNPIRNLYGPTLV LALIFVLTVLALLPGAMEELQSINKSSILLEVDVEKGPPQSDASRLPLPAQSKGGSGI TVCYVDVFNMKKADLVDLCRQYKLPVSGTIKVLIERLQEFSGQREQWDRLTTGVARRS HLGFSTHSSTKPTASHKKSVQRREKMFLESTNTENGNPKSLPSILSTAVQPSHQWCQT RRAADLDWARYIVNLYPYRREETRNRLAERDAAQFNQKRLFSSSNDDDVKAGIEIANG HLLKIVNWVEAGTTSPHPTPSPSHQLQPSTSHFSVAATKDLQNSQGHSISASTTTTPT RTVTMASGSITFSSSDVPPPPAVSFASDIPGLNRMWDDTSIYWDGYSVLTIKGTAIPI VYWKEIYTSKGSVEWKPKQWESLKGKFFDWKILVHQWRQGSPEDFWAKFTKDGKILGY RQILDQLAEQRTAHDALFAQQIMHEYGNQFSSIFSYVKNGTRHVKTKPCHIIKQYRRM KGLINEDDNDEDN JR316_0001130 MLSSMVVPSNSIYAGLNSIISGSPSPTIENEPFIPEDVENDLDG ETETLPNLSLHDLSSEDLDSHNPTASKWRDTITGASKGVTDKTDADYQRLIKQCIKFL ISKNMIKKREDFACCNPPDDAPFFIAAWIMDKCDDIYLDGTLKPTHVVRDSYVHGQKM RASMTYLFGRELGLGSDRWRRNELTGKMIGNPSVSDTVATYMMSLRARKIRNGETPTS ARAITSATLKALYDENHKSENYDIKPYAPGSRKEQDSNHWGGGLARRALEAIYTIAFL CLLRSDEVLKIRREHIVYQMNPPGLVLTLPFRKTHQHGGIKPFYLRLLAENEAHLCPV RAMANWLDASEITDGYIFRKIASGDRPSADNIPMRREDFFNSDKPPAVKCFMCGRTCH CA JR316_0001131 MGVKGLWKLLDPVATRASFQRLAVEDGFMSNRQGTRGYRLGVDA MGWIYRACYRHGATKNMELATLNARCSRLYSLPILPIFVFDGPNKPSIKRKKNIRGNR HWIEADFKSLLDAYGFIWCEAPGEAEAELARLTKEDYLDAVLTEDSDSIVFGTTTVLR IDEDVSDDEQVVVYRSSDILQALQMNSEDMVLIALLVGGDYNPGGVKGCGIETALGLA KAGFGRSLACGLKENNYSQEAQQQFLHEWRSQLISEAHSNSSFHLPRRCPSLAHNLPA DFPSSDILSYYLHPVVSDDLIPVLFSRQLSLPAMMLFAEDHFVWGTDAVVMLRHLSNS ILPGIALRSAMQIARARDIGFHYYGHMFFGQIVGKRQSSKRSPELRVKIDFPKDIIQD GINRLRAAQENPRFDQSSVDSWIQNCLPKLRAWIPSNMMEGI JR316_0001132 MNPPSSLRDLYIDPSQAWAFVPPTTPAVANSSSSAAPLPPADTA KVHSFQWSTRPSHNSIFDLSPSLDLSEPSGINVAQLFKAFLASAVLQYTSTAIVMPWE VGKLLLQVQWVPRDAGDPEPIPLEMGEDDNDDALSDDSTENDSYFADPHAAPSNRPPA PRARVDEQGYVIRRSVLEEGTRPEYIIPVGSADGVWGMMKRVGRFRAEGWLALWKGLL TSCVTEVVSDSLQPMIHGFLQSLFFPSLSAFHQPPIVIPVVSHLITGFILSPLDLIRT RLIVQSFTARYRKYTGPLDAFRQILRDEGGLYGMYFHPHLLIPTLIDNALRPMVSVAL PGMLLSYFGWGHITEDTHPIGWGMAELGGSCVGLLATLPVETIRRRLQVQVRGSAEAV KGCVELRPAAYNGVVDAFWHILTEERSDLPIRQNVRRRRPSVKGKEREEAEEREAADE YESWFRNTGLGQLYRGLGMRLSASAVVFVLALVGGRDEADSGWAEI JR316_0001133 MAKGMSAAEPSTVPLLARALNRIRSTPPRVIASPPTQPRRAAVA LIIRVVPSPLAPAPHNTDKPASLSDFFDADWVNHPAARPEILFLHRDEAAPATDASLW QAKLRHNTTEAHVAFPGGRTEPDDEGGLYTAMRQTWEEIGIDLAEPCYSYIGQLDDRE ITTSLGKRLLMILSPFVFLQLTPHAPPPDPVPSTSLHWTPLASLVSIHSPPKWSSVTV DAASRLAPKHSTILRFLVRVFIGSMQFPAIIIQPSTDNSSLPSDKEKAALQSSPSAKH KQLKLWGLSLGMTLDLMSYMILTPSTSNASNGSRPNPNPTSPLSSVMQLPYQPVAEDF RMEYIAPSLASVFPRFSYPDVNFWIWVFGKRYREVVRGWEASVRDGGTNDRRVNWSGS ALNTFYAAIRKALVVVIVARALGVLFGVSFALWWIFS JR316_0001134 MLIRIRSKDGNFRYDFSPDDDISKLLEKILETSTDPDVSSITIS NQPRGNEVKISALKGQTLKKLGLNHGDLVFVSYKPKSESNDATPAPSTSAATSSTGAA KESAKRPWELVQEDPVDTYWRSTSGKIPRQRDSRFCKHALNGMCDYCMPLEPYDAAYH KEHSIKHLSYHAYLQKITPKPSASAASQLPPLNPLSYKVKVPCPTATHPPWPAGICTA CQPSSITLQSQPFRMVDHLEIASLEIIDRFLQAWRKTGLQRFGWLIGRYEPYDKVPMG VKAVVEAIYEPPQQGEIDGLTLGWPWEEETRIRQLASEASTPLSVVGYIFTDLDPTPE DRTKNVYKRHPQSFYLSSLEAIFAATVQKSNPTASKSSPTGQYASRLVTAVLTATEDG QVDVSAYQVSEQAVAMVEADMIEASVDPGIVRVKEEDRTNDSARYVPDVFFSYRNEYN LEVKKSAKPCFPVEYLLVNVTHGFPQNPSPIFQSTAFAIENRPGLENQSLETAMSALN RLEAPSLYPGSESYKKIELAKWLSDWHFVAFLQTTQLFSNDDIKVLMRTITSPTLLED AKNIDPVLETEGWHTLMTFTRESAPARRSSSGPSASGADRMDDDDIPPEVYDQIAADD AARAAAAAAASGDSSAGGSSNIQICPHCTFENDHGGDCDVCGLPLA JR316_0001135 MLSLKSEALEDTVCLTSPEPSGAQELQFWETFAFDMEREQHANS RNPRDPQRSRSTSTSNTTAQHSRIHSGAPSVQDAALLAQFAAATGGGDLSQVYSNIMA QNPYPSMGPTPGFYGNFLHHGSMPTQLPPLSSLDFPWNNVPQQPQASSSHYDHRQEDP NTRMHTLPPYMDAQFTPSGPRPAQTTKESKRGKAASSSTVNENRSTSSPEVDMTEAER AAIADEKRRRNTAASARFRIKKKHKTLGLERSVSDLTGRAEELEREAADLRRENGWLK EIVMLKGTRFAANNAAHREALSQAAALATGGYTDASREPAGSSTRKGEASESERSESE SSDVEEKKSKTKGKGKKSSKNE JR316_0001136 MSFGRPPSINVGFKVTAPDRGSFPLDHYGAWKFQVNILFRADNR YTQPGECKDKMQQYMACLKQNGSTSTPCRNLSKEYLDCRMNKGLMERDEWKNLGLSNV DNKSKPSESTNAGASASDDKSSTQCRA JR316_0001137 MRLAVVSESLYILAGLGLVVASPVDRRAPKGQYFDVQGHRGSRG EAVESTLPSFAWGLIDGVVTLELDNGITKDGAVIVWHDEVIEADKCNDTKPAFKNDPD FPYVGKHVANLTLAQIKTLDCGSKRLSSFPLQLTYPGTKISTLGELFKFAQCVDPERR IQWNIESKINPEQTNSTRGVDDFVKLQLAEFKKSSYKLSQITYQSFDWRTLMGMKALE PRVPTAALLDDTTLYGPNNTTSVWLGGLRLDDFKGKTTGEKIAYAAKSIKASIVSPGV YSSASSADPSEEGYIPFATKDMIDKAHELGMLVKPWTINRLNIAEQILDWGVDGIITD YPATVRRLAEQRGKPVAPAFSKKTVLGCLNKHLQKA JR316_0001138 MATFQAVKKAVTLTPTVRALPSGIPHAPLLNLAARAPHDPHAHG AAGPRADAAPQWAGGVSRTASGLVSKTFTTVSPTSNFQQRYIHTSVARKDAPEVPDFS AYRTNPETNRALSYFMVGSLGVISASAAKSSVSEFLQSMAASADVLALAKVEVDLTTI PEGKNVIIKWRGKPVFIRHRTQSEIDEARSSDWKSFRDPQADEDRVKKPEWLVMLGVC THLGCVPIGEAGDYGGWFCPCHGSHYDISGRARKGPAPLNLEVPVYEFNETEDKLVIG JR316_0001139 MARVQLLSIASLSGLFNLNAVYLTGYAWLFGMSVWVTFFGGIIA YRALPRHQFGALQHKTFPVYFVLSMLLSSGLMAIWTFKHPDILVYLARPNVADVAQFY ALATVFSSQALNYFVIGPLTSKTMFQRQRLEKEEGKVYNDPGVSDEMKALNRRFGSLH GISSLANLGAVLALGFHGLWIGNAGVKGY JR316_0001140 MKLTPELLAQVSSHQNPLKERQLDLRGYKIPAIENLGVTRDQHD CIDFTDNAIITLGNLPLLKRLQTLLLANNRIHNISASIHLSVPNLQTLVLTNNNITEL GDLEPLKELKHLKYITLLGNPVQEKKWYREWLAWRIPGLRVLDFQRIRDKERAHAKSL FVTADGLPTALATTISSTVTAHSTKTSLTIDEPKAAPTVKAGRLMSKEDQEKVKAAIA KATSIEEVRRLERSLREGYVPEFDSVGA JR316_0001141 MGHDIYRATEFDILPLASVSAYVPPHPVEAQLLGLVRSHLNGGN FLFSYTWDLTRRLQVQWDSREADAGKAFWEVVDDRFFWNRFLQTKLIDQATTNPHAAY GSFILPIMYGTFDVRPTFLHGRHMYLALISRRSRFRAGTRYFRRGIDHNGHVANFNET EQLLLVEGQTSPGSVRISDDNFATKLSFVQIRGSVPVFWSEINTLRYKPDLQIMELPH TDHAMQTHFTALTNTYGKQYLVNLVNQKGHEKPVKEAYERFVAQLDLPDVKYQYFDFH AECKNMRWDRISVLIDKLKDDIDREGYFQINNDDSAPKKVQTGTVRTNCMDNLDRTNV VQAAVAKYVLNQQLRSLGILSADDGVDDYEAFSKEFREMWADHADNIAKAYGGSGALK SDFTRTNKRTKMGALEDGYKSVMRYLKNNFFDGARQDGFDLVTGTWIPRKNPSSALFL ITDPRPLIIRSMPAIASFSLFMICAGLTLPRTSDYSLVYYFMLWFSLFSMAIVFILIH GIDYVSWPRLNPLTDIIYYSGPGHRSGHHGKGLQGISEPSWKKTKWVSGVGRRTKSLV EEVELGTVKKRVD JR316_0001142 MAKGTKRASPGAEEEKSGLSNAELGEEDAQKLTEIQRDLARVEL VLERQAQATLRPVYEKRRAVVRTIPNFWPVALMNHSLFAYHAQHSADQTALNYLEDLW VEKDPNEHRCFTIEFYFKENPLFSDTVLKKEYKFVKPPAAENEVADENGITPSMLDFS WERDVEVSTTKINWKDADKALTKVYPRKVGEDEDDIADPGSFFNFFEHRADPSEIGVV IANEIFPEAIEYFLGNVDGEITDSEDEDDDDDDDDAEEIDLEKPRSKKRKV JR316_0001143 MRFSQSTVFAILPALVVARNQGQQFARQHDLNARQNPATGSTTT ASVPVGTSASSVSGTAAATPTLATTFTFKLDATNPTAVPLSVINAAQPSSVTKPLDST AVPGTIPTFIPNAPPLPNAALLNPANYPVLDKVPPVDSPEVKGWIDEVTATGIVIPSF DPTQPGGCPNNTAAVADTSRCWWTCGGCTRSTDVTQCPAPLNWGLTYDDGPAPYTSNL LSYLDQTNLKSTFFVVGSRVISFPATLQTQFLGQHHIAVHTWSHPSLTTLTNEQIIAE LGWSKKVIKDVLGITPNLMRPPFGDIDDRVRAISMAMGLTPVMWTRISPLATFDTDDF NIHGGITSVQQVLQNWENILGNATTMSNGFIVLEHDLFEQSVEVATGYILPDALAHNP PFTIKPVIECLNKNMADAYIETNDNSTNPPALSAAVSAGVVTVTSAPASASNTSKSSA VSGQFNGAGLAYMATVIAGLAVGALLSLPF JR316_0001144 MKYLIQASLLTALPALVAAQQDLHIRVENEDFRPRQIFSSVTTP SSTKGLTGVISTPAALTSAVGDGNAVSSLSRSSSHTTLTAEPLATTPVPTFHLQSTNP TAVPLASINAAQPSLATKPLDSTVVAGTVPTFLPGAPAIPNVALLKPSNYPALDKIPP IDSPEVQRWIQEVKDTGIAVPEFTPTNPGYLGGCLNNSASVGEDSRCWWTCGGCTRPT DISDCPTALDWGLTYDDGPSFYTSNLLAYLDQENLKSTFFVVGSRVISFPAILQTEYM SQHQIGVHTWSHPPLTTLTNEQIIAELGWTKKVIKDVLGVTPNMMRPPFGDIDDRVRA ISLAMGLIPVMWTRISPLATFDTDDFNVHSGATSVEQVLQNWGNILSNVASRSSGFIV LEHDLFEQTVEVATGYILPDALAHKPRFTIQPVISCLKKKMSDAYIETNDNSTNPPAL SAAVNAGLTKETKAPTPKKSSSDLLLLDQRAILMSLGTLAMGFGVGGLAFLRFSLV JR316_0001145 MRLSTNTLLAVLPVFVAAHADHHLHARAQQHARQVPAAAPPPPV ASAAGSSSQANVVGTTSSQVAASQSSSPAVAGTSTLPAGSSAASVAGTTSSVSSAAVP SLTVQLQATNPTAVPLASIIPGQVSAATHPLPSTAVAGSVPTFLSNAPPLPTITGLLA ANYPPPDRPAPTDSPEVQQWIKEVQDTGVVIPQFSPTNGIGGCANNTAAASDASRCWW TCGGCTRSTDITECPTAMDWGLTYDDGPAFYTPNLLEYLDQEQLKATFFVVGSRVFYN WNMLQSQYMGQHQIAVHTWSHFPLTSLTNEQIIAELGWSRKIIKDVLGVTPNMMRPPY GDIDDRVRAISLAMGLTPVMWTRISPLATFDTDDFNIANGQTSVQQVIQNWENIIGNA TTRNSGFIVLEHDLFEQSVEVATGYILPDALGHKNPPFKIQPVIHCLNKDMTDAYIET NNNKTNPPAFQAAVSAGIVTVTGTAAGAKSTGKSAAVTSKSTAGSLWSLAGLALGGLM TLP JR316_0001146 MAPKQPCAKYQYVVTSSGPKILPVDEPSCKDEESPAEYNAGGYL PVKVGDYFNNNRYRVVRKLGWGHFSTVWLVKDSQTARHSALKVVKSAGRYAETARDEI KLLSRVSAFSPSHPGRQHIVSFLDSFSHQGPEASHVCIVFEPLGENLLALIERNKKKG VPRALVKVIARQILLGLEYLHDECDLVHTDIKPENILISIPDIEAHIHNELSQSPSPT SRRVGIPLPVKSRAGVSIPYSQQRTRRQVQIFDSQPLASPGRSGSANGHASLSMNARL DNTSGSVSLNGSYIAQIHMSRNGSGHPGHSSSPAINFSSSAPKIPSGLSASAPRNEAS LKEKTAQIYAAKGITAVPSRVINSPSTSSSSSSISSALGSTTAGSSSLVSTPPTSLSH SLGNAVMEFVGTGTFETELRTRPRVSSHDKKGSGDEKEGFVIDSKKLTEKTNLETQIS SAISSSWKPTDSWKDQASSSVQSSKSTSSFSQINKQRSVGHTHTRSHSGISSASFWKD LGTAAPAPAILVSAVVAADGRQDDFSKQSTVESADTTSGKSSTTATTVRPSPIGTPLP ITKKSVSLASSVTKLISDTSNLLLSGTPPKDFTIRNDLSSHHPLSLLTQSAPYRQSPT TSPSKRSTTRVSPPQQISQLSAHMHLHKPNSQCNCSDPSHQHSRTSSGIRTNTKSRSS RLSEHPPISSVVPLSVPSTSTSVTPTPASPPPIAPPPTPVDSPSISNFIPSPTGTHDI PEGTSQHRTLPPLQTPADAPLPPPISIKIADLGNATPSKKHFTEDIQTRQYRAPEAIL GRRDWDARADIWSVACVIFELLTAEFLFDPQGQGELFTKDDDHMAQIIELLGDFPLEV KMGGKYSRELFDHTGALRYIRTLKHWPLKRVMIEKYLFSEADATILCDFLEPMLAVDM RERKSAREMKNHKWLEPTLEDGIVTEW JR316_0001147 MSFADGLPLKSTAQAASVQDAMKTAAQKFIGAVEDEWQLYSDCA KDYTIGAPIGFGASSIVYAATYHPSPKPSPNVPKNKAAKGIPCALKVLDLDSLPPRSL QLLQRETTLMSLSKHPNVLRVRGSWMDGHKLYIALRLMNKGSAADVMRYGWPGGMEED VVRCILAQALKGLNYLHINGFIHRDVKAANLLIDDDGTVLLGDLGVAADLSEDTSRSS NPASRPYSVTATAALPQARSVSATSNGKRGVLFDGTPPVLQRPTIGKRKSFVGTPCWM APELIQGKQYDSAADIWSFGITALELTQGRPPRSRESPQRVLLKTIQEDSPTLDREGG VYKYSRAFKEVVDSCLVKDPSKRQHAPDVNNWTLTGFTEDLPPLAQRQERRAAPPVLS ASSIASWDFATTLNSPTTSVYRRHALEDLAVSEDGVINDDRISSRHQSRLVSWGTNNE VPVTVNQNEPIAESAFVPSSSPSTSTSSVQSSDLEPSPSSTPPSSSAPSSYTSPSEIE HRLQAASAAENNNSPLTSINEYNREPTLVGLNSVLPKSTSTPQDKVSNNKYSGSPGPN LWNRWKNNTKGSPSRTDLSDGDLTPRKEKEKENTTFLGAFKDLLVLQDTAKT JR316_0001148 MNAMETDEVSVVLPPTTTSGLALSLHPLPILNISEHLTRLKLQQ NRPDPFVLGALLGTQNGREVEIVNTFELAVVGDDISLVDHEFLVSRRDQYKQVFPSLE FIGWYTVTTKPTMQHIALHDQFTTYCSTPLLLILQPSLVLTSNSGDAHAQTLPLKAYE PTIELRDRKSRSVYIEVPYNVETGEAERIAVDWTARGGGSGTSLESHLQTQRSAVKML HERILILIKYLTDVIGGQARPDQSILRSLSALLASLPATENKHFREEFDTEYEDVQLT AFLASLTKSTNILNDLVDKHLVLTAGKDERGGPRRRIGRQGAPGDWDRFH JR316_0001149 MGALFVAPPVLPHAAIVVQGHVPLYHWRGQGRDAQFLGKHTMRN HTFLFLDASEVDAVTSNTIPDIDPKYFFRDLHKAFTGLSALSQLGVKDVISPLWGAGT FGGDPVVKTIILAAAASQTKVLIHLSVDTARIYQPFMPHEDDRPTVLIDFLRGLQQTW RHLTVGEIVSKLHNGDWGHLTRKS JR316_0001150 MSGFDANRVYSVSVHDLPAATTPDTPSETEKLLVDFILSFRVGG EFVYRDLLRGNILLKQHLLEVDLRHVGLYNDELAHAIQDKPADVLPLFENAATKVARN ILYPLAGNSEERTEAAAEAIPKIQITIKSGLNLLQVRELTANTINKLVRIPGIVISAS VLSSRATKLHLQCRACHSTKIIYPQGGLGGMGGGSEKGLPRVCDAPEPPGQKKDCPLD PYLIIHSKSTFADQQTLKLQEAPDMVPVGELPRHMLLSADRHLTGKVVPGSRVIASGI YSQFQSSKNVRTIAGTAALRQPYLRLVHLELMAPGGSGGSNPFGAQFTPQQEEEFQEM ARSENFYERFAKSVAPSIFGSLDIKKAIACLLFGGSKKVLPDGMRLRGDINVLLLGDP GTAKSQLLKFVEKVAPIAVYTSGKGSSAAGLTASVQRDSVSREFYLEGGAMVLADTGV VCIDEFDKMRDEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPVFGRYDEGR SPGENIDFQTTILSRFDMIFIVRDEHNESRDKMIAKHVMNIHMNRPNVNGDENGDAVG EIDLDKMKAYIAYCKSKCAPRLSANAQEMLSSHFVNLRKEVQQVEQDNDERSSIPITI RQLEAIIRISESLAKMTLTPVVQNHHVEEAIRLFKYSTMDAVSAGSVDGMSRGALNEE MTRIEKELRRRLPVGWSTSYQSLVREFVTQQGYSSHALERTLFILEKREIIRFSGQKK VVHRIGV JR316_0001153 MSEAQSYAQAFDAYNNEFVKQQGLEFLSFLSPSDVVAPHPDLFE SDIDSNLAAFPDQLQLLTVDSNDAFSFFRSEKPQWQGPLSTITVSSYDSLSSHSESFY NEPIQSFAPTVANSQLFDFDLDMDFNRVRVGGSEYSAASLNIMEDDNDPTSFGALPPT PPRSPPVSMNTSKAFDKSYPPRTSFSDYGPPRRGSTTSSDYYSHSGYSPSHGHPTVSP LHISTQLPTMATVTPQPVDEYKGDPRKKYRCPSCPRAFARAYNLKTHMATHDPNRLKP HVCPQRSCGRSFSRKHDLGRHLISIHRDESVSASLLPAGSKKAIGVESGTRSWCESCG KSWVGRSAECDCADIK JR316_0001154 MSPLQCQVSPPRSQSSTARSRLVQGARTLGHHIKRHVGVGIICS VAYFDPGNWSVDLQAGSNFGYRPMLFVILVAGLGAIVLQTLACKLGCVTGLDLASHCR LLLHNNSRHPRLVRRLVLYPLYVLSEIAIISTDLAELLGSAIGLCLLFPKLPLWGGVV ITAADVLIFLFFADPSRSQGRPVRLFEYTIIGLVLAVFACFVVLLVKASPDWSDVFMG YIPNKGLFQSEPDAVYAAVGILGATVMPHALFLGSSLATQDRVSDAPVDGQLPSNSGH QMSRRSNIRQFVSSLFRITRAERVASNIDYRSKYGERENNPLLFIRQHLGHGIADVVT SLLTLAVPINSAILILAAAVFYKGNGQNHNTPAGLFEAYQLIQERIGDGGAVVFALAL VCAGQTSSITVTLAGQIVSEGFIEWKVSPFFRRFITRCISLVPSVIVAISVGRDGLST LLVASQVVLSVVLPFVAFPLIYLTSSKVVMQVRTTPPELVRSDVCLYQGQDEPAQDDE HNETVSKIEIEAIESIKIEPATVEQVVPIEYLDFSNGRFMTALAYVIWCIVLVANVYA IVMLIVRS JR316_0001155 MDINDLHPPDDYSHRFFIWHEWIQAQGPLTTENVLVYFATSMFY DKQSNNQVLRMQTQHSNATPMTAAEEANELKLPRRFVGIEFAVVHAEAPTFFIIQKRE RLSPDEGILYTPLQGFGDLMGTSTVRPLAAYFIMNNRIYQSPDIYTVLSNRLLTSLSA LQSSLDVLRRHRPDYTPRTGFVWPISVSDSSALDASKKRDIEANPTEGQGETDVEHER RSNTPKRQQNNMLLRHAMATTASHSKLSFVASKFAENIESMSAETPVTTTMRSSATPA PSTQETAQKTSGSQPSDVARVGVVKKKKKRLSHPRPITHYYFTDIS JR316_0001156 MDIFNRPHSIAEDTLHFSIYPPSNLSDKTSASSFAACIIDYVQS LLPGFIWHRDKFEVKVAPNSDGNGWILESKMRVGDCVDDEWLTVWLLKQISSKWDVVI SVYDSDGEFLLIEAADTLPSWVKPTNSENRVWIYNSRLHLIPLSHISPPSRKRHRRKL PGSADSDNEDVEKDDDEYLATEDAIKLVRDASIETLAPPAVEKTVWERISGYPDAAKA HLHVAKAYLPVDIAKCLIVNPSLIQRAVETFYTRDSIQLRAAHRMSRFPPNPSVLTSV KMTRTAYAQLVGQKFFPPKIFGHLKEQDGTDEWRWREVGMKIAVGFEMLYQESKGRTA SRISSESASSAVEATKEALRRNPEYQKYIENLKSANYFKGEIQGSQLWNSLENKAAAT YVEVRRVDDATRQSFASQVDEALQHKNLPDRSNQNEDSDEWLNVDAQQFEEMLEEAHN KTKVKVPSDSSAMEVDGEESAEDRLASQQAKQLKDLASKVEDFIEGEGDVEGALFNDE DLSDDLLSDDPDTSESESELDLDSKFRSAETRSAERQAAMDKLVPGLDASDYGRMPAS YHSNSQRVLPDNKPSEEPVSEAKSEDLPKPKTVRPPIIPRDKYDGVDSDDETDQEAND EDDESEEDRPQVVGEIEIDMEQEEEEFLEFSRQALGITEEQWSEIVQDRKNRGVFLPS SAMKTPAPTRKPPMTSEASEKKHTPRVPESGPRPNVNPELDSFEAIMNALDEALAQSR KPSKKSEEKVGNDKGKGKDQASESSAMNLDEDDDFDIDAAMEAELKEALEVNEDSDTE QPADYKMIKNLLESFKSQEGLSGPFSNLAGRLKPDFKLPRDES JR316_0001157 MATSFKDRNLIAVIGDEDSITGLLLAGIGHVNEQQKKNFLVVDS KTQIATIESAFQEFTERNDIAILLINQHIAEKIRPSVDKYQQAFPALLEIPSKDHPYD PSKDSILKRVQKLFGE JR316_0001158 MDFGEKSKLLGESLDTRQRSEPKHDTVGPFQLGLSQQALRKGEK IPKWSELSTGGKVLRTTARTSNLGVILLGAGLSVLLVYSLTTELFSKNSPTVLYGDAC EKIEKSARLAKYLNGPLTFHNNPPSAVRPRHRNRHVTSRVMVDQYGQEHMIMTFYVQG RPEGEHISSDESYLEAISNWFKDTATALPELSLDDAVEWSKETGQNVWEKSVRAFKYL SGSPLPPPALPALPPTEVRENGKKEEITAWSFAGIFSSLKGKKETGQSNSRPAGRQYT EGEVHADLVKNANGYFVFRYLLVDIPNSRDYNPIRVFIERQDGVRENEPVMRWVSS JR316_0001159 MARTKQTARKSTGGKAPRKQLASKSAARKTATNAAGGVKKPHRF RPGTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVMALQEAAEAY LVSLFEDTNLAAIHAKRVTIQPKDLALARRLRGERS JR316_0001160 MDSKSYEYLTPEQVEFFLEFGYVIIKNAFTKEQAAEWTKDLWFR LNLDPNDKSTWNQERIHLPFNSREKVARFAPKAWAAMKDLLGGEERIEEEASSWGDSF IVNLGTEQWEKATETIAPKDLDNWHVDGDFFVGIPSWKLLAILKSHADIKPLGGGTMI CPDGINLIANYLAEHPEGVLPTGLSFTPSTSTFENHQDHPGYWSHLKEIKRCNQFVEM TGEIGDVVLMHPLMLHSASKNYLRIPRIITNPPVSLKEPFNFNRDDPSEYSLVERKTL KALGVERYPFKITTERRRIVPARVLRQQKMMEDEKRRLAALKEASEAANELQSKNSIL VK JR316_0001161 MSFSLARFTRAFRPASVRCLSTHATAQSARRRYLPTLFIAGALV AAGAYTNTVHLDSPPVVVSKDAVEDTVVDPATSIAFPKIMRIPSNTKTPPLTLVGVGV RTVSFLGVKVYSIAFYADLNNPHLKSVSQVPLDIEPEEKVKCIVQNTACVVRIVPTRS TSYTHLRDAFMRALQARLSRGIKEGTITEDTAMGASSPMRVLKGLFPNSPLAKHVPLD IYLPAPDPNRPRALIFRDLGSIENDWVATEFVLNYFDKEGPSPPLKNSVFENLKSFQR JR316_0001162 MDDEDIMVPDSPDEAVNSVYDKQRASLQTYLDSLPYECETVEDM QARLEKIVEKIYICAKAKNWLVLSTWDGMLQCWLLMRYPMDTNTRANLVRLYYELCLV PGVEPRVLRGWADMLSRLLSNKPGMKRKLEATDLQLQWQPLWRVLQRELWPKSSLEEA SRNLVNILLYVAEQCKRYYPSSEIPVMLETFLPMLTKETILTMVPVLTCFLPPTHSHL YMPSIFKIWEAFNSAIIDDRVLELCGDLAEEHVSGLCGDDNDGGAVWKDVGIWTEAEW NTLMGKGLGSMNVPVGAMRGSSNTSQHADLLDKSGSKIKKSISKINALARILIYSMRI DGDVRQATPTVEQSKMKEGKLPQQTGYIAGSKAMDSLDRMLTSIESFFHPSNTGAWSL SLTTLIQRLGAEFAKRWKEEEEESCKTPNMHRLTPAIRRAFVNSLKTPALLAMFSKDP VCMSYAQGSLRTLATLEPTIVMPELLERAYGGLEVVNETHRTTAMLSMLSGISRPLVN EHVWLGGQKHIVPLLELCIPGIDLNDPVKTVCATMFILSVVQHIKIGDLSQSGFSFSD DGPTDDMDVDDVDHLPEGTEPGDTPQLSRAEERSLVRDSTANFADWVVSLFRRVFALY ENLPEEGGRKNTTGGKQEESVLKSIKSMMDVVCLQLSDQLFDLVLNLVYDYATTNAKS NAVRAFGQLIACLARVRPEKTMAKFLPHCISQIEEELKHGASSIRTTSTHAAVPSDTT LHWNMAILRGCLGYGGAALLAHRQQILDLLSLLVEKTKSERGYTGTGRLITRILSTLG STYPLNARFVNTKEWEDSNFNKNHSVHWGRLYEPQDVVIEWHVPNDDEIAFVLEIIDR IGQPALKLVESLLETSGNWDNVARNDFCRYLHACRAIWGGLSTFVKEQPKVVVNSCIK TDIELPELLVAHLDVKAGFTLTDPSDSRFQKYVLSREKFGRTVLRGAATFRQTTNGED HIDAVIGITRAIDTYLLTYGLSRSEFDSMQKNYAQARDLNRSWVRQKDNSRMVFIKRA HVYHSGRVYMHALYRRRSELDDQLLQELVELSLSQYTRIRRQAQAVLHNVTGYYVRST RLILPTLFKALEKGNDPDRMKGSLYILWNKGIAAYALADQSFHKQYLMSLLECQHEEK PSIQKLVTSLSQDCVTHLNEEATHTDAYELDTPRLDDALRELERNFSSSFINHDLLNS ALQKTQVRASKREILYIDTVSSILQLASRPTTHWRYLQSAARFLYGLLRRDAVPSPDL SKFFLSQTISPQPTIRAIAQRAIVKLLVFIKIRSFSHSKEALWLDEWTNPFSRKVPIG DPARFLESLQYPAQDNGFYLDKINTGFLTWTPTVKGYKVVNDKDGDLSWEASSLAALN AIKEGVRKEGYIEQLSILWGQESSKEGGSLDFRADNVLFFKYLAKIFGNDGLEDILAV VDPLLSDADKYKQRAGAEILAGILRGSKHWTASASNKLWTWTTSRLNRIVSQIKPETL SFWETIFQNQLMKRDPRRNQPLVDWIYALPLEFNGDSAFEMTKSLSLFGILVDSLGIY FNPKAGTFVKLLFDNANSSYAEIRQHVCQGLFVILTNQWQPWYPSTEAFLTACCEQKD PLKIRPEILRMSELNDSSDLQAYSSAVLYVLSAVTLPVEYIETILDNFVTAIKSSTSW RIRLNALPTLVVFFYRNLLSISQDGVAKVMDVLLDCLADENVEVRQTASKVFSGVVRC SQRQSIIPLKNRFVSLARKTVLPPRRDPSYAESLKILHSAILGICALIESLPYSVEPW MPSLTEVLAPHATDPPPISTTIRKCASEFKKDTWHKDQLLFDEDQLQSLSTMLVGTSY YA JR316_0001163 MHDTVTNSSTTTSRYSDPSNDLFSSVSTSSRPSALKDSFGWIYP LHSDLEPSFENWKPSTPDTTIRLNSGPQSLQKPDSFIMPSRTNAENTDKASLVNGSRR WRVNSSGQLVDAGAESASWELADQIGRLKIGDVTADPVDSRVSLRTPPKTKIASGAVT QLAESSPLDSSSNTSVGSSPHVPDHQIAHSRGSSADTTISSSRDSIAGNALLSHPPLK GAPTPEAKERPHSFSGGLSTADLRRLQQAGDADHDRQVQQQQWAQNQYREHNAELSYP SLANQVQRPTFPTDMFHYPPNPQLLQQTDRDREAPQLDYNSQQQRNFGPVAPHITSGL VMNPMNGAAPPPPPFVQGRPNNPIPTVNYRQTPRSFPQQGPTPAGLGYGGAHHTSHLS LGNTQQLYEMMLPGPPSHENHHPAVTRVQQQHNVFRGTHHHSASDPSALRDVNTLQLL NNAMQPYNPNMFQPGLPPSTMPIYPNQFYAAPELAVQQVAMARLQAQYTGSYSVGTTT PNLEEIGSPTSSSGQTGPSANNRKLGLYKTELCRSWEEKGSCRYGAKCQFAHGEEELR RVSRHPKYKTEICKTFWVSGSCPYGKRCCFIHTELTPAPAAGGPVENTPPQPQADHRK RSDSDPDTSSSVSLLARISQRNPTDPPSNVASTPVEVNPTNTFQPGRPNSLRVDTSAL EGASIKQNKSAYPSFGVVSHGIVLPNPEHISARSPAPVTAGPDLGRHNLARMEIVGFP NHQKKNSTSSSTSASNPRHSFSGTEGDFSASPPTSGHAFGSDSPQPGATVPTRVNGHV RAGSAGNWGSISRSNLSTSTSAYPHGSNAAGEIMSSNSPWSTTELSIGATRLHEKNWA JR316_0001164 MTPILSPGFSSSSSPLPPAGTSRQVSTSSLQTLADLLVSASTAQ LSEANVEVVREEGVRHAKFKLDARHYKKSSFCLQVIEILRKLHVPGWYTAQILPEDVI IHKVSGALTNAVFFVSCPAIPSLKTLLLRVYGPSSGSLISRPRELHILHVLSSQYKIG PRVYGTFDNGRIEEYFNSVTLTPEDIRDPQTSQWIGARMAELHSVDIETVYGPTTPSL SQSNGFEIAANVSSWLGPAQDVLELPSVTSETVQELGLEDFKKEWDRYLSWVLQRPHT FGTRRVFAHNDAQYGNLLRLKDGNEGVDEHRQIIVVDFEYAAPNPAAYDIANHFIEWT ANYHCSTPHLLTPSRYPTFEERSNFYAAYIHHAGMLAEDPVQDDAQLEKMIQDLDRDV LIWAAASHAGWAIWGIVQAREDIEAAVAEPEFDYIGYAKGRMAAFRADLRQLGI JR316_0001165 MSRHSVGYPSLKSQRPSSEKQEHVSSEASVSNCSSTTNSGTDSS ASTIAHACIHHSLSTVQLLFIHIGAALTLFLATTDATIVSTSLPTIASDLQASSIQYT WVGVAYMLTQTAFQPLYGRVSDLVGRKSVLYSSIAVFAFGSALCGAAQSINWLISARA IAGIGGGGIVSSVWVITSEVVEIRQRATWSQALSVTWSCSAIAGPLIGGLFSGQSKGH IGWRWGFYINLPICFLAVVILSLSLRRVEFAKASNTSWQELTRRFDFIGLLLFMGGTS CIIIGFSFATEIGFGILLIAFAHNVAFTAGTFYLVLFYQAAHGSTPLQSGLKLLPYSL GSSLASMPVAWFINYWQGRTQDTSGQNLVITIGLAISTLGFGLLNLLNEHASIAFEIV YPLVAGVGIGMLFHAPYQVFLRALKPQELATGTSAFFLTRFTGATIGLAVAGTIFYAR VSSALPPDLKFRDSSSSINYAAIKSLPSTQKEDVLHVISSAIRLIWTVCTPCLGIAFL ISFKLRKIPSAEVTEIATAHTISGTQVSVENSNEKV JR316_0001166 MPPRLSSPGFSTFIAFNSCARQTLGVQTAPPKNPYEVLGVKPDA TPAEIKKTYFALARKYHPDTNKDKGARDKFVEIQDAYDILKDEKKREAYDQYGAASQQ PGFDPNGYGFGGGGGFSAGGWPFGPGGPQGRPGSNFFDELFQSFAGGGSFGAGPRARA NQNLRGQDIEAELSVSFLDACKGTKKKVTITPVVDCKPCSASGLKSGIKKTTCSACGG SGTRTFALDNGFQMASTCNVCKGAGNSIPRGGECSTCGGVGKVRTKEDLTINVPSGAE EGTTIRVSQAGDSPIAGKGQRGDLFVRLSVAPSPVFSRQGANLSYHARIPFHRALLGG IVRVPTLDGEVDVRIPGGTQQGEEMVLKGRGVSYLNGKGTGDLFVKFNLQLPRSLTAR QRSLLEAYADDVEGKAPSKTEKGSKEPLSADNDASQTPKSTADKTHDTDTSSTSSEAD SEVTGPTEKKDEGKRATG JR316_0001167 MSLLSQTDIKIVLFAVPDITASRYPLLTLHFSATHTQRAGFMKK RSQPIRKLPQHWPSHLRYITVSHHHSSVTPSILAHIKAPLNEKGVNSKPAGRPKVVIK GIRNTSHPANGQFGLFAAQKIAPRTHILDYIGEIHCDERPDSDYDLSLCRLPDGISIG IDASAMGNEARFVNDYRGISDRPNAIFLEGSTPSAEMTIAIWSSSEEIKKGQEILVSY GKSWWQWRTNIE JR316_0001168 MGRSSTKSVARVYPDVNSRLGSSWYEYDNLQVQWGSQDHYEIVR KVGRGKYSEVFEGINVVTEEKCIIKVLKPVKKKKIKREIKILQNLAGGPNVVALLDVV RDPTSKIPSLITEYVHNVDFKVLYPRFTDHDVRFYMFELLKALDYCHSKGIMHRDVKP HNVMIDHEHRKLRLIDWGLAEFYHPKTEYNVRVASRYFKGPELLVDFQEYDYSLDMWS YGCMFASMIFRKEPFFHGHDNYDQLVKITKVLGTDDLYAYIDKYNIRLDPQYDELLGR YPRKPWTRFITSENQRYISNEAIDFLDKLLRYDHQERLTAREAQAQPYFDPVRTEATP VAAGGESDSASSASPYIPNPWMVVNEAQNFGTSLNMLSAQYKIWWHVSSTT JR316_0001169 MFALRILSLIFGLSSLLHAIAFDNSRFDNDNAIDVFPIAFLNVF FGTGGVPSINLANTCNPTDNATFPGTELPNCSSLAPDIAACQAKGKIVTISLGGATGA VGFQSDDQATSFAQTIWDLFLGGTSQTRPFGNAVLDGIDLDIEGGGSDHYSVFVNKIR SLASGASKQYYVTAAPQCVFPDAALGGVLNAASFDAVYDFTTTIVAYNHLDKQRTGIS ESGLSLRYPNKNVKVYIGAPASSSAAGSGYVPIGTLGNIAVQMRQSFPSFGGVMLWDA SQAYANGRYDLAIKNALSATGGTGFTFPACSAPAYVAGTNYAGGAEVSFGGYVERVYH IERNANHYMKAKWSASSQPSNDPSGEWSAISACGGSSIPPSSSTTTAKPTSSTTTKPT STSSVPTTTSKSTSPTTTAKPTTSPSPGGQCAGIPAWSSTVPYVGGSQVTYSSHLWTA KWWSEADVPGGSAGDWTDNGPCGSSLQAAATSSAQDSAPSSDAEGLPIVKSLRNQVLR EWTPRRASTCFDPRTSTYWGQDSAGHQQRLGFYCDDDSIDVFPLAFLYVFFGKGGQPV IDFANICSQGSGNFPGTDLADCSFMAADIQKCQAKGKIVTLSLGGATANVGFNSDAQA AGFAETIWNMFLDGGIRPFGSAVLDGVDLDIENGSAAHYNTFVNTLRSLSKNAKKRYY VTAAPQCPFPDAKVGQALNSAFFDAVYVQFYNNFCETSVPSEFNFATWDNWAKTQSPN KDVKVYLGAPASADSAGNGFVSSQTLINVAKQAQKKYSSFGGIMLWDADSAYTNNKYH VTVKQAIFNGITGPPPNTSPQNPAPTSTPIGTVSPTRTATPTFVPPHTPLSSKSLAST QPTASETPIPDPRVKGRVRPRPMDIDARAPLPIATGKPTPRRSSRLFKP JR316_0001170 MEVPAPAPRLPTPPPAPTVRYSWSEYNPNISVLYIRTHQEANLQ LSKLGSHPQVLGFDLEWKPNFRKGAPENPVALVQLSNYDFIFLLQISSMQEFPSKLAA ILANPLIVKAGVGIQNDSKKMYTDCRIPMYNCVDLSLLARTVDNARWKGKYNNPLGLA RLVEAYEFRLLPKGRTTRSNWEATLDDLQIEYASNDAHAGYILYKKLEAMIPLLADPP KSIWYTFNQVSGQLVNSDGFPWHAYNPNYDPGPPPPPRIPREPKSVDGQDEESHSIVE QGDNFPSSRKRHRNHHQTSRRESEKPPSNDTPRHLNATSFQEHAQNAGQSSNGFGQHT RKFRTQYRSNAEHDTVFSTGSSAQSRDDTTHSSILPSKNRRRRWRDLNRP JR316_0001171 MNSSTHQDPSPSLHRYPADDIRRLVSSAHALVDQQPAPSLREIL SAYKTKGDGDREMLIAMLNAKTAEDQRQASVASLQRTLLEIYQQSASAPPEPVHSASR SSSSNYHHYPSPGYTHSPRPSEQLPSRRLHHRHRVTSGSRSPPPSRMHSHIPSSRDVP MSHHSEHPRKRHRASHSPHISHPGVYESSHPSEQFPPSPYSSSDRSNSAEYSPRSRGS MTIGSLLSSGPSRDMNGDIPIQERD JR316_0001172 MDPLFISPTMTWMQLSWLNSTRSNKELYKNLKWRLLPGYRIETF LKSMVLASPRPLPQPQEQLWRYNPNCNVYQTHERPIILRVRSSPQKRKKTDAESQLKE HIEQGSTLPTKRERSDDDVEIISPKRKGKMKADNEMRQILSSYEDELTCPICFDIFVA SHVGNPCGHTFCGDCGWQWHVQNKKKACPFCRIDLAESMPMIPNIAMDNTVEKHIKAL ASSGVREWEPGGQKFREWDARKQAWHNGMAKREQQKKISRRAYKPPPVTTQTMWIAMT DSFQDLSSELADGDDIEDFGIVLTIMNANLNDVIISTLYFGGQSTKTSRDWVYPSRHS PVLSI JR316_0001173 MIRKLKSLAHIPITKKDGLELKDIETGEEELTDKTTSAQAVNIV VAGGTCSVMYFGNRDKTKDIDFFIPDPELMGIIAGAQATLPPDLRQRWPVNWINAEMA GFAMMPGCEDLYKNSVANNVVLYQSDTLVAYAADWKFQLIGKITRAYQMEQLNEHVQS KDRERKDINDAISLLQILITENNAPLDRNVIRSWYNGSALDNVEIEFVNKEYRARFSV DAII JR316_0001174 MSAILPESPNASTKPPTFKFNMPPPVPRRPISLARRIDAWFYVN IRGLNRSPRPLHIAFMLLWPFPWKYPLPPPLSVQELLDDPDIVFNRRHTEVNYVTLRQ IERFCYRDTPLRSLYRLYETVVADNEDEMMQESQYWFHRQTDWLLADIPDPQDPDPIR YAILASLTDALVLSFNYKIKYGMRRGITNKQPLRIAEFRNDPNPPLESSPAWCNKVGA LSQRLRLVPGTIIPSDLTLLPGPLVTDNVRPFDKRNITAIFDQLYNI JR316_0001175 MERQGPQEHSPVKGYCTLPSTSSENRVQMPQGTGAPTKSDGDIS RDTDSVLFPDIKSTKFLAPTIESDFASDSLGLNVQSVSEETTFYAEISCNEGFGHASA AIIFLPPIVDIDKEPSSPSSFSDKDLRGLVAFLDDSDPLCISPTGQSWLTVIRRAFSI GLECQVDQMICDTVRKISTSSPGLGERPF JR316_0001176 MATTTTSLSSSSSPVLQSFDTFRADLDDYNDRRERLIKASRDIT NLSKKAIFLLHRLVQNPADANEDQPTHYKKAAQQGYEKLREIQDLYAKLQPELEGDKY WRYQRQVSPGLQEYIEALSFAHYLDHGTLITFDEVQKTLMTADGVKFFPLTVSDYLLG LSDLTGELMRFAISGIANKGGRQKASEVCAFVRRCKADFEGLTPYIRDLRKKQSVTTQ SLEKIEDAAYAIFVRSSEYDLPPEILDDIVAQSVSNYSSHTYETRGHRRDLHAGSDDE NGAI JR316_0001177 MAAFAPKAGCPMCGIVATASHTTPNSPRSPVFPPGSTQAEVVWR DENFTAYKEKANPVSSKGHIIIAFNLHVPSIYSLSSSDLPLLANVRNLATRLLTSLIP ASTPLSPSTAAPPVPIYNNQFRIGFITPPFKDNKIPVTDHLHAHAFIGPADLAGWWRA VAYGPLAWYAIEDLIAEIRESVTNNRIKSGYENRQRAPIDMVPSAGARVGQADGQETT EPGIADLHLEHGEQGLIPSNSNLSPQSMSRQFLSSQNQIPHLRV JR316_0001178 MVLPDISSLNLNGGGYVSSTNLNGPPLPDDIENTHGDRYMQKLK NYAKSLPYSIEPYSKMVSMLDFILLRLTQSVEARDYDVGFMQWDSMITYWCMLKYPIP KDRRIALAKLYFHLSITPGLATQIIATCADAFKVLTRSKYKITIDDMRLPWKPIYDIL SQDLFLRRRQFEYTQLSWCMGYIADNSRKFFHPAAINDMLATFLPQFDGTKLDSILAT EYYLVTFLPLSHPQYYLPTIFRVWESINSYMFDERMLFFISKLAELHVNPQVSDPKKV KELPDDEISEGEERPDWSEKANATGPYMWTGLYKDVGIFTEHEWNFLMCKCLASMEIP LADGGSLTTGPSADQQVGFEIGRLPKPQWRIPSLARIIVYSMSTDGLPVPPSNAATPV FSPLPSAASTPHPSNASLGDYLSSPISKKAHFAPRTYLAGSKALDSLARMIASTESFF HPSNSGTWTADLSAFIKYIVYDFNKRWHEEQDPKCKTPKSRRLTKLMKRELVKSLRTV VLLAMFSQDSTTVSNVQSCLKSMSVMEPDLILHPVLERAVPSLEALVETQRTISVIKA LGAVAPAIVSRDVYYPGAKYLVPILELLLPGIDLNDPTKTLCTTAFLVEISQYIKIGD LTSYNDTPPTEESAPLFPSGNVTSLPSFSLEELDSSTEAGPKLTNAEEDALLKDSTGG FPDWVANFIRRVIQLLENLPEEGPNGNAGGATEVQIIDAVTGACSQICIHLSEPLYDL VLNMVFDYASTNVRTNAVRAIHQLVECVANADPVKTLAKFFPLCAMNIRTELENGASS LRTTSSSTPLPSDATLHWYLAILRGTVYNDGRAVLKYQDEMLSLIHLLHEKALSKRGY SWTGKLLSSLLLTLTHTYPLENKFINPHEWTSEEFQRNHHLHWGKVFAPDEVTISWHT PNSQEIQFAIRIFKELVEPTLESLESLLKPGTIRDAIWRSDFCRHLTLVRNAFAGTPT LLKEFMSQEDIFQATLSSDILDEIPEMIASAPPLNSGFALTDTSDPEYQYLLRVKHRF GQFLHKASMVLRDQGEENTVDAVQVLITSIRTYMLEYGDNRDSYYVNKDQFESEKNVA RQYAGQKVWPRAVYVRRARFYNAARLHWNSIERKRGPVEDNLIDDLVEWSMWHYPVVR QSSQSHLESICGTYDGVRRRALPVLFDALKPGTDDDRVKGALWTINSPSFGKYAIGEP TLANKFVSTLFLCQQHEKPSIQDCVSTVFDNCLSNFTEPTTLIYTMPTPAVDSALTNL QGFLKFTPKDRAITNRARDMRISRVKIADDAFSEMTRNILQIANSSRTHWRYGIYAVK CLRTLVRRDRPLLREQIVYFLEKVHDDNPSIRYYAQRAVMKSIQGIKLRTFCTDPIDL ILGRNHNPLKRSLKINASATTTQDFLNNFKVPVDIHQADRTPLFFDKTPPGWLVWDKT ISLYILPRPSKSTFQPWEAGSADAIQAVREMVLRKEYWEDVAIYYSEENHETTITQDN VSTVKSIFQLLEDEPFEAFRPTVEAAIADKDQNKQRAAAEFLAGILGGSKHWPIDKQD ALWKWFTPYIPIIFVQNIKSDTLSIWTSFLEYMFYNKDPRRLQPLVDFLYDAFMNLDF NSELSFDAVKVITLYRAFFEELRRKFGPWTDDTVQRCWREIHSEHEDVRAFISEIFAF SENVKWQPKPTVPTVEVLINECHSLPLTSDIMAMRGTYHRARIEGLVEKFKEWRAARV PGVRAFQSNYDRVGITVCKWLYLSLHDLHANSTFDYILPLMPEIFRFTEVNDNNSLAS RASSLLIRMCGVTPPVVHVNPILSEIFDAIQSSPSWRVRLKALPLLQVFYFRQLTLIS EIKIVEMLEVLCRCLDDEVVEVREMAAVTLSGILRLSPRRSVVTLKDRFIRLLKNTHI PGRQDPNYNKAIRQRHAAILGICALVDSYPYTVEKWMPELLTNILADHTYDPIPISTT VRKCASNFKRTHQDTWHEDSKCFNESQLAALSTLLTGSSYCMPYFIELTASLTSFLLD A JR316_0001179 MSAYPDSAHENHQGPHPSTSNHPPPHLNGHKRRPTVEFPNGDLL PLSDRNAWYYPSLGHDSDEEELSVGLPEGNWGHKNTKGARWVRRGKITAWGPEMEDWE AEQRARKRIKLLLPQERRSPSPPTLPHLARTPSPPLVSPYPAPNSHHLNYSTFVLDKS ITHTFRSSLLDELEHATNGLIEGEATMKRALGRLWQVMSEDPDEKSGESSVVPKREDE DEDADDLDDQARRVARAPDLIPATHKIFLTPYPTESSSGTEPQYNVSETQFNSLEKNL ATLRELQDDGREYVERLQEIREGLGDVRAQRNTIWNMVRERAIKELQDAAFATVAATG P JR316_0001180 MFRTLPVRRIQLSRALATHATPPTRPAAPPTRHDWKKDEIQAIY DTPLMELVFRAASVHRQYHDPSKIQLCTLMNIKTGGCTEDCSYCSQSSRYSTPTSASR LVDIEPVLEAARKAKENGSTRFCMGAAWRELAGRKRGFERILEMVREVRGMGMEVCTT LGMLSPDQARQLKEAGLTAYNHNLDTSREFYPQVITTRSFDDRLDTITAVRDAGISVC SGGILGLGESDTDRVGLIYEVSNMPEHPESFPVNALVPIPGTPLEKNDPVSVHILIRT IATARITMPSTIIRLAAGRNTLAETEQAMCFMAGANAVFTGEQMLTTPCSPWDEVKKF DDTLLKIANFTLPQDKAMMGRWGLSGMRSFEQVSVAEKEASRLEEKLLKAGESTASPS V JR316_0001181 MASTSESLSVAVAHTVTFLTAKLAGSYAPSTLLKLQMVLEANLT AHYAPSWIPTDPIRGSGRRCMTLSPTCLPPRPVWSACAATNVQWFDWIALLGNTEFDL FVDPGCVAIRCQNKIVNVWSDEKIEQVAPISAPVFSEPMIKASLRPIVAPRKTFAQQV LEDDFEEEDKIFTMLADEISAPTWVTPIITQFPRPARSTSPLSSISEQSRCSSRSSNS SSSGFSFTSDSVSSRTSVTSSKSSEFKPSRRERARQARVFVDTTKTEVTPYDGGKTTV LTGGVMLGGGPKAANKSKFLSANHAAMTHSSSWRSVRA JR316_0001182 MSSNDQRPSTPPPRTFDSTFLQATPEHIKQIEINRLKAKDAQRQ REQESSSSNALNSNNKRPLSGAAARNDTILSSSKPLQRDSRLGTYFEYDLSKMVNTKG GFLLDDDGKKVDEELRRKEKEREKQRDVHNLDMPMFLDPDRNAKCRECNSMDIDPTFM KVFKCLVCKPCQREYPEKYSLLTKTECKADYLLTDPELQDEELMPHLLKANPHASTYA NMMLYLRWQVEDFAWKKWGSPEALDAEFERRTAEKNKKKNKKFEQSLKELRKRTKEGV WQRRKDAEHKHVFSQVQGIRDGIGEQHEQISTSLEVEQIEVNLFRSKSLWLPLLARGA FGGVERVRDGRSYVTRSVKAIQNGRIIFIMVCSFQKPEPWQPVHQWKMPIVPPPEECP DEEVNYARVIQDPNSSPNVVKFFQDRLQERARSPIAIKSAKGPYVSSDGIERYVYWMK ARDIPRYEAPFQKSTE JR316_0001183 MSEKMIPLDGETQKKRVCYFFDSDIGGFHYGPGHPMKPTRIRMC HSLVMNYGLYKKMEIFRAKPATKREMTQFHSDEYVEFLSRITPSNMNSFVKEQHKYNV GDDCPVFDGLFEYCSISAGGSMEGAARLSRDKCDIAVNWAGGLHHAKKSEASGFCYVN DIVLGILELLRYHNRVLYIDIDVHHGDGVEEAFYTTDRVMTVSFHKYGEYFPGTGELR DVGVMKGKYYALNFPLRDGISDENYKSVFEPVIRQVMESYDPSAIVLQCGTDSLSGDK LGCLNLSMRGHANCVKFVKSFNKPLLLLGGGGYTMRNVSRAWAFETGLAAGVELGPEI PVNEYYEYFGPDYELDVKSSNADDLNSPAYLDRVKRIVLENLRHAGGPPSVQMSDIPS MPIDSALDDPNEDEDLMDPNDRRPMRLLDARRQADGELSDSDDEGEGGRRNHARYRKR ESEEPNGNHKFGLGGGILNSGTMNTHGAGPSGHTTAVRLLASAAAESSMDVDTPSAES NAGGSGTEAPVTTTAAADTNQASEAAPESTAEKSEDGEVSVDDKMAVDSVVSTPAVVE S JR316_0001184 MAQSTTENTTTTTMTSTSQISEHAVCDIVKQQIAVAKTVLLQAV DLVDNHLTSDEQLTVNSKHLPGSTIGKHLRHARDHFVLLIECMQSPSPRVLSYDTRIR NTPMENSIDGARSALLDAVKQLEEVVPAVNFDEEISLHAITPYMHSFKTTIGRELWFA SLHCVHHWSMVRVIAGELGIQLAEDFGFAPSTLVYQDREAPLGKNKL JR316_0001185 MLTSLRKAASLARTASAGQKRFLSIHEYQSMKLLNDYGIATPKS VAAKTPQEAYDVAKNFGSNNLVIKAQVLAGGRGKGKFNNGFQGGVHMVDSPKQAQDIA EKMIGASLITKQTGAAGRVCNAVMLAERRQPEHEYYVAVLNDRASQGVVLVASAQGGM NIEEVAAKDPNAIITTPINFKKGLSKEEAIAVAQKLGFKDADTQSQAADVFINLYRIF KEKDATQIEINPMALTTDGQVLCMDAKFGFDDNAEFRQKDVFGLRDISQEEPSEVEAQ KANLNFIKLDGTIGCLVNGAGLAMATMDVLSLHGGNPANFLDVGGGATPETVKKAFEI IVSDPKVKSIFINIFGGIMRCDYIAEGVIRAAKELDLTIPLIVRLKGTKEAEAKKMIK DSGLKIIPFDSLDEAAAQAVKLAV JR316_0001186 MQEKEAVQLIEAAVKSSELSLQAGNANNSEAVPKRISLQRPPSL KAVPRTESRPTRRLSNTAPRPIPVPPSPASQTESWRVRPNPIPTSPRPRQIQSRPSIP SNFISSGPSAAEQVESIADGSKDELEVVDFTEMGKFVGHEDVSESTLESSKEVPNPAA ALSSRASRPIASDFFEETTVIEPSLKKSDFGAWRRKVSQEVLEPPARTDDTKTQVADE IEQVDSSISARERISPNKNQLPAKDEASSPDTAALLTKEPLSQHESGQTVQVPHNLVA QRTPRNQAFYKEATITALDDAMSRIKGVLVTMQTHETPKELPVNNPTDQDLQPSRTVS SGHTSASITSRVTTKDRWIPPHLRPRKFDDSDEPQEVFLVTALQPPMSPPPAGKSVLR FPSVSRPVPFINKKQLHAFFRPPFQARMDILSFDPPVAEMNRRDLSLNDVLFRKPIIY KGKINYRIVLPRRRGPKVNIPSLAPTKVNGIGAFGRPTNADGVATWRNPVTPSPAKVD FAAELNTTSRSPPPDSTPPEAHIASIPKPSENSPTKSESNSAVRPRAQPKMPAGSAVA FRRDSRIIEADATPIVNFIVGSQLDDVIDTQTSSTTDTQQALEEKAATVTPVTNGVVK PVLASAEDRVPSFAPSKMEKVDSSNEHVPATPPTHHSAGSWSRSSVSLPMKDSPARAP DPEHLKAVWSQPSNKAGLHPVNSLEGIADDLTALPFTLQDVKSEDGETPPPSLPSAPS RMSLHDVTRAFQTVPTSSSASSQPQHRATFSPPSTHAPVARPTAATYPYPPVPQNGMR PAAYVPYPSPMMSHSPAPVMYGHPMTSSPVPSRMQLNGHTPLYSQPMWMTVPPTSQPH SNMMRPVGPYPAQIMPYPAPGYGPQPPPPNMMPATPQAQNAGRGRGIPVMSPVMSHAH AHPGSAMYSSSPVMLHAVQVPQNHGYMPIPAGRGQPRADNGQIPPPQPPPPPPPQQQQ QLAAHNGHHQPSHSGFNPAPTQPFVRPTW JR316_0001187 MAQPTPESKLLSDSTGQEVKEQVLESAGGEVEAARAKHVDSGSP STPSVAVPDPHLEKNSSQNSANAQSNATSSRPSLVPLGSGNVQTSIITPVAPHPKRFS AVNINKKFLEKNTASGTSTSSGSSSITKSGSPATRPAVQPTSSHSRLVTAKLTASPAV ASTTAGWSRPSSVAPSPATNSPNSTSPMPIAPVSQPSATAAPQLPHAGKVILPQPRNA VPQMSSSQKDNTPNKPVWGNVKPPVVRPDFEPSDFPTAAEVANVTSTSRKQAKAAEEA KATSDNAAKLLRSEEADAFRGVHLDPNVHHWDEMEEDDDNFLGGVIEFGDGRQYKIES SESNQPGSVSTGNVPRSQPDDFKESTIPVSKEDRFVDDFDRSWPKSRNSPASVPRDVP PHSASPSASPVISHTSHSPQDSSRVLFNERSNRLEPYSQTHRQQPGPFGSKRSQHPEG TGSTQELKSSRDTHNIQVLQKPAGADFSSRGRRFSGSNGNYVPGPPNGFTSDRNRDKE QYPRRDGPPPSPRLSRDHHTPLNVDTGGRDFNTDRGRRSNIGPPPVPLHAAQKFAQEG VRQLPPHLSQVSPSMPSRRLPSRDSRFSPAESPAGLPVSTSGRIPPHSPAVSQASLNV VSPVVPPGANLPALSAPELDEVRKDVMHTAAERAKQRRQQEEEEREALKERARRKAQE IEEKMKAEKEKQQRKEEEAALAAAKACTLFLL JR316_0001188 MASESTSIVSEIFDSLPYYDDDLQKYPYLKEKVDQEIARELKAT QTLHPRVPPPVTLFADNPLLRAELERVAANKPFPQLDTLRYTLPSPSSTPASDAEWKS ALDNAHAQLQHQRLRQQNLALLQTYGANAYRIQNYLLEATAKRTEKALEELQQLTVDV NRERKNTQDRLGKQITALETRWTELISSVLQIEMANVALDAEVERLNKKEAELAEL JR316_0001189 MIGSTLVVHQSDHNLKMSFRAVVRASRAFGAVSTRPATYAAVYP RRQIHSTSASKASTSPFTEPTSPNPTVTLYSETTEALHTYGSYLTQCLPKFIQQFSVL KDELTLYIAPSAVIPVLTFLRDHQQCQFKQMMDISGVDFPEREKRFEVVYHLLSVKHA GRIRVKTYAGEADPVPSSVEVFRGSDWYEREAWDLFGIFFEGHPDLRRILTDYGFEGH PLRKDFPLTGYTEVRYDEERKRVVYEPLQLTQAFRNFESLSPWEQVGDGTAGRRPDNL KPLPPPKSEEVKK JR316_0001190 MASKKSKQEEALKFLDDLDSFAPPPEVASADNGSQPAAGAPGSG NEGEAAEVLAFLDEITQKSTEPTRATTAHISRSGTPTLRKSTERVRLGGGSSLLPSAS SSTSSLNRTASSDAQRAREKSESTTSVQSQAQASSGGWGWGSVWSSASAAIQQAKTVV DEQVKHLPKNEQARKWGEGVIEYAKTAQLDKLGQDFKRVGLSTLTDILNVVAPPISEH EVIQVWLSHDMQGYEGIEPLAYRALARILEQVEGGDLVVNRGNESRPKEGSEGRDLNT VDGYEAALKLAQVNIEDVIKKNDKATSTTKNSANATTYSHVYLRIQPFFSTLSQPPTK SETKQEPSQYLQFLIYLDDPEHKLTHVTVTQAVPSKWIALWDEYDWVEDLIADSLRIG VEVIGQEYVVERMGWASKADSLNEEPASTDSTEDKAEGSTIAV JR316_0001191 MSLALVNEIITNPAFHDYLAILKGARNGFVYGVKVRFPHALVMS ILFGRGDWQSRLRVIYRATKQHALNLAKFVSLYKTVLLVQKKLNGGKERDSDTFVAGL IGGYFIFGDRNAVNEQIVLYVVSRVVASFIPRATSPYNTSPQSALAGSAVKPIPPDSK YFTLFAAVSWGAVMWLFRHRGETIQPGMFNSMKYLYRDSDTWKDLKTLFWHNT JR316_0001192 MSWNTFECQNPDLCVKSAVDGTIYPASRAALMTSDVFKDMFACC DVGPSDPKGEDALELQETGGELAALLRLLHDPPPPPEQIPTDDLFQKIRYNLATVIPL PLLVSLLFRLVDKYAIAEAVAANLRVHLRANAPAYPLEVYGFATLHEMDWEASEASQF VRPMASYRLDDIAVLPSVISYHKLVKLQHFRVKALQDLLLAEEIFPHGYGACTTHNES TTTSWDRQRKALMGRIDSATDVAGEMSALTETFVACKSCYKACTAAVEMLAYKCKRLP RRLDQVSNLW JR316_0001193 MDSWRVAVLGDGGVGKTALAVQTYDPTIEDAYRKQLVVDNRMCF VEVIDTAGQEEYATLRDQWVREGQGFILVYSIASRSTFDRLEIFRQSMRRVKRGDPIF MLVGNKCDKTYEREVSKEEGAALARQFGCEFIETSAKTPTNVDRLFTNLVRSLRQTRN IEPGAPAGAPLKKEKKKSSKCVVM JR316_0001194 MTRPAVSSPLATSSQPTLPAEKRVLPKRNPSFPSSRALRPFPTI SHIMQPALAAKASTTNAKTTKLIEPPKNYKATFVLDLTQAEFSRQDC JR316_0001195 MGMSYRRYLSGERIYGCSTCKTHLATIHSMMSRAFNGQHGRAYL FDGVVNVIEGEPDDRAMTTGNHTVRDIYCVKCGTTLGWKYDKAYEQSQKYKEGKYILE RNLLVDVQ JR316_0001197 MSSRKRPATEPLSPPHSPKHPRLSLEIPDRDPPKSKKIIGRWWA MAKDMTELVMDTISAIASLGLSSPTPSSSSPEPDTPSLVDEARLSSSPVSSSLDTPPQ SPSPRTRSDANLSSTPKQRPGISIQSPPTNDTHQSDWASRDPPSEDESFRIFDDANFS TPKAQRVRSTSSDHITLETSSPNNASNSSGLNSSGTPKSQESRDSSTRTTQTSKSPTL IAVPKSRNHVSRKPGRRGSHQPIKKRKHIYDDVHKAHVKRYKLELREELSQRFYQAKI SHGYRSSYPEFKGWLSYKKTLEDLNSAPSVSGLLGPPAQSSGTSQDGIVGDDLTTGTT LTNEIPQTPEYLQRALDIFDATMNGPKPPRPNIPSIDELAARKKAKQEAIDKLLRPPP LPTSLSPEEEQQVSALLRQRGVISKFAREQVSDQDISRLRPGQWLNDEIINFYGAMIL ARSEGSKENPTSVSKDKGKAKMKAPLNVHYFSSFFWTKMTQEGYDKGRLAKWTKKLDI FSKDAILIPVNHGNAHWTAASINFRQKRFESYDSMNMAKSKVFQVLRSYVNSEHMNKR NKPFNFDGWEDWAPASTPQQENGYDCGVFTCQFLESLSRGEDFFNFSQADMHYLRRRM IWEIGNSKLYNSR JR316_0001198 VRAAPSLRDRSALLGTTPPQSGRSTPFGGSVYNPSTQFNGHRYA DDLEGQNDEALDGLSAKVKLLKDITIGIGNEVRESTIQLSQMNDAFAETGGILSGTFR RMNNMAARQGCRWLWYIVFLVIVFWFFMVVWWFRR JR316_0001199 MGQNILPIYAALVVTSLSIGFWAGTHTKARTDENTTENTVVPSP QSHAEKRLRVEELSDGNETDETEESSVSSLGDGDIESLKMDPIEDTCKMVLVVRTDLG MTSGKIAA JR316_0001200 MSLRNLQSSLSKNQENIHTNRSYVSNDDELQTSPPPSIDSLAPT FAPEPPCLPYTHSKEDLRNSPSPSSSKPCTIVDNGKISITTDSSEGNSSSPPDRLKWR LASGFFAYFMCGWGDGDFMADYHITFMMSSLLYAATTVGFIVGTMLVESIINQLGRFR LVADHSSWIPAIQLLKTKQSDNEIGYSPSQARHISLLVSSILHGMFFVMMGSRGGFWA AFGAYAVAAFARSVLTASLNGYFAEGPKQSLGYAFGLWSLGSVASPLLCQSLIAVNVP WFNFYLGSLVLSAVNVVFLVTTFKPTLREHLHDRQNAIMLAAKCDNKDTWVANKNKEH FTSESRSENVASNKKGTNPTLSSALKMKFQWAICLFSLLYYGCETSTSGFIVSYLLDI RNANPKTVGYVSSGFWGGITIGRFVWGHVTPNIIGLVYGPVFPASLTLANDILPLEVR IISMALISAAASLGSALFPFVAGTILSIQGIHTLTYFTVPLAGALTFLWALFPSKPPS RPETSV JR316_0001201 MPKSEREETLAALTARVFAAMLEELVMDATLQTHHEVVRGKSVC TTLHNPRTTNDNSRPSGFGQRADTPSSTSGYDGGASKGVPNGTGASTPTSLKGDGAQL LECVSCQRQLAPNRYAPHLTTCLGLSTSRRGAVRGNATKPKQSSEGGRSASPLSDGGY ISEEKGTANGKNKGKGKGKKQADEGDFTLKRKRLGSPTISPNKKPKKGKASGSPVSRV KADPELSGFPSNSHYSPSTTSQSKIPSKLRDSSTASFLDRSSASSRDSSPDGFVAGTP SSTFSTQSPTRPIAVNKAVRGRPLAMGTGPPRRPISPPRPQPIHVPDYQLEIDHANET GSSTDTDSD JR316_0001202 MSRIPVPTHNSGRTQSPLPFPTSPERAISPRPGARSNTSNSFAS TGATMSTVSGFQSSVSVAETRKKQSKRDEAIRKKIESELSRKRTISTTHSQQRTSKRG KPAAAKGTVAALKPSPALTVPENITVSEASQLCAAKRTDCVLVVDDEEGLSGIFTAKD LAYRVTAEGLDPHTTPVSQIMTRNPMVTRDSTSATEALELMVSKHFRHLPVCNEDGNV VGLLDITKVFHEALGKVERSSAASEQLFNAMAGVQSELGAVGGNPQAAAMLAWAEKLR EKTALPDLTTVMDTFTQPATVGPKTTVREVAKLMKERRTTAVCVMEPSGPQTSGNPAP AKIAGIFTSKDVVLRVIAAGLDAGRCSVVRVMTPHPDTAPPTMSVHDALKKMHNGHYL NLPVIEADGHLVAIVDVLKLTYATLEQMNAMSGEAGHSDSEGGPMWGRFFDSIGHDDG ESVLSGSQHAMTDNRSFAGINDLHLQQSPHSEVHPNDSASVMDDDHVSALEGYGRQKG LHVPSGGVPVPADDGTYVFKFRTPSGRTHRFQSRHDDVEHLREIVAGKLATDPFFTEF QPTSDVATRPDPIDFHLSYTDADGDTVLITSDHDVSEAVKIARAAAQDRVVLFVQGGK GWAEAGAGKSEAKAAEVTAAAIEQVREVEKAEQVVASNVPTPLKEVIPEPLAPAPQRA APIAAGDEVFGIPKDLLLPASIGALAVVIVGVFTISRLTSNHY JR316_0001203 MPSIVHAITEFINSIVGIVFSLVQSVFAVFHAIFALGGDVITSV FKVAQHLIAMVTDVFQGALGFIAANFFAIAALGGAYYIYTVYQARNRGSLRNGKTRT JR316_0001204 MTFTRTTLMPQFLPPAQPATAGGPPQPHPLAKKLQAWVKANYDN AMKVVAYTELVIMARVLLGCLTWRNSILAPIIFAHFLRARHFHSLFTREAVAYVNSRA DAFIRKPTNPPVLAQVWDKVQYAVQTWSGSTLTPNAGVPPQAAR JR316_0001205 MSPDEPVSSSSKLSKKKSKQKLKDQEETANEPTTSASASASAST SASTSDVATPDATEASSESKKSSSKSKAAPEQAPSDSNSKTARIPFSTLGLSAPTSRA LEEMGMTTMTAVQAKSIPVLLAGKDVLGAARTGSGKTLAFLIPAVELLHRLKFKPMNG TGIIIITPTRELALQIFGVARDLMKYHSQTFGIVIGGANRRAEEEKLVKGVNLLVATP GRLWDHLRDTKGFVRRNLKALVIDEADRILEIGFEQQMKDIIAMLPKDERQSMLFSAT QTTKVTDLARISLRPGPVHIDVDKEEATSTVATLSQGYVVCPSERRFLLLFTFLKKNL KKKVIVFFSSCNSVKYHSELLNYIDVPVLDLHGKQKQQKRTNTFFEFINAESGILLCT DVAARGLDIPRVDYIVQYDPPDDPRDYIHRVGRTARAGKVGKSLLFLLESELGFLRYL KESKVPLNEFVFPANRIENVQAQLENLLEKNYNLYRSATSGYRAYLQSYASYSLKKIF DVNALDLTKIAKAFGFKVPPRVNLAVGPGKGQSARVGDKRRRDEDSAVSDEDASDSES PKKHKGSGQVGDGSVRGPMRVKEKSKRMETLGKKAVDKDMYRKGQEMKKLGKNWSR JR316_0001206 MSDVIRKVFLGLVLSLASVGVGYQVGALTTRVKSNPNLKEELKE SPPAIEEEEVDEQAISDGDLKCPIGFMEPCKLVLVVRTDLKLTPASIATQLNAGARLP TLLELRNNTFSSHATLACYKALSKKNSSLAYQWEVLGQAKIALKAKSEDQLLELEAIA KSLNLCARYVTDPERDNERSILGIGPGPVGLINEVTGKLRLL JR316_0001207 MPSYTRAAVLILFASYHLTIAAPVPDNSNQVNNAYTGTAGDASG GSVLSESNAPKSIFNGGNLLKLFSGNGGNGKSANSGTASAILPSSLQVGGSNTDGSSS GGTNANSVGNTYSGAGGIAKGGDTVASGGLLELFSDNAGSGGSASSGSAGSPVITTTT STRKLGKSRIIRVVRMRSK JR316_0001208 MAALTLARAYQHSFDTHPYSTLAVTGGCLNALGDVVAQVAQRTV GRGEKEDVPKYDVTRTARFFAYGVMISPFMGRWNLFLERRFPLRAIKGTNKVSLNALG KRVAADQLFMAPLGLAIFLSSMGIMEGRSPRQIGNRFKDLYSTALIANWRVWPLAQLI NFRYMPLPYRVPFSQACGVFWTLYLSILNSEEDVRQDKELADRRQKVLNS JR316_0001209 MDKRKETTTLSVHRCRFVDYAPSAITALAYPPLPLPSVKGKKKT TAGKQPLRFGLLAVGHANGNIDICEWQGAERESQCSQAWVVRKTLPGPYPSKVDSLAF VVRYPEDVGPDDVPMQSDLRLFSSGGGSELIEWDLERGCIRRTINSQGGSIWSIAANP SSSSLALGCEDGTVRILSIANDTLTHSRRFDRVKCRMLSIAWGPPIPRQRQAKKAQEF DGDSSSDDDEEDDWTDSWLVTGCSDSSLRKWDISTGRVIERMGVDKVRGERTLVWTVG VLGDGTIISGDSLGMVKFWDSRTCTQLSSFKAHGADVLCMAVSPEGRAIYTAGVDQKT VQFSLVKTSSTENGPTTSRWTQTCSRRMHSHDVRALAMWPPYTPLPTAYKRHFSIDVA PILASGGLDMSVVLTPAALPTSTVVKITNPLDTSTESTFEDSYHRKLAFVARGVVRVA RSTRLVSCAREAGITVWRIHKKPEEGTGVVQMQSGPTDDPLETEPYAGGWEKVLEMDL KVNTNITTHEISDDGRWLIVSDLYESKLFALTTDDKGEIGLKRIKEFSSILQAYIPAS PSHAISTGASAFQFTPDSSKLIMSTALSSYVLVINLIGDKPRVMRRFDQHRLRDSIVH DRVVKGRTLALDSAEKKLVNGHTHVNGDASMDVDMAEPDVESPPPANEASEASDDEDD DQDVSSTSATVSVDRIAVSTDGQWLATTDSRARTHIFNLDLISHHCVLPTFPRSAQAL AFDPMHPSVLLLSFPDNSIQIFDVETRQFPVWGKELAASLPKRFTHAHDPVLGVSFDP AVTSSSGIDEQGKTRYVLFWGATWMFKVSLDTTVRSGAKKRRREIAPVPGAEEERQWR DYKMITQYRPLLCCDFLAKDELVVVERPLVDVLLTLPPAYFKHKYGAS JR316_0001210 MSFLRGSAPTSGGSGGVNVDKIEMAITELDTVTDFFNRMVQSCH TKCIGARYAEPDLNKGESACIDRCVAKFNDVQKKVGEKLQSRGAANAAGGFTPM JR316_0001211 MGNGAKAQQKRERNADKNAPKGSKSQAKINEAAKSIICGVCRQN FLITTRAPALEEHSANKHSKTMAECFPNFGK JR316_0001212 MSSFASIADQLKFDVVSKTHALDNANKRKDIARTFILPTEEMYS YATLATLGDDVYFEPSTAALEAHVAKITGKEAGLFVPSGTASNQIALRTHLKQPPYSV LCDHRAHIHKYEAGGAAFHSGAAVIAVVPTNKHHLTLQDVKDNIIISNDTHFAPTEVV ALENTLNGTIIPQEEVIAISDYVHSLGLKLHLDGARIWHVAAETSTPLKELCDPFDSV SLCFSKGLGAPVGSCLVGTKEFITKARWFRKLFGGGMRQTGILAGAAAYALTHNFPQL PRVHALAKRLESGLEEIGANILSRAETCMIFYDPSPLGVTYDEIGDRGSALPEPLFLG GSRLVVHIQTSDAAVDDFLNIVRQLAEEKKAAGFVRPEAQANGEIKDVYVRRVPKATK JR316_0001213 MSSDGYFEGDDDLDLSALQQLDAIEAAHFSPRKTEQQQHISTSP IPPAKTSRKSSSDSSFYDLTFDVDETDLAKLDTFIEDSYAGKAQPVAGPSKIARTSSK NMLQTTLFGDVLPPSSSVNPNKPSRSQAEKPQTERRLFGQQAPKTKRWDQTAYAKSGT RKSKGKGKENHDSDEENVEFEQFPAPFISDRYALLHLTPPPMKVTADLLEAKHWIYPI NRPKRDYQYNIVKNSLFENTLVALPTGLGKTFIAGVVMLNYYRWFPDGKIIFVAPTKP LVTQQIEACHDTCGIPNIDSAELNGEVPAATRARYWREKRVFFMTPQTLMNDLVKQNC DHREIVLLVIDEAHRASGDYAYNQVVRFLMAKNPHFRVLALTATPGNTVEAVQTLIDG LHISRIELRNEESVDLQKYLFKKTVVTHIIKPNEDLMKIRDLLVKVMDPHIKQLIKFN VLRPNESAISMHPFRPQALMSESGPQHFTALSALSKLARVMMYLLTGSFETCYLFLNE LRTEVDKDIPGAARQNNSSKRFKNDPGYVALMKEVEAQRQAGWGIHPKIEKLKTILIQ HFGSKLADNNGEEDTSKVMVFSSYRGVVQELVNELNKESPLIRATEFIGQGTDKQGKK GLQQKEQLEVIKKFKQGVYNVLVATSIGEEGLDIGEIDVTVCYDTDKTPTRMIQRFGR TGRKRAGFIHVLLAEGREENNVEKAEAMYKEVQKMVNKGQLYELYEDVERLIPDHIKP ECIEKVVEIQQYVREDGRKKASPKKTAATKRKRNDDVGRNIPDGASTGFVSVRDLVVK GAKKTKKVTLPKDFDALGKDDETDEELESGSILPLPRRTQSAIPGSSKAQKPAAKGKL RKAATLGGTKASKPVKKKTMEIPTSSQFSQQGMDDSDDMDIESGIISLTNKGKSNTQS KAPVNMSPTPEPSPKRMKRLDDSVIELFDESGESHASPIRRRFLPRNRSSTPENTPDD AVQVPSPPQENPDEEHNQDMSWLVDEDDDNLNFEIVDSSPIRPKLKNPPALERMQIGD ESIEISHPIPRDEDMYVETSDPLEALEDDSIQFLGSTSTQVRPTKTQQRSSQALPIHS KEILSSPPSHSTSPAQTTRKASMLPPPVPKRLLVSPTIPESSFPVRPVGNQAKRRRII FDEPESPIVETSPRGNRRLHHRVESTPIRQKPKTKGKEKAQSKRAKPTILGRNANALF DGEAAHSGDDVSEGDSNSEDDEESESDRMFIKNSPATQMSPSYEQSQIYRRSLLTQVP GEHGGPIFANNPIRARPFGRNNGPRYQELSSSSPPPPDDELDRYDLGSFVVDDDEPIS JR316_0001214 MSSSTIARWNAIPLERPRDADSGSTSLKRGRPEDDGAPDADDDD DDVSLVSRSPSPVPQDAMDVDDSHLHKYDEYIRGPAREVITVETKIKPTNKGFALLSK FGWVEGQPVGLSGEGRTEPIPFHIKSDLTGLGKTSQDVRMIEETVSQRRELDSERQQK ESEEQRKLREDSVARKTALESEISSTLRPFYCTLCDKQFKNVAQYDEHTNSYAHHHKA RFKDMQASARLKPKEEVDKRKEKERKREEKELRKIAAANGIKMPKPAATPAAMALVAQ PSPSAGPNATANNMDIDGIPISTDSKRSNWASFSGDSHQGSSQGSAGGSNGGFSKSGW ATVGGPSSNSSTAASSRFGPPTESHLFGLSVSQPAGEQTSTRSGHNPTFRNAGWTSLD TGNSQSQPPRPPPPPAPTSNWHPQDHAPPPPPPPAEAPPPPPELGQPTGFSSSSSAQQ WQKSSFAPVSSSTYAPPPPPQPPAPPNSFASPPPPPPPSASSAPPPPPPPSHSVPPAA PSEPKPVRSSWQQFQKGRRK JR316_0001215 MLSGHTTNGISDTVFHARNDNPPSPATDSPATPVDSAHAPDVKI DIDYEQESDVRHEPLPVKELDAPIAIHGTADVVMGDTSTPGLAQDFSMASIAPNGSSN GAARAYHDDSDAEQGEPPAKRARVHSDADMASMTHSATPPPASHAPTPPPPSSVPPPT AASTSAPGPKRECTITPHQYRYLVSSIRTLRKMKDGAPFLRPVDPIALNVPHYPSIIK HPMDLSTIERKLTASNPSRPDPNPHNPRYYDAEDVSADIRLMVQNAITFNGPDHIVSI MAKTVQEVYDKSIKHLPPRIEKPAPKPAPVPRKATTPPAPPTPVMGPPATKKAAPAAR RASTSVPVIRRSDAEVVGRPKREIHPPPPKDLPYADAPKKNRKGKRTRPDGTAEQLKY CGKILQELNRKTHASYAYPFYEPVDWQKLEIPSYPKIIKRPMDLSTIRKKLDGGEYDT AQNFYDDFKLMIRNCFTFNPSGTPVNLAGQELQKVFDEKWKGLPPLQSQDPSDDEEED EDDSDEERRRAIAMMESQIETMKNNIESLKTAKLPKEKKKEKKKEKAPVASTSKPPPK QTKAPAPTTSKKKSKKNVTDDDVLTFEQKKDLSESIGKLDGARLERVIQIIHEGVPEI RDSTEEIELEIDQLPAAVLTKLYNFVLRPIRQPAQPKRNRTGKGTGTGGLRRKSMDEE KEAEKIRQLEERMALFEGHGTTSAAPARRNEDSDHSSDSSSGSDSSGSDSE JR316_0001216 MADMDVFAAMGISGFGKTTQKKELDPARFDKNKREEPAEKPAVG PAPGTSSLSQPKRSQNQNSDREDDDDEQGPAPPPKDGQNELEEPEFEPSDGEDDDEDL PQFPITHEISLKDHSKVVSALALDPSGARIISGSHDYDCKLWDFGGMDMRCKPFKSWE PAGTYYVNDLKYSNDGSKFLCLSGTTQAKIFDRDGEEQATFVKGDPYIRDMKNTSGHV GELTCCAWHPKDPKLFITSSADSTIRIWDVENKRKQKTVIVVKSKDRGARTKVTACNY SPDGNLIGGACLDGALHMWQTNSNFVRPNMTIENAHVKGTETGSLVFSVDRQTVLTRG GDDTVKCKLWDLRAFKKPLAVRSGLNTLYPGTNAVFSPDDKYVITGAGATSKGGQGRL VILNKDGLEEVKSLAVDATPVKVAWHGKINQIVTGLSNGQIVVLYSPATSLNGAKLLL SKGPPRRVTIEDVSDALTAPTILTPHALPMFRDMDAGRGTKRKREKDRMDPRKSKRPE LPVTGPGRGGRVGASATQHVVQNLVRDTTRDVDVSNRPVLDDMRDADKPLAAGSAPEI CRRQGSAMDER JR316_0001217 MLKRQRPASPPIPSSSVPVVSDTPADLIERHTKRRRTLPPVLDG SHRGWATEPRTSADDEDEYYSDDEEDEQESDFQRQQQQLQSEYKSTNNMLRELHTLHQ HRLLFSPQATSDTRASPLTVIKPYQHQQLLQSPIKGLMPHLSERLQTPQQQLTSTSTP ARIDSTKRTHTDPLSEELTRVSERYESTNRLLGSCFLSRRRALGDSSDEMAGT JR316_0001218 MFSQTLRALSRSSATAIRRLPVQRLSRHITRPAGATISSNALVR RRLLSTPPPQVNESHLSTEEYHVLADKAMDRMLETMEELVEELGDADHEVDYHSGVLT LSLGKHGTYVINKQPPNKQIWLSSPRSGPKRYDYHEDTKSWVYNRDNTTIEALLSSEL SDIFSEPVSFTL JR316_0001219 MFQRSIIRTLRTASRANLASVTAVRPAVALSVRFNSTQPPSSTK AFKTPEEQERRQQLDLQDKMEKDWDAKVITYEDFLPKTENPSADAYIIDVREPDEVIQ GMIPSAVNLPLSVLPEALHMDREAFFNKFRFEKPRPTQEVTFYCRSGKRSSTASDIAK RNGFTNILNYKGSWLEWVEKQNPKPSS JR316_0001220 MAHNHGTPSSSTGASASGHDMMMIPYLHFTGGDFLFFEEWQPSS AGAIAGACIGLALLAILERWLGATRSVLNAHWRRRALEQSAIRDKAFVGRNVSSERLH ESNDRAESEGPNAAAQPPAKSNGAISKTRIRTIAPFIAAYDIPRGILYALEMLLMYLL MLAVMTFQAAYIISIVLGLGIGEILFGRAVAIGGGGH JR316_0001221 MKFSTVAFVMASFGAANAHFRLNYPGPRGAFVADKEPEYCGGYT DVTTNRTTFPLSNGFFKIETGHPGWTAAVIISTAENPNSFDLFSKDGERQFVRQFAKE DDAGAFCIPLNISAANIEGVRDGSNVTIQVVFDGGDGALYQCADLTLSANLTEVSPDS TCTNATSDGHHHNDDDDDHNHDDDKSGALSLLHGSAVYTSLALGVMGAVVALLAYLRL EALFDNVQLTAQKSRNEETIMRSSVVLLACFLTLVTAHFQLQFPPPRGPFVEDDETNF CVFPLSGGFITLTSEHPSWTVGFQIATADDPNTFDDFQLVNNFAEVKGEGAFCLPLDF KSSNATGLTNNQNITIMIIFSGGDGNLFQCADLMLSDSEKISSDVTCSNGTSSSSGPN SGTNPSSTGTSGSSTPSPTSHALPISSLNLAILSILSAVIVLTFL JR316_0001222 MLFRRKEIAWEVVDSKSIQPVPMFYEDEDLEVVSIKETNVRRTY VLDVKRDARESNQLLRALMFAREQLQQEIKRNGYNVLLSESWNVTVLRKAKLHRIQVE YGGRPALALNKIPERHPPFMAILQTSH JR316_0001223 MSFAAAPLLLSPAQVNEITKSGGQPVSILDSTWFMPNSPRNAKL EFLDKRIPKSQFLDLDEVASSSELGLKHMMPDRQTFAHACGRLGISPSTHVIIYDAHG VFSAPRALFMFRSFGHKNSSIIDGGLPRWIDEGMPVETSSPADLQPTEYSLPEFDEKS IRSYDEIVSNSQFDPAAEAKSEIVLDARPKGRFNGSDPEPRAGLSSGHIPHSFSLTFS LFLDKHTTKDGKEYTTFLPPSEIRKALESAVGPAEAHKIIQGERSVVTSCGSGMTAGV LWLGLQLLGVKKVGLYDESWTGYAMRPSSVIQK JR316_0001224 MPSDQSTWLIAVPQGHDPQATYQKLATKLGQQAKLPSRNIGQLA IPIFKTGTLDSLIALSEDLPKQESFFTATVAKNVETLRNLLNNDPAKLEQHVLVDEQS VDTYLLNGWKWNEDRYDVQKSLRELVNTLHKEMTSIDNAMKAKLNNYNLVKGSLTQMQ RKKAGNLSIRSLADVVSKDDFIQDSEYLETLLVAVPKSLTKDWNDKYERLASMVVPRT STLITTDDEYSLFSVVIFRRVHDEFVQKCREQKFIVRDFVYSEEEIAKQQQELEIAGT TAKELWTELLRLARTNFSESLQILVHLKVIRLFVESVLRYGLPAEYIGFVVKPEPKNV KKVFNILQTQFAYLSSRSSVAQGGKIGGEEFIGEYQTLMDQEFFDFILYEVPWIVT JR316_0001225 MDVYTTSFTYPRRSRHIHSPASSLDFQSSDLKSSSLSDQYPSAQ HLQPSNPKLSDVSVAADAEAIQKLAMMAMSVHGCHVSYYMVDQGRAWNFHITGAYQQV MITRGLILKECPIQHRATIKVTRSEILDSPFSKPTLKPEVRRRLDDIASQTLAHIAVV NSPLSLSNRTPPDGISSSAGWSGLETERVCELVITGTEDAVDLARVRLLVMLDELSGL HSEVCEIDHKLHAIVAGRKRNVLQTIQEETATNIYYPSPLQDLIGPDISAHSATGARN SNVIWITGEFFGVQRARDMLFQVSVTKSKSVISRDTAILPRKLDWMVTDRAEDLKSIM SDNATFIQFPPLGSSTSLITVYGDHRVNIQRTVRSIMQLACQYYVGSFWLLPVQFNAL LPPANLNSSTITALLKQISTATGAEVVFKSMCFEMHGLEQEVRAAVNMIMELDIIKAF HHEIRFQIELSNEHRDFISGKKNGKINKIMQTTNVKIKFETFNDHNFLIDIAGPDNSV LQGLTLLQEELPAEISFHVPEGYHKRIIGVGGRSIQRIMKKYGVYVKFSNAEEFAALG GYNDNDDNVVARTPAKNAINLDNLKQSVMELVNPKDKDFVNETVSIPRRYHRTLLGEK SIFIRDIETKTNSRVRFPDKETASDVVTIYGPESQVQIAATMLLDHVPFEADMAVPPS SELPRVCASPEFNAMVEHVKRELQVSISPNFRRAGIPNGSTGTETPTEYSFKFRCQRS NSDFLITAREMLEQFLQNHNIHVYPSPTAQSHKKNDSFAEAFPHFDSKVLSAARTRGH ESMDMGRSDVMGDRRLRLANSSPDVKALFNSPAYIYNIEAQEDVETNYIPGPSAPGVD YWTPLPPIGTGMPHRSRHSQDAIKRGSDSLLEAKIKEQATKQPRSLNNRAQSLDLRYS LSKIAESGSSNAVPESPTTSTGGTGGNSSPNSPTAPSFPSVYGPPLSARSSGVFGQPA QTRPTLDDDGVDEVSRVISNLGL JR316_0001226 MTQPVEEVYSLVLDADQLEQCTSMQQDEFGVLESIYPEFVSSRN LDGDALKLEIPVQFGTTKSVLISEPPPPSNTGIASLPEKKQTLQSISLTTLPPILINI TLPRSYPLQKPPVITSIRATHIWLSEVEVLQSTLIELWQTAEPVLFNWIEYIRTGDFL EKLNLVSPGNSDIIALPHPAPRLIAPLLLEYDSSAQSSQFAQNSYPCSVCLTSLKGSK CLQLKCKHIFCRTCLEDFWKMCIAEGDISRVGCPDPECVKKANEAGEEEVARVVTEAE LQRWKWLREKRNVERDPTVVHCPVAVCQAPVPKPIDADKETPGWSRLRTCPRCGYSFC AFCRRTWHGPLDKCPIAQYEHLALEYLGAEEGSPERAKLERRFGKANIIRLVATYEEE KANMQWLSSSTMQCPGCQCHVEKNMGCNHMTCWKCSQHFCYRCGERLNPDQPYAHFSN PKHGCFNQLFDVIDVPEAEWELEE JR316_0001227 MEVDDTLAPVALFSLAAQHISVTWTQRTAEFASYLFIIDLFPTT LLPAALYGFVTTLAGILFGGNAGSLVDHPSRLNVIRFNIFLAKLSVVCLYAFLLVLFV KFPAESKEAGQRIGRGGHGIVWTLFSLTAVAGSVMKLADISMSVAIERDWVTTIAANS NARLTRLNLWIRRIDLGCKLLSPLFTGLLTSTVGNTTTLIIIAAIALGGLSFELLWIN VVWKHFPVLSDNQNREAHSLHPVDAGTSHLDSQVVPPSSKLSFSSIKTWAMVSYEDWK LFIHSPVFLSSLSISLLYFTTLSFDGTMVAWLKTNTYSDGLISGMRGIAVCTGLLGTA IMPVLEKKVGLTRAGSWSIWSENFSLLPVVISFYIGTKQGAKAPAWNQALLFGGMAIS RIGLWSFDLCQLKLLQLTLADHPRRNTLNGLQFSLQNLLDLLRYIMVIFLSRPSEFKY TAVISFGAVFSAASSYLVYLRRERGHLIHAEWFTRMRRKFQ JR316_0001228 MKNLKFCSRKLDNTLKLEISIEFDSPRVVNVFDANSSASPSSSP TTSRRKSEGLSLSVLPPVVLQISLPPSYPLYTPPDILSVQVSLKWFSNVEDIKDALFK LYSSGETVLYNWIEYLRNGGFLADLHALSPDDGLSVESNNHALCSSSMSESGIPTERR RSGHWVGTIQAVWRMFIQFLRPVQKYMEYLAAEEDSVERRTIELRYGRSFVLRLVAQH EQDQATEQWISSSTTLCPGCESPVQKSEGCNHMQQAFLLSLRRSPGLKVTIQSLFERR ESMLQETL JR316_0001229 MSEQIQHYNLTSSQDFVRSLKASSDPPVTGGPFKIDIARQCWNN TSFYVPSKAEVISEWVFTKLIKDKGKDLSSNPLFDARYWDLVASLLNTRNPPFKSSET RSPNAWLTPLLHRLAFGPVMVAFLTAFGAVEENQQRKLAGLVSTCLNTIWPIVVQRMS AENLQECFGALLCALSSVQADDGIIAIGQLVSVSYRNSLANSSNKRKLHQIFLQSHLK LWVQSLALNGSQNEKLLKDAVLEAGMETLFNLDILRQSQDSKAGNPLIERLLKLVPEN RDIVYGILPDLFSHCISATKKNRGALFSQGSQSQPGASLEQLHEACLRFVLALFSLVD HGHQDHHAWRTRFALLNIIDQENLFDRTQLEAQMTFNEILELVIVALNDGWQEERIKC TTTAVECLSTISRIDYDIVIPFVPRILPLLLQIPILSGECFRFLDIQIAYHTKTRTMG TLVQDLFSSLSNQPPNLHGSLERYQLFLSSPVMHDQYLGRLSNALHIFLTQSQCLPAL NLVLDLVKVKWDTLLCSTTRRNTESTEGPRKKRKLSSDPETNPDTTAVTYSLVCRLAS VVLSSLPVPSLSADALEDTQRLLNEFRSGFLHQSLAKSMKIVKKQGSANAWCLEIAIA ANLRLLYALNVSKNLYLPLAHDDKVDKRVLDLTAKSEVLPELVLELYRNILYNLSIRD TLDHTQLLERLFEYLSRHFTASDVQWSGQVHHLTNGEPGRAESSLALLHLVLERWLDV IDRLASPEQIEKLLKIIISINLIPSSSSSQQVKPEDILLGVLHSAQFWEFQNIRDPSS SKSPKPASLLDKLSIYRYLLLFPMEYFPWKLLNDLMKRALAADSMISRLLPRNDIKGI NTLTVLRVFLKRAYIHRGFISQDSDQESTDYLLHLLRPAAVNNHCPIDFIKATLDLVE LYFSKMLKNVKNNGSKCILDVLKTFTPDTINTSTLQSSSFISIVNLLEREFPSNSLPE EILATLVTIHSQLSSTLIPRITHLDDKNISTESIKIYSNLVSGWYSVLGLGKWLAPSG HTVQPEPTMGEKITSNVVKYAKQDIGNRNGELDGLCSNTFAVLLQELGSRPLAEHAGH LDVVLATYISFCNIISHSNRNTIDSYLAKHSRMMEISDYLHTLSLIADSLASDVQLLS SNHLLHLVHLASIMLHDHPTRELTPADFSLDCNRIDQTFTDALVYIQKFTTRCINIFN ENPIFVKGPIDLRLQVLELISQHCSGQPAALRSIDTTGVWLFLFKHLAPSQEHDDTTE PAIFHKIVSILSSLIRLRRDLVTHALPHLGMVLRRLILCTRACRSQLGAKQTSIIMAT QPRWVSASHPLGGEEAKALGRLLENLNTKTTVRSFASISSSITTAEPQKAESLSKPFS KHAAYVLEAYIEAMNDPLCVLSLEVRKELQPGMFALCGMMSEHSRDALMASALDTGGK ATLKALWKDYEKQRYVGKG JR316_0001230 MNRQISSLCLKRLPEASILQSARRRCLATVSSSLIVQPPPPPPP PVEFPVERSRELPESVPPLLDHRILQATSKPGRTTLTKIIKEYVNTSGKVLDLALPYE SRPSEARRPDFTNEIDSCKNVVTVAHCAQVGTEHKITLSSGFALNIDDRKAVAKGETL IVTCAHTLEEIRQSPLLVTPVHGTTKKYTGSFIVTGTGAALEVYPVSHVLSALPRSDL ILLSCPLPPNAMNSLPLSPYPAHKDTPIRAHFVAQEKPDDTGWMPWIGGTWGKWHHGK VLGYRDFAGRETEPGTYDALSHLLFTPLPTAGSSGGPIVDEESGAVIGVMLGTRMDNR VEGVRGWGVPAESVFEMFSLPGLEGKK JR316_0001231 MVLVDTESASHLKPWLVRTLEPICDAEPGALADYILALLQHNVP ENEMREELAVQLDEFLEKECSSFVDTLFTVIRTKSYMPYSAIPSPKPQDSGIPIPLDA LLPSPSDRIRKRSTTDDERDGRPPAKGPRLNTDGQFSRYGNGGDGRMGAQSSGAWGRP PVDRFGNGGMGMGMGGYPGQMVAMGMNGMNGMNGMGLMNGRRPQGYQPPDQKRGVCRD YHNNGYCARGATCKYSHGDDAVVPGQLFPGAGMPFMPMFPGGPTGMPFMQNAAYDPHE ARMDMRPMGGRPPHQRAPLLPRIQQEDGSRVVHPINASGELPVIQDLTPIVPQDAPSL EPLPHGDVDMHQVNNQGPSVNPPHLGGGYNPMMNNMNGGYLPNMDVDNNAHMPGMRPP TLGRGQGQYRGGRGGPGGRGRGTFGGEVHNFRPEKRNDKTLVVEKIPEDKLSLEGVND WFKRFGTVTNVAIDPTNAKALVSFSNHDEAHAAWKSEDAVFGNRFVKVFWHRPMEGHG QIGQRMLAASAPLVANMTSKSASPAPQQQPPSAGPVSTSTTPAAAAAGASATPRKSAT PATSSAATLAIEEKRQQLEQTIAEQNALIASYSTAPADEKKGIMARLRKIDEEMKALK ESISSSLPPAASTSASSSSTAAASAKKSVNTTADRERLERERLDKELDMPNGTGTSEG GGETTEDLKAKLEKLKAEAASLGLDSALPSSSYRGGYRGRGRGAPRSYFRGATMRGGP PRASMKLDNRPKKLLVKNVPEDSAQALRDWYETTGQLESVEPVDGAGGSTYIVSFKSR SAAEAGLSKGANIPIVGSVQISWYTGKEGASTVTPTQTTKALPSESSSSAPAASHSSE YGHEAPPRSPESHAAHHLHEEEIIASGWGGGDDEDGMGLL JR316_0001232 MSLAFSRAVRTSNASQFNSAGLRTLLWRNAPISLRALSSLAGGL ADIDPSKLQFTRTGKPKTLPESSTLKFGHTFTDHMLTIPWTQEAGWGAPHIQPYGPLA MEPSSTVLHYAQTIFEGMKAYTDPEGNIRMFRPDMNMKRMNTSAHRIALPTFNGDALL ELIKQLIRIDKHWIPSEPGHSLYVRPTLIGTQKAIGVSPPNEALLFVILSPVGLYYPT GFKPIALYGTTEYVRAAPGGTGAFKLGVNYAPGILPQRHAAAQGYSQNLWLHGPEHYL TEVGTMNMFVVFHKEGGGYELVTPPLDGMILPGITRDSVLTLAREHASGVKPVAGLPT DITVSERPVTMSEVKEASESGRLAELFGAGTAAIISPVDRIGYLGEDVHIPVGKDGMG PLSRHIWTELVGRQTGKIPSDWSIVI JR316_0001233 MSTKRRDPLPRLSIVQNLNMQQPTGGPGQALYSPALPTSIQQSF HPAFPVSNPLQTPMQSFFNATPGAPARPGHHAHHASIQLAAAGIHPPNYMTPVASHFP RPSIALAPGVQPQASPHPFPNRSRRQLSIGGPPKAVLGGPARKVSPLPGALGPSITPG ATPAPVKTKKVIVNFPKETPVGEDGEPTTRPSWARTPLPKESDSSEQVPVKAVEIATA ESYPPDEWRHDLPDAVDVYLPGKRAWDALKIQTIEEKLEKLGVERGSGSTVPQIHAPH ARAASISSPADPALLLFKLNKLQQSQQTSSAGNSLSVSPQPPFAAPFGLSPSPRGTSR FLTGRHGHTMSLAQPPSYQSSLFSSPPSQYHNPLSSQGVLGSDSAAESSDSPIDGIYA PQGRVPLAAPSFAPPPLSAVGGGSHIDFIRGFGLDAPQESEEEAEEMQAEERNEESEK EELDAKLNDNLEVENNSDGELEEYDDGMTTVPQSRLHSRHVSRLSAALSLRSVGGNFI GQFQNGEENEQRENNEDDADDEDDNEAEKENEPPQQRELQQLDVDPVEEWTGSEDQYL GVETSDDESIGEWSNPSDEERARQQRNERRMRRRAAQQQQIDQPRRIPNFPRPPETTL GFISQREDDIISNPSEENYAMGRQAEFVGVTTELYQPEHPNALASSLALPHSRVTSGQ YSMHDPAMAHSRSASGASGFPEPSFHHQSNLSAGRRESLNPLAKPFVFGARGDSGSWQ PFSGNSTPPTQMPTASTTHTRLPSIGKPLNVAAVEFKPGAFNFRLANAPQMPVPERSI PLPLPQPAQPLETITSVESTPFKVQGREKRQRRDGSSSSIIDEGDSFASFKFPMKIET SSPQALRRRRSGSSLSGQAPHESDPASQPFTFAGFSAVANNMPRMTGEVAENDAEEAA ASEQNEDEENANESGTALANDSHEQEIVIPALPKQKRAPIPLDFKHPVSGNTVPAGLF KALVNNDDRTRKSVRSRMSSRDIFEHMHRPSMDDDDVALIALKGPRSRLVTDPGDRPS PPSDDVFGSVRHTRRRSSLPDALHDTKVSTSPSVMSGVAQDLSMRMELHHIEDILSHL LDHKFADLRHHLTRKLLTASETNSAATETKVNEVISIFRAQLQESAARTLENSQMDAR GELDFQMIKEVLEESQQQLLEALQREVRRDPQHAASFTGNVPQDLLSAIEQLGGRTIN AVVEAISELSARQEAVALNASAREHDLIVDKLVSVLSPMLHSLQNDPIDYDFLTNQLA QAVKPHISQLIDLASDKRETAGLIVDKILPHIPSASDMTVDTDAITMKLIAEVRRAIA PIDAFEIKEQVADLVVERLDSRLAVRDKTFNVDSVTARVTESVSQLLESLNTVPSVVE KLAAGQKVVEEKQASFATSQSEIIASLAELPERVGTQLQDLKALQQDIFKKVDQPPAP VVQTDENVLVVKSLVEGLTQDQKKLAEQTEAAASQNKVLLDKLDTLPQEFKGAVETLQ SALSDLITSQDSTKRELEDVRKLNAEYQVQVTKARSSYGQARVEKDVLGEKLTIVESE RDRLRAKVQELEDTAASKTKETSTLQARNAELEEALAKALARLQSADVVAETNQRNIA ELEKANKELSTENQNISSKADSLELGIEFAKKETENVTKALESLQRQHTQLLSQQNNW DALSAATEKINMVFNLLENADSEEQKELRHYRDQSKVLEDENMALQKRFKDLETKLAN NDRTLTATRQSLTQAQQRSSEWERRAKECEGELEMVRTKFEQSEQTQSQLEADYQLVK MQLEEQEAEGRLRVDRENKLRDQVSALESKCVLLQGELEKARTAARSAPAAPFRPQTN GNTHPPPRPDSRASTIYDGRGETASRRVVSYAGGRSSLASSQNGPSEPSVWDSMHAPT NTNNQTKWSTPPMHTPSSRFASLAPSTPKAFPSRFSSQYARAPSPTPSTVSAAPTQGD DGWWT JR316_0001234 MLGGLFSQAGSTFNKVVKPRGSLEADDKPPSDTEPDDTSILDEN EGSIITSLISQLRVGMDLSKVTFPTFVLEPRSMLERITDFMSHPDLIFGAESFDDPEE RFIRVLQYYLAGWHIKPKGVKKPYNPVLGEFFRCRYDYPNGTQGFYIAEQVSHHPPVS AFFYISPANHVCIIGELRPKSKFLGNSVSTTMEGENRVTLLGKPEDGEYVITMPNMYA RGILFGKMVLELGDTCIAKNEKHGLSCDLEFKTKGFFSGTYNALAGRVRKNSTEIGEV SGRWSHVMEIKSSKTGQKRVLFDAVKDGQNISPKWVPPEDEQEPNESRRLWTHLTEAI INKDMEAATTAKTAVEDAQREERKYREESGSEHVPRFFTKENGRWQPKLKIPKGPQEA TLAVQEWIFPTTTKPGSTTSASPPPPPQPVLP JR316_0001235 MSRDTQPLKVKKSKKSDAEPIDAVDAAEQPTAEDSVKDVEKKKK KKSKRSKDESASEETAMVVDTEETQAESTEKEKKKKKKSKKADADVQQNVEGDAMDVD AEPKEKKKKKKKSSEEVAEETPVVSEDSEKKKKRKRDEKDAAASTSESKEDKKKRKKQ KKEESSTPAESSTPNVPSTSASTSSAPAPSSAETAAFLEKHSVTITCPPGVPAVVPVI SFAQLNVPAELQTSFKGFKEPTPIQACTWPPALEGRDVVGIAETGSGKTLAFGIPALN HLISSKTKNSTASGKSTVSILVVAPTRELADQTHSTLSALGKPFGIASVAVFGGVPKD AQVKMLKNANKVKDGLTTRIIVGTPGRILDLMQDGACDLSQVNYLVLDEADRMLDKGF ENDIRNIIAATKPSAERQTMMFSATWPEAVRRLASTFQRDPVRVTVGSDDLTANSRVE QIVEVFDDARSKDQRLLSHLKNLAHKKTTTTGASESRILVFALYKKEASRVEQMLRRQ GYSVGALHGDMSQNARTESLENFKNGTTGLLVATDVAARGLDIPNVGAVINYTFPLTI EDYIHRIGRTGRGGKTGKSITFFTGEGHERALAGEYARVLREGGFDNSQLQARFPMTI KKKEHSAYGAFFRDDIPVPKGPTKITF JR316_0001236 MELVTAGAVCKGVFRTQGPLADLLGQKVSAAGRNVWWREWYKLT GYGEGEDEQQQTKLADSEESKAKEQQSLKVFCRSPFIFFVYGQANPCLSSKSLLVPPA GGLSFSYASISLFIYAGRSAAFIMASAFERPAARPLRRVANPSTLSLEILPRHPHSSI QSSLSKRLSPHPNTLRYNDSFRLILSAYNETFHLHLRPNDHLVHSAARIHYYTTTSDG REVLSHTVPLLRETVKAYLGEVVAADHSPARMRQDAAHVVPQPHPADLGWARIMVYEQ GDTDRGIAPLFEGAFSANGIIYHIMTKENYLRNKLELDPQLTEPVDETDANLVIWRES DVMTHEEEHFAKTGEIYASENVVATPQSCGHDRLDYNSPSQNPMLSTPPPLTWTDRLL VPFLNDTLYRRDDVPTGNGGMNSNFINSIGSTAGCPTTQKVLYMGVAADCVYVANAKT QEAATQQILNNWNSASALYKSTFNVSLGIAELQVRSAVCPTTVNADNIWNLPCSGAEL DTRLSLFSQWRGAKGNDNIGLWHLMSGCPTGSEVGIAWLATLCQQDATGSAPSVVSGT AVSTGGRTEWQVVAHEIGHNFGAIHDCADGCTTSSSCCPLTTTTCNANAQFIMSPVAQ SGETVFSQCTIGNICSVMRGAGGSKVTTTCLVDPDPSRTTISLQMCGNGIVETGEDCD PGVGSNSTCCDVKTCKFKNNALCDPDSSACCTAQCTFAPSTQVCRPSRDTLCDTAEMC TGNSSSCPTDVVAPNELWEQRFGVCQRPLHSVGASMGLKAACPDRNDQSCQISCQDPT NAGACIRLTSLLIDGSPCGYAGTCLSGKCQSAGFLDTAKAWYVQNLQISIPITVVAGL VAILLLWAIFRAMSRCCGSRQQPRSRAVLAVPPGPMTRTATHERLASFDPRTGSDRPG GSRTLPGSTTSYTRVPPAAHDRMGSGGSAELRYNYAANNRMDWVDDSAYNGPRRY JR316_0001237 MFARAIVRSSLRRSIPSPKRSFHPLAGQSIQFFQDQWQTPPSAN LVPIVIEQTGRGERSYDIFSRLLRERVIMLHGPIRDADSTLIVAQLLFLEAEDSTKPI HLYINSPGGSVTAGLAIYDTYVSSPIHTYSLGLAASMGSLLLASGEKGKRHCLPNASI MIHQPSGGASGQASDIAIHAKEILRIRQLLTGIYQRHCSKDGETQAEGLARFERALER DYYMTAQEAMEFGIVDGILEKRPASDADRPPE JR316_0001238 MAGRYTFTNQRSKEAPMDFQWTNRSSVKPAWAADTEEPRTPRKR SHDALTPSTPSLTDTTNQPMFGSNQNVPFLFHPTPGPQTPHSHPWVPPPQFSPTKAFP ATEIKDIDMTEASPLKGDDAKGNELVVKEKEGDKDRGRPVAAGGLRRVFKQRTRRLNG RRQRREEDEGPSSSADESDEDGNVVPHTQNTSNHYTLNMPAPPAPPSDTPYVLLGYLQ FFFNLSLILIFLYLFVQFIITVQRDVGLRISEYSQDIIQEIGICALQFKNNNCDTISK TVPAMTQQCANWEACMNRDPTVIGRAKVGAELIAEVINGFVEPITWKTLIFTLTSLAF LTVFINTLLSLYRAKHQPTPAPVHHPAPPPFPYIAPGPAPKWSRYQDDQDLESPPRRR RLEGGLAAKIR JR316_0001239 MSHRHLPRQPDRIHDPAPHNHHNPTPLIQNALPPPPPPANPSII HKLNTANEQTWLLIGRVAEQMGDLEHALSAYENALRHNPTSVSGLTQVAGIARIKENY PKAVDFFQRVLGIEEDNGEIWSALGHCYLMQDDLQKAYSAYQQALYLLPNPKEDPKLW YGIGILYDRYGSLDHAEEAFASVLRMSKDLDFDKENEILFRLGIIYKQQGKFQESLEC FDRILRNPPSPLAHADIWFQIGHVFEQQKNHVQAKDAYERVVEANPGHAKVLQQLGWL YHQDGSSFQNQDLAIQYLTKSLEADPSDAQSWYLLGRAYMAGQKYNKAYEAYQQAVYR DGRNPTFWCSIGVLYFQINQFRDALDAYSRAIRINPYISEVWFDLGSLYESCNNQITD AIDAYARASELDPSNAAIAQRLQLLKNAQATGTVLPAAPGPQDVHPTAYASSVVPPPG PPLTLQTGNLRPPFRTDSRGPSNEISLPPPPQASNGRVSPPPPFKGGPPPPVILDESR HMPSHTPLAPMEVDRPPLPGGRDYPPSREHTRGPSGHHSLLLQHPLPPQHSDDPRNGG HPQHPDQYYNSRGGPRPHSRSISPAPQSGRPRSPPPPNFQNYPPHVRQPMGPAQPSAP PPQRSPHVYHRRDPSHPEPEPGWDRRPPGDHRDWEHDRRPRHGVEYMQQGAPQNTFYQ PRSPRAHSPPEPSPRGAPRYWDNKPPSHPGFRQSSPPPPPQHQAPHSEPPSRRYDPTR HDARDYDMERESSRSYPVSPEGMRARNNVPAHMVVGSSRTSESPHATPMLAEAKERRR RTKDDGHLHQSQSQPPQTPQQHTPQPPPPPPPHFSAHSPPQSIQESVPKKDRRKRVNG SKRKEDEGTERQKAFAAERGMPGSFKAYPKGPGSPENSSHSGSSRSIQPSPTGATPRP PSRVLDENYDEGVAVADALIGLATSSSFRTAESSGSGGDGPAHSPTISSHSGRLSDPS PRPAPSHRNSVSSNHTSPPSQSQPLKRALSPGPEDDNGSKRSRLDMMKQRRISSPSGG RRTPIPSTRPSPIPFRTQLASHSPEAREPFPPSPSLPAVLPPHPRPIGAGHASQVQQQ QQQQQGGGSGSSIALPPIATLSPASSAPSPVHNGDDKMHVDAGARSMSPPSAAAGGRG KMGDVMMHRSSRSPPDSKHSKQSQSPPLEKPDASS JR316_0001240 MTPLFLWALGLKFIYLPNSLGGNQREQDRLKAQKKAAANAKKPK VDLLLHEETLRIASNQGASFDTLRRLTAAVIDCQRSISHTRDLLASAERQLRYLHDQA DRVRDLQDCLATLSRRIDNLAFTTSLSPAILSGLHARLDDILSSPLFSRSSTPDSLPD LEPSD JR316_0001241 MEGVSMQELKDAGVPSSSSGAGTNQAQVENANVGNWTRTQGSDG AGEGEEEDVDVGLAERTLVDDPTTTTTTRAHTHVKGPSSSSISLPVGPDEGAGEGPSR TFVYQPMHVPQKSVDRADGHSQRQSEDQPRTATTAVTWDPLKANGTDDGHDGEKTHRR RATQDDTVVVEDEGEDVVDSKGKLLFAEDDDEEKREGGVGVGGIAPASAIARRASHLR LDLKPTPSSPLPWEQIDPPPDNNSKSIAGYYSPAASQKFRTLQSSGGGPRSLIPKSSY YFGPPAPDSAYGTAPVGQIGVHHPREILRVERDYTGGEVIQFAPIYPLELEGRITPTH FLESINAINELLISAHSLRHSLVDNMLAIFSLQISKLFVKSHFEKVGVSFIYV JR316_0001242 MDAFPEVTPLWDLSQSVDINQLPDNDFLALLQKQFPTDNSGYNF MAPFTDGINPQSITPFELPSLTPPSEDSSPSPPNSNQDGGGNDDVPETALKRKASDED FSDDGPTTKSQHTGNDKKSSSGNSRRKSTGGNGNNKDEGRLMKRKEQNRAAQRAFRER KEKHVKDLEDKVADLEAKNEQALHENENLRDLLTRLQTENVALKQSSFTFTMPKAAST NGDSSNTPQSTFSSISSPQSAASPLAGSSKNTNPIDWSSLTTFDPAVLNLLDDSVPQP TATEGAMQMDFGFGATSGTGLASNAPYTSIASNPMFMSFASTFDNPASPTPSASGTTT NFNQMNMNFDMNNLSTWSTPSNSQDTILDDLFSGYLSSTGQMDMSLLGQSPASSLSPV AHHVSPPNANSTSSSNNNTLNNARSPVASSSSSSSSPSVLGTSSLVFTPRDSPASSAS TPASDTNKTSSLPIHSEDTCPRTRKELEKRIASEGASPFAPPVNVRKSSDSVLGTMIA CSGSSFPTTAKSDKNIEVLSAWRSIRADPKFKDADINALCSEFTSKARCDGTKVVLEP QGVHSILENLSKKQ JR316_0001243 MSAPMLGNGMVAPNIAQPQQQLQVGQSNELQRMMMRASYLRKNP SDQKYPNELENLMKYINNLNQQFRNQMAPTNGIQSQQAPMANGHAQLTNGAAPPASVA SGGSMPNQSIPSTSQTPVSFTAEQINALRAQIHAYKLLTRGQPIPDYLLQSMRVPNTT IPDLEKLTQGPDVQSKIVDAAVKASKGESGSVPPPSISAVVGRESSVKAEESEPTPID PADYPKGPFLEDDTNSPIYPYNAYRHPFSHLKRPADMDPKLFATRLQRLIVPTIMPAG LDAHQIIDERERFIEARIQQRIRELEAMPSTMGDGTFDANIDVTQDDKVKEDKENESS TATPLDSLRPYSALVHPSPTAHGKLRALIELKSLRVLDKQRAMRAQVAEKLMHGSLLP LNRLDYRRIRKPTIRDARMVESAERKQRLERERRAKHKHVEQLGIICAHGRDVINANR SAQDRIARLGRAVLSFHAHTEREEQKRIERIAKERLRALKADDEEAYIKLLDSAKDTR ITHLLKQTDAYLDSLAQAVVAQQNEGGPMSELGFEQEDGPATEATFGAQINAEDAAEN KKVDYYAVAHRISEKIVKQPDILVGGKLKEYQIKGLQWMVSLYNNRLNGILADEMGLG KTIQTISLITFLIESKRQRGPYLVIVPLSTMTNWSGEFAKWAPNVKVVAYKGNPAQRR ALQGDLRVGHFQVLLTTYEYIIKDRPHLSKIKWLHMIVDEGHRMKNTQSKLTQTLTTY YHSRYRLLLTGTPLQNNLPELWALLNFVLPKIFNSVKSFDEWFNTPFANSGTGDKIEL NEEEALLIIRRLHKVLRPFLLRRLKKDVESELPDKVEKVIKIRMSALQSQLYKQMKKH KMIADGKDSKGVKGLSNELMQLRKICQHPFLFESVEDKISPGGYIDDKLIRTSGKIEL LNRILPKFFATNHRVLIFFQMTKVMDIMEDFLKMMGWKYLRLDGGTKTEERASFVQLF NAKDSEYKVFILSTRAGGLGLNLQTADTVIIFDSDWNPHADLQAQDRAHRIGQTQVVL ILRFITEKSVEEAMYQRARYKLDIDDKVIQAGRFDNKSTQEEQEEFLRSILEADQEEE NEEAGDMNDEELNEIIARNEEENAIFREMDAKRERDIIENWRAAGNRGKPPQPLIQLE ELPECYQTDQPFEVKEADEINEGRGQRRRNVVSYNDGLSDDAWAMALEEGEDIQELTE RARDKKERRAQNKLLKEDVSGRNTPSSDVLDGRGRKPKKGKNKANDYEPGTGSKRKRG VKSMSVTPEIDDDDDDDHEIVRKRRKTKTNGKDNGPEVPPAMREKMKKAFMECYRAVQ ACEDETGRKRCELFREPPDRRDYPDYYQLIKVPMALSILRKRASSNYYKSVMTFRDDF HLMFNNARTYNQEGSWVYIDAEEMEKVFNAAWDRIIVGSDLPGAPPAPGTGSATGSYA SALTPMDDDERPPPPTRGRSTGRKQVLSDEEYLTPSDEE JR316_0001244 MPADRAGYTVTMFLVDASSSMGNVREVEVENADGSTRTVEMTNL QWGLQYVKLKVQEMIFNGRKTDQCGVIVFGSKNTNNHLNKTKGGYENVVEYIPIGKPN AKTLARIDALQPSDTSGDPIDALIVGIDTQSRYLGTKKTWTRKIVIVTDGESPIEVED WEATVAKMDELEVKLTVVGVDFDDEELPYAEADKSNIKRVNESFYHQLTSSMNSGVVG TCAYALRETTAPEVKQTKSVLVGTQLRIGDFAARSDEAIEVPVKMSKCTALARPKSWK KFVLMQKEKDAMEVDNDVSVYSQVTGQTQFAVQEDEDEEKEKEKVKQEDVKMEENDEA QVPPKTKGLQVEKEELTKGFKYGTTFVPVPEGEGQFMRLTTHKGIDLISFFAADTFRR ELAMGEIYYIWADTSRPVEQVALSSIVQAMNERKLMAIGRFVSRDGMDPKMGVLAPIL FEDVDCLLWIPTPFADDVRKYTFGSLDTLINKKGEVLTEHPYLPTEEQLSAMDDFVDA LDLMDAGEKNEDGVREPWFDTRESYNPAIHRVKQAMFHSAVVKDVVEYPVPPPHPETT KYFEPPKRVLRRAKEAIEECKNAFNVKQVPKRTARTKKDEFSRAADGNEEAALLAKFL GPASSRDKETQAQSQMNVVEAEPSHPQLSVRSDDAEASETEDEDEESAMAVDMKKPAT PLPEASSSSRPPLPTPARSMSPNAEDNSPARIISNARPLEDFKENIAQGDVVSKAVKD LAEVVVEEVLKPFATRRADEMVECMVVLRKTCLEEDEIDAWNAFLRDLKEKCLTKPGN PNFWDKIRDVGRRLSLISKHEAKKLGGISDVTGDEAEELPGGYKG JR316_0001245 MTDKVVVFRALQLANEQRNAYGLRYNDLTRYRKHCANRTHRLRS SLKMTHGRGRDFKKLPPLTADIVKDGHLQLLLFEAERAWAYSQELTSSSLLPANKDQA SSLRHSATGRFRRAVHWSTQLLSLCQSLYASSRLSAENLVEASIYTIILNGRFLRYRD DFEDALIQLSVARSLLDDLAETSSTSRDQALAILFSDEISPEIRYCAHELGRAKAYDV NGIVAEIAPKYRNELVENCDALLAKLQKEEKSSSSASNLKPITWEDQPVPVRYPELVD VLLRVQKAEAGIRDDNRNPAKKTKLGVTAYDAVLSALSDAEEVARKLQETQQLSGTSA NTSTSGGRDIHFVHAYIVFQLLSRRIQRDLLLMDTLLSTGSSEKSKIPVQKKPTSTIK GNSAPVDSRLYPAIVKLLDTVLQSLTQMRTLSLVDDNADLTSAVEARISFTNARRCVY LAQCYGAVKKYAEALTLLQHASIHLRETGSSLSLSETDPINTSVPPFFSLKAEDIKEL EGTIAVDGTQFKRDWFAYNGGSIKADPATYKKPLFFNIALNYVELDMDRLQHRAGKQP VPPPPVAAQSSAQLTKKVEPAPAPEKKQLPKAKVEEPIQPVPEPQPQQQPSRGGISSL LGGWWSKSSQ JR316_0001246 MEGEQLPPTLQNILDQTSLKWIFCGGKGGVGKTTTSCSLAIQLA KCRKSVLLISTDPAHNLSDAFGQKFSKDATKVNGFDNLFAMEIDPTSSIQEMVEQSDS NGMMGSMMQDLAFAIPGVDEAMSFAEIMKHVKSMEYSVIVFDTAPTGHTLRFLSFPTV LEKALGKLSSLGSRFGPMISQMSAMMGGEAGTQEDMFAKLENMRAVITEVNTQFKDPE KTTFVCVCISEFLSLYETERLIQELTAYEIDTHNIVVNQLLFPKKSSNCEHCSVRQKM QQKYLGEAHELYDEFFHIVQLPLLTEEVRGPEKLKAFSQMLVEPYVPPQD JR316_0001247 MFFRSLSTRTRHLDPDILRQRAIKRNEVGVQLLSPSLHRQVFKN VTFPPPPKEHIRISREHLLAHGLDPTKTAALPETSFNLPPLQGNNISEHFHRIGAHAA QPWLALSQRFAAAQLPPKPDDWHIQSGWTKYYYLPDGSSYSEHVPYPIHDGVPESQLD FDVETMPKYHPYAVMACAASPNAWYAWISPWLLGETDDPAQLIPLGAGSKTPQIIVGH NVSYDRIRILDEYDARGTATRFVDTMSLHIAVHGISAHQRPAWMKYRKARDEEEERHA ESVQAVVGLIAEVRERETLEQDPDKQADLRRLIADMEESLVQLRQKSSSPPLPNNDTP SDDVPDAEEDESSQAKKWEDITSANSLADVAKLHCGIVLDKAARNDLMELEPSQIREN ITDYLDYCASDVAVTHAVFAVTLPAFLRACPHPVSFAGILTMGSSFLPVDENWETYLK NAEGICKDMEDKVQNKLKALAEEAKSLMDRGEEETWKNDPWLSQLDWTPKVAGKSRGI YPPEDFKEYPDEPDPALYNRDAHAPKWYLRLLADPLAALKTDPTTLPMLLKLSFTGHP VRFSEDEGWHYLLDDDEIKPILLRATQKSKTKSILVKAAAKLFDSGQLTSTDADLAKA LCEAIDDEDDIHHRITSLANSLVSTPPETRETDPWLSQLDWTILPKQYTNDGTLIAPS KRSKLPKPKPLKPPPVIWPKWYWEAKKPRKDMAPGTLEVTVRNRIAPILLRLSWSGYP LFHSREHGWTFRVPGADGFKTRYAPMSFYAPEDEALQQAALTKGYLFYKLPHKDGDAA NVANPLGKTFLKYAVNGTLRSPGDEAKEALDLNAMCSYWISARDRVMNQLVIWQQPQQ CTLGLPQPSEPGGKYGIILPQMTTMGTVTRRAIEKTWLTASNAKKTRVGSELKAMVRA PRGYAIVGADVDSEELWIASCMGDAQFGFHGASAIGWMTLEGTKAAGTDLHSKTASIL GISRDQAKVFNYSRIYGAGMRHAMLLLLQSNASMLPEEAQKLADNLYASTKGKNTHRT DIFDRKFWFGGTESYLFNKLEEIATSDKPQTPALGCGVTYALSKEYLPEGFGTDYMPS RINWAVQSSGVDYLHLLIVSMDHLIAKYGINARYMLSVHDELRYLVAEEDRYRAALAM QIANLWTRALFAYKLGMDDLPQGVAFFSAVDVDHVLRKEVDMPCVTPSQPVPIPPGES LDIVKVLEKTNGGSLMKDGAPMPDERGKPFEGTLEGYQETNCLRHRASSPAFLKAQAT KDFGEVKGLAQQATGKRFEGGNQRAFKQRPKTPRRKPRPIGNGEGFDWSEEVERVLAS JR316_0001248 MISSSKPLVSAPLSTRLPRNPPPPRSSSATSTHSSQSPVSSSLS ASPSPQKKRKSLPAAAPPAPGPSEPPRPVKRLRTTSAAPAAKQKPKKKPKAASSKASS RATSRNETAPPSPEPLYCSSRSRSTSLFPPTLDPLPNPVRERRWITDENGDPGDHHLS SEAVVKRLMKKYKSYFKNPNDPNDKSFEPHPTNYPVVELEYPNSFAAERFILLAPKDK DHYNPIMDLERTLYTIVECYVPKEHQATFGPIPTDTLTEAVSPPPSPSPSPSPPRSSL TSLSSSVSSAADRLPLLRAVQRAIHLQDGPLFLMAMKRVNSALQALKYPALSITDPYA PAPRNALMQTVEGWTQTGLPEPVLMRIIEENYQRTVGPNVPSLKHYEAFSSTVYGELM PSLAQEMIRITELKEDSLFLDLGSGVGNVVVQASLQTGCTSYGIELMPHPARVARDVV DQMKVRCRMWGVVVGEMELEEGNMLESKRVDELIAKADVVLVDNKVFETSLNEALRPK FLDLKEGATVISLAPFVSSINARLTKRNVDDISAIFDVKERPYHSGSVSWGNNGGTYY LHKVDREGYSRIKEKFETMHGSSVRPARSSRSRR JR316_0001249 MSERKVLNKYFPPDFDPELISRRKHPKNSQQVVRLMAPFSMRCN TCGEYIYKGKKFNARKETVEGEDYLGIKIFRFYIKCTLCSAEITFKTDPRNTDYTAEH GASRNFEPWRDEKAEEEDRLAKLEEEENNPMKVLENKTIDSKREMDILDALQDIRARN ARNERVGASDDLLSRLAMREVEDEEDKEKKRLEEEDEKLVEQVFSKVPASGSTTVTVK RKADDYEPPAHTLLSDSARSLIASTATLQAAKKQKTNAKNSLGIKVLKKPAKAKPT JR316_0001250 MNPLFFLVANTARTIPFLKASSPPSLRNSTPISRRRRVPPAIRG TDMTGHTPQSRLSPTESHQLERYILNRLSEQATREQSLVPLVLNMHLCILRSSAVDDG GHPLRAQYGSSAALQQCATPESRSKEAASRKSINHSTSNMQMATPSSPPLDPPPAHSS ALSSPFMAKLSIGEKDKASRGTVPPSSSSSSLPVHVDDVFASSQSSLQAATSTSAAST PPPISPVLGVAPNPNPNPTTTTAPTVTAGGEMERIRQSIIADRLAHIQQAEKYRPEYL KRSKRTLAEADPMYLLEDDGASERVLPVGIMESPHKGRRLKLFQETSEESFEESLMAG GYGRYRTADWVRQPQPISLPPSAVAGSSTSIVAILEEAQEAPPSEKELKKRKRLAAFR AEPTQGNSKLCAVELEGKGRVIIDGSAEEHVTPGSPEPVVHATGGKKKTPSRRKKKAA ELAAANKKLDAGDAAASAGDVLSQVDKPNWPDSEFPWRLRTEERLEMAKAEEEERLHW IERFLDGDSDEEDEQEGALGVDGDHDGVARPGRGKMVPLTAYPHPTRKDEMIARYPTD PADARAALLAKRSVRALVYRQQRKQREMDDEDDDEVVCICNGRDDGRELVQCDACQMW YHLECIGIRSIAELGKEEDPWFCRRCVSRSRSPSTEPEVATGEPTFVPTDEEHTFRRS SDTPFFQPGPHDSPSWAAMKTPRTPPRQYGRDGGGLSDGHSSWLESSRPGPSTPQHSA ASVRIYSSPYGGYMNSDDSPFDPTSTPSRGIKFNAPFTTPKSAWPARAIFQSPTRGSQ RGSGNGFGQGYNNGNSSSSRTPLSDGTSHSNYPSSGSGAHGQDYYARQSYDESPVRRG HVYSSSASSVPTFQRRTLHSPPPSSSGPGHGRSRSQYAAGPSPGIGSYLEESPVMRSL GSRLNREPQAYAHDT JR316_0001251 MLSPPKESERLRIAVALAVLRCKPVNMTCFAYIQSLRESFPRSQ SQSLNGNGDDGGSETNWKEHASKLEKECERLKQELEAMEIQMFLAKNQAKEAREATPQ TMETPSTAPENQKKGKQKQVAASAPEENIRRSQVSSDLKSVLKSIENGGEASKIFSSK TRLLELLDTLFALLAHHGGPEFPQNAFLSATRRAIDAISVSLDCTVKDNMSLDRIQML DSLLGVVIEKSLPIIMFTSDASRKTAASDAGDDITPLAAFLSQLSTTVLMPILRSFYM LSERLLATVLLEKGHNGRRSKSSADKELTGNGAKNADGRPALLAFFHGALNTTCTTVS SLAQAHAGTKLSAKASAKTREKARTQKALARNSLVELSLLHHDLLFEAIRFLDRMFVE NAANATTKSGTKDFGSNPSKRSRVLRLVIKDTIWYLCSVMHAVINAEAGVSRLLDSDN FAPQCSVNSHNSQLADLPTARLRIRKKTTLESFLGLVALQDLCHESRTGGWHAMTSDM HSGEAPTGGCGGGECIDNELHGAPLRMLDKDRIQGGGARDGTGNMHDSGSGPSSSYLE NNRGAGQKDEDAAACSSSGSGSGIGSIPVPAARTPVLLDDVERRMILRVVESFFNII JR316_0001252 MRPSDLPALEQSTDSASSSSSSLLDVPIHSPVDRPNQHLAVLLQ KHLWKPDSSTNTCDNFYCRVPFSLFERKHHCRKCGGIFCGACTSRTTPLLDTSNLSFL QPPRNVPLTAFESPISPILDSRVCDDCWDQIHGCPTTPHTPEVTRPSFKRALSNPMSI LSFPRSPASPASTSSAAERSSSVSPPDGFVAPSFKRKTAMSLQQTPSTSSLNSSSSSN NSQGDAPVRSSLSRRVSCRASQRPLPQDLERSYGELDAYPLRRSSVLCKASGGGRWEP KQSPVLAGYRIPIPGGKAPFETMMEQEEQLERLRKQNPIVKDGAFQYRFPKEPEPVVL SRSPFNLSTF JR316_0001253 MFALRCRSGVASALRVRVVSRGLATAVPEASTSASSTVPPPTYD DAVPAAKTTNGRTGLSARRRRAAALSGLDLKGEDILTVKKWNRALPRHVIPAYDLALG VLEEDSRKLKAEVLVKRKAIEGKLQALEKLLAKGEGEGEVESLKRELEALLEKADVVE VQSEVNLPQVRWAVKNALADMNKLSHRHLIEQKWRKDGDLDLLMERLYQMNVIPDVLP ILEPTVDLHLVAKARPTEYLKIGKLQTTVVPGEFIRPKQTLVPPKLYATVFHTDVRLY TMILVDPDVPSPETASYTTYLHWMKPNIPLSATSPHLIPDLNDHTAYVPPHPQRGSPY HRYVCLLLPQPALAPEGYTRVGSVLAARQGNEQPTSQRLDIPVVPEEERRGFDVRAFM EKWGFEGRRGGGAHMFREVWDEDVSAIYKDVLKQPEPHYGQPPKRDPYAQIKQQKKYH L JR316_0001254 MSSPTNAHEEFNHNIAIKLSRALNTLNPNDLLAQRVIDIAKTNS TAGFITAAKTFGKFKDSFLSELHAEILSHAKQEATGVAPHPVEGITVIDSDVLEPEPI RPGGLQRADTRHTFRQPAKPLEPPTPRTSLLGLDRLAKEKRAAAADEKINGRKKQKLD DDGDEPFFKVPSLPASRSNNIRQRGEETPSHPGGLSETGRQRLEEYRRNRERQREGIP APQETRHDAPKGLGDFQRRSNRDRDRGWSNRRDRRDDRESRGWDATPNERGPSSRDAP SVRVPNVPWDSTPRGSRGEDGGGWGSAQNRRWDAPTPRVARGGSPDGDEGAFGLDSRE WEEEQVKLDRDWYTGAEDGGMAGDEEHNPLAQYEDLSALKEAEIATKQVKKISARQAQ YNADNDLWEANRMVTSGVATRKALDLDFEDDSESTVHVMVHDLKPPFLDGRTVYTKQL EPINPIRDPSSDMAVFSKKGSALVKEKREQAERAKAAAKLAALGGTALGNVLGVKDEE AEAEAEAERKEKEAKAKGQEDYKGDSKFASHLKSSTGASSFSKTRTLKEQREYLPAFA CREELMKVIRENQVIIVVGETGSGKTTQLAQFLYEDGYCQHGLVGCTQPRRVAAMSVA KRVSEEMECKLGGTVGYAIRFEDCTSADTKIKYMTDGVLLRESLNEGDLDRYSVIILD EAHERSLSTDVLMGLLRKILTRRRDLKLIVTSATMNADKFSAFYGNAPTYTIPGRTFP VEITHSKSPCEDYVDSAVKQVLQIHLSHPPGDILVFMTGQEDIEITCQVVQERLSQLD EPAPLSILPIYSQMPADLQAKIFEATSDGSRKVIVATNIAETSLTGRKHPLYQTLLAY TSAVDGILYVVDAGYSKLKVYNPKVGMDALQITPISQANANQRTGRAGRTGSGQV JR316_0001255 MAYRNELFENTIPEIQRTNLANTVLLLKSLGVKNLLEFDFMDPP PQANILNSMYQLWVLGALDNVGDLTQDGRKMSEFPMEPSMAKMLIASVDYKCSAEMLT IVSMLSVPSVFYRPKERMEEADAAREKFNVPESDHLTLLNVFNQWKSHGHRDEWAMRH FLHPKLLRKAREVRVQLEDIMKFQKMEIISTGTDFDSVRKAITAGYFHQAARVKGIGE FVNIRSGLPTHLHPTSALYGLGYTPSHIVYHELILTSKEYMTQVTAIDPLWLAELGSV FYSVKEKHFDERGNRRTADREFSKKAEIETEMARQREETAKKQAEAILAAKTASGSKS KIIVPGTPRHTGIGAGARVTQTPRRRTGI JR316_0001256 MPPSSSSRPKLASNSSDSGPISLNHAESPLTNLVRRTSSRRARQ TASALDQQHKQDLHTPPIQETREDPYINESTANYNYNHSPRSSSPSHDEVYAYAYQPE PSSSGSQYAYVQSDSNNVVDNYYYEPHDSPPRRQMANLSLKVDTSGDNLLASNGLPSP LLHSHFARDSYATASISDQHSYWDPDTSGTRSSTQSSNYKQSSRRATREGEGDFDDER SDYGRYDYFVDDSSSMYSSSPSQGPALRDSWNSTATGATVRRPDYYIPNADIRGENQL TGSSGPTPTVVVTEEGIDGAERVVERSSSVLRPGPGRAPIVQPVTANFSRPVRESSHP GHAQGERVKVQVPQEMRDQKLKVLERNARRAPTPTGTHPPSTIQSSANSRQSLESVRS AATITEKNNAVPTNPQPMRAVEQPLPSPSSLYSSNYSFYQYESPVPSPVGAGFGQSTN SGNRPQSSLKAADAEKVTGPRTPQDYLQLGIQHHEANRLGESARCFERSANEGGGCGV GMLMYGLTLRHGWGCAKNERLGFKWLMKAAESAIGDLENVRTGGRTIEVGAVQTELVL AIYEVGQCFFHGWGVGKDQKMAVVRLPPLKWCM JR316_0001257 MTIRHSKYGVWDVYEDLKGLPTTPGHQSWSVIIQALPYVWRMIK EVASIRECWLLLAVYLSLLLLSSIVPAIALSYSGQLLTVVDSAVQHKTIDPALLSNII IGAFVSSSATRVLRYAIQRIAKPIRTHIRIYYSVHTFHAYSRLDVPTFDDPAVQRQLQ QPFSHMSIEPLAFTVISVTLRSISTAIQLVTQSLVLFNLLRDQPDGLLLAFLCSLLGF HQRPKFHLGNLKSNSVWVATTNDADYIKSEGLKQTVTDATHRKEIVASGIAPYLLSEY RKAVYRVSTRATDFYAAVMDNSDTYVIFNFIFFPEILRALPEIVFAVRALRQPESIPL SLASLNLIKQTTTSFTSTAFSLMNDTQSITTTFARVIQLYEVARIPNRVKVVPTEKTK EQQKLDQDDPNFGVPFPENDQTLRLGISVEFCQVSFKYPGSDTYALRNTSFKIERGQL CVIVGTNGSGKSTILKLIARLYDPSEGRILIDGIDVKTLRIADLRRAISVLFQDYTLF PLSIRENIGLGDPYHSNDLSKIQHAAELGGAHTFIDRLPEKYETYLERPVQDYYSELP EGTENPFGNLVDYSRVREAGNITSVDGGGSAGNRGLSGGQMQRIALARTFMRSVASEH SVGLLLFDEPSASLDPTAEHDLFERLRRLRGNKTMIFSSHRFGNLTRHADLILYMNDS IVLEEGTHDQLIQQDGEYARIWMLQAQAFL JR316_0001258 MSTLGAWLWGSSQLDDAIDKATSELLPAGGEDIALNLEICDQIR SKSVPAKDAMRALKRRLNHKNPNVQLLALSLTDICIKNGGDLFLTEVASREFMDNLVS ILKMPALNHDVKEMILRLVQNWSVAFEGKPTLSYVGQVYKTLTNEGYKFPPKDMAVAN SAMVDTQTAPEWIDSDLCLRCRTPFSFTNRKHHCRNCGQVFDQACSSKTMALPHFGIA QEVRVCDGCHSKLTKKAERADKGHRHSTSLHGHRHKSARELADAELQRAIQLSLQEVH GSSHAGYAPSQPSPTKWGYSEPPIVDRGTYPGRKAAVVDDDDDPDLRAAIEASLREAN APKPSAPVVIETPRLEEPSYAGPGYSQSYPPSVNQSTLPQIPNYDLEPLEADVILTFN QTVEQVQAQGGRDLSRYPAVSELYEKASGLRPKLALSLDDTGRKEQMLSEMHEQLSQA VKLYDQILSQQVAQPRWRAPAAASVSPTPYQQQMQQSYGSNYAANGYVAQWAPQQQQS QPEPRREPLSPTMSFSASLVQEPRYGLTSRPELSQQQTSQQHEQVRQQTSYQPQYTTA PLSAPPPPQISPGSAPPLHSTQYTQPQQYQQYPVSISTAGQTSSHAGFAQSPPTTSSI SSTPSAVPQSPPPSLYQQPTPQAPESQSSFTTSLNRQNSVAYAPAALSPPSNITRSNT VASQAPTQYQRQQYQTPAPPQQHQHAYQVSSPPPAPVSLMQFPTAPTDVPQPAFPAYN PLKPSEYEHKEERKEALLIDL JR316_0001259 MISTKVTPANAKVDHIVNLKGTSNFIAWRDNMQNILKDCGVYGH IEGSENRTAKYPVFPKPNKPAVDVFDNIIAVYCKWWSDDNSIKILITWKVSALVKSNL NIGQDITARIVWNQILTRYACVNINAKFAIKEKLASMKLKDYTKIEKYLGEFRMGKEQ LKDMGVNYPKSDIIHHIIYSLPFTDAWPNFKQLMIQLYQDTLDRNKMAKDEGRTPFAP NTALERITSCLTIECQCLEAESTGKRHPPGSEYSNFLNDNRPIAKYANNPNGVRCTNC KNWSHDKDHCWAKGGGMEGQGPAYHVAVAAKRTEMASVAMESTSLDSSEISCVLMEEI NDSAPNTPLTTTTSLLSTSILLDLGATLYIIKSRALFHTYSEADTQNITTANLRTLRT QGGGTCIINVMYSIKENQSI JR316_0001260 MEVDATLAHAQRNTYRPPHLRNASTTSTLTNTTPPSFAPAKPSV ITNNPILTPHATLPQPTTATNTLRLHVDPATVNCHNCGTQCHFARNCPRLYNAQFYRN ACNRLLQARNLIEEFASLPDKEREEIFKAVKDEEKEVTPKDFVQAAEC JR316_0001261 MANPPLPYDGFMTMSLEDRFTFLFRAQQVQFDANKKIDDRLSAI EKEHLAALTSTPTTGPPHTQSICSTTTKAALEKIVATLSIADKQAGHIIGHAGTSLHQ IHDILHAKISVSPVVTSGLRAVTIRDTACEVGNALSAIGKRIAHCCICNPRSKKPKQP PAPTAAPLTLVIEPPSPTPISSSTYPNYPSLSLASGLPMEVDALRAPQQHSDGYSYPG PVQPRKGIQIAHCGGGPSRVFGANRPW JR316_0001262 MGEIPNSTHTTINNITMSTKVTPANAKVDHIINLKGTSNFIAWR DNMQNILKDCGVYRHIEGSENRTARYPVSPKPNKPAVDVSDNIIAVYCKWWSDDNSIK ILITWKVSALVKSNLNIGQDITARIVWNQILTRYACVNINAKFAIKKKLASMKLKDYT KIEKYLGEFRMGKEQLKDMGVNYPKSDIIHHIIHGLPSMGAWPNFKQLMIQLYQDTLD RNKTARDEGRTLFAPNTALERITSCLTIECQCLEAESTSKRHPPGSKYSNFLNDNRPI AKYANNPNGVRCTNCKNWSHDKDHCWAKGGGMEGQGPAYHVAVAAKRTEMASVAMEST SLDSSEISCVLIEEINDSAPNTPLTTTTSLLLTSILLDLGATLHIIKSRALFHTYSEA DTQNVTTANLRTLRTQGGGTCIINVMYSIKENQSI JR316_0001263 MPVSATATAELAKYKAIVTRIIGDPLRARAGIKLLNFKPFNPID KRTEITYREEATGKLKHVTKGMTGIIIELCSRNKTEKIENHLEKDVEEYAARGLRTLA VAYEEVDGDDFEAEANHTDNLIFLSSIALVCIIKETTFFQDTFGVRLDTNFPIASNNP QLHMVIYLQVAIISQALIFVTRFHLFFFMERPLTMLMVAFCIAQLISSIIAAYGDWGF TIIRAISGGWIGIVWVWFVMKATVIKRLRQRHEAKVAQEA JR316_0001264 MYCLTVKPHQTIYGVYRVKPGISGLSGVETRDLAYSPITGDPVT EWGLSIFVRRCDLSFLFKISAAMPQTPNLSGPPSPAKQVSGLPQYSSPYYRDIHMDTA KGTMQGYNDIYMATAKGGHLVDDIRMETARGGSTLKPQGGFQVWERELLESSEVKRKA TVAQLCKYNFLDYYFQTLGYIAARKDRRAKFDKDTASRNLKGPEYQKEFKSYCGRERV ILRRRRTKLRVDQFHIIAQVGQGGYGEVFLARKQESGEVCALKKMRKRTLFKMDEIRH VLVERDILTATKTPWLVRLLYAFQDPEYVYLAMEYVPGGDFRTLLNNSGVLKEEHARF YISEMFAAVNELHKLGYIHRDLKPENFLVDGSGHVKLTDFGLATGALNPKRIESLKVK LDKVKDNQVIHRSTIERRSIYRSIRNEDPRYADSIVGSPDYMAPEVLRGKPYTYSVDY WSLGCIFFEFLAGFPPFSGSTPEETWTNLKNWTKVLRRPEYDKPEDLIFNLTDVAWDA VTRLIAHSSIRYATMDQVTAHPFFQNVKWEDLRSVRAPFVPALDSEIDTGYYDDFTSP EDMAKYAEVKEKQQNVDKVKEKEEPFGRGVWVGFTFGKNGPGAKAVAQGGYEDGALAT IF JR316_0001265 MSGTYQLTPESSAFRANLANLVSPLRRVRTRTPFFRLAAHRIPT LWELYRGLLRNSPTEQVKFRVRLAFRQNRYLTSPEETRKQLEKGYKYLDFFKKAMEGD TYRQGVMMRYSDMILLKREKSQMKRLLLEEMDWQLERRNQPKMTGGFIRPSILNVPLP RLMPQPQATSMIFVKRRTARELRHFRRDSFEEQLQDLRIEAEFEDGLQRMVSVPFPIC FSGEAQSEWQQPIREAISEIQASQTRDYERANMPFPTELVEQVLEARREKHRNLTRAR ERERRGEMTKNALKRKRGTPPSWVIATMTPKRRHMDQVSRSLSEVGYVALVKRRLGFK LKNPDAGLELGEEENRPLLDLTTEAIRTENRRRADEERRMIQRLSRK JR316_0001266 MSGLDNHEKSGYNSGSSDDGSQRGVAVFERPTGIKGFYHHPVTQ VVMLGFVCFMGPGLFNALNGLGAGGQVDATTSANANATLYATFAAGAFFSGTVNNILG SRITLLLGTTGYSLYIGAFLAMNIHPHAGAFVITAGAILGICAGLLWTAQGSLMMAYA TESQKGKFIGIFWAIFNVGAVVGAAVSLGQNFHSTIGFLILTLIGVCIPLFMVNPENM IRTDGTKVTTPRHPSWKTEIYGLWMTLVKDPMIILLFPMFFASNWFYAWQFNDFNNAF FNIRARSLNNLVYWLAQIVGSLAIGTLLDQKILSRRTRAFSGWVILFLMTFIVHIWAY FYQKQYTRESLPPDSTNKMDIFDHGYVGRIFLYIFCGLLDAMWQTTAYWIMGAMSNDP AKLAYFAGFYKSIQSAGGAGSWRADAVKTPFMNMFISTWVLLVAGLVFALPMIHLRVK DHTELADETLLRMDDSGRILDPSQVPRERRVAVAAEN JR316_0001267 MSAAQKVQQHPLFVQAQNKAAYYNAQLDKELTKYPVLINLEQRT QVPKTYAVLGGLVFIVFLHSFNALAAPISNLVGWGLPAYLSFKAIESPSPHDDVQWLT YWVVFGFFNFLESFALRLVLYYVPWYFAFKSLFIVWLQLPAFRGAQTAYFTIIKPVLA NVSSQSRVAAPATTNPESSHSE JR316_0001268 MDNYQHVRALHHSLPAFSPIVPTALLPFVAALFLLPTFALAFYF STLPKDKLALREPLVALAASTLGGFGIVALFCSVGVYV JR316_0001269 MASRAHKIGSLLFCPNCGTLLSLPRDGEKIVTCEQCSYEEPASS YENVIITTKSDPEAFPSALRQKRKTQTKHHDTGDKGTLVSEKCPVCGHLEAYSKEMQL RSADEGSTIFYTCNGCQHKWRVNN JR316_0001270 MSSSSTSTSSLTNILTTIRRANERVSRLAASMRASLTPTHHARR RARKLQLRVCIDKALKLDPKQISVIPLPVLATPVPLPVPEQVQPVAPAPVYAEPVVFR ENEISRAAAGRELERLRNIRVSQQLQRPTLRCEIPADAAVASASVSTSELTDMELETP VEHDENQEDEVHAPVVLRGQNVPWRPVTSRWSVSTVASEPGNPDIAIEDADIVDAAGV YSTHPTENEEEQEQDRHTRASAYEEGTMDFPITVSPDSPEGPYGALEYTPSYPRSRLH RELDIGGDTRRVLYGGPRRLTVPLRLNTDLDTEMDVDTMDVDTNVDSSRLSWGSASSS SSSSSSGSQSATSESGSTTSESSTDSSSPVPSNSDRPKLTIRIKRSAPIDLSLSLSSS SSSAESDDTRSLSEKRPKYGRKSWASPYPVPAPIRATIHPPANAPVPAPGLAVARRQS ARISAQHTHATHTNRLTTTTMNRSSNRF JR316_0001271 MTTPPSPTTTIIPGPPASQAATDKKDVMVDDNLQRVESSDSEVQ FTKDFGFLPIPKHLRYNPAKPPHFGLPLNIAFGFASTFIVANLYYCQPLLIQLSLAFN VTYGEVSIIPTVVQAGYATGLVLISPLGDLVRRRQLILCIVAISTSLTIGLAITNNLV VFEVLSFLVGAVTVTPQILVPLAADLAPEKRRASAIAMVLSGLLFGILMARVLAGVIA EFRSWRVVYYFAIGVQTLVLVGSYLVLPDYPSKNNDLSYFKILWTMGKFAVTEPILIQ ACLINLGSSACFSNFWVTLTFLLGGPPYNYSTLVIGLFGLIGMAGVAMSPVVGHVIDR LVPWYASLFGIMMLILFQSIQTGAGGINVAAVIIATFGLDIFRQMLQVSLTTAIFGIS ASARARLNAVSILSIFIGQVMGTSVGTHIFVKFGWRANAAFAMGLYGWQFFILLIRGP HCKRYTWFGYEGGLEARKSVVREKERLEQEGKDTQDRSQQPQNKDIQDEKSA JR316_0001272 MTGPPESGRTTLCKRLNDRIHFRVDDQQHFCFRAHEANLEAPGR LESDVVLLTINLNTDMKDVKDMVAQYGKLIQDTYWEQRKMFRIVGTKHDKLDPESKIF EYPGLFTTWFTMYTSLSCHFVGTHSEGAIEEMCASIEKVDHFRPIKPSIASQVHKTLS QIRSWGLDVMASMFSLPIPSQVNQDTPDSDDMPVVPDDDAAWELTKTPESIAFNKYMA SFTGGHNWAPTHKIAPNLIIKRLHHFERFNNIFVRAHTNIPVPQPRYLHLKESLVTDF IPGKMLLECWDSLSLFYQFRIACTLRRYVSQLRRISSDRPGTIGQGLVRGVLFYPYSW NGPFRDAERFRNWMAHVAYMECMYEHLDLRRIKGPEVAGCPPPLLSLPPEHEWNLVLT HGDLSLSNAILSDDGVLWIVDWADSGFYPPWTESRGMKRYTWAPESWKRWFSFIVDTS VDAANLWNHIGDSASIYSSALPREDYWPNTEEIRNITVW JR316_0001273 MLIDIRVAITGPPESGRTTLCERLNDRIYTRSNDGRHFRFRAQE TNLEAPGHLNSDVVILTVNLHTVLKEVKNLVAQYGKLVQDSYWEQRKMFRIVGTKHDK LDPELKIFEYPGLYTTWFTMYTSLSCHFVGTHNESAIEEMCASIVPANVNQDTPDPDD LSESVIPDDNAAWELTKTSDSIAFNKHLASFTGAHNWAPVLKIAPNLVIKNLHHFERF NNIFIRAHTNIPVPQPRCLHLKKSLVTDLIPGKMLLEIWDSLSLFYQFRIACTLRRYV SQLRRITSDRPGSIEQGLVRGVLFYPHAWNGPFRDAERFRNWIAHVAYMECMYEHKEL RRLKGPEVAGSPPSLLSLPPEHEWNLVLTHGDLSLSNTVLSDNGVLWIVDWADSGFYP PWMESRGMERYTWAPESWKRWFSFIVDTSVDTANLWSHIGDTASYYSTDPPREDYWPD TEEIRNISGWS JR316_0001274 MESWALDVIARIFSLPVPPNVNRDTPDPESLPVIPDDDAAWELT KTPEAVAFNKHLAAYTEGHDLAPAQKIAPNLILKNLNPFESYNCSFVRAHTNIPVPQP RYTHLKQFFVADLIPGRMLLECWDSLSSFYQFRIACTLRGYVSQMRRVTSDRPGGIKL GHVRGVLFDPNLWNGPFRDVETFRNWIVNITYLDRVHRDQGFRMKNPNMPPPPTPPLP ELLPDSEWNLVLTHCDLSLSNIILSDDGVLWVIDWEWGGFYPPWIESRGMKRYTWAPA SWKRWFTFIAGASDNTDHIWSHMDDIASAYATSVPREDYWPDQL JR316_0001275 MAHSSAPSYNLANCLQVWEYPIKEIYVWCQSHTNVDKLRICLDL AHGSTNISKVHIQLQRAVQIQLGMSRHPDSLQFLPTSVTFIANHSKFTIRDGFVLCKA QAVPGTTVKDFVNAMQMHMPRYRLPSDDLQHIGWAESSLNIVDALVLSLQRISGV JR316_0001276 MSSSPSPSTSKLTQDNVEEEVSEVTDKENTPLSDQKHSSSDSEE QEEESKDGVDESAVSGTTERSTTITPAPTSHTDASQWQAVWSPQYNAYYFFNATTQQT TWTNPLVPEGTSHDAQQEPASATGEKKKEDEEEPESSSSAGQTQLSAVAAQNAAIEAA ALAQGIDPVLARLDPSLVASAMAPGQTVPGSLPTFTAQFNARTGRFAAVSSSSSSFLP SSSGPVRTPAHLSEYERAKRMSSFYFDVDKWEEDLAKRGGRLMGEEGEGAEEEGGKKR KRPSKKDIERYKEQKKQKKIAKTAWLRT JR316_0001277 MFTALIAPEIVVFLSVRQWLAAREISRQHKDQGWTMTHAHFLIM GGFVLMKGDEDMGTLTCELFQELVASDELTFPTITKEDIEE JR316_0001278 MYFFWWHKPMDIQRRLPVFLKPGSDYVRKIELKRAIVMEDPEIA LRDIEEALAEENTRSAEGDGVPPGTGESSSLRDMMY JR316_0001279 MSNALAPPLRSAMKQSSRPSSPVASPQLGRVPLPPAPSTAIPAP PAAAAAPSPEHAFHPLPASNAQPPPAHSSIHHPEHEKESHHTSSHPHHPTYTPKVSFD TFENPAASMFSFTLRAQTDLYRRTRNTRVFLCAASPDESGSEALDWAIDTLVQDGDEL IVFRGVDEDELAEKDHDLIRDSARELLASIQAHAHASAPDRQLSLILEYVAGKITDSI DRLIALYRPDSLVVGTRGRRFGVGLVQGLGAGLGLGVGAGGIGSVSKYCLSHSPVPVI VVRPGRKLRKAVEKRRADPKRGRHFDS JR316_0001280 MSTIIRLSVTGASGTGRTTLCNRLNNYSYVRSNDRHKFCFKSQE MDMDAPELLENSDAVLMALNLGTDITELAIQYAEFVHDKYWQNRKMFCIVGTKQDTMN PNSYHVAYPRMVTYWITLFTSLSSHLVGSQNGSGIDELCSAIVDKIHPIPISIGQRVL KILAPIRSWALDVIALVFSLPVPPNINKDTPDSKDLPEIPDDDVAYELTKTPESVAFN KRLASLAGLQIGLAPTHKIAPTLIAKRLDRYEKFNSIFVRAHTNIPVPQPRYLHLKDV FVTDFIPGTMLLECWDSFSIFYQFRIACTLRRYVLQMRQITSDRPGNIERGLVKGALF DIYLWNGPFRDVETFRNWIAKVAYVGCFEQHRLFCQLHPDDPPPPTPPPLYLPPDSDW KLNFTHCDLSLSNIILSEDGVLWIIDWADAGFYPSWIEARGMKRYTQAPDSWKRWLTF IAGSCSDTDFLWSHMEDTASIFATSAPREDYWPTPAHMRETN JR316_0001281 MSTKIRVSIIGASGTGRTTLCNRLNNHSYVRSGDGHEFCLKSQE MDMDAPDLLENSDVVLMTLNLDTDITELTSQYGQFVRGKYWENRKTFCIVGTKQDTMN PNSYPVAYPRMVTYWITLFTSLSSYLVGSQNGSGIDDLCSSIVDKIHPIPISIGQRVL KILAPIRSWGLDVVALVFSLPVPPNINKDTPDSKDLPEIPDDDVAYELTKTPESVAFN KRLASLAGLQIGLAPTHKIAPTLIAKRLDRYEKFNTIFVRAHTNIPVPQPRYLHLEVA FITDFIPGSMLLECWDSFSIFYQFRIACTLRRYVSQMRQITSDRPGNIERGLVKGALF NICLWNGPFRDVETFRNWIANVAYVGCFEQHRLFCWLHRDDPPPPTPPQLYLPPDSDW KLNLTHCDLSLSNIILSDDGVLWIIDWADAGFYPPWIEARGMKRYTQAPDSWKRWLTF IAGSCSDTDFLWSHMEETSSIYGSSFPREDYWPTLAHMKETKIY JR316_0001282 MKLSSPLLKTAGLRTLHMLTILISRKFTAHIIMRFNPFSSRNVK DSIGLYISSSVGLQEVDEQHFVNDWNKDGQRFHFIVQEANTEPSTLLDGSDAVLITFA LDTDFKQTVLHYAKFIYDNYWEQRKAFYFIGTKLDALDAGLDIVQYPERETTKITMYT YLSTFFIDNRSKSDLGRLCSSLANFKYPIRPSIGSSVNKLFSHLRSRLCDLIAMAFAL PVPRDINKDTPDPDDFPAIPDDDTAWKMIDTPESIDFNNHIASFANSLFRSAFTYKVG LTLVLKRLHRLERFNTIFIRAYTNIPVPQPRYRHLKEAFITDFIPGRMLLECWDSLTK FQQFRIACTLRRYVSQLRSITSERPGSIEHGHVNGALFSDPSIWNGPFRDVEIFRNWV KHIAYYDRVNLVEGFRSDYPNEPPPPAPPMPPIPDDTDWKLSLAHCDISLSNVILSED GVLWLIDWAYTGFYPPWLESHAMKRYTEAPDSWKQWISFITDFPSNVDELWAHMDYCV SLYSSFDAPREDYWPLWPEHEMSNW JR316_0001283 MRYLAMPHLLHTVYLKCTDDQIISFCSFIIVNASKFNAESHPES FGPGKYIRNLELLNRTRGYCEYVTDSEYSERNPSAAWTQLLTQALGFMPNLLTFLSLA YDIDDFIKPSPQFAQALLSLPRLEILTLKGIGPETSKAIAGGIDMMGDSINLKLKQLI LFGKQEWVSDILVVAGDGVKRLLYLSRVHLISLELAFFSFMNVDGGWANTAEVTFPNV VFAAIHGDGMPIKPMASAFPAVRFLDIQTDVSCLRHNIRPSSANILFPSLTSISGSYS EIYHILKHNLARSRVLRVVIGDDWSKDRVSAEAQPLRISMIAPHLKCIVFRQRFIRPL SWWKEFGSSLPNMIYMSVNLQIRSEAKWNLLCKDIPGALGAMQLRCLTVVAYKYDRKY CGTNSIYTEEDAFALSYGKSIPTLEYFEFKIPGFIRGTSWIKFIRKGDETLLQKLSLT WNEEVALRNSYDYQGTYDTEKS JR316_0001284 MADITVDTATRSNITEIATEHVDFEWRVDFETQVISGSATHTMI VKKTGVTEAIFDTGDLSISAITINGQAAQYTLDPKDPVTGSALHVVLPGGLQEEAKVT IKVYYRTTQDCTALQWLDKDQTQGKKFPYLFSQCQPIYARSLAPLQDTPGNKIKYSAK VTAVLPVLLSAIRISPPSDGPPHDGKEIGTDLVTYTYDQPIPIPSYLIAIAVGNVLYE EFKTPEGKQWTSGIWAEPETIEAAYYEFSEDTTKFLAAEEELVTSYRFGVYDLLVLPP SFPYGGMENACLSFLTPTLLTGDRTLVDVVVHELTHSWFGNGVTHANPSHFWLNEGWT TYIERVLQEKLHSPAARGFAYVIGSKALYDSLKDYEDRPKFQRLVIAFEEGEDPDDAY SSIPYEKGANFILHLEETLGGLDVFLPYVKSYVEKFMGQSITTAEWKEHLYEYWRDAD ESKIAALDDVDWDGWLYGEGTELPVKMVYDLTLAEAAWSLAERWDQARDKSVKDLDFT EDDLKGFNSNQIVAFLEKLQSYDALPSPLIEHLGSLYQVSSTPNAEIRLRFYGVALLD PTSDAAKTFAVEAANWVVGKDGTGVIKGRMKFCRPVLRDVGKVDIEFARKEWIAARDQ FHPIARKLIDKDLGITKA JR316_0001285 MSVRQDKATTDRFARTLRELVKRPENKVCADCKRNDPRWASWNL GVFLCIRCSGIHRGMGTHISKVKSVDLDVWTPEQMESIQKWGNHLANLYWEAHLKAGH VPPEHKMESFIRSKYESRRWAMDGPPPADPSVLDTTGGAAGSSAPPAPPPSVPAPAPA PATTPTTQQTRHAPTASFSSRAAPVITRQPQTHQLLSSNYTSNRPTGAVPAQPVQQAH ATPAPAQAQAAQPKSLENELFSLDFHAPSPVQKTAVAEQPKKDVKQDILSLFSAPPPA AAAAVGGAGFGGAFGNQAALWGGVQVPHAQMLPQQQQQQQQQQQQQQQQQQQQQQQQQ QQQLLFNQTQQWGGGGASGAGAGAGGWNGVGATAPILPAQPNVWGTPASSLSPQQQQQ QFQQQFQMQHQQYAQQQALQQQNSLFNTNAVWGAPAAAATPAAGSGIPDLFGSSSAFG STAQPAQQQQKTDDVFGDIWGGFK JR316_0001286 MAALAEPAMDNTRQRTDQQQQQQQQHQHLQQQQQQLHQQMLQQQ YPYTVQQQPQGTWTPNITATPFYPSFYQNQQAFDQQLAAQWAYQQMMFSAQHGFPQPA FNPFPSGTPPPRQDQQLPPHPYRRPNRSQQQQQQPLPPYARPDASSSSSSLNSSSSHH HHHPRQRTNSTQSSASAHRPASLRSASGASSRSTSSAPAQPSRQPLQLPRTGSSSSST ASSSSAPSTSTTQPTSPTTVASSSSTATSPTASGSLPRLTRPSPLSQGSSYSAAEKRR SRDDSDIAALIHEQTPTAAIVRSGGLKGRLRRALSFNAAQALKEEEDAAHPTVYEEDE EEDDVSIKASQVNGKLKAKSTIAPQSTTTTAGTATTTAKPLAPRGKTPEDEAGPSTAT PVPVKKKGRAASLFNSRLNASTDNISLSSTVSSASVMIRKLGAMGKLARRNSLAGITS LFKDKDKDKDRDGEGKDKKGKKAAKDAKKTAKGQASEASVSHVTAELDRMGAGGDWSV GAEMNGLSPAAKLARQHTLKSNAEAAAKAKEAAAAKEAAEKEKEKEAAATAAAAGRGQ VFANGAGVGPRGRGCRRGIGIRRRGTGGMGVHRINEDGTRTLVESDDESDDGHYPQQQ QQQQPYHHQQQQQQQQQNYNADGWDDDEEWDVDGDGDGDEHDGDDGDEDVTIRMSAEQ QQHSQRTSADSNTSDMEPWAVDIRRSIEKARQPAKGILKRAESYDQQAYLVQDPHAHG FGHGHGHGHGHGFGQMRARSNSYNAPGTGTAQESGPGPLARMPSPDPDHIDGLHRHGS HSSVHKHREREREREKEQAPWLPPLSFDGESSSPLSSTFLGSTGTSKELPATPTFDSP SSSSSSSPSIIAPQPIHASIPNANPTPTITANRASAIYQHPSLNSSAPALTTHPSPSP SPSSSPTSSHPHPHPSHPHPPTLTLAHRAATAPAPTKRLTFATNLSVYDTFSASVYDR RSEPATWSRLTPALAQRIKEELNSYKMEEMEVHAASRIHTQFFV JR316_0001287 MPPEAEAEVPVSFQRTLNNVLNANYNPKTTQYQRGKRPEDHPIQ SPLRFYDRHISPSESLRSIVPLPSIPHLLSEICDTAIEEFKAKGYNFPPNVHALDIPA IEFTDSASVRLHYLTYIGSLCIAYLSKLCVHPDTESWQTVFTFIQFLETDTFYASKAY LTVTLDAEARAVRLHDEIKGKLSQPTLENLTALLFKMPKMAAWHTFPMLETFTTIFHN VTKHVSSLSWPISRTTGYRFTARTIPPPDSKILGNRLPVPRAYHKARLEPGESGSNRK RVEKYSKTITVPYPTQRKQYRPDLRTYLQHVWADAAMHDITFIVLHCGRYERIGIRHR ASQTLYLSDVIDTVNIKDPHYRKIHIGLYIATLQDALERMKLKNTGDDKVDTKGKKRF SVNVDDSESSRPKKRRRASSSTPSSNIGKYLIDRKLALVSLDYGPFSSSVPSSFVRIG ESCKRTHSREATDWVKDPTKQRKYAAHEYFTLTLLAPLGDGSVGVSHPAQAEVILESG EVITETLVLKLAFNEKTQKRMRHEFDIYCRMSRTGIEGIVDVHGLFFDAESNTLGLLM AHGGETLRNRDFARTGIYGEKINGTAAEKKAFEDIIRNIHEADISHHDIRPENMTIRS DGKAFLIDFDSALYHSPFRSLDEQLENLDDIFHKPLL JR316_0001288 MLHETEVSFQRTLDKVLNANYNVEMTQIQEERPDHPIRLPFEFY DRHICPNESLCNIVPLPSIPHILANVCDTAIEEFKAKGHKFPPNIHVLDIPAIEFTDS ASVGVHYLWHIGSLCIAYLSKLYFHPHTESWRSVFTFNRHFDTDTFFASKLYLTVTLD AEARAVRLHDEIKGKLSQSTLDTLNALLFNMPKMAAWHMFPMLDTFTTIFHNVPKRVS SLSWPISRTAGYRFIARTFPPPDSKILTNMLPVPSAYRKARVESGEPTSSRKRVEKYS KTITVPYTTQRKQYRPDLRTYLQHAWADAAMHDITFIVLHCGRYERIGIRHRASQTLY LSEVIDTVNIKDPHYRKIHIGLYIATLQDALERMKLKNTGDDKVDTKGKKRFSVNVDD SESSRPKKRRRASSSTPSTDIGKYLIDRKLALVSLDYGPFSSSVPSSFVRIGESCRRK HSREATDWVKDPTKQRKFSAHEYFTLTLLEPLGDGSVGVSHPAQAEVKLESGEVITET LVLKLAFNEKTQKRMRHEFDIYCRMSRAGIEGIVDVHGLFFDAESNTLGLLMADGGET LRNRDIARTGIYGEKISGTAAEKKAFEDIIRSINEADISHHDIRPENMTIRSDGKAFL IDFDSALYYSPFRSLEEQLENLDDIFHKPLL JR316_0001289 MHTKQSQGGSMLDDKGRTLKRSYSDANSSFSETSSTGTKPQQTS VVGSESRLTDISPMASLESFLQKPSPFYKTAPNHTPSVKTKQPQPFDLHLHPRLRLKK LEVLERLPDVLSQYCDKIIQESSDYLSSAPPLPGPKTLPDTLVDPIANEDKLVTQYII DHEAHLRVASGLLFKKGWKKVLNMVKPDQDRHEAIADVLTTINKQVKTSLTREQISDI ELIRKYLLDVFVTFEFKSLVCGPPIFQSLRRLEGDFPWMACEERTNSKDSSTSCGRPG HRVGGSLITTGRLTTPDSVIIKNLINEAQKRNTGSAKRLKEDLEPLALTNKNSVLYLL QQASRSFFVKLT JR316_0001290 MARNAAQAKTLEKEYSNYRALESKGLKSAIVQTHGLFGAEGLPG HKFLLLENGGKTATQMTKANLKRHRKAYMSVLKRFHNAEVLIGNVEDGHILMDDCNRV TVISLSNISTDAPTKEEKREEKISFKRAISYSKEPESDSQSSDVVDLVES JR316_0001291 MPSEKEDEFQPILDKVLKANYNAKMRQYWEERPDDPVRSPFRFY DRHISSSDSLRNIVPLPSIPHLLSKTCDSAIEDFKAKGHKFPPNEHDLNIPSVKFTDS ASVGKHYLWYIGSLCIAYLSKLQIHPHTESWRSVFTFNWHLDTDTFYASKIYLTVTLD AEARAVNLNDEIKGKLSQPTLDNLTALLFKMPKMTAWHMFPMLDTFTTIFHNVTKYVG SLSWPISRTTGYRFTARTTPPPDSKILTNMLPVPGTYRRAKSQLAGEPASYRQRVEKY SKTISVPYSTQRTQYRPDFRHYLQHAWADAVMHDITFIVLHCGRYERIGIRHRASQTL YLSGVIDTVNIKDPHYRKIHIGLYIATLQDALERMKLRNSADDKVDIKGKKRSSVNVD DAESSRPKKRRRTSSSPASTDIGKYLIDRKLALVSLDYGPFSSSVPSSFVRIGESCKR KHSREATDWVKDPTKQRKYAAHEYFTLTLLAPLGDGSVGVSHPAQAEVILESGEVITE TLVLKLAFNEKTQKRMRHEFAIYCRMSRAGVEGIVDVHGLFFDAESNTLGLLMAHGGE TLRNRDIARTGIYGDKISGTVAEKKAFEDIIRNINEADISHHDIRPENMTIRSDGKAF LIDFDSALYHSPFWSVEDQLENLDNIFHKPLFNPFDRWHVAGK JR316_0001292 MPLGKKDTFWNVIDNILDVNYNPGITQRTGDHSVGSITSPLKFY DRHIGPNHTLQNVAHLPSLPQLLAKTCDTALTRLRQKDRKLQADPYHFQPIPRAKFGD AESVRWYYSENISDIGHSMVSKLCFHQHVKDWPSVFELVKEPWDTFYMSEGFLKVAED WMTGTIYLDDALKGKLSQSDQATLHSLLKKFPKLAIWHVYPMLDIFMTTLSKTTGTFS LRWEIPRTTGYRLTTQTFPSPDGGSVSHKLGIRNFARKGSTGGHPLERRRTVKGGKSV TRPLAADRARYRPEFRHYIQHAWAQSVMHDTTFIILHCGRYERIGIRHRASQTLYLSG VIDTVNIKDPRYRKMHIGLHFAILEDALERVEAGKVQDKKTELKSKKRASEVENAESL PQPKRQRIMDPSSVPNDSYGNIGEHLFNRKLALVSLDYEAMSSSVPSSFIRIGESCKR NDSSEETDWTRDLSNKRQFTTDEYFTLKLLAPLGDGAVGVAHPAVAEVTLLSGEVVRQ NLVFKMSFTEQGQKKLRDEFNIYCRMSRSNVKGVPDVHGLFHDAESDTLGLLMSDAGK SLRQRDLERTGVYGEKVQGTLEEKEAFAEVIRGLDKAWIAHQDIRAENLTIDLHGNAF IIDFDCAEYNSIYVTLAHQLRQLDNVFKEM JR316_0001293 MSLPAIVDDAQTGAIIYSGNQWSYQNHNSNYNRTITTCQGRTSS PPSLAFTFDGTGVALYGGPISNSAAFTYSVDNGTALQAIINSNAIGKAGANFWSVQGL TSGQHTLQVTPTAGQFSLDYLTYIPGSSTSTLSSNLILDDADKSVQFSGNWTKSAPSM QNGVPYQGTVTGSSTVGDTMKVQFVGSSVTVYGLLQQVSGKLSATFSVDGGQTSTYAP FGQDGNDDDDDDNPWLFSQQLYQQNLSPGTHTLLVTVTQTSGSQALWIDSMVFGSSPS NVVVTQPNNSGNGGNGDGGGNGGGTSSGDNGGGIGVNRNLSKSNSSGGMIAGIVVGLV ALCVALLALYRRSRKRPFLPFYKEHGQDSLPMQEPPDEIRDWENNFTPIPPSQALASI GSQTHQYQFTPPPPEKEPMPVAGPSSLPAYPPAARQSKGWYELDKSPKEKEVSAEQQD GSDGNATLYSDDPRRSIKLSSPMSITSDVEGPESRQSHIHGSERISRSVERGSTLVNL TFQSTSGTRSCIPQETHPMLSHRNDEPPPYNPYNDFQ JR316_0001294 MQGSFNSPDTHKYSVILPTYNERKNLPVIVWLLAKTFTENQLAW EIIVVDDNSPDGTQEIAKQLAKLYGEDKIVLKPRAGKLGLGTAYIHGLNFVTGDFVII MDADFSHHPKFIPQFIRLQKAHNLDIVTGTRYRATSKPSSPDAQPGGVHGWDFTRKLV SRGANFLADTVLNPGVSDLTGSFRLYRLPVLRHIITETVSKGYVFQMEMMVRARALGY TVGEVPITFVDRIYGDSKLGSNEIVSYAKGIWVLFTTV JR316_0001295 MPVNKLDGQARDKAPAEAEAGSVGDAVPNVHKPWNFDDAFKYAP PRPEGDSWDILLDPLMKQDKIRCDAWKDEVQNLLIFAGLFSAVVTTFIIESYKSLQPD PNDFVIDLLSHISTRLNASLEPSATITSMAQTFSPTSSSVRVNSFWFTSLVLSLTTVL VGIIALQWLREHQFYSTDLSPREKYSLYCMREDALKTWQVDKIFNSLPLLLQCALILF LGGVVDFLQAIGNIGVFVPVAIIVGLTLLFLVMTTVLPSLQVSLLYYDIFLNWDITRN DPAWLAIRDGYMRFVYKRSSSAEYISRTDVVPIFDIVRGLLDQAYHTSLFPSTYHCLA EISDLVTQPPHSSGFTSADDAFRQSLYLHDLVASKDDTPLLEYFGNDSGAKPDSLSES SIVLSTASNLTLLLHQQIVESFIPYHFSRQIFALPSKHRIELRLRLLRSFFNAKHLNF SSDLRIMIPECLILRYYHASAIIDNEEDDMEAFTDFAWQIAHLTQVILEQISHRPDSI AHHPSVQHDIILPFMETSGCYANCLLRQKNPSSSIISSYSSVFRFIEEILLKDMAEWT ETNIQPSLFFYTSSLLAYNILLDWGSKDLNQPISDPSFRSMCAAICAYREGTIDAEIF DPDIEEQFTRDPDVYYFGRIYDTDSPRTFSSQWWARLAIYKATWESTSVHQSYPSSVD SVAEIQ JR316_0001296 MSYLYGTTGLSEVTNALLLKTLLKPEVEMSENMETEGSQKVENG SEVLLPTLSKWSTPAILPSAWCSTDFLVGAGMVIIQQNTHKIVMIHAPDWERKWFFPR GRKDVGETIEQAALREAFEESGYRAQLLPLLNPTTQPFPPASMGNIESALNVEPIFLT TTLYNGVYLTSWFVGQIPEDAAVDTSRERMPSEENFQTHLLSYEEAMQKVNGSEIDVL QYAWDIYQKTLEFVAERRAQDISNIYNLGSGTKDMPSYT JR316_0001297 MSLLQGVLKSNTEDLENGLKNIRQNNKRRTSYEEKEREKDDSDD SELVNVVSLPGTPARTRPSSPVRGANTRLSTKSPLTSTANRLDPLKAFPTELSQKIFT KLSVKDLAKCSLVSKKWARSQTLNYVWFQHYRKENFHDDSLPPGKWTKRESKQNWRQV YLKSAKDRSPTSTSFSRTGSGYNSPSRNMSGYQTPRELKEEQWKAELEAASKPGKLEM REMYKELGGRKSRTKTKLGSSGIRDKGGWDDGGENW JR316_0001298 MPSNYDTNVSAGQRISLSHEPSSVNAIDRVQFVQFCIQYASITL VFYDYLLTWTREVKYIWRKKFTLSTALYIACRYSMISNVLFVFARAGKLAPAISCDAG YQICCSFSVLGRAAVLIVLGARTYAIFDRKKWILVIFVPLGTSIVVIDAMHIKYVVCV GSPKNGSPEEFMAISVVVYEVLAAIFTVFRGWQALRIRVDITSGKDRLEYLVVQQGKC TYCFVSLFTMSTLIMLHVAPSGSFLQRLFNALTLPVSGMMTARFILHLREWEHSRTMA SMGTAIDSIEFQIPRLSTVAHASVCSERTSESSSLDEEREDDIAEVPRSSRTSLYSDG GSRGVIDEFGRCLVRQAKIERKNIPSDIEEV JR316_0001299 MAADLSSLLTSSKNLTSHLSRPDLPSVNLSLDQIEALSRRLVSR QPGTSTDADRANYLLAQAHVDASALSSSIAHLNTSTTFSPLQPLQDTDVAGYLRIAHE QNLISTIEEGRKETQEEFYRALEDRSHRDWEAKKKRVFEELGDRVSSADRGGMSDLRA STLGKSVLGASTTTPSLSLQMQSKMLAYDRVIADLNAARLQGTSYPVVHSLVEASLAV ASDPRSIQMTQNFHILAKITGEPPALPPIEHAGAHILNAPLFSRKYARTYLGDPESRE AIALRRQIAAGSRQALEEQYWDILERTVQARPTEARLGGDPSIANRVRAFLLVRYYRN GEWEDRIELVAGQPIWAKLFYLIRTGHTNEALAEALQFQNAIESREASFVNHFRTWIE SPERKLPKAHRDHLQSVYNAHMLHSNTADPFKLALYKLMGKLEPTRRSVPLVTTTTED WLWFQLAMVDEEEDGGLRAFAEVLLGYGERHFDGPPNQPNSRKGVWAGVLLMCGQFER AVAALWEYQETEVEAVHLAIALAYHGLLRVPSRSETSDMTPLSLSPTGPPALSLSTLI WRYVRQFVKMDAKEALQYVYCITLSSDQGGGVGKEQVELSWEFVRRIIVLANSGPAWE ELVGGVRPEGGRYGGAIEHSAKLLELSETQSYNNEILLRAARDSADNDRVTEAIKLYN LAGDYATVVECLAHALGNTLSQPSIDERGKALERTAAEILRHYERANRAAGREREAVV RLLRIREATEAKNKGRPEVGLDIMESTDLIPLTGDIAKITRRAEEFSHLHEALQKNLQ IYLKLTMDCLSGVHQRVKSSMAADATKQITLANIRKKSRSLMVFAGILKYRMSPDVYS YLARIDVEIAL JR316_0001300 MSTRPIISYDDITLPYDQPETPLVNHPSSSSHAGTQPPSKKRKK NNHNNQKAKRRQDANASRNNGPGSQTPASQKFMNTTTNSVKTQPPPAAIHEEGGMEGE EGEYECGYEEEESRELTHEEIWDDSALVDAWDAAMEEYEAYHGTEQDWKKEPVKKSPL WYNIPFDPSKKPAAKALLNAAVGTTTATPPTAPSDGAETDSKPIDFDTFVPTHDPSLD IPSVPTPTAGELPTMPSEASYIPDSVAGSIVSQDEAFQRALTAMYWGGYWTAMYHSQR QLAQSQKSSTTSQKVAIPMHKEDNDEDEDEDGDQEDVDDGSAEIEVDEFIPTQR JR316_0001301 MPRDQGSCQLLPNEILELFVDQVGNLRSPVERRITLQACSLVSI AFRNRAHHHLFSNVEFTQPSYSVPSKLFYRLRKFREVVTSGLGFQMTSLLHHVQSFTL VMDGSVFDAYTTMNNEDLTTIIRMLCKHSTAIHTTTLLGSSMRIFWPYLTRDFREAFK ELCRVPSMRTLHLENLCSVPATFLLGTKIKNIRLHLVTIFSPIPGLPAFNCLWDSVGQ LQAVDIDHTFPFFIEEIIGSDTMRTRTVSLEKSAEKVNLSSIKLLRYTFHLPDDLQRF TQIALAIHSLEAVSVVFDDTDSSGFRRAIGDQIPLHELPALRTVAIGHKSSINTGIRG PLLKMLDVLKSLSVPRTLECIELSFEIHSHPPWFKLTEFFPETKTWALFDKLLTHETF DSVKRVRLNLRYTTLQAQPWTFDEQFFVQRCHGYLSEVFPLLMGSASKELMADVSVLP LGEELDMLVFTT JR316_0001302 METLQFPHFQSRSSRVHFALFRNVSNSSAIKSRIIEASTIEGDP GEIAREAVNFAFISARLITSRLHLETAIHQAILADSQNGLRTKTVHSEILYIMNPTHN ITEAIRRFGVSNEDSDLILVRVDSPDLNSSDVEKKMREVVSGDLVAFSELEKVTDWAS VKKYFKLNSDVAVKGAAGDPTREKTIVDNIVISFVAMKNVMQ JR316_0001303 MEIDNTIEPIVNSPSIQLPGLPAPNLDSYDNHRDAHTPIVIDNG STNLRWGFGTSSKPFAGPNAVAKYKERKTNKPLLLFGNGIDAESGARGQTRTPWEGDV LLNFDALENALDYAFIRLGIDTPTVDHPILMTERLCSPLHSRALTSELMFELYSVPSV AYCVDGIMSFYQNHLPPPSQPFSANGLVVSFNTASTSVIPILRGKGLLSQAKRIPWGA SQSSEYLLKLIQLKYPTFPTRVTNTQTNWMLHNFCEVATDFPALLQKLRDPLNMTQSG VIVQFPFFLPIFDEKTEEEMHLAAKKRREQGWRLQEIAAKARAEKLLRKENDLQFLTN LKESKATETKKEWMSKLQAEGLDDEADLDEAIKKLDNDVKKARRRDEGEPDWKPQEEP SFPLLDVPDSELNEESLKEKKKQRLLKAGFEARARARKEKERQREEQEREEKKEEDER KADLGGWSRRMRQEQEARNQCSPYELMAKIKDRARRKAALTDRKSAAAQARMKNIASL ASDDRVPNAKKRKGNGEDMFGADDADWAIYRKINTAAPSSDEDDDLAQLAFVEKKLLK HDPTFTKKQTHAFLSTQRSALISAFRPSYEETSIEGNSRIHLNTERWRVCEAYFHPGM AGVDSAGLTEVIQNLIPSFIPSRSSNKPLDYEADRAQVVQNVFLTGSACKFPGMVERL ESALRSLLPPGSPVNVVRAGDPELDAWKGMAAFSQTAEFAKVGMTKKEYEEYGGERIR KWWGGNWNSAISDPVAAEDDKMQVD JR316_0001304 MPPPHYDFLIKLLLIGDSGVGKSCLLLRFCDDAWTPSFITTIGI DFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDERSFNNIRT WHSNIEQHASEGVNKILIGNKSDWTDKRAVTEEQGRELANELGIKFMETSAKVNEGVE EAFFTLARDIKTRLIDSQADSAAPPTDSLKVNQPAAQSTQGCCS JR316_0001305 MSTIRKFTYRAGLFDPVNLVCKDGINEALYEFAGVITKENHVQV LEGLLDRSHVVELILSGLDEEIPLQDWILDGFPSTAHSFERSFAYRLLMKLSNFFLFH SSPGEICDRENHQIIKLTPELLQSLLAWKDIGSEETSGHSKPGAKKGHWGKLSQKEMK KSKKAAYRPVVNESPFLITNIPVPQSSSGATACMQHTLERLKEILKERPIDLHITTSS TIIVDDPPPPAPTTATETAVAFPMVRPLKSALYFDSPEGFGKWNILISTSAHQDLRRY RHKAKASFDIIIKKMKELSNGHFSYDNQKRLSGPGTEVPIYEAKLSGDLRLITETQVL RVYGIYTHAQMDNRLWHSVSSRLDKRGNEYRSRCLQRKKQDGDVFLPICFSSSVEVGE DTSLMIPDLPPDDKNQLHKILTLEKYVALSKEFLDSKAQFL JR316_0001306 MLYKMLRIEREYQLCSEYCAKPRQVFITQSRVLAAKVEDLFASY LESLAIASNRSTKYRKSASDSEAKDESLEDNEDMELGGNLPRRFSELEDKHFPLFLTI DRLYSMIEADIEASEKEVKPESFQIISPVSRPLQPATAAKGKLVTYDRFLGEYWPHLN QSLRKNITPNLVFSEFMGVIQGSEYTVTSGCLDRKTYEELSVKTQPTFAEHRSVIYGL FEAYRKLKNERWDYDAPDRTHAIIRAITQRQGILGEIFDYVYVDETQDNLLVDALVLR LLSRNTDGLFWAGDTAQTISAGSSFRFKDLTSFMFKFEKQRLLLQNTSQLSLMDKSEK PNTPKQFHLAVNYRSHSGIVDCAHSVIELIMKHWPYSIDSLPRERGNTTGVKPIFYQD VYPGYIREGHFLSKGSNRNEKIELGANQCILVRDEAAKQRLEEELGKIGLVIRPVPME ASPQQHLKRGSKLKSLYVAITRARNNLRIADESLKGEPMRALWSNRGQIRNCHPDDDL SDFAVPSSKEEWARRALELSRNHKYSHARDSYEKAGNPRQAAVENARYLEQLALRIPS NTRKREREAAFKVAAGAFISCAESALKSHTKNSHYKSAAQCFEDAGDNLSAAKTYELI PDFTKASLLYRKLGRFDVVHSILVHHAEEVDNMESLRDIVRLYYVNKKEFDKTHQLFE TVEDEIEYLEDRNLDMAQVDLYLMLGRRIDAVDIHLKEGRFLEAVDLIIEGVNLDSNT SQRAAHYILQGLWQNLSVGTSTTPESKQINELLKRFEVLDKGAIESADLLEFQMFQAI SDREFDKLASLAKSLAASGNPDAAFLCLDYHYNILPSLDTMDIYQISASLQLFSEYIC TLHNLAFCLDPSSDYRVMKLFGIIKVDNDFMVLSNNFLSRSSSLMSKSRGSESSSRYF SKSDLVSTYHTCTQRHLLDRVTRENDICRRSSALNPCLRHLVYLHFGGCNEVTCRLQS HISPDDAWRQSWLSAHLLQISIYNSISGIQYKSQMLRERRFWIGKLHEVLNPPHYLLA STYDTYCDIADAKAKWAIVNDWSRSISSEIGYQWPYTAFLTTVIQAADMAFTLNRTEA STFMFRSQIFISPDTPDVFLTNNAQNILKALIFSMDGKASSSLLMGISFIDHVVNLQI PVDINTFLSFIEDICSSLIICNQYCWRQNLSKVTLPRGWIVRALKKFDPVVAAQQDTR GKFWMLFRPLRAIIHGIHSGSANHFLCGDDMRKLTLQPPVVRNVFISRVYVNAENFGG IILATRRSLRGSTFDEMIRIMHSTDVQYPIYELEDLRRVVYTNIGELLEILDPRSGPR SLPADNSSTFLGIKDPIALDVGPLSDTREMEGGIPTDTQEDGTLDETASESADDLDSD LQHQEEIPQIEIPEDLQVGYSDREYSAISIIKQKFTRIVLSRKRLLKGSGLVSTIYKW ASLSKPMARQIPLLQYRLRYLAFVPAILGCLEEVNTLVMTKKAELKLRFKHGTLRHQE MDDISANLTRITVVVKAIKKHQEILRPQSELHARQDIGDLKKHVQSGLDLLSDLQLPF HLPDEIRNELHMIYKGLLQEPRPCLQTKKIPKKPSLNVDDIYYPIGQHICEWEDEWLD L JR316_0001307 MHVHSPCLILIPDTFLSAADAALAPSGKRSSSTSLLVEYIREEF PGVQIEPVGRRYWNDAGGLEFVLQLCVEDDERAGTVLAVSNKYYALSAACALFKYAEG RLNTRFAAGSLRIKYVPVEGTMMIDPDTARNLELVGNMTHKKSSHSLFGVLNYTYTPM ASRLLRVNILTPITELINAEDRFSYVRDALKTLNKMDFDKLIAALVSSEVRPSTSAKP ASQRVTQILNLRNVVKNLPLLQKAIEGCRSQLLRIVCGMLSDERLDKIDKLISSNLNE ECAPTKGGLAALNARVYAVRANCNRLLDVARETYKENIGDIYQLNRSLSEEYNLPLTL VYQETGFVFVLKKNDLEGELPKGFVNVTMKKARWMFSSMELKKMNSRMKDALDEALML SDNYKPPRIIQDLMAEIVADIGALYKASEAVALVDMLWSFAHASISKLRPEFTGTLAI KSGRHPILETVQSAGSLVPNDVYCDDSSSFQIIQGPKIHDFLLTRLSNEDDLEKNLST FASEMASSAMILGLATPKSLVLVDELGRGTSPREGVGISHSIAEGLIALKPFVFFATH FGELTKTLSRQPTVINLHLSVQRSRSSSTNFGMTFQYKIMDGASEDFSHYGIELARLA DLPPDVLVEAKRVAEKLSALQTRNEESSESHKITIRRKALLRVQYFFFIWTPIYVMLF JR316_0001308 MSSRPVSRPWTAQSRSYSTTEQSRPWTGVTGQSRPTTARPQTSA SVRYDGSYVLAVLEGRGVSREVGIAALDKDTGKVMLVQVRYPPHVEYMRLLQ JR316_0001309 MADTTEEQPELLVTRRSKRSTAGNRMEAALAEMALEEANMKELD DDNDFVNDKVEEDEFGSDFESTDEEAENQANEAGENEVLQEERMVKRSARTRLEKITA AAHAKNRTSFNPDIQLSADPKPKLKIKRVVVGKAVDAESGDIVAQSKKDDADGNKRTS QRKHTMQNTTATVTRLKQVQARRAAQPKKAKIEYKTYTQAELIALALDTEEGNIVDHR DYLKNEAEKRKRARVVRTTVEGPLLRWISRVEEVKVEVPPLPSPPPISAPVPALTSVT PITSTQIIASTSSTSTSTPAPFVSPFSSTLTPGPTSSLAYAPSTMSRSIYGPPGTLFT PTTYSYTAGMAVVSTNASTSAAPSQVNTPPLTTFFHYQPFVDPAASPFPTWPPVSPVQ TPLTTAIPPPAPSTVTTASVLSTTTPPVLTSTPADPVDGTSQQQPTLPATPNPVEQPV QPLPITETEPPQPPPPPEPEYRLEKVTKNYVVHELAQQKGTPKPTWTQSMQSMFGDHV KWDQIKVFVGKGRPLSRPRQLCPITGRQAHYMDPRSGVPYADAYAYKVLTGLLKHEYV WDTELACYLNHEPLPPDGASGNDDQMEVDESVV JR316_0001310 MASSSILPATVLDYSEQIHSKESNYISKLSEIGGIVTTSREAFV RRVDPTASDDIDALQNPEFKLPSWRSLFIIIGGNAVFQLSFFIIVSSASAYAEHLGGS ATFSGLTIGIPTVFSGFTLIFVTKYDGGQYAGPLNLAYGSMVLGNILYALAYKANFLY LILIGRIVSGFGFISFMYSKRYCSDPRIVGIRRRTTLAGLLVVGQAFGFSAGPFFGGL LYKVGFGNQVFNGVTSPGWIMAVIWLMFWGLSNLMFQDVPRQQRPQSIELSPVDGTTE HSQPPQSFKAALREITPPQWGVIVCMCYYSMTCFLILGSWEANIPVFTAEALNYSPYN AGNFIALGGLVSFPFLLLNVWYARRIQDRVILAIGTSLGMLGLLIMLAILQTSKVTFG SLFVCWFLIALGFNLASTCTLSLLSKQLPDTWNRKVSMAIQYSNYTGRVTGAILGGAG VKMGMTNYIAVQLSVVGLGGVMYLTLWKQLKAKTG JR316_0001311 MEEILSYFIMPAFTDVMDAMNLPKTPRPDAVPECSKFILEELER RFKAGHSIEKECTAIKKIEEKFEAGEYDEDPEGFDRIVRRRINKIEDMVERMTSMYLK DIIKELGCYPMGGSNRDLGGEIVSIVTFKAKNGSKLVGEYNTVKSYYGSSYGCCGDGN DSLEGEEEDDEGDDDDQDDEESDDGEN JR316_0001312 MPPKKTTKKTVAASKTKEAAKAIPTSAQTNNTTTAGVKRGRAES LGSQPASESKKKKADHPPIVHEISDSEVEEIPPPKESVKTRAIDKATKIYILVSV JR316_0001313 MAAPVMEEGKLLSEALNTVKIQVQQMKRHLELDQLMDALKSASL MLAELRTSSLSPKQYYELYMAVFDALRHLSNYLYEAHTQSRHHLADLYELVQYAGNII PRLYLMITVGSVYMSIPDAPVKEIMKDMMEMSRGVLHPIRGLFLRHYLSGQTRDHLPV GLEPGPTGNLQDSISFVLTNFIEMNKLWVRLQHQGHSRDREKREMERRELRILVGTNL VRLSQLDGVDLDMYQKTILPSILEQVVNCKDVIAQEYLMEVVIQVFTDEFHLHTLGPF LSATAQLHPKVNIKQIVIALIDRLASYAAREAENEDPEETKRQEEAAARRLAEKVKLQ KARARENSAYRAASPTSPTSETNAWGATPTPATASSTFEAPKSPVPETPTSGDDAGKG KDKEATPVRKFRGVPEDVQLFEVFWKQVVELIKARPDLSIQDITALFVSLTNLSLSCY PDRLEYVDQVLGFAADKIKEYADNPDLNTQQTTANLASLLVAPINSYQSVLTLLAIPN YVPLLTKQLFTTRRSIAHSIVSSVLKNETIIEAPEDVDGVLELCHVLIKDQTDSGPIS IPNGQIPTSRDLRRQGPYFMEREELAEEQGWVARMVHLFRSESLDVQFELLQIARKHF DMGGERMRFTFPALITSSIKLCRRYKNREHDEADWETKVSAILKFIRQLTSILATTVD APNIALRLFLLAAQIADECGFEDLSYDFYVQAFTVYEDSISESRAQLQAITLIIGTLA GAKVFNIDNFDTLITKAALHGAKLLKKSHQATAVGLASHLWWQEVPPPADGETEAAEK PVTTEKPAATKEDGETVAKAYPHQDSKRVLECLQKSLRIANSAIEEIVTVQLYCDALD QYLYYLDRGAPAVAPKFVNSLVELITSSIDNISSPDVHPSQRAPPGLIEGTQTPEMIT KHFRNTLLYIQRRKYAASEGTGTVDSRWDEVDVVGALLKMGISR JR316_0001314 MDANDPFSPYLEEALNYKADPLPRADGRPEPLKPKRIPLTFHDR HLSPNLTCRRVVEFPQIAQILSQVTDKSIAYYLAHKKTFPVDGFSVLPKESLLSRIGT LDDARNMNIRYKRTAGHFSSLLASHLFYAGPMPWTNLFELVWQYTENDFSSESTLNLF PERLVVNNRDSEPIAPNVQSVAPENRDTMPYQVRALHDAQNIFPHVALWHFLPPSPTG MMIVRRISSGIFLWETSGTKGLKNMPDNSVPEDAGRSLWDPKLNNLKTRPPFRNSGIV KKPRHITIPRKADKSVNSRVDVDQYIQHAWARAVETDSTFIVINCGVYERIGIRHRAS QTLFISPLIDPTKCNDPAYGKLHIGLYIAIAEDMIDRFIIQSKRPPQVSRTLPDVSPD QEGPTHNSVHSSHNDLLHDNSNINDSSSITREIREREVALITLKYGVYCSPVPATFLR VQLNPSTNAVSTKTKFLPHECLELVLDQPFENVSAKKSIHNAKLLVTLNSGEVLHRKL VVKLAFSHEQRQELQNEYMTYKHMSQFEEIEQYCVPVHGLFEDQDSDTLAMVMDYGGI SLRHLYQLYPALNLSHPWILELHMSTASKLRHIHAKGVRHRNLSPDKILIGEGNKTKI VGFGRAVVSVTDSQQSRTAEEEGHEKFLFDRELERLWAAFGGWHNYPEFWDPDYS JR316_0001316 MSTPEARAARKKAILSRGSDRLAKLTTSARGEDAPAYLHDDPPL AGIGMSVPATNMNTRNFLGEETADMPMPTPVSMRTRTSLSPSPSPQPVRKVTPSRNAH ATTNANANANANANANIFEGLGENGADPSVWGPEQQQQFLQALMNASNGGGQGPFGFG ATPDASVPSMENNPFAALMGPMAGAEGGGAGGGGSTMPPFGGQGMFPAAMEPPKEKTR MQKAMPLLHLVAVWCLLAYFVLWAEPRTYRDAVGEDVGLSAMGIWQRWSNLGQKNATL GDAMRAFKVQIVPFFWAFTTLQVVLHSLRIFSGFDTVQPPTLLALALPHLPAPLPSLI INSLKYIQMGSLFLDDLSGLVVGVGFIIYFSGWFVQSQS JR316_0001317 MPPRSETFPGGIVKLTNLLAHLNATPRLTLSGVKRLRLSLASQN DHFGARHFVKENLPQIRWANPALDIEVRRERKSKSEQWRAEMELEFSAHTSPSSSLIC AAANWNFDQKRTDDGNVHKFDINDKRSTTILKELMNTAGGDPWKSHVVHCEKTGRPVL PEEENEEVDTKSESKPSSSKLPTLDEYLEKHPEKKAEHVRKQAAAGKKKKPAKRAKSK APPPKPFATPLST JR316_0001318 MSYLVQIFVLLLSVSSALSTTIPGVVGPDVSLAKDSEQYKACKA ADPNSVAFVTAMGHLKWYNITNVFRGAKTGKSSATPYIVDLHRAMDEECTQGPTTPGP VDVPVSLDFLNAADFVELMGQSAYCSPNSLPRVDFENVSPGLVSFVTNL JR316_0001319 MPHLPTTEDDAEEATTRSQVDPTVSRSRPSFFNRLKGAFRSRSP SREAAPAAGVLSRSRREVFQRDDQSDVGDINLGRSRASSVQSLPQISVESESPLHGNE RVQSRLETRGRGKAVQNATPGISSSGTVQSSAQNAQPSLKTSSLDPAVVEAARTAALQ TAIEMKGGAAPVSGITPGISGMGSSIDVGHFMSSFLSTLSKFNSAVDKIAQIHPYAQA AWTILSFASKAIVNQDIRDGSILTLLKKMDAVYTFLTAADLRDIESMKGIIEKICHQT LECSYFIREFSQNTKFRMRLLKNLLSDTDDRVQEFSNVFDDLLQQFRDKAARSTLIVV HRIWEDIAELAHDSDLQHMPYVQGAGLNLQKICLEGTREQILKDIIDWVNLNGQDDTS RIFWLHGNAGTGKSSIAHTIANYFEKLGRLGSCFCFDRNKFAEERHNKIFTVIAQDLA HTDKNIQKELAGIVHLNKALSNTSDIIQQWRELVVKPICKLSESMVGPIVIVIDALDE SGNPESRKNLLRILAGKLKADNDSHVSKLPPNVRIILTSRTLSDIYNTLNDVDHVHSV AMDSISTTSTASDIAYYISEELEDIEIRGNDVSRLSSAAGELFEWARLACAYVRGDDD AGTDAKERLEHLLVRTEDAGVLLDKMYLLVLETLLPKDQPLRPMRLQRFLSVISQILG SAEPLTMDTLASMRRYFLDNGLGIVDVRTIIKPMGALLSGTTDPCAVIRPLHASFPEF LLDEKRSGEFFIDMSRIHVDLATASLSIMKEQLRFNICQLPSSYLPNSEVEDLDQRIN DCISRELSYSSRFWTNHLQLAEYHVILVDAMREFFNHERLLFWIEILSLLKLVNTCAQ AMWSVIKWVSGGNCFLIVQACANILQSSTNCADIADYASDVQKFVRMFGGAIAHSTPH LYLSALPFSPENSHINQAFGDRFCKMLRMDSGRSIDWPVLQETLKGHEGNINCVAFSP DGKHIVSGSNNRTLRLWDAETGEQIGAPLEGHEHWVWSVAFSPDGRHIVSGSLDKTLR LWDAQTREQIGAPLEGHQDWVWSVAFSPDGKHIVSGSRDSTLRLWNAETREQIGAPFE GHQSFVQSVAFSPNGRHIISSSDDKTLRLWDAETGKQIGAPFVGHQDSMQSVVFSPDG RCIVSGSYDQTLQMWDAKSRQQSIPFKGQHGLVQCIAFSPDGRHIVSSLHNKTLRIWD ARTRKQIGIPFEGHQDWVRAVAFSPDGRRIVSGSDDKTLKLWDAKTEEQIGIPLEGNQ NFLQSISFPLSGRPIISSLQNKTLKSPDAKIKEQVRIPIKGHLDNILSIAFSPNGRQI ISGSQDKILRL JR316_0001320 MPILENHLGGNFNLDSSGIAGFFGGEEAFAAMSSVHLVRARRWL GWYNSPGSYFVAKKYGVLPNSLFWDSVFPGPSVDPTELLELDYKPGFRFSGAYNGTQF TSTGHLSYLLSRFCTALPGAPALWDSMVVGDKKTASTRPHDAINQYTRVRNITVTVVK FDERQFTKWERYPKVPERFFTPINRLGTLFVVLTILFSLAASVLSALYDDWFSFAMIL FGSLSNGITSWVLGTGDLVLELSEPSPGATPGDGILFDRQNIIILQGSEHVIDSLLQG RFRLRYPSDPSHRWIGLCSLSLLAQFLLQLFLIPQGTLTGQVFFIASLAMSWMCNSYL ASFNREKMQTDLLFKLVGAPRIHKFDVAKWSVAAAFAMFYFNPGLKAPEGILDELMPN NTPAWNVWKHCICAAIMHGRKPSDFIFPDEQTAEHLDTLEKDELKNLNDQGEKYTHAK IMKAFEDLALENDRNFLREFLRKADAAFESVSTFDEKVEFVHAGAQGGDPLLLRQDVQ GRSGSLLLMQKSPILPQ JR316_0001321 MTTLITGGTGNTGLALAKLLQNAHRPVVIASRSGEAPKPFSAVK FNWFEPNTYESALSDSSIDRIYIVGPPGSIKSSVVTSFIDFAIAKGIKRYVLLSATTF NPQSSSAILASVVHQYLLDKKVDYAIIRPTTFMQNFGSFFVPGIKYHNQFFSATEDGR IPWVSTEDVAQAAFEALTADVSPNKDIFVVGPDLYSYADVARLLSDLLGRPIVYKRLT IEEQTAFYAKVGLHPDLAKTLAGNQKGIQEGSEEAIFNDPKLAEEGRKFIGSHTLKQY LQDNMELWSN JR316_0001322 MTLLSTVYAGLAHHPLYTSIVLALGYVLAVRAILNSSRIRKRNP KNLPLPPGPKGYPIIGNLFDVPPPVDSPHVVYHQWFKKYGNIIYIEVLGQPLLLLGSL ERVNDLLDKRSSNYSDRMSMPMLLGLMNWGFSMALLPYGKWWRRHRKAFNEHFHRNIV YKYQPIQQREVRSFLFRLLGSPENFIHHIRHTFASIIMNVAYGITVEDPSDAYVSNAE KALEGIVEAGLPGSFLVDLIPALLHVPAWFPGAGFKKKAAYWSKINDDVINKPFEYIE NELCIVQQLEQTRLVASYVLE JR316_0001323 MPLDLLSTVSARLLAHPLYTLIAAALGYVFVVRGVWNKSRKRNP NNLPLPPGPKGYPIIGNLFDVPQPVNNPHIVYDQWFKKYGDMIYVEVLGQSLLILGSL QRANDLLDKRSSNYSDRMRMPMILELMNWGYNMAFLPYGQWWRRHRKAFNEHFHQNIV HIYHPTQIREIRVFLHRLLVSPDNFMHHIRHALSSIIMGVAYGITVKDSSDPYISNAE KALQGVVEAGIPGAFLVDLIPALLYVPAWFPGAGFKKKAAYWSKINDDVINKPFEYIE NELKHNRVVVPSVTTSLISHLPDKSDPLYSEERQIAKHTTAVAYIGGADTTVSTVQSF FLAMAMYPDAQRNAQAELDAVVGSHRLPDFSDRDSLPYINAVIKESMRWNQVLPLGIL CHMATEDDEYDGYFIPRGTVVMANGWSILHDPEVFSEPMKYKPERYLKNGKLDPSVRS PDCAAFGYGRRIYPGRHLSDNSLYLIVSSVLSVYNIKPPLDEHGNPIQLKPNFAGGFL SYPVPFQCRIEPRSSTAEKLIRDCVEEDLSG JR316_0001324 MSFQSLSASLAAHPLYTFIAAVLGYGLAMQAIRSGTRKRNPKNL PLPPGPKGYPIIGNLFDVPQPKDKPWIVYDQWSRKYGDMIYFKVLGQSFLVLGSLQRT TDLLDKRSSNYSDRMRMPMILEMNWGYDMAFLPYGQWWRRHRKAFNEHFHHNIVNKYH PIQLREVRALLNRLLISPEGFMHHLRHTFASTIMSVAYGITVEDSSDPYISNAEEALN GLVEAAIPGAFLVDLIPALLYVPAWFPGAGFKKKAAYWSKINDDVINKPFEYIENELK NGKALVPSVATSLIERLPEKRDPLYSEERQIAQNTAAVAYIGGADTTVSTAQTFFLAM ALYPEVQRKAQAELDIVVGPHRLPDFSDRDSLPYINAVVKESMRWNQVAPLAIGHMST VDDEYDGYFIPQGTVPVVLGNSWSILHDPEVFSNPMEYVPERYLKDGKLDPNARQPEC AAFGYGRRICPGRHLSDDSLYLIIASVLSVYNIKPPIDELGNPLKLEAEFTSGFLS JR316_0001325 MPFELVSTQLMAYQMYTFIAVVLGCALVKTSWSKSHKRNPKNLP LPPGPKGYPVIGNLFDVPNPLDSPHIVYDQWFKKYGDMIYIEVLGQSLLILGSLQRAT DLLDKRSSNYSDRMRMPMVLELMNWVYNMAFLPYGQWWRRHRKAFNEHFHRNIVHKYY PIQQREIRTFLYRLLRTPDNFMHHIRHTFSSTIMGIAYGITVEDSADPYISNAEKALE GLVEAGIPGTFLVDLIPALLYVPAWLPGAGFKKKAAYWSKINDDVINKPFEYIENELR NDRVVVPSVTTSLISRLPDKSDPLYFEERKIAQHTAAVAYIGGADTTVSTVQSFFLAM AMYPEAQKKAQAELDAVVGSHRLPDFGDRKSLPYINAVVKESMRWNQVLPLSIGHMAS EDDEYDGYFIPRGTVVLANGWSILHDPEVFSEPMKFEPDRYLKNGKLDPSVRSPDCAA FGYGRRICPGRHLSDNSLYLIVSSVLSVYDIEPSIDKLGKPIKLEPNFAGGFLSYPVP FQCSIKPRSTSAEQLIRDIVELDR JR316_0001326 MTGLFQRVTSKIAVGNSSQDANEDLLPSPPEARNWTWYNYSSLW AGQALDASWWSVGLFKPDDTASLNATFGAYYHVGFPVMIRPTFGSKGSKWFVVLRGLI GIVWYAIQVYYAAEMMNVMWICIFGSRYINWTPAVPASAGTDAKTILNYFLAWSVSLP LAMLHPSNLRPVFTARVILAFAAFFTMFVWCTVLGQTDPNGFKGFAILQPTPISGSSL GWASMSAINSVLATVAPMITNQSDVSRYAKAPRHAGWPQGVTIFVSKSVFAFFSIIAA ASLQSRYGGEAKWGIWQQLQLLLEENWDGKTRFGCFFIAVGVAYSVMVTNAYCNSVPF GADIAALFPRYFTIVRGQIFMTLVSLPVLPWQILTNFSPVLTFLGSYTFLMGALLGCQ WGDFLIRKGNYHVRSMFDKSPSSIYVYSKGYNWRGFAAWFVSFALIFPGLVAAYIPEK MDASAHRIYNMGWIISVVLSGATYYAINRLFPVPIVPEEYKDSHEAKKWLGMGEIHGV FPGETVEGVNTSSDDGSSIAEDEKTKDPVAVYSVAA JR316_0001327 MLGHQFAYSAAPIRKVKEVQFGILSPEEIKAISVAKIEHPEVMD EATHKPKIGGLMDPRMGTIDRNFKCQTCGEGMSECPGHFGHIELARPVFHPGFIVKVK KILESICVNCGKLKADIVSRVVLFALLVSHPTIQIFWGLSPHPMGNPKPAPRQSLDNR GRSSTRGRGS JR316_0001328 MEYWPTTLGCDAAGDSDPNFAEKIRHIRDPKARMAVVWSHCKTK NICEPDDPKEEGADPEAEEGRKHGGCGHAQPQIRKEGLKLFVQYKKAKDDDDEVKSMQ PDKRLITPSEVYTVFKKMSDHDLHLLGLSEEYARPEWMILTVMPVPPPPVRPSIAVDG GAMRSEDDLTYKLGDIIKASANVRRCEQEGAPAHVITEFEQLLQFHVATYMDNDIAGI PQALQKSGRPVKAIRARLKGKEGRLRGNLMGKRVDFSARTVITGDPNLQLDEVGVPRT IAMNLTFPERVTPYNIAYLQELVRNGPTTYPGARYVVRDTGERIDLRYNKRADAFLQY GWIVERHLKDGDYVLFNRQPSLHKMSMMSHRVKLMPYSTFRLNLSVTPPYNADFDGDE MNMHVPQSEETRAELSQIAWVPRQIISPQANKPVMGIVQDTLCGIRKFTLRDTFLDWN QVQNILLWVPEWDGTVPIPAILKPKPLWTGKQILSLTIPRGINIHRSPDPKSSNPVFD DGVLIENGELIFGIVEKKTVGASQGGLVHVVFREKGPEATRQLFTGLQMVVNYWLFHN GFSIGIGDTIADSATMSYITQTIAERKANVAQIIEDATHDRLKAAPGMTIRESFESLV ERQLNLARDTSGQYAQKHLKEDNNVKQMVVAGSKGSFINISQMSVCVGQQSVEGRRIP FGFRHRTLPHFTKDDFSPESRGFVENSYLRGLTPQEFFFHAMAGREGLIDTAVKTAET GYIQRRLVKALEDVMVCYDGTVRNSLGDLIQFVYGEDGMDGAFIEKQTIETFGLNDRE FEHNYRVDVTDPAGGFIPGVLQVGIDDSSLELQVKLDEEFARLVEDRRLLREFVFPRV PNTQPHYLPVNLHRIVQNAIQIFHIDRRKPSDLDPAYIVDSIHELGKRLIVVRGDDNL SREGQENATLNFRMHLRATFASRRVLEKYHLTREAFDWVVGEVETKFNQSVVHPGEMC GTLAAQSIGEPATQMTLNTFHYAGVSSKNVTLGVPRLKEIINVATNIKTPSLTVYLEP QISRSADLAKQVQQELAYTSLRTVTAAVEIWYDPDPSSTIIEEDSVFVESFFAIPDEE IESKLHLQSPWLLRLELDRAKMIDRKLDMHYVAGRIAESFKTDLFVIWSEDNSEKLVI RCRVLGSPDKEEDGLESVEEDIFLRQLENTMLNSVNLRGVKGINRVFLTEQDKVVVAG DGTIRTDQQKEWVLETDGINLKTVMCIDGVDFTRTYSNSCVEIFNVLGIEAARAAILK ELRGVIEFDGSYVNYRHLALLCDLMTHRGTLMAITRHGINRADTGALMRCSFEETVEI LMEAAAVGEKDDCHGIAENVMFGQMAPMGTGAFEVALDIDMLKDAIVDHRLPVQSMLA AQIDGGMTPGQVAMTPYDTNSPMWQDGLFKGDPASASFSPLASNTGEDASSFQFLGYG QSPLGAGGMSPGGVGYSPSSPNAYTPTSPSFVPQSPFSGATSPFGTSPYASSPMYDRG RGATSPTYSPTSPALNLTSPGYSPTSPRYSPTSPSFSPTSPRYSPQSPSFSPTSPRYS PASPSFSPASPRYSPISSTLLIAFSLPCSNVTILAQIFAYVPYAITFVAEIFTHLAGL LAAFSLFPCFSRLQPYFSNALVALEPCAKPERQYA JR316_0001329 MTSPSKPLNIKSNNRLTVSANDSELQPGSYTPSLGTPDLRALRA QYTGTPPPPNIPMRGAGTPVLTGGAVVAASSSTSLLPVSGTKADSGSPLRPPIGGLSA TKQNTGSSDKVAVTPPSIADLDGLPAEEKAKVLRRHLVAKEQRTKANDSAPKSVVGSV QDANVATGSDVSRNTSSGTLRERGAVREDSEPFPVPYDTHGADVTHDIYKWHTDQRRQ AASARVRSVSLSVGPSRTPHPAFEHIHEPGGFRRNYLLLRREANEEGGEGPQILNNFI DFLLLFGHFAGEDLEEDEEKDGEDEENLSPPIDEPLSTGLPDANEHTSLLGSPNLSRS RSRSRRRRTSLSRQGTATVTQAVLMLLKSFVGTGVLFLGRAFFNGGLLFSILTFTFIA FISLYSFLLLVKTKFVVSGSFGDIGGTLYGPWMRYLILGSIVISQMGFVGAYTIFVAE NLQAFILGVTQCLKLIPVQHLILAQLVVFLPLVLVRDLAKLSSTALVADAFILFGLVY IFGSEFGVLWERGMAEVKMFNERDFSLFIGTAVFSFEGIGLVIPITDAMREPHKFPMA LSGVMVFLLLLFGGAGVLAYVTFGSEVQTVVLLNLDQKSKMVQSVQLFYALAIMLSVP LQLFPAIRILENGIFTRSGKVDVRVKWYKNAFRFAMVFVCSAIAWVGAADLDKFVAFI GCFACVPLCYVYPAMLHYKACSRTRKDKLLDIALIVFGLAAAAYTTVQNIGLMLGPNP VRDSPYDNCQS JR316_0001330 MKNLKIFRGKRNRSMPQLPTTEDGLEDATRRSQIDLTESRSRPS FFNRLKAAFRSRSPSREAAPVPAVLSKKEVVQRNDQSDAGDMYLEGSRASSVPPEITV EPPLGGNEALSRIETEGPGMAVKDATPGAPAAGQGQSSAQVTQLGVKESSLDPAVVEA ARAAASQTVIEMKGGAAPVSAIASGISGMDNPIDVVDSMSFFLTTLSTFNSVLDKMAQ IHPYAQAAWTILSFASKAIVNQNIRDGSIVSLLKKMNDVYIFLTAADLRDIESMKGIV EQICRQTLECSYFIREYAQNTKFRTRLLKNLISNTNARVQEFNGVFDDLLKQFHDKAA HDTLIVVHRIWEDVAELAQDSDLQHMPYVQGAGLNLQKVCLEGTREQILKDVIDWLNS NDDSSRIFWLHGNAGRGKSSIAHTIANYFDKLGRLGSCFCFDRNKFAEERHNKIFTVI AQDLAHTDKNIRKELAGIVHLNKALSNTSDIVQQWKELVVKPLRKLSETMVGPIVIVI DALDESGNPESRRHLLRILAGMLNDDDSHVLKLPPQVRIVLTSRALPDISNVLTGVNH VCSMSMESISTDSTSRDIFQYVSAELQGLDIDKIQLDRLTSASDGLFEWARLACAYIK GNDDAGSSIKERTDDVFARNQAEQVPLLDSMYMLTLGAVFPQSQHKRDVRLGRFRSVM AQILGNAEPLPLAALASMRGYFLDDDLKKIDVESIINPMGALLSGTSSSFEVIRPLHA SFAEFLMDRKRSGEFFIDVSHIHVHLVAASMGIMKDQLQFNICQLPSSYLPNSAVEDL DQRIRDNISLGLSYSCRFWTHHLQQAKYCVTLADIIREFFNHERLLFWIEILSLLKLV NTCAGSMSAIIEWTKSAGDCVDLVNYATDLQKFVRTFGGAISHSTPHLYLSAIPFSPK KSHINMAFGDRFCKLLCMDTGRNMNWPIIQGILRGHENEVNCVAFSPDGKHIVSSSYD KTLRLWDAETGEQIGAPLEGHQNFVLSVAFAPNGKRIISGSYDKTLRLWDAETGEQIG APLEGHQKPVKSVAFAPNGKRIVSGSNDCTLRLWNTETGKQIGAPLEGHEYTVQSVAF APDGKRIVSGSYDKTLRLWDAETGKQIGAPLEGHEYTVQSVAFSPDGKKIVSGSNDTT LRLWDAETGKQIGAPLEGHEYPIQSVAFSPDGKRIVSGSDDKTLRLWDAETGEQIGAP LEGHQNSINSVAFSPDGKRIVSGSYDKTLWLWDAETGKQIGAPLEGHQNFVLSVAFAP NGKRIISGSYDKTLRLWDAETGEQIGAPLEGHQNSINSVAFSPDGKRIVSGSYDKTLR LWDAETGKQIGAPLEGHEYPIQSVAFSPNGKRIVSGSYDKTLRLWDAETGKQIGAPLE GHEYTVQSVAFSPDGKKIVSGSNDTTLRLWDAETGKQIGAPLEGHEYPIQSVAFSPDG KRIVSGSDDKTLWLWDAETGKQIGAPLKGHQNSILSVAFSPDGKRIVSGSYDKTLQLW DAETREQIGAPLEGHQNPVQSVAFSPDGKRIISGSYDKTLRLWDAETREQIGAPLEGH QNSIIFSLQPQSAFPIIANTIQKMDLSFDLLQTQNLHNFLQVPYPYFPWQGNGWVICK SASKNGKLLFFVPSMYNVKQYWPPSLKLIMPSPPQLNLSQMAHGESWASIYPNSNVQA V JR316_0001331 MPATHTVQVSADPRVYTVIKNGQEKQVNVVQRHKGEVYVHYIDT DRRMDEWIPEEQLKSHPGPVPVVAPAAGAGAGAAEPRRGEGNKRRRGNARKSQAGHPE ASSSNGFAAPMEPEPSTIEDVDMLSHQQHQQHQHQHHQLQQQHQQSTTEVVLTEEEYD LRHHQQLHSQKNFDMVIFDVWKIKPWYFSPYPLTETEADEVASASNQAAIKIPGVARA TARSHGRTSDLLAGGLHRQHSGESMLWVCHFCFKYMADGGPWELHKKECKMKHPPGKK VYQRGAHIIWEVDGAKEKLYCQNLSLFGKLFIDVKTLFFDCDNFLFYILTDAKSSEDH ILGFFSKEKLSYDDYNLACILTLPQYQKKGYGMLMIEFSYELSRRAGKVGSPERPLSD LGLRSYLAYWISTILRFFRRVLTVLPPDVLSTRTINNFPELIDGVQVSGDVERTQSKK RKIPKGFDGEVSEQNIERMRCLMPYSAIHDPVFTSKRIMETVPRADGGAEVHVRVECT LEDIAKATNLRPDDAAFALNECGLLMHRMSRDHEGEGEGEGGQGRPDTIVVTRELVEK VAKERNVKIMYMDMSCVLLP JR316_0001332 MLESSNGCFYMGFIGVLDFWFIGPRQNGSQKRLAASVAGVGKRK IWLDPAEQAEIGNANSRTHVKKLIKDGRVIIKPTTVHSRARTRDLLAAKRKGRHTGTG KRKGTAEARMPTKVLWMRRQRVLRRLLRKYRDAGKIDKHLYHVLYQKSKGNVFKNKRV LMEYIHKAKAEKSRTKVLSDQMEARRVKNKAARERRAARLAEKRSGIHAVELETAKE JR316_0001333 MSITVENHPFSKLARQIEQYLWASVVLSSASATVSLINMGRLSC FVGPITFLFTLAHNFTLLALAARDRRRPPSALHGTMAPTASRAAIVLCWLLVALWSVV VLMIIIMGALIMGMGQFEGWERLAGYVELPMVVAEVFLLVVIAVKCKTQRKRSIIQPE HVDWRHVGQQQV JR316_0001334 MAIHESSSEASQADGEGDSPDKPPHADLSSQLQSSLNISHPATT SSSSTVTLTANGATQVPEKGHSPGSYPLPPPDSLLAGNKQPGYTRGALQKLSSVPLST ASSSSSDQPNHKRQASGPHSPLHSPSPSATSPADSYWEGASAPPSRGPSRPPSQPASR APSMSAGVSGGKIVVPQQAAQPVAGRERRASKASDTVPVTPGRASKPASVHSDKGESS HKFNLKDLLGSGPKLNRKSSQRSTSSRKSDSDAGDGRAKSTAGDSAVSLTQKYGVCQK VAIGKGATSVVRLAHKWDRSEEKLYAIKEFRKRRKNESEKEYVKKLTAEFCISSTLHH PNIVETVDLVQDENQHWCEVMEFCPGGDLYAAIKKGGMSPSEVECCFKQILNGVSYLH SQGVAHRDIKPENLFFDTKGHLKIGDYGASTVYRLPWEATVHMSTGLCGSEPYIAPEQ FLSKPYDARLVDIWACGIVYYCLHFQELPWRAAQPGTDPLYSAYAAACANPNPAVSTC PPTINNLNPRACRTLIRKMLEPDPRQRSTIEDVIAHPWVESIEVCHEGVEAKHVHVSA RAMGMAYNIGNGA JR316_0001335 MPVATARRTATTTSSSLVNRTTKSSRSTKLHSISEAQVKAWSEA LKARNAVNNNEDERELDDEDEYPFKDQDLVWVRTKGAKWVYGKVSGGPSLIRAGRTRY SKEGLFYPVKFGDKLHLRKYFAPTNGDIKLDTKAVRRSMIQEGLIDGDTTDDDDGTEA LSDPSDSSYVD JR316_0001336 MTKLYLMNSLIEKMQSPDQDFRFMGLNDLMTEIRQDPASFAGDE AVENKVLNQVLSLVEDKISEVKNQAVKCLGQLIKILRQVQMELVVDKLIDFSSGKDEE LRDISGLALKTITAELPPDGKIAATACAKLTPKLLLQIQNSWTPPEALVETLSILSIL ISRFPNHISAVTQAKAPLKVLAPLLSHQRPVVRKRAIITLSQFIPISHPAHFTELLKS DVLPNLTPSASLDKQRTTVQLVAAVARNSPGQIAPALDRIVPGILQAVDKADDELREG SLQALEALVLRCPTEIDLYLPSIVEVGNKFIKYDPNYAGDDEADEDEEMADPEEDDDE EDLDEYSDDEDTSYKIRRSATKLLSAVIGTRPDRLTRTYRDISPVLISRFGDREETVR LEIWATYVVLLTQTTLYGGLPDYKDESSPRGKRKRDTDAPMDTEETPYTLLKSQVPAL SKALLGQIKSPKTSPAVLQAGFGLLQALLTVLPGSLTTQVSLIVTTSKSVLSQAPTTS TSTLHLTCLSFLALFFSSHPPSVFSASLSTLTPVLLRSLGERHPRITSESFRVFSALL NSLKPLKANDWTVALYDQAIGRLSSHDTDAEIRTCAEDCIADIWICATDVANSKDKKE WEYICRTTGKTDNAVTVITKVATANVRVGDDWTNRCVAYLMGLLRKSGKAGKVEVFGA LDVLLKGYQSGVPSELPSTLIPQIKTYVSTADISLLSQALTTIALLLELSPTLTFPEV EHDLLTDIYAVAHSPLVSGAALESLFRFFSALVQADHQIATHIVPNLVISAEKAPKAE SSPSNVAKCIAQVVKSSIGVAAGTIAEYSKYLKPSTKAKPSLVILSLLIIGELGRFID MAPQRDIFVLVIDHFASDQEEQRAAAAFAAGNIAIGNLQQFLPVIVKMVENDSKRRLL ALHAAKEVVTHCSHGQLEGVAELLWRPLFENSQNAEESTRNVAAACLGKLATTDPSRY LPQLHARVKDKNPDTRATVVSAIRYTFADTSQSFDEIFSPLLVDFLSLMIDENLIVRR LALSTLNSAARTKPHLIRDHLASLLPNLYKETVVDPTLIRTVQMGPWTHKVDDGLDTR KTAYETMYTLLDTCLAKLNLHEFLERVIPGLADDSDEIKVISHMMLFRLSQVAPAAVS QRLEEATPQLEKTMKGATVTKDTVKQDLERAAELQRSALRAVAALSKIGAGVSPKFDA LVEDVRRNPTWGSEFKELVGQQ JR316_0001337 MASRGVQSFLNQVFNANYNPGMFQDEAERPKDLIVAPFQFYDRH LASNFALQRVVYLSGIPQTLSASCDIAVNTFLGKGNKFSTAKFFFNMEIPEIEFKDAS TVRQYYLTHVGDIAQAFASKLCVHPHIKIWPSIIEFVDHEHSGSFMAESSLSITKDPE GGISLDNGLDGKLSRSTMETLNTLLDRHPRLAMWHIFPMVSPFMKVFQNITTGMEFKW ETSRTIGYRILTRTNLPPDGQSLTSRLVRMSTLPKRSSSKTSSQRISITKVGKYVIPS STIQRARYRPDLKHFLQCAWTQAAIHDTTYMVLHCGRKLHIGLHIAILQDALERMKAG ETPGEFPASKSVKRSSDRVEGLERPPSKRRRLSEAPSVPSEVHDSIAKHLAHRKLALV SLDYGVFSSSVPSSFRRIGESCKRYSPTESTDWTKDVYTQKKFNDKEYITLKLLAPLG HGAVGVVHPAQAEVVLSSGAILRENLAVKLAFSEEQQSKLKAEFEIYCRMSRSSDIEG VLDVHGLFYDAESNTMALLMADGGKTLRQREIERTGKFAEQVTTTQGEREAFTRALES IHRADIRHCDIRADNLTIDSNGKVYIIDFDCAEWHSPDSLSAEITRLNDVLEGTYFWL HHY JR316_0001338 MPKGKERQAPTRSSARLRTSNNSLDERAHSLLEEGTRLDSLSIP VDNDHPGQPSDTCPSVSDQHSARTDIPHGRGGPTSRTRRDATAALSPVQRKSLKRSHK QANSGQALNSKGVSKSSPIHKFFQRPSKQFRKDINHVPSTKTRPFHPFDQHLHPDLRL NEVVVLDTLPTALSQYCDDIIQESADYLHTAGPPPNSDNEQQRPRPVQNEDQLVDQYI LSNKSCLQVASGLLFKKSWEDIFSMKKPNKVERQEGIADVLISIDPKTGGQHLTVKQK SDWKLLRKYLLDVFVTIEFKSLVAAPHIFEDLHRLEGRFPWSTCEERTDDNEKSTTCR ASSNAHRVNGRLQTTGRPTSPDSNIILQIIEDARSRELPSKRFKPDSDKSSRAPKQNS VYACQQIWTQLVVEDASVAIFSSGNEEFVAIRDRAKQTLYLSPMIKCTSNSSITHSKI LVACILLGFNDALDRYRINVDKLPFYVKTNLVAELYTKMTDARNIARSYMQAIISLFE KCSEIPIRFHDNSISGRLGGPFGVRTWHLQKRGSHCDATGEPKRTGRLFLDFNYEPQL DKKVFVVYLGLVEEHSMNAAKYYSKPLYLKLTRNAPLSKMLEVEYNNYMDLRTKGVGA IVQVYGLFEYPKLPNHRFLILESGGKPVTLETAAHCKRFKDSYLTALDSFHNLNISIG NIMNDHIVMNEGRKVSVISLGHISHPATSAIKNDEANAFQSAIRAVSSPDMTGVGSEE VSPIETGVSNSTDSG JR316_0001339 MTPSEVQSFLYQVFNANYNPDIIQDGAELPKDLIVAPFQFYDRH IASNFALQRVVYLPNIPEALSATCDAAVNTFLANRNKFSTGESFFNMKLPNIKFMDSE TVRDYYRTHIGPIAQAFASKLCVHPHVKSWPSILENVIDNYIGASYISESCLTVTMDP EGGVFLEDELKGKLSPSTTKTLNAILTNHPSLAIWHVFPMVSPFTTMLHRISTGSEFK WENSHTEGYRFLTHTLPPTDAKSLSNKLSRSGTSRRRSLKKNKLERSTIAKVGRYVTP PSTVQRSRYRPDFKHYLQCSLISSKAWTQAAIHDTTYIILHCGRYERIGIRHRASQTL YLSGVIDTVNIQNPRYRKLHIGLHIAIFQDALERMKASEIPSTSSKLPASKSLKRSSD RVEGLERPPKRRRSSKASSVPPAVHNSIAKDLVHRKLALISLDYDVFLSSVPSSFRRI GESCIRDSRNESTDWTKDVFAQKKFRDQEYFTLKLQAPLGRGAVGVVHPAQAEVVLSS GVVVKGNLVVKLAFSEEQQSKLRAEFEMYCRMSRLSDIEGVLDVHGLFYDAESNTMAF LMADGGKTLRQREIERTGKFAEQVTTTQEEREAFTRALESIHRAGIRHCDIRADNLMI DSDGKVYIIDFDCAEWHSPDSLSDEISRLNEVLEGNYIRRLHY JR316_0001340 MSKGKARQAPTRSSARLQTSNKSLDESAHSLPEEGTRLNSSTIP VDNGHSGLSSGTSPSVSELHSTARTDIPQGREGSASRTRRNTTATLSPVQRKSMKRSH KQANSGQTSGTKGVFASAASSPIHKFFQQPSKEFRKNIKHVPSTKTRPFHPFDQHLHP DLRLNEVVVLDTLPTILSQYCDDIIEENADYLRTAGPLPDAPSQPNAVRNEEALVNEY IKSNQACLQVASGLLFKKGWEDIFNMTKPNKVERQEGIADVLISIDPETGGKHLTAKH RSDLKLLRKYLLDVFVTLEFKSLVAAPHIFEDLLRLEGKFPWSTCQERTDDNEKSTTC RASAGNAHRVNGRLQTTGRPTSPDSSIILQLIEDARSQERPPKRLKADLDNLSKTAAK QDSVYACQQIWTQLVVEDASVAIFSSGNEEFVAIRDRGKQTLYLSPMIKCTSNSSITH SKILVACILLGFNDALERARQLEAMSTVPGSEVSPGYRINVDRLPFYVKKNLTAELFN KVRSEQTHPQNYDQIRFHDSSISERLGGPPGATTWHLQKRGSHRDAVDLEPEPSGRLF LDFNYESQLDKKVFVVYLGLVEEEPRNAPKYYSKPLFMKLTRNAPLSKMLEVEHDNYA DLRAKGVRAIVQVYGLFENPDLPNHRFLILESGGKPVTLETTAHCKRFKCAHTRSAVI RLALTIVSRGSYLAALNSFHDVDISIGNIMNDHIVMNKERDVFVVSLAHVSQPATSSV KRDEVKAFHNAIRAFPSFDITTTKEALPTGNAVSSSESEG JR316_0001341 MLPSRVQPFLNQVFNANYNLDIIPDEAERPKDPIVAPFNFYDRH VASNFALQRVVCLSDIPQVLSATCDIAVNTFWANGNKFSTDEYSFKMEIPEIEFTDAR TVRQYYRIHIGPIAQAFTSKLCVHPHVKTWPSILEFMFHDHIGASYMSESILTITRDP KGGVFLSNKLKGKLTQPTIKTLNALLTNHPTLAMWHVFPMVSPFTTILQSISAGTEFK WETSHTGGYRFLTQTLPPTDAKSLSNKLSRSGTSHRSLKKNKLDRSTIAKVGKYVTPP STVQRSRYRPDFKHYLQCAWTQASIHDTTYIILHCGRYERIGIRHRATQTLYLSGVID TVSIQDPCYRKLHIGLHIAIFQDALERMKASETSSIPPASKAVKRSSDGVEGLERPPP KRQRSSKVPSVATEVHDSIAKHLVHRKLALVSLDYGVFSSSVPSSFRRIGESCKRDLP TESTDWTKDVYTQKKFNDKEYITLKLLAPLGRGAVGVVHPAQTEVMLSSGVVVKGSLV VKLAFSEEQQSKLKAEFEMYCRMSRLSSIKGVLDVHGLFYDVESNVMALLMADGGKTL RQREIERTGKFAEQVTTTQEEREAFTRALESIHQADIRHCDIRADNLTIDSDGKVYII DFDCAEWHSPDSLSDETSRLNEVLEGNYIQRLHY JR316_0001342 MSFEEEDTFQFFLHRAFNANYNPDLIQSTVDQPSEPMNPPFTFY DRHLGSDYILHNVVHTPFIPQLLSKICDVSVNRFITSGHKFSATGYIYGKDIPGTKFK DASGVRDYYATHVGDTCQAYLSKLCVHPEVETWPTVIRFREHDKDATFYMAEGHLAVC EAPSGGVFWTQQDELPKSTTDTLNVLLDTFPRLAMWHVFPMVDTFTRTMQNSVNDTKF KWEPLRTAGYRFKTRVTLPPDGTMLSNLVHVRRVSRRKIPGGHLTDRNTLGKAGKFVT TPTVTKRKRYRPDFRHYLQHAWSQAAIHDTTFLVLNCGRYERIGIRHRASRTLYLSGL IDTVNSIDPRYRKLHIGLYIAIFQDALERMSALNAPSKSTIAKKRSLGDDEEYESPRS KKRRKTGLPTTLAHESISVQLVDRKLALVSLDYDAFCSPVPSSFIRIGESCKRHSPTV DTDWTKGSNEQKKFNSQEYFTLKLSAPLGHGAIGVVHPAQAEVALQSGGVLKENLAFK LAFTEEQQARLKNEFEIYCRMSRATDIEGILDVHGLFFDAESNVMGLLMANGGITLRQ REIERTGAFAEQVKTTAKEKDAFKRALQSIHNADIRHQDIRADNLTVTPDGRVFIIDF DCAEWASPDSLTAEALRLNEILEGKYKQRLLY JR316_0001343 MIAFATLQCRFVQRPLRGVQSFLNQVFNANYNPGMFQDEAERPQ DLKVVAPFQFYDRHLASDLTLQRVVYLSGIPQTLSASCDIAVNTFLGKGNKFSTAKFF FNMEIPEIEFKDASTVRQYYLTHVGDIAQAFASKLCVHPHIKIWPSIIEFVDHEDSGS FMAESSLSITKDPGGGISLDNGLDGNLSRSTMETLNTLLERHPRLAIWHIFPMVSPFT KVFQNITTGVEFKWETSRTIGYRALTRTNLPSDGQSLTNRLVRMSTLPKRSSSKTSSQ RISITKVGKYVIPSSTIQRARYRPDLKHFLQCAWTQAAIHDTTYMVLHCGRKLHIGLH IAILQDALERMKAGETPGEFPASKSVKRSSDRVEGLERPPSKRRRLSEAPSVPSEVHD SIAKHLAHRKLALVSLDYGVFSSSVPSSFRRIGESCKRYSPTESTDWTKDVYTQKKFN DKEYITLKLLAPLGHGAVGVVHPAQAEVVLSSGAILRESLAVKLAFSEEQQSKLKAEF ETYCRMSRSSDIEGVLDVHGLFYDAESNTMAFLMADGGKTLRQREIERTGKFAEQVTT TQEEREAFTRALESIHQADIRHCDIRADNLTIDSDGKVYIIDFDCAEWHSPDSLSDEI SRLNEVLEGNYIRRLHY JR316_0001344 MNANTLKPLFVLSIVGGTIMPLAEEELLRQLLDKSFKVNYPPGL YQKDVRPDSPIEPPFKFYDLHIGSNHSLQRVVLLPSIPHLLSKICDSTVQEFLANGHA ISSQVFERCLDMAQARFSDARSVNVFYNIHIGAISRAYLFKLCVNPDSETGNSPFSYT SHIVNEPKSFYISKAYLTLYEDHSGGIHLDDELKEGLSQSTEYELLALLKRFPRLAMW YHFPMVDTFTTMLQNIAPDIRSWGRESRTAGYRFITQTIPPPDSQMLAEKLNGALGTT GKGLSVRRSTSNRKSIARVKKTISPPFATERNRYRPSLRHYLEHAWAQAAIHDTTFMT FQCGRYERIGIRHRASQTLYLSEVIDTVNIKDPHYQKIHVGLHIAIYQDALERTRSQD IVQQKLGSKGKKRGSDSLEYQDILRSKKRRRAGDAMVPTYIPSNIGKHLVDRKLALVS LDYDALSSSVPSSFIRIGESCKRISSREETDWTKDAFEQNKFESKEYFTLTLLAPLGN GAIGTAHSALAEVKLESGEVLRERLVFKLAFTEKNQEKLRNEFDIYCHMSRVDGIEGI LDVHGLFYDAESKTMGLLMADGGNSLQKREMGRTGSYADKVTTTPKEREVFRRIVESL RLAKVFHNDIRADNLTIDSNGNAFITDFDSAEYRYPDDIAMKEDMRCLNAMFEGKSRY DS JR316_0001345 MVRPSQSMRAIEIVQKAIDEDVKHNYAEAYKHYQNSLDYFMLAL KYEKNDKSKALIKSKINEYLGRAETLKEHLMTDKRGKNAVGVNGSDGSTGPSGKSKDD GDEHDAETKKLRAGLSDAILSETPNVSWDDVAGLEGAKAALKEAVILPIKFPHLFTGK RTPWKGILLYGPPGTGKSYLAKAVATEAKSTFFSVSSSDLVSKWQGDSERLVKNLFQL ARENKPSIIFIDEIDSLAGTRNESESEGSRRIKTEFLVQMNGVGHDDTGVLVLGATNI PWQLDNAIKRRFEKRIYIPLPGAEARRRMFEIHVGSTPCELSPKDYRTLADRTEGYSG SDISIVVRDALMQPVRKVISATHFKRVEKEGEMKWTPCSPGDPAAVEKTWSDIESNEL VEPPLKVTDFLKSLESTRPTVTEADIQKHDQWTKESGNDGA JR316_0001346 MGVTVETIVPGDGVNYPKRGDKVTIHYVGTLTDGKKFDSSRDRG KPFEIEIGTGKVIKGWDVGVMQLSLGQIAVLTATPDFAYGPRGFPPTIPPNSTLKFEV ELMRIN JR316_0001347 MHFILASFTLGLPRAHGIHDLSKGRKEETQRSWRDPVYTRGLRL FLGHCYNFLNMRAEKLVRLRPAAYVHSLIRTFFADSFFHIYGCPFSFFERPPRRAPRT FMNRSETVCHCDLHGGP JR316_0001348 MHAAAPISKIYNLPPNVFLFLGMCLRNTLMIRSKLLSNNTSVPR APLILQFCRLCLSHLRFERALTFGFKTTAPCKIVFLTLLNRQGRHYPVKFELQSSDRP CSRDPRFIQCFWEPKFWLIASSDSNSSASLSGP JR316_0001349 MSSTGSKQSIDDLKDERDFRGDSEKFEPKTVQEEYGAAFIKKTI RLIDWRMLPLLGLVYSVALVDRTNLGVARTAGMQEDLRLDIGERYSIASMVYFFPYTL FQIPGNIILRMIGARAWLTICVVGWGAAQLGMAFVTNWGELSIARVFLGAFEAGLFPS VAFIITTWYTRYEVQKRLAIFYLSSIVVNSFSSIIAYGITKLKGKADLNGWQWIFLLE GIFTIVLGILTWLYIPDFPDKSRFINEKQRAMILARVEEDRGDSVPDEMTGAKVILHL KDPLVYMYALMFIATTMPAYAIAFFSTIIIKGMGYSEKAALLLTAPPGAFAGVSCFFF AWLSDRTKKRAIWMVLQNFICIIGLFLTAYATSNPVRYFGLFLVNAGATGCVPGVLAY SANNITTHTKRSVQTAFIITAGGIGGIMATTIFRQQDFPRYLNGLWATVGLQILMIIL LAVTTFIFTRRNRLRREGKVGPLEGQEDFYYTL JR316_0001350 MQSTFAIAETDSEREERLNGRKRPRRTVNACVRCKARKQRCDLQ EFDHGPCRACRKSNVECLVTEKVKKSAYPDDYVHSLETRVADLESHLQALNPSGGYGN DHWDQSANSTSSSPIASRGWESTTDDGGDEEEQVARGIALLSLHGAAEPHYVGASSGW SWAKTVLGWVTFVDVNSSSLINKRKATPTSGLPCIPSPAVADILIRAVYEHIQARYPF QCWRSFNAWHADRDRYLVEEHKVESDRTAAFFIWSVFLCLYMIKALNFLSGRLMYATG ARLLQSTALPGLHAPEVYYAKAMEYLDNIVTLHNLANIQAFLLLAVYSLRCTEGPSVW HLVGIALRLSIELGLHRKASRQARLRDPYTVELRRRIFWSAYGLDRFMALNMGRPLGI QDADIDVELPMDIDFAESDDTALREMSEKELHASTAPSPSEEQFRIAKPITTMTSALH IIKCRQIESEIQRLMYRVDRVETPPEMENEVARILARLDRWKANIPRKIEGLDPPSPP CCTPEWFLWRYYEATLFLLRPLTINADSSDPLLSRCAHAAAGSLEAQRKLHQVPPVSL SLSALHSVFLSGLTLLHCLHLDPRVVTRASANKAIRACSNTLFLYAQHFVAAEPFRDA FEDMANACLDKAEQGDGDSMGMDIAGEGLSARIEKEVSSKLDVDLIDAADDVNDASIP GPLWGKQLGNMSKLMTEDQRENFYALVSSLGFLTPTTDVPTSPNSQTNFCGNSSPLSN VDQDSKRILLSARDSKPSADIRWSHSKSTTSASMFRSATKVLYQAEGPYW JR316_0001351 MVEIQDQTSRLTGIQLLIVFLGLNLALLISFLDSTSVSTALPDI ASDLKAGNSISWTGTSFLVANTGFQIITARLSDIFGRKAVLISCLFLFGFGDLLCGFA TSEAWLYACRSIAGIGGGGINSLTMIILSDIVSIEKRAKYQGLLGISIALGSGIGPLI GGSLAEKASWRWTFWFTVPLTLCTILVIGILLPLKHVKGGAKEKLKQIDWLGSMLSLA AIVMILVPISGGGTLYEWVSPTFLVLLITGLTLAVIFVLVEFKYAKLPVMPLHMFRVP TVSLILMQTFFVGMIFYGGMFFTPIYLQNVLGYSPIMSGVLILPLVLIQVFSTSAMGF ITEKTDSLKPWIILGFSIWLVGQAAQTVFDRTTSVAKIVVILLIQGIGVGGTLQTLVL AQASAPPLDRAVVTGTRNFARTSGGALGLAASNAILNNLFSKNLPESLSPSLRTGLLE SISNMPADLDAQTRDAILNAYNDAIHWVFVYFAPVIGLCLFLSFFMTDQHLRRRGSND DSKVSAAKGDHEQGTIDEKHSAKNKENAISNVTTMFVVSRSPSRS JR316_0001352 MHHTSHLSKIGLRLRLSPATKRNWNPTQRTYSTSPPSRNAWLTG NVLARTTLFTIAAAGSSILLAKSIYADHESSDEKAPPPPPTSLGSLIRAYAVYSMCSV PSLVDASPKILSVLSSVPGVRQVTEAFVRATFFDQFVGADSAEEAIPLLRTMRAANRG VLFAYSVEVDENEATGASISSSSAETVDLPTTSFASSSVGQQHAGPGSESIPKAPPYK RIVDEMIHCIDVAANFEDGVYDRSNGVHGWKETNRGKRTWVAVKMTALLPDAHALIAL SSHIVASKSRSKSKFLGSSLPEDAIPFPGAAKIEDLGVLSLASPAPISGDDDAARAPV ISASQIRELRELYSNLRRICIKAQERGVKVIVDAEYSWYQPAIDALTLALMREFNSLD KKQSGSALIQPLVYNTFQAYLRRTPQQLSLALADARAHNYALGVKLVRGAYHPHEISA HEAALEFDRAHGAADSSFDRVATMQRRPSLSISPDIEPPVWTEKRDTDERYNACVKVL IEAIKEDVTRSKKRRPSNATDAQLTTMGDISSNFSYLRGRIYSIVGAGSSPVYSISKE EQRTTSMNATPRIGVLFGTHNWDSCALILKELVRNGLGVEEDSGSVSESIGRITVQEE VVERVAIGQLYGMSDDLTDWVVNRISSSTPFVIKYVPYGALADVMPYLSRRAIENKSV LGDGNAQHERDRARQEIKKRIFG JR316_0001353 MPKRKQDSDDDGNESSSSDISTVEVSFEFYDPNPKIDYHAIKLL FKQLFQRDVEQLHTHELTELVLSQPTVGTTIKTDGLESDPMALFTVLNMHLHHQNTSI KAIANYLLAVTEAHDPAFHATLKALFSQSQAHVGLVLCERLKNLPVQVVPPMYQMLAN EVQWANADGEPYQFTHLLFISRVYHLTEEEEAILANTASNRRDNSSKKQKKHRPPHEE NAMARPADGIYPYHPEDDILIKSAQHWLNYPYVAPLPPLIQEERKHDTFGLDVRGRII LVPGGGEMLRELGARMDDVFSLGKGAQ JR316_0001354 MSTSEGTSTSTLSATGLNHDGAIAAAPSTITYTSTTPDAGFVVE HAINNGSNDSTSAKGVTASKIYADAALETKVDADSNEPRPPKAPQTNCTDNTTENLST LRSQIALEMNDEFIECSVDVFFKHYLPFEPSEAAVEECVLRLLCKDYAPNGDAGEGFR PKQAPITPRRCARINPLHKLDDNTGHPVLSEVVPTVGYPDTPGLRFTDYMKRPGSHGS VEKTVFAPLKTIADAIGSYSETCDGRARNNFQYLHCPQAVISSDIGNSKSRIDACFSN GGSDFHTKYIAVPIEQNVSAADRDSNNRRVVSANVQIMNDDVRRMFTYGMTFEGDKAT LWYHCRSHSAVSRQFNFVENPRLLVKVFLSFLFATDEELGYNPDVTLVEGKKRQYTFK IPSSDGKSAKFYRTVKVLSEYPSNNISGRMARVWLVDRVDSEGNKIGPPCVLKDVWLS VNTLTEKEIQTAIFADIEKYCHPDKPDYNLASDPPEDSPQDALNAIKMRNAELVKSGE YKQYFLEIESDHAGKPSKAVLEGCAPVTRLFQNAHANRIASLNENSIPSVGSTPFIKS GQQCKRTFTPRKQYRVIFKEVCEPVGNLPTLGEVLDVIQQTLIPLSLLYCAGWVHRDI SSGNILAHEVGSVLRAKLSDFEYAKKFPLPKDHEGSVDPKTGTPFFMPVEIMQNTYLY PKTKTYSKAVSRVWGLKEVMLRHLTQRKQTKNRKRTAVSVVYNFQHDLESLWWVLVWS LTARVDHQPSRTWAKQIFQHNDVPTSARLNFLRPYCEDPDENAQDLQRIMLPSISVLR YIVDALREAMNIAYSERERGYMLRDPLSYAIIVNLFHSAFQPLQNFLDSFKGTPLLPS VPGDGPNSVEPMDAPTAPGSPAVSVAPSLIPGRKRPYPNIGQTAPSESFRPGPTNEDI EATLDRQRCKRVKTQDDPISGGGSDAGDNPTPGTLTTGRQFKSGTRSKTGGS JR316_0001355 MTTLITGGTGRTGLGLAKLLHAANYPVLIATRTGVAPAPFKAVK FDWNDASTHEAAFDTADPPVDQVYIVGPPASTDVALHTKFVELAISKGVKRFALMSAT VVGPDANSPFPAGVVHQQLIDAGVDFVVVRPTWFIRKQHIVSLSYKNFDNLGITNFST IFSAAQDGKVPFVSTEDISQVVFEGLTAEKSPNDSIFVVGPELLTYEDAAKIISSVLG RTITYKRNTIEEQAALYTQVGAPADYAKLLAALDADVATGTEEAVFNDAEAAAKGRLF VGKHTLLEFFKEGKNVQAK JR316_0001356 MSTSNGDCSNAMPATSSDHDSVIAATPASFADASTICKTPDAGS AEEPSTHNGSVASTSAEGATASETNANGTLATTADTNSNNPPADANSNKPRPSETPRA NRTDGKTEKLTSLRSQIALEMNNEFVECSVEVFLKHYLPFEPSEVAVEECILRLLGKD PAPTNDAIEGCPSVQQAAEPLRRSARLKTLHKPVYNEEEPILSEIILEETTASLRFTD YMERPSKQEAVEKTLFAPLKSIADAVGGYSETCDGRTRNSFQYLNCQDTIISSDIRGS NNKIDACFSNGGSDLHTKYIVVPIEQKISGANRDSNNRQVVSANVQIMNDDVRRMFTY GMTFEGDEATLWYHCRSHSAISKQFSFVENPKLLVKVFLSFLFATDEELGYNPDVTLV EGKKRQYTFKIPSSDGKSAKFYRTVKVLSEYRSNNISGRMARVWLVDQVDSEGNKIGP QCILKDVWLAVNALTEKEIQTAIFADIEKYCHPDKPDYNLASDPPEDSPQAALNAIKM RNAELVKSGEYKQYFLEIESDHAGKPSKDVLEGCTPVTGLFQNADANRIASLNENSIR PVGSTGLSKTRQPSIRTYTPRKQYRVIFKEVCQPVGDLPTLGKVLDVIQQTLIPLSLL YCAGWVHRDISSGNILAHEVGSVLRAKLSDLEYAKKFPLPEDHEGNVDPKTGTPFFMP VEIMRNSYLHTKARNHNKVISLVGNLKEAILRHHTQDNQTTNGKPTATPVVYNFQHDL ESLWWVLVWSLTARVDHQPSRMWANDIFQHDDVLTSARLNFLRPYRGDPDEHVEDLQR IMLPSISVLSYLVDALRDAMDIDYSKRESGNMLRDPLSYAIIVNLFHFAFQTLQNSLD YLKSIPLLPSAPVDGPNSVQPVDVTTAPGAPSVSVAPSLIPSRKRPNPNTGQTAPSES FQPGPVDEDVEATLERQRSKRIKTQDDPNAGGGSNAGGNAAPGNSTTGRRSKSGTRSK TGRS JR316_0001357 MSTSEGTSTSTLSVTGLNHDGAIAASPSTITYTSTTPDVGFVVE HAINNGSNDSTSDKGVTASKIYADAALATKVDADSNEPRPPKAPQTNCADNTTENLST LRSQIALEMNDEFIECNVDVFFKHYLPFEPSEAAVEECVLRLLRKDHASNGDAGECFR PKQAPRTPRRCARIKPLHKLDYNTGHPVLSEVVLTVGYPDTPGLRFTDYMKRPGSHGS VEKTVYAPLKTIADAIGSYSETCDGRARNNFQYLHCPQTVISSDIGNSKSRIDACFSN GGSDLHTKYIAVPIEQNVSAANRDSNNRRVVSANIQIMNDDVRRMFTYGMTFEGDKAT LWYHCRSHSAVSRQFNFVENPRLLVKVFLSFLFATDEELGYDPNVTPVECKKRQYTFK IPSSDGKSAKFYRTVKVLSEYRSNKISGRLARVWLVNRVDSEGNKIGPPCVLKDVWLG VNALTEKEIQTAIFADIEKYCHPDKPDYNLASDPPEDSPQAALNAIKMRNAELVKSGD YKQYFLEIESDHAGKPSKDVLEGCTPVTGLFQNADANRIASLNENSIRPVGSTGLSKT RQPSIRTYTPRKQYRVIFKEVCQPVGDLPTLGKVLDVIQQTLIPLSLLYCAGWVHRDI SSGNILAHEVGSALRAKLSDLEYAKKFPLPEDYEGNVDPKMGTLFFMPVEIMQNCYLY TKARNHNKVISLVGNLKEAMLRHHTQYDQTTNGKPTATPVVYNFQHDLESLWWVLVWS LTARVDHQPSRTWANYIFQHDAVLTTARLNFLRPYRGDPDENVQDLQRIMLPSISVLS YLVDALRDAMDIDYSKRESGNMLRDPLSYAIIVNLFHFAFQTLQNSLDYLKGTPLLPS IHGDGPNSVQPVDATTAPGAPSVSVAPSLIPSRKRPNPNIGQTAPSESFQPGPADEDV EATFERQRTKRIKTHDDPDAGGGSNA JR316_0001358 MSTSNGDSINAMPATSSDHDSVVGATPPSGADASTICKTPVAGS TEEPSTHNSSVASTSAEGATASEANANGTLATTADTNSNKPTADANSNKPRPSETPRA NRTDGKTEELTTLRSQIALEMNNEFVECSVEVFLKHYLPFEPSEVAVEECILRLLGKD AAPTNDAIEGCPSLQQAAEPLRQSARFKTLHQPLYNKEEPILWEIILEETTALRFTDY MECLSNEEAAEKTLFTPLKSIADAVGGYSETCDGRVRNSFQYLNCQDTIISSDIRGSN NKIDACFSNGGSDLHTKYIVVPIEQKVTGANRDSNNRQVVSANVQIMNDDVRRMFTYG MTFEGDEATLWYHCRSHSAVSKQFSFIENPKLLVKVFLSFLFATDEELGYDPNVTPVE GKKRQYTFKITSSDGKSAKFYRTVKVLSEYPSNSISGRMARVWLVDRVDSEGNKIGPQ CVLKDVWLGVNALTEKEIQTAIFADIEKYCHPDKPDYNLALDPPEDSPEASLNNIKMR NAELVKSGEYKQYFLEIESDHAGKPSKDVLEGCTPVTGLFQNADADRIASLNDNSIRP VGSTPFINSGQQHKRTYTPRKQYRVIFKEVCQAVGDLPTLGKVLNILKQTVIPLSLLY CAGWVHRDISSGNILAHQGGSVLRAKLSDLEYAKKFPLPEDHKANGDAKTGTPFFMPI EIMQDVYIYNRIDNKNDIAFAIRDPSLFVAKKQLAELGAPRKNTSRMSYTSVVYNFQH DLESLWTWANEVFQHDVVPTPERIRFLRALSSISLEDIMLPSISFMHLMVDYIRSAMN IAYSGRARDDTLRDTLSYAIIVDRFHFCFQLLESVSGSPWEETPLVMNIPADGPNSVQ PADAATAVPSAPSLFAGCKRPNPNIGKTAPSESFKPGPADEDVEATLKRQRPKRIKM JR316_0001359 MSTSEGTSTSTLSVTGLNHDGATAAAPSTITYTSTTPDTGFVVE HAINNGSNGSTSAKGVTASKISADAALATKVDADSNEPRRSETPQTNCADNTNENLSI LQSQIALEMKDEFIECSVDVFFKHYLPFEPSEAAVEECILKLLCKDTSPIGDAVDNFP SPEQAPATPKQSARIKPLHKLDYNTGHPVLSEVVLTVGYPDTPGLRFTDYMKRPGSHG SVEKTVFAPLKTIADAVGSYSNTCDGRARNNFQYLHCPQTVILSDIGNSTSRIDACFS NGGSDLHSKYIAVPIEQNVSAANRDSNNRRVLSANVQIMNDDVRRMFTYGMTFEGDKA TLWYHCRSHSAVSRQFNFVENPRLLVKVFLSFLFATDEELGYDPNVTPVEGKKRQYIF KIPSSDGISAKFYRTVKVLAEYPSNNISGHMARVWLVDRVDSEGNKIGPQCVLKDVWL SVNALTEKEIQTAIFADIEKYCHPDKPDYDLALDPPEDSPEASLNNIKMRNAELVRSG EYKQYFLEIESDHAGKPSKDVLEGCTPVTGLFQNADADRIASLNENSIQPVGSTPFIK SGQQHKRTFTPRKQYRVIFKEVCQAVGDLPTLGKVLNILKQTVIPLSLLYCAGWVHRD ISSGNILAHQEGSVLRAKLSDLEYAKRFPPPEAYKGNGDAKTGTPFFMPIEIMQEFYI YNRIDNNDDIEFAIHDPSLFVAKQQLAQLGAPRKNTSQNDMSYNSVIYNFQHDLESLW WVLVWSLTARVSHQPSRTWANQVFQHDVVPTPERIRFLRGLSRITLEDILLPSISFMH LMVDYIRSAMNLAYSQRARDDTLRDTLSYAIIVDRFHFCFQLLESVAGSPWEETPLVM NIPADDPNSVQPADAATAEPSAPSLFAGCKRPNPNIGKTAPSESFKPGPADEDVEATL EWQRTKRIKTQDDLNLGGGSNA JR316_0001360 MWSPARAPAPEPAPASGPLSVSVSSPFTLEEFADLVSAALDPHP HPHPHRSCPSPAPSPSATPSASAWSSSRSGSRARPALSKSTSSPNDAQYSDARWDHKL NADSETSKISMSKASPRVRLAKLTLPWIQDHEDEEEGGESESDPDPDPAPSGRRNLNV NVNENAHDAQLTRSASHLPRTTPAAAKTPTPTPTVLITPPSSNTNNTDAPAPTPEPRV KFALSHQIPIPPPPPIPEYIPLGAARAIHIQPTNPNTSANNNNNDDDDNRNKNKNKFQ DHSNHNHNHNHNNYNNNDSGDTMPLLYAPPPPPPPPSPRLLANAPLPITPGVNTGVGL GDRDRDGAQGGCLEFEFGFGFEGVSGCVGGSRERGVSRSRERAGVGVGVRDRSRDKER ERERDKDKERERERERSKEQGKERERTRTGTRAEPPRDTLRVPASVSGRVSAPPLPLP SSSSSPSTSISTMSTTMLTPPRARARVPTPTPLAVAAYPSPSSSSSGSGSGSGFGSAS ASPSPSPSSSSTKHTKGKTKGKKTGKTKTKSKTKKADKVMATETEKTTEKANEKTDER SVPTVHKASSKLNLNLKIPSPLKSYASASANSSANSNTPLSPSTPLTSNAPLSPLSPL SPSSPVSPLSPNSPNTAAAKSLALFARIKKQLSRGTLNLSAAGGGGGQAGLGQAAGLV VMGGGSGAGVGFKSGSGSASSVRVCKDEQKVADGQEQEQEEDGQEQEQELELELALEY KHDTAFEYQFELPASPSVSVSPSSPSFALSLPSPDFALALASHLPVVSTALTSGTGTG TRSGPASASTAAISSTPAPTRGQEQEQEQKDISPIPFPTHAHENSASASDVSMSTSRG GMLRSRRRSKGRGRGGCGGGGGGIEDREEKGDEGRGRERDGGGGRDGYGDTNPSAGAS ARENAHAHARGGGGGGKYAPRADAVIDIGSPFSLSHQQHQQHPTTTFTTTTTTTPTTT TTPKTTTKTTTTLPPRALDGAIFGFKTFKNVKNGYAPYERDYEYDGRGYESGSGSNVS DEPGLERGREGEWEGDGEREGEWEGDESPSVYSCESAVRMDEVVGVGGGVNGEAEEDS AHHHRQEYQREHEHEGDEEELDDAHSLIGSSCSYFVALRARKDAAREFGAEFGVSSSP SPSGGGGGGEYEDLGAGSVEQIEEGGMSNSNANANTFTPYVPLVIQHERERAQVQARD MKRASMSMSVKSTRSSLSPSPVPSPASASVSASSRRRRANVPLPLPLPLLSTAAAAPS SPILPSPPLSPSPWLSPTSPTALPLQQQYHHQPHHHHQPHHHHQPHQQQQLPPRFSRS LSVSSTESGAPVTPCTPLFVITDADGRSSRAVQGKDCVGDDQDEEEEGEVGVVGGRVG GGERKLDRGRGGHGHGDGDGHRDGVRRVRLSLEDESEAVVKQARQIGVSRPIAFAIPP ASASPTVQQQQHFFAARTSTATATASPALALDPSGAPSVQHQTQPQTQSQSQSQSHQQ ANACTASPPSSPQTQTSPQTSTHAHAQAQTSTQTQAKAHSLKRVKTRSRQSMAVHAAV PLSPPPMGPLPLPPPLLHQQQQREQQREQRRTSLSPSLVASNVNLNQTQTQSQPHTQT QAQRRNSASTVSSTGFPVALTARSSLSLSLPLSSSSASASFASASASRASVVSANSSS SSSSAYMSMSASMSASMAMAMWASRARSASLSSSSVARAGVAVGAGAVAGGGVGGGVG VGGERTVVSKTTEREREASISDGSSFWARDDDDEEEEEEGQQGMEQEQGVVEEQGQGQ EDGVRVLAANTLSNANVNANANANATLNVNQDRTSVTGTHKISLPPYPSHHLPLPTPT PTPAPAPVPLIGVGRQIVRRGTPKRVGEDGVGEWTLLLGASDEDVLDVEEGGEKKVKK KGRSVGVGMGRAAAGAGRMLSVPTAMGRGGCVGGNAGGGGVERMRTKSESALRVKNTS DAAAAAAPVPGGSGVQDWTLLLPGLGNKKSFIKAAAAAAAAAEAATQAPGVSVTAIAM SSTSTASAAAGAGAGAIGDTSASRKGGHNNNAAAAAAEICVPRVVSVGSGINTSSAVS VSLLKPNDDNDDEDDDEDDDNSSIGTAPRERNVHNLNSNLNLSVNGAMTMTMNLESLH KLEKDLEKFNAMILRTPSIMGLGLGIAGGGGGAAAGVAGGGGAGAGGLRHTKSCGVLP GVDEPPPKNAVLRSVEVFGDSLNINTTMTARTARTTMLAAPVSRARRLNSTATLRIDT SSSAAASARASARASASGSGSGTGNLHRMRSTSSAASVSSAEVTPTALSPVSGLSKVV LVSSQQQQQPMTTNTTTTTTTTTTTMTAPQTLPALSPSPSPSPSPSPTSFRAVHAQRD SVLSVVSSSNDVYFSDSSFSGEYYSARSSFTSALAGDVVSSWE JR316_0001361 MSTSTSTVNLTNYPPRDFKGYGRVPPNPNWPNGAKIAVNFVINY EEGGENTLDNGDAQGEAMLQEVGPKIGLQGERDIPMETQFEYGSRRGMWRLLNLFEKH NMAVTIYAVGKAYEQQPDIAAACEQGGHETASHCYRWIDYTLMDAETEEKHIRQAVES FKKTSPTGKVPVGWYYGRPSPRSRALISKVYRELGLELLYQADTYADDLPYYIPDPIA GPSEGLLMMPYSYDCNDFKFFVAPGFGSSMAYFEHAKNGFDTLYEEGENGQPAYLTVA LHARVIGRPGRFQAIKQFVEYVAQKPDVWVATREQIARHWKAQYPYQPPSAA JR316_0001362 MALYPVAQRYPEYVKHMMWITLGICSAALFLSSFATQVWRLILL QGVVFGVAAGAMYAPVLIWIPDWFVNRRGLAAGLIFGGAGLAGFAFPLIMGYLLKGVG FRWTLRIWALALGVTGGLAILGVNPRVPIRKSTMPIPREPWLPRDLSHFKSPLLYSML AISILQALSYFPVSILISTYTSSLSSATLPSTVVLAIFNVSSSLSYLLFGRISDSYPY PYIIFVSGLGSALAAFFVWGFASSLTLVFAFAAIFGGFSGGIAAIWPAAGTDIGGSRD HITSLAMGCFSGFKGIGATIGPIIAASLYDTQSTAKTVYGGFGFRKVEIFVGSMAVAT SLSALILNSHSTSKKKLT JR316_0001363 MSTSVFPSSLYAELLPKLLEVLELTQQTSGISNPQARQKLLQAT INFKNALAQAKDFANNLPGGELTIEEQDDVIEMLEMLKERKKAQLAAFSARKVASSAA SRDLKMEVDSVASTPFQSDG JR316_0001364 MVSDSSNETSNSDILDLHDIALLLNYERASTEPRFRHAKLREVT NSDFQTVRLLAPEWAAPNVPKTGMVFDKPRRGSQTETRPDLPSNMLPDSPSPSLTSLN PKQLETLYWQARNHDGCYRTVTLFQHFIDLFPNPNTIPIRVRTIDNHGPKVYTVSAGA RLILEMKLKEPSSLTLACVLPDNQTYISGGARVIDHAVLAFSEDGQNIESILDLSSLQ FGDVGRGCKGRGLFLLEPVHEYVDRYLPRFATQNNFEDGKHSSRINDAPDSAWLRQVA KRVKERWDNRKNEHWCGHCGAPGKTLRKCPCGSAYYCDVDHQKAAWPFHKHFHTT JR316_0001365 MASTTDSKNSSDLRVENIEKSETPALDAHYDPAFVKRTIRRVDW RMLPLLGFLYAVALIDRTNLGIARSAGMAVDLRLTIGERYSIASMIYFVPYILLEIPS NLVLRFMGARLWLTICVVGWGAAQLGMGFVPTWGYLVLCRVFLGVFEAGFFPALVFII TTWYKRHEVQKRLAIFYLSAMVITAFSSIFAYVLTLLKGRGGLNGWQWIFIIEGVITI ALGLLTWLYIPDFPDKSKFLNEAERKMILDRVEADRGDSIPDKMTRAKFFKHFFDPLV WAFAYMFLASTVPAYAIGFFITIILGGMGYSTTEALLLTAPPGLVGAISVYFFAHLSD RTRLRAPWLAAQNLLTITGLFVAAYAKLNAARYVGLFLVNMGASGCVPGVLAYSANNI TSHTKRAIQTAVIIAAGGIGGILATTMYREKDYPRYIPGMWATIGFQFGMLAMLAITT FVFHRRNTLRRAGKIGPLEGQEDFYYTL JR316_0001366 MTSQPLLRAQKASESETYGSSITANVNSNLPRDTSQSIRWIEPE THEFRSQIASGMDNEFVECSVDIFLKHYLPFEPSDEAVETCIAKLLCKPFSFVDGVSG ANPRSEQVPEKPRRSTCVKTLPQPSCIERHPILSVVKFKEQKEPTTCDAETTVLRFTN YMMRPGRQSLGNKSVFAQLKSIADAVGGHSETCDGRVRNDFHYLNCPDAVISSNIGGF KNKIDACFSNGGSDLNVKNIAVPIEQQAWVASKYFTSDRQVVSANVQIMNDDVRRMFT YGMTFEGDKATLWYHCRSHSAVSKQFSFVENPRLLVKVFLSFLFATDEELGYDTNVTA VEGKKRQYIFKIPSSDGKSAKFYRTLKVLSEYPSNNISGRMARVWLVDRVDSDGNKIG PPCVLKDVWLSINALTEKEIQTAIFADIEKYCHPDKPDYNLALDPPTRSPQAALNAIK MRNAELVESGEYKQYFLDIESDYSGKPSKDVLEGCTPDMGLFHSAHANRIASLNNISI PSVGSTGFSKTRRSSIRTYTPRKQYRVIFKEVCQAVGDLPTLGKVVNVLKQTVIPLSL LYCANWVHRDISSGNILAHQQGSVFRAKLSDLEYAKKFPPPADHQGNADAKTGTPFFM PVEIMQNCYLYGKPKADNVDSRIEDPVILLAEMQRVEHGAMNDKVWTKIPSYEAVIYN FQHDLESLWWVLVWSLTARVNHQPSRTWANQIFQHADVPTSERVKFLRPFDEKDLDTN TKAQDPLQATMLPSISFLNYIVNILKDALHVAYSEHTSNAILREPLSYAIVVNRFYLG FQLFDRVAGAPWEETPLVMNIPAEGPDTVQPVDTNTAVSSAPSLIPHQKGSNPNIRKT APSESFQPGSGDEDVKPTIEQQRSKRFKNQDDSITSSLMTGRQSKSSTRSKA JR316_0001367 MSTYECLFQRQMDNEFVECSVDVFLEHYLPFEPSELAVEVCILR LLGKDPVPTSNATEGYPSPEQAARALRRSTCLTPLHQPVNDKEQPILSEVILEETTAL RFTDYMERPSKQEAVEKTLFAPLKSIADAVGGYSETCDGRVRNNFQYLNCTERVISSD IGGSNNRIDACFSNGGNDLYTKDIAVPIEQKVSCANRDLVVSANVQIMNDDVRRMFTY GMTFEGDKATLWYHCRSHSAVSKQFSFIENPKLLVKVFLSFLFATHEELGYDPNVTAV KGKKRQYIFKIPSSDGKSAKFYRTVKVLAEYPSNNISGRMARVWLVDRVDSEGNKIGA QCILKDVWLSVNALTEKEIQTTIFADIEKYCHPDKPDYNLALDPPEDSPEASLNNIKM RNAELVKSGEYKQYFLEIESDHGGKPSKDVLEGCTPVTGLFQNAHANRITSVNNNSIP SVGSTPFIKSGQQCKRTFTPRKQYRVIFKEVCQAVGDLPTLGKVLNILKQTLIPLSLL YCAGCVHRDISSGNILAHQEGRILRAKLSDLEYAKRFPPPEDYEANGDAKTGTPFFMP IEIMQEFYIYNRIDNKNDIEFAIRDPSLFVAKQQLAQLGARRKNTSQNDMSCNSVIYN FQHDLESLWWVLVWSLTARVSHQPSRTWANEVFQHDVVPTSERIRFLRASSSITLEDI MLPSISFMHLMVDYIRSAMNIAYSERARDDTLRDTLSYAIIVDRFHFCFKLLESVAGS AWEETPLVMNIPADGPNSVQPADGATAAPGAPSLFSSRKRPNPDIGKAAPSESFKPGP TDEDVEATLERQRAKRIKTRDDPNAGGGSNAGRQSNTGTIDDRASIQVGH JR316_0001368 MRAESRSRDKEKEKERERSKEQGKERERTLTRAEAARDTLRVPL SGWVSAPPTKLVLYLLSSLTGIRDVWAPNLEAEMRVIRDLIEHFPYVAMDTEFLGVVA RQIGNFKTSSDYHYQTMRCNVDLLKIIQVGITLANEDGEFPQEISTWHDDMFTPDSVE QLQKAGIDFGRHEEFGILPNDFAELMITSGMVLSHDTKWISFRSGYDFGYFVKVLTAE SLPTNEDAFFLLLKI JR316_0001369 MRASKALKGGLQEVADDLGVMRISTGQHEQTGSDSLLTASIFFK MQEIYFDDHIDDAEYSGKLYGLGQTFSMSNRLTDPARGGVTIAEREDRGSVRDAHNQT PGPNNGSSQQTQPTSMTLGPMTAGIQPAMTPGGYGSMNNGPQAYMRTMVGGGR JR316_0001370 MADPPSPYDGFMTMSLEDRFALLFRAQQVRFDADKKVDDRLSAI ESKLERLTASLPKPPAAPTPSARASRARRTSRKERPAAPTSTPTTGPPHSQSIRSTTT KAALEKIVATLSIADEQAGHVIGRAGTGLRQIHDISHAKISVSPVVTSGLRAVTIRGT AREVGDALSAISKRIARRRIRNPRSKKPKQPPAPTAAPPTLVVEPPSPTPTSSSTPTT RTSRSGTASPHLPTPTAVDTRSSPSSSLAPGSPMEVDALRAPQQHSDGYSRPGPVQPR EGIQTARRGGGPPRVFGANRPR JR316_0001371 MLCARPPTQQQRYEDRLKSVYKTEHTHASGTGASGSGSGGGRWG VGVGVGVGVGVGAGGCQAGLVVMRGGAGTGTGTGAGVGFESGSGSASSVCVCKDEQKD ADGQELELELALEYKHDTAFEYQFELPASFGFGFAFFAGFCVDFALFAVFCFAGAGSV AFAGVIERICNHNRDRTSLSLAPSSTPAHGKQQEQEQKDISPTPPPIPFPTHGTTCAA SASASVSDVSMSTSRGGMLRSRRRSKGRGAVGVVMMMEEEEREREMEMQVQVHVKMHA HAHARGGKYAPRADLRAVIDIGSPHSLSFHQQRHQHLTTTTTPNTTTTTTRPKTKTTL PARALDGPIFGFKNFNKGYALYERDYEYDGRGYESGPGGSGRSEESPSVYSCESAVRA DGGVGVDVDVCGGVNADGEAEVDERHEHEHEEEHDHDHDYDHDDAHSLIGSSSSYFVA LCARKDAARDFGAEFGLSSSLYSPSGGGAEYEDLGAGSVEQVNEGGISNSNSNSSSNA NADANTFTPYVPLVIQHDRERAQAWDMKRGRRCRRRSPSPSPASASSSSRRRPANVPH PLPLPLSSAIAALSSPILPSPPLSPSPWLSLSPSPSPTSPSPVLPLQQQYQHQPHQHQ QQQQLPPRFSRSLSLSSTESGAPVTPCTPLFVITEADGRSSRAVQGKDGVGDEDENDD GEQARQIGVSRPIAFAIPPASASPTVQQQHFFAARTSTATASPALALDPSGAPSVQHQ TQPQSQSQPQQQANACTASPPSSPQTQTSTQTSTYAHARTHTCTRTRTSTRTSAHTSA LSALAETSQNPLQAE JR316_0001372 MGPLPLPLPLLHQQQQQQREQRRASLSPSLVASNLNLNLNSNQA QSQCKNHSQTQTQTQTQTQTQTQTQTQTQTQTQTQTQTQTQTQTQTQTQTQTQTQKQA QAQAQRRNSASTVSSTGFPVALTARSSLSLSLLLSSSAASASFASAVSASSSSSAYMS TSASASMEMEMEMEMWASRARSASLSSSSVARAAVAVGAGVGAGGLRHTKSCGVLPGV DEPPPKNAVLRSVEVFGDALNTTTTATTARTTMLAAPVSRARRLNSTATLRIDTSSSV AASAPASASDDDGDSGTCNLHRMPSSSSAKSAVSVSSAEVTPTALSPVSGLSKTLPSL SPSPSPSPSPSPSPTSFRAVHAQHDSVLSVVSNSNDVYFSDSSFSGEYYSARSSFTSA LTGDVVSSWE JR316_0001373 MTTLITGGTGRTGLGLAKLLHAANYPVLIATRTGVAPAPFKAVK FDWNDASTHEAAFDTADPPVDRVYIVGPPASTDVALHTKFVELAISKGVKRFALMSAT VVGPDANSPFPAGVVHQQLIDAGVDFVVVRPTWFIQNFDNLGITNFSTIFSAAQDGKV PFVSTEDISQAVFEGLTAEKSPNDSIFVVGPELLTYEDAAKIISSVLGRTITYKRNTI EEQAALYTQVGAPADYAKLLAALDADVAKGTEEAVFNDAEAAAKGRLFVGKHTLLEFF KEGKNVQAK JR316_0001374 MSTSEGTSTSTLSVTGLNHDGATAAAPSTITYTSTTPDAGFLVE HAINNDSNDSTSDKGVTASKISADAALATKVDADSNEPSRRSETPQTNCADNTNENLS ILQSQIALEMNDEFIECSVDVFFKHYLPFEPSEAAVEECVLRLLCKDHAPNGDAGECF HPKQAPMTPRQCAQIKPLHKLDDNTGHPILSEVVLTVGYPDTPGLRFTDYMKRPGSHG SVEKTVFAPLKTIADAVGGYSETCDGRARNNFQYLHCPQTVISSDIGDSKSRIDACFS HEGSDLHTKYIAVPIEQNVSAANRNSMTFEGDKATLWYHCRSHSAVSRQFNFVENPKL LVKVFLSFLFATDEELGYDPNVTLVEGKKRQYTFTIPSSDGKSAKFYRTVKVISEYRS NNISGRMARVWLVDRVDSEGNKIGPQCVLKDVWLAVNALTEKEIQTAIFADIEKYCHP NKPDYNLALDPPEDSPEASLNAIKMRNAELVKSGKYKQYFLDIESDYSGKPSKDVLEG CTPVTGLFQNADADRIASLNDNSIRPVGSTPFIKSGQQHKRTFTPRKQYRVIFKEVCQ AVGDLPTLGKVLNILKQTLIPLSLLYCAGWVHRDISSGNILAHQEGRILRAKLSDLEY AKRFPLPEDYEGNVNPKIGTPFFMPVEIMQDVYLYSQIVNDDDLEFAIHNPTLFIAKQ QHDLGGPRKNTTQNNILEKPVIYNFQHDLESLWWVLVWSLTARVSHQPSRTWANQVFQ HDVVPTPERVRFLRALSRITLEDIMLPSISFLHVLVELIRSVMNIAYSELERGDTFRD TLSYAITVDRFHLCFQQLESVSGAPWEETPLVM JR316_0001376 MTTLITGGTGRTGLALAHLLHDAKRPLVIATRAGAAPAPFTAVR FEWYDFDSHVAALSAHDNATPTSKIDRVYIVKPPLTTDAVVVTSFIDLAISKGVTRFV LLSSTQVGPDPSSNSPGSVIHQYLIDRGVEYAVLRPTWFMQNFDANFKRSIRDYDYIF SATHDGKIPWVSTDDIAQVAFECLTATQSPNKDIFVVGPELLSYEDVAAIASKVLERP IRFKRYTLEEQAAFYISLKWDPKYAKFLAELDTKIAQGSEEAVFVDPETEKNGRKYVG KRTLFEYFVANKKLWIKQ JR316_0001378 MEEDVEELARYLLHKLDCTPAAQRLIVGIAGIPASGKSTFSHLL VERVNSLLRERWVTNSTTSGETPANIQNASGDIGFPEHEQAILVGLDGWHLSRAQLDL LPDPRTAHDRRGIHWTFDGAAYITFLKKVRDTSFAGVITAPSFDHALKDPTLDAVSIH PYHRIVIIEGLYVFLSIPPWSEGGLLLDERWFIEVDKAEARRRLAVRHVLTGITENTI EAEKRADLNDLPNGEFIVSNLLKPTRVIQSKPISTSVR JR316_0001379 MASSSSTNTTGRVLKRAHPSTIQDKFLVGYQGWFTCHGDGEPVG PGHHGWLHWFNYPIPDGGRPNTDVWPDVSSYSPSELYPAPGLKTKAGEPVFLFSSRNA KTVQRHFHWMAEHGVDGAFLQRFAGQCDLEAGNEGIMRIRDEVGERVREAAEKEGRVF AIMYDVSGVAPDRIQRILERDWVHLIRNKGVLDSPNYLREKGKPVVALWGFGFDNSGH TPELVHAITQFFRDTTPGGAYIMGGTPASWRTAEGDADRNPNFLNVWLNDFDAISPWT IGRYCTEQEADGFAESKMKGDVDMIKRHNDEGRGRKVDYIPVVFPGGSGCNLSEGKWG FNNIKRNGGRFLWKQIFNAKRLGVRTLYGAMWDEYDEGTAFMPIVEHKRDLPESDNYR FMALDEDGYDVPSDWFVTTLCFTSPHILMTSSVQYENRYMRICGFAGEGLRSERRIHE TFPVKELQDYWSSRPRYEEVSHKSGDFVSGPSYAAGSVAGAGGSGAPTVDVEGQSYAE WLAAQKEEKEELPPPPYSLEAEEEPSSSTVAPTNAAAQANVFVPAATPLGMSSGSAPL APVGHTSTATMANAPQSSTPHPQSTGSLAANSSGYVRSSDSSVPIASGPGAPQHHQQD PIANLTHGFERQSISDSVYQNSGGAAIGGNSSAGTSSPTHTSHSVAYDHAHAPPMHVS GRHSRPSSQSGTISPVPRPSSQQNYQHNSPVSSAVHVRPSGQANATGSWSQGQWPPPD WNVRPNQTVNAPPSVPHSTYPTQSGGANLTRPQSFSASSNVVVGASLRPNSTISGRPS SAASNPISAGTYTGASNSGHRPASPYSRTQSPPNTYNSTPYPPHSVSPYPPKPSNSPH PPGQTSAPYSFPNGPSYPPTASAYSGEGGTSYPGQSSGSGYQPMHGSNYTWSSGPSSH ASPPHSPLGVSASSFPAHSTYSPSPYNPSQPPIPQQYTGPSFPSSPPGGSSPYQPQSP DVYFPQAQAPTPGAEGSYYGHSNNVSSGISMPTSSPSAYPYPSQYGSSTPSFPGPNGP SNFEYPQPATSSAAWGPPSLPPRPPTHSGYGKPSNVPAFPSSSGGTSSVSSGFGKLAL SAVDKVAGKKTREQLESQVAGIAQTGNKLFNKYINK JR316_0001380 MHTQYAVPPHHNYYSSQTFYHEAYLSSNDAQNIANFSRGSSLAY QQNYPYLLDRGEHSRLCTSGLVDSTADATGLGFAGNSLVTTGAFAGAEDIASYNQQPW DWSSAPEGETNASSSPIYASPSAEDAYFRISKTPADHESSLRSPAPQLPTPAAMAILL NPNGRSEAEPSQPGCQFPLPSELVANRSPATAKSNRLVEQVVASQEGIPESISREKKH ACTMCHKRFDRPSTLRKHLLVHTGEKAFVCDICGRRFGVASNLNRHVKRCALKPVNTP SPSKSTADSPTDTMNSSIPNPSTPTTSSAESGAQGQELRRATRIHSSALTASRSSAHS MNPAHNSRVSGEIPSVRAPKHPTQKRRRRAPSPSQWVPITLQNLNLSSEDLHRATCVP LPPVRRNYPKEERDSWDENVGVSPYHPISWTGTLPGPGLGHGIGLGGKDLKNMEFGGR GGVILGRVLVC JR316_0001382 MVAPVDGPSAAPGTGFEVGKHNTALQAHVAFFDRDDDGIIWPGD TYRGFRELKFGVLLSILAMLVIHSGFSYITWGSILPDPFFRLKVKYMHRAKHGSDSGV YTTLGEFDDNRFEYIFNMYSTEPHTHLTFTEGVRMVHGNRNPYDPFGWFSAVFEWLST YLLLWPVDGRGMRKEDVKAIYNGSLFYRVSGKKPKY JR316_0001383 MLRRKLIENDSMVLSTYDSEVINLGLENVLSRTFKPSADVLKFK SRLSVSVNIGDAIKWWIVALRRCAARTQLRRKYANYDDGSTLGEYFAAWGALIQTNVG GADDLGGAIAWPCRPLASLYQPGPFCSEPSQTTAKSTTAMLSILCTLAASTSLVLGYG VGGLQRRAGQTTATCTKDFVWMDNAHNASPCQVVAQLDALCNGGNWVIPSLTSGRYNN PDPSAGTATLCTCSWASYNLISACTMCQNATSTVAMWDDYSSMCSGKLSTTCVFWSFL DIPNLTDSTNRYFPSNYTLPTDLTIPFWAGTDPTTWPSRQFNVASAQQIARENHADLG QAPPPSNKKSTNVGAIVGGVIGGLVVIAAAVAAALYILRRQRKSAPGTVPLSGMPGHM RSMSDVTTSSQAYTTLGSAPFRPPTSPTIFTHNTSVRSVPFMSSVAPTAAPYGATNLP PVTPPVTTSPPPGNRVEDVIEPFVTPPQQANIGHDRKQSNGSGFPIYDSPSAPPVGGM RMDVTRPTTPSQSQRTGRYNPPAYSESSRNGGNRPAHRGKQASSDTLQSLTSSRNHGA RTTPAHSPNSSASGMANISGQLPVTNNESTSNRANTPGHGRQVSASNDSKRHPSGSES ISGRDIA JR316_0001384 MPVTPAQKAAIEEVISAILAAQAPRGRRQLAAMFMDLVDRGSWP QYYEVIPEPRCLKNIQSGVAKGRYKEATDVYTDLSLVFWNALFYNESDSQIALDAQTL KDILEAEWKKRTVLPTTRSSPPPSSAQKVHGVAEDTTAGAKEVKPSSTGAKEVKTSTP AAPTPAPSTPAPPTPAPLPVAATAARTTTPASQTQPQPTASASTSNYAYSKPVPIRPK STQRQTPEIEVDVVSPESDEAESDGAALQAERDPQSEEIVKQLEKGLPRWPGFGEEGW MTDTKPVRHCYTIIDMTLFSMDNKGTGNRLAVCLESVPEESSPTLHLASTSPISLKQI ETRTRHKLYKSAKDFDHDMARLFEKARRWHDPGTEAYGRTLLLQRLYQALTSPNPPAA PYVSNTNFAALRAGPGNVRPVHGSDGEGIPNVTTHRVLSRDRTFVDELNYKGWKIKLA DWVHLSNPDDPSRPIIGQVFRCWVSDNFGKPGPPGITVSWYYRPEQTFHPSNRMFWEG EVFKTSHFADHPLEDIIEKIACQFTARHIRGRPRPPFWYVGFPLYVCDSRYNDRDRVF VRIKNWNSCVPEEVRQSTEFMPIYPFERTVYPVRHPSPFISKGAGGKGVPKGPGGLLE PSPDLVDGMEEGAYGKKKSRTDSARVAASVTGGVAYPSTYQNIQLPYVQQQQYQQQQQ FSQRIGPDRSVVTAAGGLASIGGPTQVEKLPPDTEDFQLFWRAEQYFELMIMSFHAFT LSMSAPVNTARELDLYRHICCLSPNTTAKLVDRDPETNEVLWFAAPPLNMARAKGPRH SLAYLEFLAAKRKKRHTAGESDDKMDCEEDSSQAAKRARTYVAPTVMETMQAVWKEMA LDAHLQRRARFDWQATSMMTASKKI JR316_0001385 MEQILMASQLPIDAFSNKTRQCIANLVDFFRKSKDEQEHRAAYI NGVEPYSRLAAVLVVLFERDGALRVLVTTRGEKLKTHGGQTSLPGGKMDAEDNRNIIA TAYREAYEEVALPRDCPHIYTLGTLDLHPFHKLVVTPVVAVLTDASVLDELVPREGEV DHIFSHPVEAFLNPSLAANLEPLVPHGSKYWPHESKYHHYSDHVIKDLGGTTYRWHFF QTSASPVTGLTADVMIRVAEIAYQREADFERYAYDQIRSSQAWVEAFEEKYQKALALH IAPALVYQPIPFCPEPSQAPAVKSVPAMLSILLITLAASTTFAHGESSLQRRAGQTSA VCTSDFSWMNNEHSASPCQVVAQLNAICNGGNWVIPAINATTHYDNPSNDPNNDTASF CTCSWASYNLISACTVCQNADFQFKISVWTDYSSLCSGKLSTTCASNHLYFPSNITLP TDLTIPFWAGQDPTTWPNGRFNPSNAQQIANENHADLGQVPPPAQKKSSNVGAIVGGV IGGLVVIAAAIAAALYLIRRQRQSAPPEGTLPLSDSSGHMRSMSDVSASTRAYTTLSS TPFKTPASPTIFTHNTSVRSVPFMSSVAASTVPYGTATPPPLVRPTATPPPVNRVEDV IEPFTTLPQQTNSSHDRKQSNGNGFPLYDSPSAPPVGAAMHMEITRPTTPSQAQRVGR YNPPAYAESSAGNGNRAAHQRNQPSTSDAQSLHSLTSSRHHGTQHTIAHSPSSSGSGI TNIVGQAFADNEASGSGINSLPGYGRQVGPFRDEKRRPPEDGSISARDIA JR316_0001386 MQTATIVQASSTMTGHFILPGNSASEADGASRVVRFDNECVLIP EALQKRPSLMVTKSYSLPLWKRKGQQTESDGEDASGGSLAQNQSAEESRVVIKVPIPL FRRRSSRSPSRSRSTSLSPIITKRPPPCLVHRAPSSSPTLMPLSPVRRPSLPIYHRPQ DATTVPLRPCCEACEHVMEESLREGENWQEKFSRGAKRRRSASLDNTDINSLFKLPTH TKSASYSKEFSVLSSCLDDVAESSRHGAKPAFSLTVDEVDKRRKSIDASRENLQPFYG SVAPPPPGAGFASLSPGSPYSGPRYPRGLGRERDSSSSLSSNSAVDELSPGDILDPRH RLRSSPIEEEDEAQLFPLPSPRRSPSGTPSPRLSPSPSPRISSSGLPGPAGITVSASS SKESVASKMGSSQESLLKASFTRKPSGSPGTSATSLPRSPSPLGLAATSRAEDEVDVK PMKGLSLRGLQIPSASTTSERAASGVALPKGPRPSPNAAAQRVAAKEQEQSTPISTPE SSAAVSQEPQRLKPLVPLRLQTAPIPSGSSPIPIPGAKTQEHEPSASSHHSFFHLHSH SQSLSSPPTAPSRSSTSPVRGHAQGQQKRKLSFSAPFIRAGEALRDASVDVLKGVSSM SGTAI JR316_0001387 MSNRLAQVSGHITNSNGRGLLAGEVAIITGAGQGIGRSTAILFA KEGAKVVISDIDAKRLESVEGEIKAAGGEVLSVAGDVGADDFPKKIVDATVQKWGKIN HIINNAGFTFDKMLHTTPDETFDIIMKIHVRAPFRLIRQAAPYFRLKPEARENRSIIN VSSTSGLHGNVGQANYAAAKAAVIGLTKTIAKEWGPFGVRANTIAFGLIHTRLTAPKE AGVTIEIDGKKVALGVPGARPPVSAAAPSEEAFPQIPLRRGGTPEDAAGSMLFLASPL ASYVSGHTLEVTGGVGI JR316_0001388 MVLGVPSQTANQPHSIPWHIVATMDKEAGTEHVPQKVSTEPEGL DKLFPWLKPALRSRRTIKTWIRCCIALATTMMLMVATKPAHTMGQAAFFCVIVAVMLP PTFALSVFLMAATTLLVGMLLGWAWGSAAMASALSVRSASLFAQQSQTLKSLLDPTSP IPIARQIQIHTFHGIFLDPRVCQLIGGLRRLSIYWYICNGSVESVSSELDAAERLWVD RNGCGVYYRAAAVLPTAQYTIPEQLIIPTTFYVAVAIGTVILIFPESLSHVWLTLLLE SFWTKTLDLLRLQSETLALRPSDHEAWTKLNARGTQLRTSLVAATDAVSDQLKLIDLD TSIGRLGPADLKKINLELKSIMFRAR JR316_0001389 MRKPDTEVVKLLQEEHIDRAIYGIGLTSYKAIRRRLGLLSARQQ GHTVESIKESMVALRKRFPKAGARDMGSLLFHHYGMLVPRDLIVVYFRVYEPELIRER KARRLRRRRFWAAGVNDIIAVDQHDKWKRFGLALHNGGDPFDGYIHWYKIWWTNSNPK LIASYYLNVVEKNKCIPLITQSDPGSENYGIANAQTTLRHMHDPRLIGTIQHRWMRKK KNIKPEISWSQLRSRFTPGFEDLLDYGVNNGLYDIGRPLDLLVFRYLFIPFLQVELDA WTDMVNNTQKRSDRNKILPHGIPQHIHLFPERYGCLDFKIQVSQEAIDEVRQIFAPPE DPVFQLVPPEFKHYADILYQSMESPVLTSENIWEVYSELLYRFENLDNAVDFIEECQV YIEAMELQDNEDNENSFKPQGRDLFGGIDNSKSDGTYYMGGVNNGHGLDNEHYRILDA MDENDGELEIDEVQFTDDENEEDEGEDVLI JR316_0001390 MSSGNLHISTQFTEDVIARTPSKCERPSCQAIIQVGDQRIYLAS QNPNVSGKYVCKACFDHYARKSVTLARVVHEDSASSTSIQTKQREESNAVASAKLTPV SARLINISDVQQMINEGQRKGANTPQGRVTSMPPSSSSVVGGPNIHNPSYFQNFFSHH STPSISASGYSASHALYAQEHKRWSTAAYKGSLAPGQIPVNAQTKAMVPIVAGVGYEL LLGKTEQTGIKEGISVDYGLTPQELAQAIRSCVIKPLTKLFPGFPWDFSKSRVRETAI WQDILANRDLTEPYFQGRFLKAKSGKSAAGTYTFSPPNKPVSFIVIIDRNQWIEAERM TQDSDAAYVPEPKRLIESVASDSSEKHTHQHDHAFPLTRKRKELASPYSTTDNSDIRK RREPANSHQTIDTSDAFSTLSYVEDEYSFDKKNQNNTNNTGESVQFKSLDANEVTRGL LLGGQQSVLELKQRFDAIRIESILCYPIVFTPFHDLGSNNQSSIISSKGYFAQLSFND HTDFISKGGFKTAHSALLEWTSASPSGLLGSWLTSPISIAMKRLYTQRKDPKTGAMVL KRFAYADESIKTLMEATSLAYADSILQFAYGFINNFLNKQGSNLDSDDLPFSIPKLRF VKACIAYSFGKGKQQAASTSYSAAYLLEELIPTELPFIKYIHNADAVPLLEESEPGYD TSKFLCFVQHVQFVESHGQVFLSDFQGAGDLLTDPQVMTHPKLKDGNGNPLQNLFGEG NVEEAFMKFPSQHKCNEYCTWFDLEPINTE JR316_0001391 MAETTVPRQVEMPRKRKEKSANVPQGGRYHALSRRIRDRELKHG HDLDSLVPILASSSLNLRQASESAIVCLVDWFQECNSHRWMAFISKSSPESIAKRHEK LVKELENLQNALTEFRTVERVQLIKPYERFFDPKTRLLLTNCDMFTSRSLYICFVFID TIDAFSEAIVKMLKIVTEIDAQRPKPKVWFPGRIVKASQNITNSDFKDVDGPIALGTS QNPVVFSSNESSQTSLTESESDDEDEESEEKKRKELDDQACPAEPPKKQDPDAFPPTT AFGRMVVKVAPFFRFFKSPQGIFALRMGIVSVALWIPSVCHSSAWFYYDNKGLWALIM GQMGLAVYAGDQIAGFIVRITGTLLGLVGQFFAVKSDITSTNTDATLQLDWLSGTLGQ AMGTETLTDSWVNANIDVISNPGVGVTLGWKRALLVIIGFTAGFIVMLFPNPISSRVL VRKTLAAVISESGNIFAGEVEAFLSEEQKGRSGIYEKIELVGRAEPDDKKVSPKERRI RRIARRVIAVSAADDRPVAFDG JR316_0001392 MEGRPLPAFLPRLRDRLLYHDYHAAKATKSTLRQGNLFPGHIRD GGDEVKEEKSIHTDSTSELLNKGDKSGFESEEDLGAAAGPMHVDGHSVGIELDELNLD MLLNEQLPAHSTAVIALSSLLFRVDEMIDITRTLCGQATFRGYEGLQQDYLDREEKAI GGVRP JR316_0001393 MASIGPEIPPHLLKQSKRDDKDENEDDDGPQLPNASSSSIGPQI PAHLLNSSSKIQVIDDDDDDFGPQPTSSPSIGPTMPTVTSKPVAGPSIPPPSSARTIG PSLPTYAPTYDPNSAYDNEDSDDDDIGPKPLPAGMQHRQSDAVQEFLEREEKRRKAAE EAAKPKAVKRDEWMLVPPTSQGLLGNLDTTKLKARQFSRGTGAETSSSHDNSLWTETP AERQQRLADEVSGKKRRVTDAPVDEAEEIKARKRQRKAEEEAIRRGVEEHTKKQRGAA LIDQHASVAKKSTDEVPGIWDHSRDMGLGGRLMDDEKRNKMLKEAKGLGDRFGSGKSG GFL JR316_0001394 MTALITGGTGRSGLALAKLLQEANYPVVITSRSGEAPKPFKAIK FDWFDTTTHESAFKDISIDRVYIVGPPGSNDSANIISFIDLAVSKGVKRFVLMSATQI DPSRIQSAFPAGAIHQHLIDKGVDYVVLRPTWFIQNFGTNFLVSIKEHSQIFSAAQDG RVPWISTEDIAQAAFQALTAEPSPNKDIFVLGPELYSYAEAAEVASSVLGRKIEYKRL TIQEQADFYSTLGVSPDLAKVLAEMDQKIAAGNEEALFNNPQIASEGRKFIGKHTLRQ YFEDNKDLWTK JR316_0001395 MADQAPQYSTAEERAFDVEKAESPEEKVLDDLAIAELAGAQFDD PNLDKENIVAFDDESPYPEVRSAVANTDDPTMPVTTLRTWVIGIAWAILIPGLNQFFF FRFPSVSIGGIVAQLLSFPVGRAAAAWLPNWHIFGIAINPGPFTVKEHVLITIMATVG AGSAYATDIIAVQRVYYNQIYNFSYQWFVVMSTQLIGFSIGGVARRFLVSPPSMIWPA NLVNCALFNTLHSQQYAGIGTRGGISRERFFVYGFLASFVWYFFPGYLFQALSYFSWV TWIAPENTKIAGLFGYVHGMGMSVITFDWSQIAYIGSPLATPWWAEANIFAGFVFFFW FLTPVLHYTNTWFGEFMPISSRTSYDNEMKSYNVTRILTADSTFDIEAYHQYSPLFLS IITATLMHAFLYFRKQIWVQARRSLHEQPDIHARLMSKYEQVPEWWYLTIFLSMFTLG IISIEVWPTEMPVWAFVLALLISFTYVIPIGMIQAITNQQVGLNVITELIIGYALPGR PIAMMMFKTWGYITMAQALTFTSDFKLGHYMKVPPKPMFWSQVVATVIAGTVQLGVQA WMFTNIPNMCDPHQKDGFICPSTEVFGTASIIWGVIGPALQFSKGQTYYPLVFFFLIG AVAPVIPWLISKRYPNSIFKYIKPVIFNGTGLIPPATAINYVPWAGVGFIFQYVIRRR HFSWWTKYNYVLSAALDSGVAVSILVIFFCLQFPQNGSIGSTNIATWWGNTVPFVGAD NDGTPVRSLAPGERSIAPEISSILHTIEVT JR316_0001396 MQYLRVLACALALAPSVVFSAPSASNSQVNPYIGKEAYANKEYA RKLEETIQYFNQRYDYYNAAKTKTVQKIPTFAWISASSDISKIKGLVDETLAAQAATN KQQILQLVIYNLPDRDCSAKASDGEFQLDQDGLNKYKNYIDTIARELDTPEAKKLKFV VILEPDSLGNAVTNLNVPKCAKAAPAYKEGISYAIAKLQHPNLSIYVDAANGGWLGWD DNLSPTAVILAEVLQGAQAITPGATVRGVAINVSNYNQYVSLVRENFTELSNSWDESH YVASLTPHLQREGFPAHFIVDQSRAGKGGIRTEWGQWCNVRNAGFGIRPTTDQGILKN SNVDAIVWIKPGGESDGTSDRNAARFDETCASPVAHVPAPEAGSWFNEYVINLVKEAD PELEATWSWP JR316_0001397 MNLTESQVLHLPPLIDGDDVSTALETLVQITQALDIPDASFAHY SSTIDALHAERHALMRSLLRLQGVEDALKDYLASLKLELNLIKRWNGILTSGSPDSIY QDTTATLEKRKEALVKKSKEHYRELESLQAEVPLSIPISINKLLTQKEKNQLKEREIR EKRARIKAFQGLPPNLELARHELKQARRRQTELTQLRERLLAKMADGLA JR316_0001398 MHYLRALACALALAPSVVFSAPPAPQPPQPPRSEVNPYIGKEVY ANKGYAKKLEETIQYFNQRYDYYNAAKTKTVQKIPTFAWISSSADISNIKGLVKETLN AQVATKKKQILQLVVYNLPDRDCSAKASDGEFHLDDDGLNKYKNYIDTIARELNTPDA KKLNFVVILEPDSLGNAVTNLNVPKCAKAAPAYKEGISYAIAKLQHPNLSIYIDAAHG GWLGWDDNLAPTAALFAEVLQGAQAITPGATVRGVAINVSNYNQYIAPIRENFTEWSN SWDESHYVESLTPHLERAGFPAHFIVDQGRSGKAGVRTEWGQWCNVKYAGFGTRPTAD QAVLKNPHVDAIVWVKPGGESDGTSDRNAARFDEVCAGPVAHVPAPEAGAWFNEYVVN LVKEANPELQATWSWP JR316_0001399 MTTLITGGTGKTGLALAKLLHAANRSLVIATRAGEAPKPFKAIK FDWFNSETHEAALSDNSIDRVYIVGPPGINDPAVVISFIDLAISKGVKRFVLLSASQY EPSATSETASGVIHQHLLDKGVDYAILRPTWFIQNFGYAYANSIKERNEITSSAQDGR IPFISTEDIAQAAFESLTAEKSPNKDIFIVGPEALSYDDAAKIASSVLGRPIVYKRVT IEEQLAFYISLGIPSDYSKLLAELDADVVQGREEAIFKDKKLVDEGRKFVGRHTVLAY FQENKDVWTK JR316_0001400 MPGITSTNDADSPVRIVVTPSQSSFFAGESFSVTITFTNTRSTE AGPSKPTPHSHKRGAHSISSAPLARPPTSPGTPRSAAIHTPVRSKVVEEVPRRKGFIG KGKPLSSSETLPDLIEQRRKKQLVPKALSVSITPFELEGQLADGVTSLSAPYSQRSFI QSASSSTPTTPHVSSPLARTDSLPLASNHPHARKQSLLDGQFSLDVLSPTTSTPPLPY TPTSSTSTFSLALDPIAEATMSPYPSTPAIGSPTIEPVSFTPHTPTADVPSPNSVYAY PPPRPTNHRPTPIGLGQPSNSPRGYLHPPRSAFATTFPPPNTELILYSYAQLSGTIQI TPVPGALPTPEQSQTLNAVRGALLSRSVLGGGSMDITSTMSATSPASPKPRLRQTHSR SSSFSAGLLSILSPTSLVSSIASPSPPASATHSRSGSMRWRSTSSTAMPLAATPTSAR FPNSSSSPSVLAFGNTSGQEFDPEEPLPTFEIQPAMLAVDLSLAPGESRSYTYTVKLP DNLPPTFKGKALKFNYELIVGTCRAGPSGGSGGGVSANSISRVMKVPVRIYNHVAVGH SMKPYDLLWPVSRRQDAGMPGTEAKVEEEGNELDRLSSGVARLPASSTPSSSASTNTR ESIQEYARSLLASLPEPVPQEDGGSSWSSTDGVLDGQGEKMINTRRAEELRRTESERE RVEEVNLTGCREAVEILTRNPKKASYDVNKDGVKVAVLTFTKSAYRLGETVTGVVEIN ERTSRARVLKLSAILESHETLPSTISPPSSAKHLRRAHAESHCSFTLNTLRTTFSLDI PSDASPAFQVRVGTPVSSPSSPHHTQPPTPGGLEWKVRLCLLVGIASETSHTGVQNVR FKSLMRDGPRGEWGSSWRATPGNAPLEKPNLKLEAARAQQQQRAAQQLSSPRAWSRFI VSSILYGSQSNDTAEREYHDGDVLDTDEEDGDLFSGDADGDGYDGIIPDLAGGVGVGV DFSGGEEGWRNVKLETVECEVPVKVFPGNTAFKALDVVFDV JR316_0001401 MPFTFSLKQIVVGGLLLFASAEAAVISSSHAQYTVVNNCPDAIL VRNNDTNSTLAAGSSITKTLPINNAGTFVGSTPGFGAISGLIAGFQAPSTYYIAKLSR TPVFYGVSIAPSTVPATPNSEALCSKARCDNVDCADALPNLLQELSTLPFPDIHALGM MYLTRLSSAHLEILTTTIYKFQNVVG JR316_0001402 MDQNIVLECANLQAEEYEVIKAIYPDFILSFSSPPNEPQSIHLQ IGIQFSVPTKMAIYPMMYAEACESSMSVTEIAVKHLPPIFLDISLPQCYPLSDPPTIG SIKFHSSWLMGADGLKATLLDMWDAGETTIYSWIEYLQTGQFLGEDEKLRKKIFYDPP GQLSEILLDYDLKTQRTAFLEGTYLCDVCFEYKKGTQSCLREFWTAAVQEGDIGKLVC INPKCMKTKTVASEEELRDVLSDNAFRRWKWLKEKREFEQDVNHAYCPICESPARPST DHVPEDKDGPWSRYRECPKCRFTFCRVCRCVWHGAHFDCPVPVQILTRYMDATKNGTD TSWMKLVEQTYTSGVLQRQIKRFREEAKKLRKTHSSKPTSAQDRDAARTYSGPKVAII WFAHCASNPSAMAVEGHFQSTDPKAAWGNLSRKDELLGHPYTLLHFWLT JR316_0001403 MPDIQSNEETSLRSSVYSVALSINTILPQYSDSAEEADAPSSFE QDIRGKTLRFQVLSAQRPQYRTRASRSSFQSDRTVLPPRYSTISTSHPTVEQPNEDSL VVQHYEHAFSVQSKKPWATVYLFTPKTMPGMPKSSQTTLRVPVIYGQEPVLGMLELDI DSPKGIIEQITVALQGKVWNWYSESSTKILDQSYLLWHKLSPVKDGKLLGSHQMPFSF QLGEVQRRTNEDSDAQLSDLDTSVTPYEEELSLDSSLTKIIKTEVSYVPLTIARAASS KRQSAHAAGAVAPGPYHDPEGWFALPEASMKGEVDGRPILISSKVTHAHIVEERLSPA DAPLVRLTRRLRQFEIHKSTRPLGSSPVTPFRDKSALEFERECTFTKCTILTLAMWRA LSKRDLESLDNRNCYLEGEIHLEDDLVPSYSSRLFSIEYNVELLPLISDSFKASHIED STNEGDSKGILSSCLVEITTLRPPEEPVPVKFTKPPQYGKFPEVKKEESDTGWNNRSF EQFGLI JR316_0001404 MKVTVKQWHAVAQWRWDTGHSEQDDDGEGDVCGICRVPYEGCCP SCKMPGDDCPLIWGECTHVFHMHCLLKWIGTAASKQQCPMDRKPWLTAERKVGSAPVS JR316_0001405 MERSGSGHDSNDGDDDRKSRFQGNTLGPENGGAAHLRERAASQP PRSSDAASFVSSPPTQHFIPSAVGGPWSNSNTSSASSRMLSPNRGQPIPIRSSSFSSH PQNPFSSTMRDRAFPSTFEDDESEALSDTYDERYVPPSLARGRNNYNQDISRSRSQSL ATGRPGPVGSPYTGSSAMQSWNESYLSHTNPLNIPSSGRGYGEIRPPGQSRYGSLGTV GRSPSTSSPTGGSLSGNGYHGRNQQTDISNMSPFVRDVGQILLDDGSAFRELWAGMNP PRDENGGGGSGTTSRRHSVSVVQPRRGNIVGFNAPLLGGVDTSEDAPRPTFQSAYSGR GSGLLLSDDELASDLGLLNINPSDALPSSTSSHQHPPSQPSSLPIYAPLSRSPPSRDL MSNYQSINLTIPSGNNNNYSRQPVGTPSDSGDYSSGGSPPRGLYDDHQYNVSRALPSQ QQQQDPPARYMPGHGIQYGIPQHQQSQHFQPQHHQQLPHHNQQQSQQQQSHHGIGNNN TGNNAQYVRARAPSYSNTLQSPISPSTRPINPQQPPYYPQTQRRMSDAQHQQPPTPTS AHPSGQGAGGPGSGPGTNLADLGKGVPLHSVPASCPLFIVEFKAGRTDLFYLVDLSQN IRIGDLVIVEADRGKDLGKVVNDSITLAEVEAFQRQQAEKGFGSGSGGGDDGVPTSPG GGGAGGKKDINPKQIYKKAGQQELQLLATKTEDEAKALQLCQSKVRAKKLPMEVIDAE YQWDRRKLTFYFVAEKRIDFRELVRELFRLYKTRIWMASLQGGAGFEQ JR316_0001406 MSLVPNRVNDRVRHTDQYEPMFIRQAVHRRYSGYAFSSSLSKAG FLRTQRRPLSRLWLGITRLALRNESLELEAQWQSDFQRARPPLHNVPKEPQIMENTAP ATPL JR316_0001407 MILSGLLLGSLISWTAFALSSSVESQKVQAIHGQSQTPLVDMST LSETEYTMLGHPAFPRYCVRVKKSNFCDGTVNSYTGYIDIEARHLYFYFFESRADPDK DDVIFWVNGGPGGSSAMGLFMEMGPCRVVNSTHTEYHPYSWNSNANIFFVDQPVGVGY SYADYGETVTTTEEAAKDIAAFIAIFFENFSKFKGRPLHMASESYGGRYIPVFASEVF DQNQKLAQAGLVPVNLTSIMIGNGDTDIFTMGLSYYDKSCTAASGSPILDIRTCIKMK QVLPRCKKWMTESCIDQFDAISCSAAVSFCEEQLIGPFSATGISPYDITRKCEGAPEK TACYFLTEDVEKYINLPETRQAMGIDSTAPKFNIMSMKVNSDFANTLDGLHPTYDHVA ALLDRGVRVLIYVGRNDWICNDLGNEAWTTQFDWSGHDEFASQPLREWKIDDRKAGFT RSAKGLTYVSVDGAGHMVPFDKPKESLVLVTRWLNNQEL JR316_0001409 MFWAAGLLYLGALALAQHDYQRTFAANHGQYNEGLFTPAEDLSI LSETEFTTLSHPEFPRYSVRVKKSQFCDETVKSYTGYIDIEARHLFFYFFESRNNPDK DDVIFWTNGGPGCSSSLGLFMELGPCRVLDANGTKFHPESWNSNANIFFVDQPIGVGF SYADYGEVVSTTEEAAKDIAAFVAIFFENFSKFKGRAFHMAGESYGGRYIPVFAAEVY DQNKKLVEAGMTPINLTSIMIGNGITDFYTMIPSYYDMACTPASVAPILDISTCTRMK QTIPRCKKWLKESCVDQLDAISCEAANVFCSQELSAPFFSSTKNPYDISRDCEGEISD TLCYPVTKHISSFLDRADVRSTLGVDPSITANFSSCSNDVGSRFYAKLDEFHPTHHYV SALLDRGVRALIYVGRNDWICNHVGNERWTLEFEWNGHKEFSVLPLREWSVAGKKAGM TRSAKGLTYATIDGAGHMVPYDKPKEALELVNRWLGNQDL JR316_0001410 MATLFALLSLPKTSEGLTALRPTVVTEQNEGSASPPRKVARLTA DSDSASASRSKTTTHIGGSLQIRVIGDYKSKENPKEKQLNVLRRCIKTILTREPGPHD IIPATYENIYNTCHSVVSDSDCGEQLYNILKIELQQSIGQLSRNLLSSPEKEMTWLFS FNAALKWLETQISLLISLLTYLDQVYVIREKNVQSIHDLAYSMVASTIFGNAQVIGRM RSDVKSWLNWERANNRPHRDREVIPALIEHLLRHHQYSPFEEYYIDITRTFYLEESSF KSVALKADPQLFFDEASARIREEIERSNAVLPVATWSIVREVTENSLWKGRLEWLANA TLSSYMDTKNFEKLGSMYALFSRVDGTKALCDAFAAHIRTRVANIVQDVDRDDDMVQR LLDFKDVADQATTRSFLAEKSQVTVTNSSNIPITPIASASELPAKQPDQQFLYALTDA FTIGFKSRRSKPAEMIAKYVDKSMRKGQGKLSDAEFQDMLDKVLALYRYTDDKDVFRT FYHRGLAKRLLLEKSASDDFEKAMLKKLKEKYDPEFGMGEDMFKDLALSRESIREYHS RLPEDSPGHKLTAMVLQRSAWPFSAQKDSIDLPPGMQEELTKYTDYYKSKHTGHVLAW NHSLGTATLKARFNTGAKELTVSLYQAIVLLLFNESPEIPYADIKEQTSMDEAELKRT LQSLACGKKKVLKKVPVGRDVDDTDVFHFNPDFKDPHPKVHINSIQAKVSPEESKKTN ASIEDDRKHYLDAAIVRVMKARKEMTYEQLKAATIDAVKNHFVPQVETIKRRIDTLVE TEYLERSETDRSVYKYIA JR316_0001411 MKISAAIQTLLVLGASITVPISLVQAAPQGEYSLEKAFASSFSS HRYNAKRLCGTHISAERKASVERKFAAQRIAAPETAKAVAPVIDVYFNVIAANKTIAG GWIPAHQIKSQIAVLNRDFKSTGVQYRLAKVTRYISKRWFNGVAPEGAMQTEMKQKLR KGGPETLNVYTVGFHNTQAEGLLGHATFPTDYKSLPKDDGVVIQYGTLPGGNLAPFNK GKTLTHEVGHWVGLYHTFEGGSCSSSGDEVSDTPAQLKPTSGCPIANPDTCPGKAGLD PIHNFMDYSDDVCLTHFSAGQGTRLRAQLRTYREIDV JR316_0001412 MASYHSFIKLDKLDGEDQGPMARSRLRWQKKLDPDLTREAASKD SSNPVLEIYYQVGSENQGSLWQENSESDLTRGGDFRRLQQSHWGSTTEA JR316_0001413 MSNFDVDIGAMDEVIFRLQGIVSDKMLPPMAKPASTVKQQPYLC TAIAITGLGDIVFNKVMEKLEEVFLRFANNFPADSVSGYDPVLHKDTGFHPLVDPDNV LASMVGDSFIHAIDNKVQFLCREILPDGTARYYSYNPASIQIGDIVEISVAFVAFPAQ GNKYKFVVALRGILVLDQEAREKAHILRMRLRYTPAKRQVAVLCRTKRQLYKGQIDIE DTQQRMAHMRLNEDTVHNSNTMSQD JR316_0001414 MSSTDKHPLHRYYNTTGVVVNLWCQGSFVQLITTCQLVVECILG YHSTGVMNFVTFEKVYSLYPNATFGHQVSLLQPSANLKRAQKFLAKYHSRGLKFVLSI PLQTLKMDRHIQSRIQSIRDNVNVGYSSSRGHVLVVLDYDPYPELFSPGIRRVGDRHC WVYSLPLLPKANQTSFVEANSWALLLNEFDCLHFGVRHISGIALDFHYTAADVYQLHK RVKKAIKSWERGIRQKDDRVHATVLYLLSRKADIFWLHRPQPQSLLWNGYL JR316_0001415 MASALSPRFQQVFPPPMDDVYMDQMDLKTLYRFSWTCKELNDRV SGYMRRAFRPKNLFAPIFKPNEHLLFCLLQFKTGLVISGSTVLHFTCFSGPTQSVA JR316_0001416 MSIGFTIMLHPKYPDNTTAEKILKMEKIVLQKHPLHRHYNTTGV VVNLWCQECILGYHSTGVMNFVTFEKVYSLYPNATFGHQVSLLQPSADLKRAQKFLAK YHSHGLKFVLSIPSQTLKMDRHIQSRIQSIRDNVNVGYSSSRGHVLIVLDYDPYPELF SPGIRRVGDRHCWVYSLPLLPKANQTSFVEANSWALLLNEFDCLHFGVRRISGIALDF HYTAADVYQLHKRVKKAIKSWERGIRQKDDRVHATVLYLLSRKADIFWLHRPQPQSLL WNGYL JR316_0001417 MSNFDVDIGAMDEVIFRLQGIVSDKMLPPMAKPASCDRTVKQQP YLRTAIAITGLGDIVFNKVMEKLEEVFLRFANNFPADSVSGYDPVLHKDTGFNVFHAH SQYFTKVSAYQDKSDNIGFHPLVDPDNVLASMVGDSFIHAIDNKVQFLRREILPDGTA RYYSYNPASIQIGDIVEISVAFVAFPAQGNKYKFVVALCGILVLDQEAREKAHIPRMR SRYTPAKRQVAVLCRTKRQLYKGQIDIEDTQQRMARMRLNEDTVHNSNTMSQD JR316_0001418 MASALSPRFQQVFPPPMDDVYMDQMDLKTLYRFSWTCKELNDRV SGYMRRAFCPKNLFAPIFKPNEHLLFCLLQFKTGLVISGSTVLHFTCFSGPTQSVA JR316_0001419 MVQQISKSANIDNAPQHSPRPLSHRQRARKTKLDTLAQVTSLYV SKDEFFYPHNPASKNVLANCPHQNIIDAINVVTAIGIFLKRSPDPLSNPFPIHSTTSF YHWLL JR316_0001420 MNPRNLPVVPISLSGHFASNSPQSCVPANSLHAVSTSQTVTICS DTTPASTWGIKTTSMRREGRIPAGVLAPGFGLCRIAVHGAKDPPLHQYVQSDNARNLF NHGVMLTACLREQRQIWFSTFRELQPYNHQLSGHDLQIAVLNRDFNSKGNEIYLETVL LLKDIRAMQTEMKQKLRKGGPETLNVYTVGFHNTQAEGLLGYATFPTDYKSLPKDDGV VIQYGTLPGGNLAPFNKGKTLTHEVGHWVGLYHTFEGGSCSSSGDEVSDTPAQLKPTS GCPIANPDTCPGKAGLDPIPFKFYGNNGSDRQLYGLLG JR316_0001421 MGACMSAPAGVEISEQDRILHREAEKQLKEAKAKLSAQVKVLLL GSGDSGKSTILKQMRLIHKVPFSAQEIEHYRQLVFDNLTRGLRYLLDAMEDMELTVSD ENLPHLELIDGVRDIRDGEPFPVAYYVPLKSLWSDPNVQKAWARGNEAALPEKQVARP SFIHYSNTFLSLSYFYADLERLFDPAYQPTEQDIIRCRARTIGITETTFTLREHEMLM VDVGGQKSERRKWIHCFQDVTSILFLVSLSGYDQCLVEDKDANQMQDAMTIWDSICHS QWFKQTSIILFLNKNDLFEKKIPTSDIKNFFPDFEGEPGDVRAGREYFKRRFARLAQK AGRSKEREIYIHITTATDTALLRVVMAAVEASLSDQVSKVQH JR316_0001422 MAFQMTVLGQQVLFEPPPHTCDENCTDPCTANGDVEATQKMIRN MMAEMERRVAQQLGIQLGNKNLCDPISNLLPFEISASIFELCLPRWKRTTELSLFEED NASTLFTLGAVCKTWRHIIWSTPSFWSHLLILIDPSTVRSYTPLVEEWIVRSKDVPLS LAVYYGKGPDEPTGFEEWFPPLSKIINASSSRWECLDLSIPKCLRVFFAQLPSNLREL KIENTGPSGRTFENAFESQTTTGQSSFSAPTYVDIDYYISWESVPILWNNVTKVKIQG LTVVDCLELLRLAPKLESCTFPRGNPDNVAGHVPLPVVHAKLEELHINEPAPLNFVHA LCDALTIPALENLTVQHNVFERLPTDNLLALMKRSSCSLKTAEIGCLLHEDDIITFLQ ATPSLRHLTFGENAPVGNFKARNFFKKLARTAHLGESDTESSSSQEIFLPHLESLAYF SSKPDDCESIWDVLPRIFGVPTDDYDYETEDLDPYRRPLKDVKILYEQYQEEPGLDSS LDDEPPTRTVPLLSKRTILKIDCVTRLGSVDLRILAHYKAHDHLEDLIEVSNLACDWD WDGDLEYTSSESSDDE JR316_0001423 MSKRAHFKYIPVILPGIVTIRREYAASSKLLSKTTLPKKPTKTQ AKKAKSTRRAKQKEASIKVVKYSANTTVNRLLNRSFGGTDGKYYYGDTWSFNLQTRKW TELNCLGLIPSAREGHAAAIVGDIVYIFGGRDTNGKDLSDMAAFKLSTHGPFA JR316_0001424 MSGFRSSSPSRSKAESLSNTNHQTESTLAARRPSWTPSITEPED SRFQSRRRSKHSEENLSDWAGSASALSKSRRPSFPPPITEGYQRARRPRVEHEESPEI VKFGGTSQPASEGKSLYSSWEINVKDLVGDAVGNMSISPASRDIVLAARRGLFIIDLD YPLRIPRFLPQGGTWDVADVQWNPHPSHAQYIVSTSSEKLLIWNLRLGGKTSIEHILK SHYRAITDINWHTTECDIVVSTGIDSWIWAWDLREPNKPIFGLSAFKAGGTQVKWNYQ DGNLLASSHSNEVLIWDRRKGSLPITRIRAHSSKIYGIDWSRTSRDQIVTCSLDKSIK TWNINAVDADNPEPESYIRTTYPVWRARNLPFGEGILSLPQRGETTLEMYAKSDPHAP VEVFEGHSDVVKEFVWRKGDQDDFQLITWSKDRTLRFWPIDGEAMQKVGHNPQVVRGR SKLTRSAMEYYDTFRNPPDTEAKETYSTISAPIGNRGILAEVRALHPSKGSHPIRRAF ESNLSSRIGDEHSTPTTRSIAFVPGRSVGGTMSKGGTGIKNAAQLDQLTWLANVKVGS KRGSSSGGGSHGASRPPSRLRSGSRPPSGHDRSISEIGGRQRSGSLSRALDDRKEADN NQSLQEEITTVLTKLAASKIKLEKHDLTKKRTCTLGLHGPWGETSSVFMRVTFTFPRD YPYSTHPHGTPAVELERNPLISMRARTYILKHLRRIRERKRPCLEACLRFLLFADEGH TDQDFADSESSSDDDQPSGKKPRDITVSLLRNNKNLAEPRTSQGAFGPNGELICFFRA PPRIVRNVLRDLTGAGNKATEEPSTPQPQDQPLPPPVPQPQQKKSYFQSPALVSDAVR RLGLAATDRTVQPIDPKRPEAELNILRAMTNLLTMPQQRRRDSDAKQPEEVPKNYALF TARRSTVFLTSTRDFTGADQKVTSEYIFMADSLAAVCDTNANVARRHGRFDHERIFKT LGTLFNQPDIDKKAKESSFVSDSLAASVIKQLYSNLVIEKDVQMLAMIAMLVLQTEHG IAPHPTIGRRTESFTPVPHVSIPSRLGGMDYFSLTKAINPSSPISPAWPRLPSPATAP LAPSVSSSNSSRGSWSSLFNTGSAVRQFMNGMQDTFKDGLTTPTETMPTTPSADLPGH GASRSAEKPSKVQDPAAQDPRKKRIRKDSSFQSPTPVSKSWNDGTTNSSKIMSSSFFS AGQKNLSSNLFMADKRRIRFEPLIYEETSPPLFEADLIERFKLNVYAYSELLFRWQLY HKRLELLKASRQKYTALASTAFVFDLIAK JR316_0001425 MATTTASQQASAPQVRVGGARPPVRPGLVYNVAPHPSPMPQSPS QILNAGPSIQRMSKVYSSTAEAIANFKPTPREVISGIAPHVFDERKRRRSQRRSQGHG APKPYWYENGQKRSSPLRGATIIAWDEHSIYLEQPDHKRATSPEKKVERELTPGSETE QVQESTTSDQTERVIINKRRVKLDKSSGWKHFRVDIHLLKFGLSAPSTKS JR316_0001426 MHSRSNPPTEWRTQEGEDSPCTPSRRAGHRPVTPSNKFVRNPQL AYPSPSTPPRHGKIFRNDSLTPRNAPITPQRDIHGESHSSGAQSRAVNSHPVKQELAF NHLSTPPTTPIVRSSKPALEYTPVKGMYTSNPTPTSWPSPEPSPSSSRVTRSRQKIGD DRSQSLTVLSPGQPDSTASPALSRGKPSIKLEELEFEDSEIFSIPSSIRAPSTTRSLP RASQTFLPELARPTTRSQTRVAAPLPEVAKEAEQPLFVHNDSLFIFKNGQRLRSFQAI DLHFLIDREQGEKVCGTDEVNDIGYVIAYDMGCGKTAISIALIVCRPPPKDFKGAKAT LVIVPSKGIMDHWVKEAQKFAPQLVVCFYDSYKANMSTNADIVLCTYTQVQRQYDAFA PDPDASPPKAQKPEKYWPLYKHGWFRVIADEAHQFRNPETKTAKAMWAIRKKHGLCLT GTPAQNSFLDYYPLIRFLEVTYEKLNSQIRYESLIYAKKQKGDPTTSARRLLDEIEGS FFIFRKKDDPTEDGKPLVPLPPRRDQYIYVELTYWERIAYQHVKDLPLSIFAKITHLR QACDHPVLVSEIVNSSIFGEEDDEECFSFSTRGIFHEEYASSRMLAMLNILTARKKGD KTIIFTHFTRLIRPITRALIHADIEWTEYTGNMSPQARRDALKEIQTDENCTVIIISI KAGGVGLNILACNSVILMDPWWNPYFEEQAIARVHRIGQKKDVEIYHLIVKNSLEEHI METQKKKRQMIEGGRSDVKFEPKAELDAETMRSWLCETKAEQD JR316_0001427 MTESTSDTSNGHSVVQDELKGTASSAKENLGKKKLAQQDTQPAT FGRSAIVSVVLALLVYLYQTGTLNAYFGAPSSSVDTYAHLDGVASFRNSSQSAPVIAA DIPKRDEVVKAFKHAWSAYERDAMGQDEYHPLSKKGTNLVDDGGIGYMIVDAIDTMQI MGLQDEYQRARDWVANNLTFDRDGHFNTFETTIRVLGGLLTAYHLSDEDPIFLEKAKD LADRMMPAFETPSGLPYSMVNLKLRKGVDDPNYPYLVSTAEASTLQLELRYLSFLTDD DTYWDKAEGVMKVIKATKLPSGLAPIYLSAETGQFLFSEIRLGSRGDSFYEYLLKQYL QTNKSEPAYLEMYQQTMDAIHTTLVKRGLNKGHVFTTEVLPERQADGQVQWRLSPKQD HLVCFLGGSLMLGATTTAASGSSVSVPPRPEELTPTGQKDWQLGHDLIETCVDTYDTK TGLSPEIAHFWTEEDRYIYDKERDWYIKGNTFPGQPSYDARYLLRPETVESLFIAYRL TGNPKYREYGWKIFQSIEKYCRIEDGGYASLLNVDNVNSIKMDKMETFFVSETLKYLY LLFSDASVLPLNQYVFNTEAHPLPIFTPSTRTGYF JR316_0001428 MLEDASLVDPDRQLDLPSTTSRSTVGLGTTSDSIGRPNAVALDV HPIGGSDNLVAKIPLELVTAIFASCLHEEPSEYKDQGLKKGLWTLRLVSKQWRDIVEG TPSLWKHVSIPLTVESLEQSIDMAEIWIDRAGNRPSEMHIYALGDEVWDGHLEQFKRF ARIVNASSLHWTVLRLDLPTVLMEMIAGQPTNLQELIHMNSTEHGADFFDRYFLVRYS DREPPPPGHSLALANVAAAGVTSMPAPKTLCLDSIYLSSIRASWFNLNEANLQDISVK DLLKMLREAPLMTKLCLIESRRGVFPYPDWSSPVFHTSLRMFSIRVAHARYLDTLFAG IASTRLDELKLSAIYRVIDPSSFTGLMQRSSWPLTKLDLDGLHGINNDDLFRVLKSVP RLKELRIAPNRLDSNARYTVKPLFERLSRTSLRRPPQFQNIAYSFDMFLPVLESFEYV SRSPIDWHKFGKRVPDIFGIEKRLDRQSGNNFNDESRRPLKILRLVYEPCNMYYFTPP PGFGSTTKALIRQIVRYGAQIEVVLKHAEDSEQSGDSSSDTELSYTDSSTDYESESAT GSENGLESGDDDDKSEDEDESEYCSELDGFISDSYMGDYGSDDDDSSLGDDDCNPVNL GFSDQIGRPVVAFLPKDMFDDVENSYYTDSEVE JR316_0001429 MEAFLFTWLGVIARRFVFLDVARDDHHEGVDSQDLFTPSVSSTK LTRGDKAQKDPSERRKLPVMIPARVIGRPGNTVIMLSSATGTKTSKTILTKGKKPLYA ASKVINASCGSASSVFARCWVHSTNKTSALFKTVKNQGLRRAYSTKGGGAAEGAGGAP NGIPGSGLPLYRRLVNAWTETPTKWYPLPLAVGALLLVAIQYRKKVKRARQEVELNED GLEVIKLKGPWHVHVIGALPLRNMSRLWGYLNSLELPVWFRPYGIRLYAYSFGCNLDE IDASDLREYPSLGAFFYRKLKDGARPVDDAILVSPADGTMLHFGTVQESRVEQVKGIT YSLDALLGIERPGSPTSTIVPHNRDMSVVDDHEFAIVNGIEYSLEQLIGASTPSTPGT TTPTSEPASSSSSQTLLGTPTSESESAADDHVPKKFGDQIDASVGSERNIEETLVHDA SVALQMGVKSEAAAAVQRRRSVTSGKHVRPGNKLFFSVIYLAPGDYHRFHSPTAWVVE KRRHFVGDLFSVSPWMAKRLENLFVLNERVALLGRWKHGFFGMVPVGATNVGSIKINF DQDLRTNLRGKRPPPGAYTEAVYSAASPILRGQPLTPAEEMGGFCLGSTIVLVFEAPS DFEFTVHPGQKVKVGQRLGDVVSKLETLQQAEDAASKVKTD JR316_0001430 MPPSETTPLLPAGQTQSSPSFYFLRSYFNILLVFIPLVTLAHRL DWSADLRLVFGLLALVPLPGLVRGSTKQVSVLLHPIAAIIFDTSFVDLVEIIVNVVAL IRDDVLLIRAFILGSVLANILFVLGCAFVAEDPKQNSRLQGRKRLVIPAAYSATLDDT SNTGGLLIIHRGTAIVLLGAYFAFLYFQNQDGREGVVEWGDRDWVSGRIRETNGGPDA TVKNAIFGAISSEQLSVLGVVTYFCSKYLIISIQDFSTEYEASKTLIGLILLPLIVNL TKHIGSVRVAVEDEMELTIMYCVGSSIRIANFVAPLLIIGSWVSGHTFTFSFANFETV VLFVSVVLVNTLIQLIGLYFIILLAFGMSPGSA JR316_0001431 MSGVGTTVVDGIQEISALLPLLGSEQCEEHVGSALYKGFIYVCI SPISIFGSLGIVKAGFNVFLASLNIQPMRFLGAKKLIDGGFRPKGSVAPLIALDPEHP NRFLVESKLEKMLADENIDNVGNLTVSWDEGNLMWNLKLVLFTLLSAPLGLAPYLFFI LKPRDLETSTDPFLLAGWGFPIIRILGSIRVLVIIRMRLLFMSVDRIAKDMNINLESK FHNLSWSSHLTSETCIWGLQDWLKIYADEETNGTNIKRCFEAARRRQFPPQSRLTKFI RPSADFTLHILLAIGLILSVIGYIGCFNLVSNASDTSAGPVVWVALEALLSVLRIVVW AFNPSWDDSKGITFNLRLASHSPLITCNKSDDDIKEDGVVPVDRSTTFLEEIVAYTGP LPSFNWNNIALYYILTAEDAPSPCPPNATLHAILYVVISDYKEQTCRALTKRPGDDHP FDIYVASLESDRRSTVVNLKFKTTNDGKRVLTTPKTHSLTADTRFMEELARHYDKIIA QLRKRKKIVGFRTTWDLRRPSETTLKREDLEEDEMSVSVVVVDGKQGSQLGETIGEKE THSKDKIEEHDSNKSDIDHQEVEEKEKSNEGHSGIEEHEGDRIEEKHEGENTEEVEDQ KDQEDKGGGEDSEGGAERNVEDGEEEDEKREEGEEEGEWEDGEEEGEEEEAKEEDESQ KKAEGSVHTSLVSSDPKRLSGEYPTRLVLQLTPEDVVYIRQGQVERRWRELYNRLEEW VEMFVGLYSEELLQDVPADLTFAPGESVSIIQKYEANEAEYLLIECRTQMEHLLAGIA IKWDSFVHANHVQMVKSILENTFPESETKMASNEDKWLDDDVKHYLRSRREKLRGRLL SEWQRLAQSTTRSRVEAMRARIDIHSKSCRQRISRRKYHDPESEHLLGIWNNRLQRVD EQISVSGNQLLQPGDNEHPALSTAVGDQAIDTDTYLVNPQYQKDMEERLNKGAHELQR IQEESQSTSSIDLVSDFNRMKDRCRKRAQQLFSKMKDQSDDFMTLDNSEYLTSDVHST RAYWSKDALQERRRLMDRSHKNLVVSDASVNNVGGAANMIRALKISQEFVFIDFESSK LSSKDVTQVVKGLKSLSGVTFRSPDGGRAIQGAVLQNVRAAMRQHKDTCFYQNVYATD YYRKGRPYIVFPYPKWSSCTVIFNVQEEKDYILTLKHCMTTSAALVDIDLNDHILERT WGLSQDSKDFLVDEDIFLPAAHVKTNEPNVLTIKLADDSKAVYWLSDVFLPVRPSSST PKKTS JR316_0001432 MSSVGNTIVGGIQDISALLPLLGTEQCEEHVGSALYRGFLYVCI SPISIFGSLGIAKAGFNVVLASFNIQRLEFLGAKKLDDGGFTPKGAVAPMIGLDPLHQ NRFLAESRLEVMLRDENIENVEDLTVSWDKGNPLWNLKLILFTFLGAPLGLTPYLYII LRSGNTTGDPLFLAGWGFPIIRIVGSCLCVIVVQILIQIRILVIIRMRLLFISMDRII KEENINLEAKPYNLSWNSHTTSETCLWALERWLAQQDGGDERNELRGRLRVRYEHEHR RQFPPNSRLRRFIEPWMNFFLHWLLAGGIFLAILGYIGCFNLVSNAPSNSHGPLIWLG MEVFLSVLRIVVWAFNPSWDDSKGITFNLKLAAHSPLITCSKSFSDIEEDGEAPVMRA NSFLEEIVAYTGPLPSFSWPDVALYYILTSRDDPSNVQDDEPAHGILYVVILDYKEQT SRILTKSPGTDSYFDIFISSLEPDPGSTVINVKFVTTDDGRRVVTPPKSHSLTADARF MEELTRHYNKIISQIRNRRDPVEFPKAWDMLRSDEESSSQDDADDWPGSGMPVKGHDQ SDDDEKSSNNSGSRRTTLNFFDTKRFDLLLTKEDVAYLRQGQLERRCKIFFGALEEWI ELFVVAHVEALLKAVPTNIVFKEGESVTVVQKYEANEVEYLLIECRAHMERLAQTTFN KWISFLETSHQTMVKAVLDGTFPSNSGVQYGLSSSSEENGSLQSNDNRSEEGLKYEKL RSRLVNEWQRLLEVSETSRAENMRKRLDLQRDTTKQRIQARKYHDQEGETIIAVWKAR LHLLEADSPEQNQNAGIEENDGTDSSPAAPESAVHSPDGDAHDEAPDTQFIAKMVERF NKGQQEVGQIPDNAQKNFKKNMISRYDRMKERCRSRATEMLSRMEVERQAIKQMSVTD FLTDDTSLWQERRVYWSRESLQQRLRLLRQNSHKFVEAPDMVTEDSLDVLE JR316_0001434 MLQELSHMDRITQLQDEIQQLLMIMSNTIAYLTSRSNFVQVSPE IPITKQRNPEKFDPPDVFEANQKELVTDLIVKAKQIEFLINSLPEPEPEEEQAHRLQI LEDEMTQANAEYIRAVNRAKDLHAQISDVLKMMLDETDTLTTEEETKPGVG JR316_0001435 MGNDGGSIPDRRDLVRNKPKAEQADKANQTKARWFYCALSKRKL QEPVVSCALGKLYNKDAIIEYLLDKSAYGDGEEICGHIRSLKDVKTLTLAPNPAPASS DASADSTDRPQFVCPLTLKEMNGVQPFIYISTCGCVFSQAGFKTVTAAGSPKEKDSTP PKGEEKGDSSLNLCPQCAKKYADDDIIQLNPSPEEEQALRFALERKRLLEPSKKKSKK RKNDASPEESEPPTKKPALPGPSLNPAIAGASRAVVNELAKEEAKRKANMSAAVKSLY GDGTKRKETFMTMGTFTRYA JR316_0001436 MAMLPLEIVSMVFYNCLPDVDESEPSGECQSAHRQTLFKVGAVC KAWRQTVWNIPGFWRYIPLELGPSNITDTPPIVTSWMERARHIPLSISVFVSHRDCPL SDTGLKNFIRIANLFNTSSSRLENIVLKMPTNLLRLFAAQSPKLKSISIYNNDDEQLI FNNLKEPYKPWVIVSYSERVGLANLNTKPRPSTLSINRVLLKSVHACWDNLVSVDTKD INLEDIFEILRRAPKLREFQIYESRSPPYTLTESIPHVPQNDLESLDIICESAEFLNI LFSRISQLPWLTSLMIDVRIGQIDCKCIAELMKRSSCPLDEFVITGPLAADSDVLSML KAMPYLTYLHISPNNKSDPNYTAKNIFECVSDTTRRRDAEIESEQNNMPSDALLPYLE FLSYFPNGPEDSKMIWDLKNTSNMTGVIQARCPDIDVCRCTIEVEMRPQGPFCIHYLP SG JR316_0001437 MALKEAFIQLVSNVEPIRRVLQIVYKDVVYAILLKYIYTAEIQK SMSIYGTLPLNHDVDASGSLSLTVPFSIPESQFKPTLSAFYHSANSKVSTLGKGWTLM GISTVERTPATVAQDGARGQLWFHDNVVPGLMFLPGVVGYNDKDCFTLDGQRLIKIGD TQENKTEYRFEIEQWTRVFAIGDNLANPTSWEQHLPDGTIRMFGSTEDSNVKADGHKD GYTRVWSINEASDPFTNYITFEYTKPSNGTVYPKRISYGGNRKLSISHRKRVAFTHEK RDDKITEYLGGYKIQTEKRLKEIRCIIGEAPTWEPPKWKYQFDYDYAPLTGVSRIRVL TLVDSLQDLKVEPLKFDWSDGNPVVFDSSKDVSTFSREGIIDAFHIDVNAQGFSDMVI ASERKNPDNEDAPELYLDIYLSDSQGKVSETPTKGSGFTGLSNPQSLLAIDADGDGKA DLLHIVSSASAYVITILLSNEDATDADNKFNKYEKQQSTTFTPEIMGGSFHAGDFEGN GKIGLVYIYLTTQESSPDVQQVHFVQFTSDGKALTAKDVAKGPSSASITSKDIHVVVG DLDGNGTDDIFILAPATSTPEDGWMIYFLQSNNGTLSYREDDPLKDAGKSVPRVSNPN IFPFKADSDGKTGLLFASATSDKFLKLQLLRSIGNSALLPGEPIATQAKYSDQVTVAT LIQRMAEVVNIGGEDDNKVLSVFKFDGENFQQIPNVTQPADFGVLYVRCADLRGIGRS DLLFYSPGDEGRVIARVLPCSGSQPLDNITTFRNGLGGYTTVSYGPLTDPALYTASDI KYCRVTAQMNGTANNSTFSMTSGTAGCQPTESSRSNLVYFPSFVVRDISSKYDTDNPV TVNQFSYTYSNAEISFDGRGWLGFQTITKSDGISKILELTEYYQSFPCTGRVKLSQKR DSEDIAHIVDLGKSEYTWTSKQVNNEKNYTIQLDAVKETYYEAGVQAYDVDVAYTYDD YDNTLSTTITPSQPNASVLSIESTYDAPNSNARWVVGNKRTEDTKQADNFLRRLTQSY TTDTFACKERSHWVREDIWSTTNYTFDDAGYQKEITGPSSSRQEFAYNSTYTTVTTKT HTGTKTTTDESSDEPVTVPITLDEITEYDPATLLPLMVEKPNGVVTKFQYDVLGRKVA ISQGPGKDSVSTVETTSFAMDGSKFIETRQISNGLAGTDAAMRKEISYYDGFMRSLSV RKSRPDRLDEYICVDTGYDSLNRKVSRSREYLSTSEPPALDQQFQYTYDKNSRLITEV YPANKSGGQSVTHNLKYSCEAGVPKITETLSVTSSDGGSAADTGSISRELAVIPNADD PSVGNFVKLCVAKRQNENLQTVDTVYDGVARPIEVTDSSSRVKLLLKYDGLSRQVERE IVQLAKPPGDGEKDSDVTFSHFRLTFDDTNLSSTLLNVLTGSSITSKTDFSRRLIEKS TTDETITFDYDADTLSKGRLSSVKSNQGIEHSFLYDNRGNHSSTTLKIDGHELQTTFT WTETGQLKTTNNPDGTSILQEYYPDGLTAKTISLSKGDAVKASVNFSGLENAFGLPLT RLFGNKITSTITVSDSGMLSADTLTLADTEKPLLEQQWDYDPFNKVATGKIGDNNLAY EYDKAGQLSQSIIGNITTVYQDGLCLSATNDSKSTKFTNNVDGWQLKSVFADDDSPLY EYTYSTDGKTSTKKAGDETTTLNYDAQDRLTAIVGSYTGFIYDYAGRLLKATAATGES ILYVNPGCEMKVKTGATPDTTTTTWTSYLIDKERRASYTEDQPVDDAPASGVVYYFHT DQLGSTVAVSDNAGTLVTQYRYDALGKATVSGDDLARYKYCGREQFGTLYNFGARFYD FEASFNSSTGRFMTLDNHPLDIDNISPGSFNLYGFSQNDPINFTDLNGNRAVPTAHWI IDGLLIAAGLGLTIASMITLNPLLAVAGGAVLNSGLSGFVTDVAASVDNSSDTGSWLF QLGLGAVIGGVFGGASIGLNLGIRHGTKALLSAGSRQIFKHAVIQNSFNILAEAGLGA AGGAVQQGLTNLYQGDPIGKDVGWSATLGAIAGLVVAGGLMVGQSKWGRVALAGQRAS DRILSSARTGLPNLRMQLAQGRFVNSSVVRDAPIEIYYISRGIHFTDIDG JR316_0001438 MKRIERVPSMLQSLPVEILLHVFSFLELQPYLISHGVCKDWQRL LPLTELHPIRRRMLELYQKIISTPNFEKTRPWTLDNMQPFDRQTYIDGLLTQYPVIPA EFRMWILEWPNCLAIACTWPSLPVLRYRWHANQRRPGVNWLGYVPTNPHLSAVVYRSG TPDVKVIPALLIWRENSITNWLIFDQDEPDLFGRIYATDFMEGESSAVIPYPGQDPWY TNEPYPDWIAYMEYLWECTVSALEINPTYELPDRPPILPVVVCYNMAWDTTLPTFPWA QRDDVLRLARNPQIH JR316_0001439 MPEVPIEQICSISNSFQATRKEPLVLVPCLVAHEPQLIEPLEIE STSHAPITLQSLPMEILLHIFSFFELKPYIISHGVCKDWQRLLPLIELHPIRGRMLKL YRKIISTPNFEKTRPWTLDNMQPFDRQTYIDGLLTQYPVIPAEFRMWILEWPNCLAIA CTWPSLPVLRYRWHANQRRPGVNWLGYVPTNPHLSAVVYRSGTPDVKVIPALLIWREN SITDWLIFDQDEPDLFGRIYATDFMERESSAVIPYPGQDPWYTNEPYPDWIAYMEYLW ECTVSALEINPTYELPDRPPILPVVVCYNMAWDTTLPTFPWAQRDDVLRLARGWQGH JR316_0001440 MLNTFQAIRKATVLFARRLLFRDHQLIEPPEIERTSHVPITLQS LPVEILLHVFSFLELQPYLISHGVCKDWKRLLPLTELHPIRGRMLDLYRRIMSTPNFE KALPWTLDNMQPFDRQTYIDGLLRLYPVIPAEFRMWILEWPNCLAIRCTWPSLPVFRS RCHDCQRRPGVNWLGYAPTNPHLYAVVYRRGTPDVKVIPALLIWRETSITDWLIFDQD EPDLFGRVYVNDFIDGATSAVIPYPGQDPWYINEPYPDWIAYMEYLWECTASALEVNP LLQLPDEPLVLPIVVCYNMAWDTTLPTFPWAQRDDVLRLARNPQNH JR316_0001441 MSELPPPSTPLSEFFSPIMLENDDDYDTGVPVQNPPKPKKLKVP KTEAVERLREQRFSAILLNVKELQKNLNKTLLHPDKYPRLELPSDLDSTIPIETDYLE RIFHHHSKQGLIPSYFRQLLSERESPSDNKFYLEIMGSVAYSLHQPAIRSTGPDRLQD LRNSLERYMDIHIANRGSLESLPYSSFCIVEANMKDEIPVHYGQTAHLRAVEPRLTPA ERDMNVLYRQALIYVGTHLTENQPTEFHLTETSQTTRRPTQCAEVIAWPFIMQFARDF LRKGQKTKRIEIVSLTLSKSEGRPAIPFCTNCSMRAKGRCNEIFGLRIVDASRALGPD IYQSEETLSKWSELED JR316_0001442 MSALSSFFPPRRPLSNHGDFLSDLRFSLEIMPGSRKYVFTPAAR AEIISKLYIAFMGTYPNLFLPSGVSQVPPHTLLSEYQVSVGFAGAGKEDPMVPGRPCS HIFNKGESCYRCKDCALDDSCVLCSRCFHATDHSTHNVSFFIAQQSGGCCDCGDEEAW RTDIKCPHHPPAKPGDPQDTTPRVILKPLPNEVPPAPNYSFRVAVPPDLKDCMRRTIG FALDFLLDTLDYSPDEPTVPANEADLRLQPSADPMMKDQYCIVLWNDDKHSYDEVTKI LIDLTNRTHEDAAALVRKIDEQGREIIDTNSNITRLLETAQAITQIDLGVTVRRAYDT FCEQVVGVIIEWLLDLTRSRLGTDMLVIREALAAELLSPRRRDAFTKHMTPPTALNLD SEIPNATRLDTLLLYQTRLWKRPRLSLKEVYASVISVSRAHKLVIAGHFANIYHRVID AYLLVDREAETSIKYFALQLFTVPSVSGHIARNHKLVQRLLAIITSFFTNRIVEKRIV YAGPSTIMPPATGTIDVDSFPFKSKRFMPVFSDLRYLAHTSQVQDLIAHNPSYLVAFA STCRLFMCLNPNKRAVETHVEYETDAWISVFNVTLSLSRVIKVFGEAFGKSTTAELIS AIGTVVHQILMVCTLAEDRLDRTKFSAPTFHQVEFGGKRYNTLQFDVSEGWVSFHHAL HWLLAELLKHVDLLGEEELKSVGITGGIREVFGRGASEQAILTIIDFPLRVLAMIAQI RTGLWVRNGFAIRGQLLHYRDYMLRELCYDQDLFILQTALVIIPSDLVIVSILDRFGL LGYFSGSYLQSQYEGTQLGSMVEEVFYVLITILSENANASKMPMHLAIRREIVHALAM GPCSFSDLVKRVAERLVDDPSFEGMLREVANFRPPEGPNETGLYELKDEAYDEVNPFF YHYTRNRREEVENVLRKRIEKRTGKKEWEVVLTPKPFGVTEGPYKGVSDVWERDLMMQ IMFYAIWNVLVVTDSGTAGPSPPSAEAILDQALHMIMLGMVERPKGFSTLASKKVLEG KTLIEVLSMLGSHDKYAKLYKARVEWIFSKMQPHIPDEIRRIRGEENLAGNSGGNGPR SAGIDKDEQRKKAAKKRQEAIMLQMKAQQASFASMFGQGMDSGSEDDSDGGDGDSSMV GADGSDEDEEVLFGTCIVCQEDLTNLSAASGKPFGSLGLIQPSRMIRRHPDTQGSYLN EVLTAPLSLDRTASTSPNSPGITPSAPTVTSFPPPQADLLDARSTSHNFEGFPLNYTR FGLHSSVCSHLMHLDCFQVYSVSIRQRHRTQATRNHPECIPRKEFICPLCKSLGNVIL PVTQPDTRTQLNPVPFPDWIRAAGIHILKSKPDPLLDSLQSRNGTGEFVFWSAQDSGY ATVIRRNAERDRPDPADGGKMLDTVMVISKSISQQTRHLRDRMEPENSERGAGIYLPE ELVGYTIAAMEISQRGVGVDTSNLGAEKGTMMVDAISESQTRMIKGLLACLTKLVALQ FRNRPDEGRDAVRQAIIKRLLPEWSRSSLTSFSYPLLLRDPFTILVETAAVAPEMLRH VLVLAYYACLARTVIGLVYILNKLRNHNTTPLTQRSHEDIFGDIRMFFMSVVRHSPLF ERTAIYAFETFTEARIEKLLYAFTLPFLRRAAILCRTVLPHSFPTPIFSTDDVCEYTR LLTMLDIPPLSDLPRQDTLQNALSGWCAHYGHSHAAGQINCGILLDYPVAYRLARLPL VLDSLFNRQDKALMCVNCETFPSDAAICLICGSTVCLQSSCCIDEDYNNRGECNMHTR ECGGTIGIYYCVKRCSVLYLNNGNGTFTPSPYLDAHGEVDLSMSRRGRRQFLHHARWE VIRKTWLSHGIPSMVARKLESSMDSGGWETL JR316_0001443 MTSNQTPASTSLAVLADPPIRQIDGAVMDYFLIEMVATLRESAA VATARSKKIEQEMVDTGLIPPPMPVPSSLKKDSARDSVTSLTSKSGSASGKGALDEEE EPVRQRLEAIGMHVGSNFSERLCRDRAMFSETLDAIKFICKDVWAACWDKQVDNLRTN HRGVYVLQDNSFKPISRLSSWESRADAIKRARLYAAMPAGIIRGALQRLGYTGAVIPE ITSMPQCTFQIKLPKGS JR316_0001444 MADKTVDITALSSFKSEEEPMLTTGISTPGCTWIFTYEVEKEQL SVQWERKSAQQRNVTQSSKATVQVFLSSGQTHFASSSPYIEYTAYFLNRTGADVFKFP KPRRLRGRWIFFKVSGPPSILGIEPSEHLNEIDEAIKEMDALRILIKKATDDHVAEVA RLNSSLSQAHDSVKGQEKVNARLLKEIEQLQKDLDTVDEEYDTLQDKFRTVEASNKNL ETEKTGLEQQVDNITAIKQQLDLDNTTLQDRVKLLEGTVADLQKRDENDKREIATLKG SITSLETNVQNLETTKKTLDKKLAAAQRRSDSLQADVSSLTELKESFENKISALTQTN IELSASNMLLTQKNAELIEQVDKLEADRSSLVKEARDAKVNAENAQRQLQKANMDVET TKSKLEAEIQQASDLQSKYTTTTLKIEHLENDLAIMTEKQRDSDDWRSSACQTIEQLQ KELQEAGERELNYDPNRLYKQLDEEKKGRAADIESLSAALEEQKELSEQKTLRISDLE SEAAARLADIRGLEKQIGEHLAKIATMEAKICQQANDLDEFRRQLAEDRAANNKLQAE LNDKISQITVLENQQQHDAWVLQGVRNDLATAQGNLQSQQRISQNLQCSLYSEQNTSS SLRGEAARLQTDYNSLYANYTSMQKNFKRLSKEYDGLSERASHEDCVQGDDVLSLVRH NNHKLEVLRWQYKKVFSEGRHMYARMRDGSRSRPGSVTSTKTY JR316_0001445 MAKHARKRQKTAQNNHHEPVSNKAARLELLLDEESKDDEERRLE SMLFGVKFVPKGKGKEKMPATTDDEEEDLDDAEEEAGGRGMQHLLDQDLFFVDDNMPN IQSDVPKYSTSHSDSETSESEPGSDFESSSSTSSRKALPKSLTSSKSKPPAWIDTADI DSRARVSLLSGPTRLRKLRQAVDEDEITSREYETRLRSQFERINPEPAWARKARGKKA RDSDDEEGGLEQEGPGVKDLLSSTTGILAEKKKKGTAPVVLPQGILAIERVRDANHSV QGSGSGEVRMLAFHPKPAVPVLCVATADRRIRLFNIDGHLSPLLTTIYTPSLPLISNT SVLFHPQGNSMLISGPRPFFYTYDLQQGTSTLHRRGLWGTGFEDSSILTSSNYTGGNA KRRRRGGDSTTGSGGKGGGGNTETVLHSAFSPATGSMLAVAGRGGNVHIVDWKSGAGQ VVASLKCSSSGGGGGGGVQGLWWVPSSSSGENVLGGGSHASANDEKHLAVLTGEAEVY IWDVAQRRCVKRWKDEGGYRGAGRVFTVGGGSNGSMAIGSSSGFVNVYGSDSFSVPGD GTFSSGSEKPKLVKALGHLTTPISTLKFNHDAQILAMASKDKKDSMRLVHMPSLTAFS NWPTSSTPLGHVTAVDFSARSEYVAIGNTRGRVLLYHLKDYGTGGSSNGFFKS JR316_0001446 MAHTASAGGPAYGGMGMGMSIGLGVGMALPYTSGASSSSSSSYA VHPSSYASGSGSGSSSSGSASSSGSSSSQYSGSYSSASTSTSTSTSTTSAPASSSSSH PASAHTSWRTTSASTSNTGSGSGAGAGPGLAPNPPLPLTPPMSTSFAHRVAVAYHPSH SHPSSHTSGPQQQQASHSTLPTYSHPHTHTHAPPPSTTTTTTTTLPPIAHLERHLPPP PPPPPTHSTSASASGAPHMQSYSQYHQNYQPQQQGQQQQSQLQNLQNQQQHQQQPQLQ PHQNQQHNQQQHQQNQQQQLQQQHQHQHQQQQQTLQNQQNQQNQQNQTYAPMNAPTQS QSQSQSQGLTYPSTSLPPLTPPDDVPHAHAARLPAPAPAPAPAPSQQPPSRQPQPQQP SQQSQPQQQQRSQQQQQQTRSARSAQQQAQLPRVASGEGDVFYSHSQAQASSGGTYQQ QNAVVYQQSQHQQHQQHGYHHPAPQPGPGPGPGPGPGPGPGPVGEIQAQAHQHQHYQH QQQNSAPPPPPPPPPSQSTQHPQQQQQQLQPHHQPQPSHQPPSTQQQQPQPQPQHQPQ QHPQQSIQPQPQPSHQPPSAQHQQQQQPVIIDWLDASRTRSGRFIAEKTCEMICYLWF AAPPPVPVVTAVTTSGSGMSKKVEDKREAEVLGEDKSASGSEGSSASEEGMDVDDDEE EEGDVDGEDVDVEGDMEDGDVDMDGMSGRRTGSGRGKGRGRGRGRGRGRGNGVESPAT SVSSAASSLPSIKRSSLHSAHTTSSSTQPAHITPAAAAAAPTQAHPPAPPARPPTTAP STLQLVATPTFIQFMQKLLETTQVSQSVIVLSLHYIYRLKEKNRWTPAQRGSEFRIAV AGLMMGNKFLDDNTYTNKTWSEVSGIELDEINRMEREFLTGVDFNLYVDKATYEGWLN LLKGLVLAKERDCRRWGAVSGSGGGRRGERGERGRGVGARYGYAHAHGERERGYARER EREYGRERERERERGYAREPVRAYTSAALASGSGSNSNSNANANANAVVAKHPPPPPP GPAQGYHYAQEYGYAAGVGASQVSNASYGAGAGVYSANANANAQYTSAGGSTSSSSSN YYKIPYSNNTTSSNTTSSSTNTAANNSANGCTGYGYGNGNGYTNGGRPTMHRARSSSP TLRRAVPSSSSLSSSSSGAGLGSGYAPPVFGQPGPAPAPAPGPVQTTTSSGVAAFHIP HVQPQPQTQAQAYAVYPGTTTTSTSTTASMMSSGSHSAYSSPSPAPRSYVYGHHGSYA SGLTINTNTASFGSSASTTSLSALSATAAPTVNVTNGNVVNTTATATASGAAKRTAEA AFSPTSAGFAHVPSKKVVGGSGSGSGSGSGSLPHSHGQGVSPLDGLALSSFERMSIGS GGVSPLMGQVHGSVPVQGSSQVKGPAVRRSQQAQVPVNVNALPQLSTAYSYNVADDER RGRGQPLYFYALACSPVKQQEYEYGYASHVHSACNSAAPSPTPASASAGAGEVRQDSM LSEEQEQAYADAEERERERERERERMREREYEREYERERDLDREREREEYEHERERER ERERERRAREREEAALEEAEARRVQYAQEHNQYGSHSHSHSHTPTHAHHTHNHSHSHS HSHTHGHTHGHGHTHGHGHSYAHTQRKARLRYHHPAPYTAAYSWASYADMNAPPPPPA PVYVSSAAVSPVGGVLVHHHHQQQQHQQGQQQQQGQAVGPLPHFRDDVWARPPAPASS YVDVDVEEEMEAEAESLRGDNDNESESEGSSSSSSSSDDGDRDEDEDGDEGEDEDEEM EYDGSPVTILRRFPSSSAKGQTQTQLMKAKTKTKTKTKTKTLNTQASVSSISSTATVT PASASASAGMYRPRTPPPTSSLSSISSSSSSSSSLPPPPPPSSSSTSSSYHRHSHSHS HSHSYAQHGHVEAAPFANAGPPGVNVAFTPGGGCAVYAAPPPPPLPLPHHHHHHHSVA AAHGGVGVGVGHPSSSSGLTANYAASSSGSGAGGGGGAGYAPASGTGSGYVYGSTYAS GSGAGSTYNNAYSSSGTGGGGGGGGYGYGYGYGYGYATPPSTSSAHPSSVPGSVSASA SNNTLSSSVSVSANSTPYTCPGSGAGAGTGYYGTTQSQSQYSSPVSYSTFSGASPPAP VRAPPTPVRAPPAPAPVRAPPHASEPEAYGYQQGYSTQTQSQSQGQHAYASHSHSHSQ YSSQSQYSSPQRVYDVRV JR316_0001447 MDSPMTSSGGISPEAIETVKLEARKEGIFAGLTSGLASGENLCQ SSYELSGVLSGVLFTQAFRDTAMAKLRAEEARLRSQPKIGSEMQTENPSDPSV JR316_0001448 MSPEKSSRKKEPLKSLQDIYASILELLSTIKVLLDESKKTRRTS LDEKLIRLASLAESFTEQRPKSPKSTWTHLADSLDQEGVNLWNISGLIHKAPDEVGQT HIATLRLAAFRLVEAGLEMNPGIESRSFSLIHVLHLASKTGMCLSESGQNVLAVGVLT SAAKFEEQLRNVDILEDSQRRSIACATVVYYSSRMEAAWKDGNDSLAEYMSRKITDDK QRLTLLPSHAQELLAYKLHQIAGSFLTTAQAGSKTADAIEWLQRAFSVADQLEDTTSS GVTGLKLTIMRTLARAYFMSEAYDRAESVLDELIPIIDASNDHTTSEYQELRWLRLSV LKKRNAGDASLLNGLLWDMKKLRIIFVLMYCKLTAFKSIIEHMEFSELNITEIYEH JR316_0001449 MLKAPDFDRKMLLVATQISHQSEMKPVLLVVLESLLKTLNIGSN GEVVVEAMTLMRCIVKLVLNLLLEPAANKPVLIDTVVKQFRSARILTEAAVSQKVVPL VFKDISWLWRTAYNCAVQGCAEWENAGDEISELFDIARELLDCCCQASPVEADAGLCL HLASASFAAVSGRVFSAREVMATTGAIGDDHMRAVLAQIKKAMAKITDISRQNNVQDT RDSDRIQHFLYALRVFQAEFSAHLKDWEQLSQVIDDIANSGPLAFGTYEAIADILAST PFSKPYIRFSTVLSKCLEAILRASLHHNSLSVEKFSRWLRAICTISLSKDTTADRQKA IGYFEHAVTVLKDHHEGESEAYPMDERQWLLATAYNTGTECLQSVWILANTTVFKFIF FSSASLFEEAKRWFEVSTVICRFVPGGKQRSEKVCASCLQQAKPPTEHSSMISTIQSP DFGDLQSFIISIWAPTFEFFNTPSWFFGSVTKNVPCRSLQAASVCWSFSGQSSKT JR316_0001450 MHTTEPTTITELLTLRAGASNNPIHFLDDSGDITSTLTFVDLAD SAKQIAKALLASGLQSGGKNIVVTKFDDQRTHILFFWGCAFAGIPICPLPPFHPDETR QALLLNHLQNLFHKPTFISDSATLLIVKKLVPEFKIVDVQQITNSTLRFDPSLDDAIY PSRVASTDETVAIMLTSGSTGNSKGVVLPHSVLLSAVRGKTQKHGTRESDSFLNWINF DHVANVTETHLHAIWAGASQYQASPSSIIRKPRNLLDWCSKYKITHTFSPNFLLAQIC RDASLSSASLDLSALRVLITGGEANPVTTAVEFSDIIERFGSSRTTVRAAFGMTETGA GIIYNNLPIRPAVADYGTLTYLSIGTSHDGGRVRIMDPQTSLPCPPGAIGQLQMSGPS IFSQYYANETATNDSFTSDGWFVTGDLALVDDDGNVHMLGRHKDVINVNGVKHPNVDI EHYIADSNISGVESSLVFVCALRLEGADTETYTVFYQHTDVAIEEATLNSLTDDQLEN VLNTSQRIRNVCAAFCSQAPHVVLPLPRVFFVKTALGKVSRIALAKAYGEGKFNVIEK FIGEASARRQQARASSNAPRSPLEQVVCEGVSVIFEIDLASIDLSLNIFDMGASSMHL IRLKTFLQDHFGIVNIPTIELLQRPVVSDIAAYVQELVNKGNDHQASYNPLLCFNPQG SKPPLYLVHPGVGEVLIFINLARVLNDDRPVYTLRARGFEAGETPPSSMQEMVDIYTD AILKNNPTGPYYIAGYSFGAGIAFEIGKLLEKKGKVVPWLGVLNLPPFIQFRVKELIW VETLLNLSMFLALIRSEDFEHFKTALLLQNPGGDSDVEPSNSRELIEWTYAHCNQSRL AELNMPIDYFHRWVNVAYDVSYTGRTYLPSGRIEGALTTVFCAIPLPSMGTREEYKRD RLSKWKEYSGKHFEMIDVDGEHYTMISEEHVESFASKMRAAIHRAEALQSPPVPPTIS PKQDFDAVPTIDFSLAKSNPSEYYKQLKFALEDVGFGIFVNVPGFEQSFQDEVFDLVA QFFNKPAEWKSAIGTEHSASLRGHFRGDTIEGPHKAYAEAYRFGAERPAHIDPDVPFW LRIHEGPNQWPPAADLPGFRKTIETLFERYRILNLELNEHIARLLDVPASVISDYFPS ETEFNCAIWHYLALTPEMKASEREGFVNGMHEHRDPSTFLTCLIQSRPGLQVQNHSGK WIDIPMVEGGVVCNVGMQFMRLTGGKLVATTHRVNTLKIDQDRYTIPYVLTTKLEKPI LPLPQFDNPAMAKVHVAPNPKVQALMSIKDPLTRSGYARLSLFPAAAQKMYPKEWEEA RQLGIV JR316_0001451 MSPIDLANELQTLVASTYSGDVTDPFKLYKARHSISDLCLSLLR AVQGPEEYTAILAESCQESSALNVVASLGVADHIAESPNGELTLQELSEKVKADEKYL SVVLSSLVYHGYFKEVGGFGSQVYANNDFSSLLLSEETNAKGGKSMKDAVGLSADDGA KATTRLLDAATGKAKGEAKTAANIAFDFSESLFQWMASPGNEWRGKRTAKAMVQLHGM ANGGIGEDYPWEKLATPIIDIGGGIGSFQGMLLALPKNKELTFTIFDIEKTVEHAKKV WAGKPQWMQDKVSFIAGDFMKSSPNDSKIPTPAQGAGTYVIRHVLHDWDDAQVVTILK HVRNAMLGSPASTPPKLLLVEMMLNETSSRFTRTTSLQLLSLNGGITRTEGKIKQLIK EAGFTVDSVTEVRGVDLVVELSPASL JR316_0001452 MSTPILIVGAGPTGLTLALSLVQNGASVRVVEKRSSPFIGQRGG GIMPRTLELHGVIGTLPDILKIAGPPLTTELYLPQAREPSKVFDMAPYIEPTADRPYP NPVILWQSRHEAILRDHLQKAGVEVEWETEMQDFEQHQDCVTVTLKKKLQGIDGDHVM ETVTTPYLLSAEGAHSMVRKRLNLGFYGETTSQSYIIGDIEVLEGLNRDRWFFCGEPM TKQISLCAGEDLTSKYMYMLYTGAEVDHKKVAASREALIEDIYELTGRREIKFGNLLW ISSYTPNIRTVEKYSQGRCFVAGDAAHIFALSGAQGLNSGIHDAINLGWKMAAVVRGF APPSLLDSYGPERLPVIAEMLDKTREVHEKTFKSSIADEDNDAWNRGGDFDMLHMNYR GSSLVIDTIHTKGTRYTFSTGITVRAGDRAPDAPGLVHRKGTGGPTSLFKIFGSSYHT VLIFSKDQALSSLVIASLQEYPSELFKTVVVLPKTQSKVDFNSAQTDFVVEDSNEHAY DAYVEQNCVRESTIVIVRPDTYIGAMVDKEEDVRRYFSQIFKVTK JR316_0001453 MIPTERELFREKDSKLWRAPPTWAFMVLRYSGVFALVPGLFFTS LQNQHCQVAASLGEVGVVLVTGSAGLIFAYRVFAIWRYNKTVMVLVSVLYVFNVACWI AVGSQLRASQGPPTPFGSNCKLHPVPDWDPLPFASSVLFDTTVLLLTIIKTREDKIKS SAIGNQILRDNIIYFVILSVTNIVVLVINCLGPKFDNIKPVTLPYPTLMTTAMGTRVY LNLRLYNKRRHETSTLPQVTSGDTMRFKTNDVPIPLNSMRSATMVVQSTHVQKDWDDT KLHTVTTESL JR316_0001454 MESTGPTTITELLALRAKTSSSTINFLGESGDVTCSYTFQQLVD SAQRIARALLSSGLRGGGKDIVVSKFDDQRLHILVFWGCAFAGIPYCPLPPFHPDESR QSLLLNHLQNLFGSPTFISDAAALEVVQRLVPESRTIDVELILNMTSFDASLDAQIYP TRTSSANEIVALMLTSGSTGNSKGVMLPHSMVLAAIRGKALKHGTKDSDIFLNWINFD HVANVTEAHLHAIWAGASQYQVNPSAIIRKPRNLLDWCSRYHVTHTFSPNFLLAQICR DATLSAASIESAGQPGPDLSALRVMVTGGEANPVTTAVEFSDIIERFGAPRTTLRAAF GMTETGAGIIYNNLPILKNVADYKGATYLSIGTSHVGGNVRVMDPVTSLPCPQGVVGQ LQMSGPAIFTQYYSNPTATNESFTSDGWFITGDLALIDDDGNVHMLGRHKDVINVNGV KHPCVDIEHYIADSNIDNVEISCIFACALRLPGADTETYTIFYQHNGVSIEEDTITSL TDEQLESVLDTNQRIRNLCAAFCSQAPHVILPLPRNFFFKTALGKVSRITLAKAYGDN KFAVIEKRIGEASARRQEARAASSAPQSELEKVVCEGVSIVFEVELETVNLSQNIFDM GASSMHLIRLKTFLQDHFGIVNIPTIELLQRPIVSEISTYVQQLVNQGNDHQAPYNPL LCLNPQGSKPPIYLVHPGVGEVLIFINLARILNDDRPVYALRARGFEAGETPPSSMQE MVDIYADAIVKNNPSGPYYLAGYSFGAGIAFEIGKLLEKRGKVVPWLGVMNLPPFIQF RVKELVWVETLLNLSMFLALIRSEDFEHFKTALLLQNPGGDSDLEPANSRQLIEWTFA HCNQERLAELNMPIEYFHRWVNVAYDVSFTGRTYVPSGCVESALTTVFCAIPLPSMGT REEYKRDRLSKWKDYSGKNFEMIDVDGEHYTMISEIHVESFAAKMRDALHRAELLQLP PPAPALPSRQNFDSVPIIDFSLSRSNPTDYFKQLKFALEDVGFGIFVNVPGFEQSFQD EVLQLAAQFFQQPEEWKASLATDNSPSLRGHFRCDKIEGPHKAYAEAYRFGAERPAHD SPDVPFWLRIHEGPNQWPKDADLPGFRAKLEALFERYRVLNVDLNKHIAALLEVPYSV IDDYFPSPHEFNAALWHYLPLTPEMKASEREGFVNGMHEHRDPSTFLTCLIQSRPGLQ VQNHSGQWIDIPMVEGGVVCNVGMQFMRLTGGKLVATTHRVNTLKIDNDRYTIPYVLT TKLEKPVVPLPQFDNPSLVKVHVAPNSKVQALMAIEDPLIRSGYARLSLFPAAAQKMY PKEWEEAKKLGIV JR316_0001455 MSPIDLVNDLQKLVVSTFSGEVTDPFEVYKAKHKISDLCLALLR SVQGPEEYTAILAESCQESSALNVITSLNVADHIAESPNGQLSLTELSKKVKADEQYL SVMLSSLAYHGYFVEVGGFGSQVYANNEFSNILLSETTHAKDGKSMKDAVGLAADDGA KSTTRLLEAATGKGKESSKTAANIAFGFDGSVFQWMSSPGNEWRGKRTAKAMVQLHGM ANGEIGEDYSWEKLATPIIDIGGGIGSFEGVVLSAPKNRDLTFKIFDIEKTVEHAKKV WSGKPQWMQERVSFIAGDFMQSSPADSKIPTPAEGAGTYVIRHVLHDWNDDQVVTILT HVRNAMLGSPPPTTSTPPPRLLLVEMMLTETSSRFTRTTSLQLLSLSGGVTRTEVQFR KLIAQAGFIVENVTAVRGVDLIVELKPTPL JR316_0001456 MPAPYPLQDMPPGKFSNMFDYQAINMAAAHNVFIQGINAAVAHA PHITPEKVQPFMVFCLTVLDTIHHHHNVEETFYFPTLEKKLGAGSLSSNVDEHASFVP QLDATAQWCRDVQAGKEQYDANVFLEKINSFGDLMYEHLVHELPTLESSKIKAAFTEQ ELKAIDKDFQKIVFADIDFHTTLPMTIVCMNPATPWFPPLPAPARWAARWWFSRKHSA AWQFGPLDFSGNVRKQPGA JR316_0001457 MLCGASLNFYRTLARKYGHELKRAMSDAPPPPPAAQPGSITVPL PPGMTVDQFLALQKVVVNLAIAMAVAVGIIIWDFVSMIPTERELYRDKDRKQWKAPAT WAFMVLRYAGILALFPGLFFTSIQNDHCQVTASVSQVGVILVTGSAGVIFAYRVFAIW RFNKFVVGGVSFLWVFTVASWIAAGSQLRASQGPPTNFGSNCILHPVPSWQPLPFASS VLYDVVVLVLTLVKLRGDRIKAYSIGSQIRGDNVLYFVIVTATNIAALVINSLGPEHD DIKPVALPFPTLLTAAMGARVYINLRMYNKKINGELSGSIPTHSSGTTRSAVEDTIRL KNSNGVDESIRIRKPGPSDAIPLGSMKNTVSVHQHQSSFSDGDHWQGPTKVNNDYRNI NRDSL JR316_0001458 MDYKPQSPYKRTKGAAATVPPLVRSGSANLLERSTFSGTTWKPK RLELDSESLTIINPSSNKRTRISLQDITELERTDLADHALELKTKIKRYNFSFTTDSE LYDWQDDIYQRCPLGNYSAPFDFVHKSHIGSDSVAGTFTDANILPIYAEIIGGQPAVS KTSPSIVASPRSRPASASPLAAVPKGSSSPSHPVLDGLFAIKQTGLFAGWLWKERWLT LTPQALVIHRRSTKSSPASKSIPLPALTRIDIDVKRDNCLIVEFTTRPSSSTSSGSVP TDILAIVFKGNTNLYEWRDALYLRSALSSPIGNPTSFVHHVHVGFDPVTGAFTGLPAE WNCVNPGPSPSSEKKARRQSRRRSAPLVASN JR316_0001459 MSSPHRILVDDTDTDLIQYTGPWFASTTTQFNALDNNGTPFMGT LHGTTSNASFSFTFNGTSVAFDGTFNETQPSPGSSLAPPPSVQCTVLLSNTVSTTAFN PHIGNNLEFCNQLGPLIDGQHTIIVNVAFPQTDDPSLTPQTFWFDNIVYEASPSSSLS NSRVLITSPDPRMHFSDGWTPGFIAGSPQDTVGNKTNIKNSSFTMDFYATTASYTVDS GPPTIFDIPSSHPVESKLNVVEPNVFLFQTPINKNGPHTLTVVYNGNMEFNSTPLSLN YIVVNNRTSTTTIPNTSSVTSPSFSNTRVKTGAIVGGTLGSVLTIALFTTFLFILLQR RRKRSEGNNAIDESLYCYPPPVNPFIVSREDIVATAGKTNTASTPSSSSRNPPQSSKT RTRHALNAPMTSSDRVRAPRSVAPANTQGILPSSIISQGTPQVRTSTIVRREEDSGIR ISQGPNGAEITLLPPEYTLS JR316_0001460 MSFLASDSLRTCVSQSFFPRDVASLPDSTADDSLFTDRSIWSII WSCTATIFACTWISVHQNVPAPNDSSIRVLGRRLAIMLNLFIAPEMVIVWTTRQYLAA SVIVKRHKSRGWTKTHAFFVIMGGFSLYQDGVLLRTLELSELERLDQEGKIEWPTITE AEIQDKSKGDFFTKGIVVLQTTWFVVQCIVRGTKRLVLTELEIVTLAFSAITIITYAL WWSKPLDVQVSVPVHLKKGCSADPEPTEPEEEKGETKSTSVDAVSEAIDPVPSGSEVV QLPGPPTQAVDTEVPLLASMPTAEIPASSDADVESQSDSLHSKLATQVPPPTFDRPYH DPSLSLLQQFRVYLKVKREEYGLIGCILYIVIYRPLAMFFGGFYDMLFCTTLEGRRYR VPTFYCPPIEDDSLGIVLAIFVALVFGGIHCIAWSFHFPTDIEKWAWRVSSVLVSGIP LAVSFLSVLTASTSTKEDGKDVYSSSVIEWIDNITIVILVLSVLTYIAARGVLLVLPI IALRALPPGAYVDLNWTVYLPHI JR316_0001461 MKKFRLNVADIVAMVLIGGTVLLLFVWFVILNPLYIIFHRLCKK YIAVLRRHGRIEAPPPPLPVNTQPSPDRIAASQPRNFNIPMQSPPSHIGPTSSSGKQT NHLQPIPQSVPLPQFPSPYTRSTPAPAPKPKSNPNPNSPPAPTPPAGHTPASNLSSLP GQLDEMRGLTRQFADLMGQARTPENDAKLREIWASITQLDELSRVDAQQVAPVISSLP GPIVPRASAIDTTTTTTSRGEPPKWTLDGRD JR316_0001462 MGMSFGSFTGVCETAALVVCPLVGTAQGVEPTCYSRNVDIGGTL IFQPSTCFVHIVAIIMTAIMILHIRSKYTAVGRKEIVMFFYMYMVIELLAMFLDSGII PTSSQAYLWFAGVYTGLVAATFCCLLINGFVGFQFAEDGTPLSLWFLRISCLVVFGVS FFIAIATFKQQFGFNYTKPIALFIVYLLWPIICVPIYIVSQLILVFRTLEDRWPIGDI VFGTVFFAGGQVLLFAFSVTICDAIKHYIDGLFFFSLCVLLSVMMVYKYWDDITREDL EFSVGSKAAVWEVKDPLLAPGGPGGDDEDGSSSEQRYPNPPPLPGYPSSNGSYSNFSG QGMPAYGQPQGGYRGQPQQQGSVGKAGGRGYPPGNPERY JR316_0001463 MISLLATLGAVFLPLSSAWDTPKFNAQTSFGHTNYADGLFTPVE SLSSLSTSSFTTLGHPFFPRYSVRVKKSQFCDETVNAYTGYIDVEARHLFFYFFESRN DPATDDVIFWTNGGPGCSSSLGLFMELGPCRVPSDNGTVFHPESWNTNANVFFVDQPV GVGFSYAEHGEFVGTSEDAAKDIAAFVAIFFENFSQFKGRAFHMSGESYGGRYLPLFA SAVYDQNTKLIEAGLTPINLQSVMIGNGMTDSFKMILSYYDMQCSPASVAPIVDIATC VAMKNLLPRCEKWMTSACEDSYDAINCGAAVAFCRSAVSVPYHATGKNPYDISKQCEG NIAETLCYPQTKYIRDFLDRPSVRSLIGVDSSITRNFTSCSHDVGAAFGVTQDILHPT KDYVAGLLDRGVRVLIYVGAYDWICNHVGNERWTLALEWSGQSEFVQQELKDWVVDGK SAGKTRSAKGFTFATIAGAGHMVPYDKPKESLEMVKRWIAGKPL JR316_0001464 MTKFFSGLDSPSESDASSIVRHEQSLHPIQVELLQLANAGKRSI VTKLGINNSSRIVLDIVNMAQNAKSHRNECSVVASEAYLLLSVVIGGVKGRAIDVNSI LRDHIARLNEDLGEILQIIKGFASWWILLRTLKAPRGLDKCHNILHHSLDMYMLLLRC SLAQSTNSAKHSQKARRSVTLGPKATRSPPATTSQPLPKTARRSTYPVPRSVAPSTSR SPWSQPPNRVRRPVGAAV JR316_0001465 MALYSSLIEQSIHIVPRFTLECGSTLKEVPVAYKTWGKLNETKD NVMIICHAFTGSADVEDWWGPLMGRGKAFDPNRFFIICANTLGSPYGSGSPVTINPET GRPYGPEFPPTTIRDDVRIHKLVLDHLGVRSVAVVIGGSMGGMAVLEWPLCSPPGYVK RVIPLATSARHSAWCISWGEAQRQSIYSDPSYQDGYYTSQPASGLAAARMSALLTYRS RDSFESRFGRKPQLGQVITLTPPASPKLTPSAGDAVAKHNDGLRNAKPANNGALSPTS SKPPIFSAQSYLRYQGDKFTARFDANCYIHITRKLDTHDVARDRVEDADAEETASLAK VLSTLPPRALVISIATDGLFTTSEQREIAAHIPQAELVVIPSPDGHDGFLLEFEQINT HILRYLKREFPEYYDKVEEEEPIDEGFAVKKTSVFGEAEVDITQW JR316_0001466 MGSTFLATTSDWISKLLTWVTWKTFLTLLSAFCFVRVVYDEINK RTQRLPMIGERVLILGASSGVGRAMAKQYAARGARVCVVGRREALLAEVREECLQARR DVPGTADHDIAALPGDFTSVADMINIRTFLEEAWGGLDTLVVAAGVSALKPLLAVTGV QGNEDSTKEGIQRTVDIAAAATRGNYVGPLIAAVTLIPTLSRTSKAPAILLLNSVAAI IPAPTRTLYASTKAASLLLYQALSIEHPNIAFTHFLPATIEGDFRASAVDSGPVRELD PNKHGLKREKVAKRAIEAVDMGEKNVIMPFIPYHFAHFLYWMMGPSGSVVESMARKKY NYNV JR316_0001467 MFVRPVRRLLGRPHAISYFHSTSNWWASRKVVKKFNLADIGEGI TECEVVKWSVKPQATVQSFDALCEVQSDKASVEITSPFDGVVKELLVQEGEIAKVGSG LCLIEIDEEEPTSGASTQSTKVESSSPSSLEETAKPVETLPPPLPLSDAPSTPTRRLH PLDPNNTPQKISSNHDQVFAAPSVRYFARQNGVDLSLLVPGSGKGGRIEKKDVEAAIA GQRDAPEERTPQPQRQQGDVVVELGRTRYGMWKAMVKSLEIPHFGYSTTLDITALHEM LPTLNAHIPPHYLPEKSRPVVSQAISPSALYPAPSHGPIPDSQQYSRLTYLPILVKTL SKAMVEWPVFRSSITTTTDSSKPTLTIRPNADISVALSTPTGLYSPTLQSVNTHSIYS IAAQLKHLSHLGRQTPCALTPKEMPKRGGTITISNVGAIGAGDFASPVLVPGGGVAIV AIGRAKWVWDVDRGDGTGERRLKLGVSWSGDHRVVEGAELAAFVECWRGYVEVPQRLI AEGI JR316_0001468 MGDFLQGVDDFLIQHGAALEAQRRGCTRGQPEGLPNLTLQANPT AWIHQVETDLAACKIHSSQWKYGCYLYMPQALREQMKTNGSRILGTTHQDWPHWNGFK QNFLDIIDFYYGHKNRIREKMLPLLEQYCPGLTIGTPIGLPPLDSTSFSSVWIPKIKE DFKACDMPRMWETRAAMLYLPDRIRQHIEMKHVENWKENGVEWTLEDFIQEVQAHLDT GVAGAASGVTLEPLLIARGLGVLGLGAAGAAAGVILGPPLIVGGLGALGFGASGVAAG NPPSKSFLSAELKQDLS JR316_0001469 MAVRRNGLALGVLLIRASIATPYLRPLSNTTSIITMGNGGTERG SREFWYHLAISVVLVLAGGVFAGLTLGLMGLDELHLRVLATSSENLTEKRNAQKVLNL LRRGRHWVLVVLLLSNVIVNESLPIFLDNAIGGGVAAIAISTTAIAPIAYPIAKLLDL VLGADKHQLYKKAELKSFLQFHRAGEEPLRDDELAILNGVLELNTKNIESIMTPLRDV VILSTDDILDETAVAAMLQSGYSRFPVHEAGNPTSFVGLLLIKKLLTYDAKKALPVSS FALSILPEAHPTLNCFQALDYFQTGRAHLLLVSRTPGKAGGAMGVITLEDIIEEIISE EIVDETDQYQSNLTRDKARRSTTSTIMQGYL JR316_0001470 MGLDDLHLRVLATSSEDLKEKRNAQKVLKLMQKGRHWVLVVLLL GNVIINESLPIFLDSALGGGVAAIVISTAAIVVFGIIPQAVSVRYGLAVGATCAPLVL SMMYIFAPIAWPIAKLLDYVLGAGEHHTYKKAELKSFLQFHRTGEEPLRDDEIAILNG VLELNTKNVETIMTPLKDTVILSADTILDHKAVDAIMLSGYSRFPVHEPGNPLSFVGL LLIKKLLTYDPSKALPVSAFPLGILPEAHPSINCFQALDYFQTGRAHLLLISRTPGVA GGAIGVITLEDVIEEIISEEIVDETDRYEDNQSKRRAKRATTAAVMRGIVERQRRATQ DSERTPLLVDTSIPNASQEGNTLYGTTNGVVSESPKDS JR316_0001471 MFLFYLIKFVWRRLGRLLVPLRLRIARILYRNPDFKRSVTKLDP WTVLKGSTVATQEAEAMIFVRKHTSIPIPTLLDVWELKDASYGFIRISMCRGVQLDEA WPNMTDSSRSRTVNELKAYMQELRGLQQPAPHGWVGSTNRRSVYCPRISMMGPRGPWN SGKEFHSYLLECLEQYRKAEELKQKFARVLSYNHDIVYSHADLSFENILVDQNTGKVT AILDWSMAGWWPEYWEYRKAMYGSRPGKKWWVDLVKTIMAPWKDEFSLDSELQVF JR316_0001472 METLDIYGVDSNGTETCYVGRNDNPSFIGSEPLDALGYRIWKST IVRNLDRQNPSDDRNKH JR316_0001473 MAIDHTQKTNGAAPHHAELDASKLVVTLASELKPIPDPETLVFG QTQTDHMLVVNYDPITGWGIPEIKPYGPLSLDPMSSCFHYCPNVFEGMKAYTGANGEA RLFRPDKNMARLARSAERVALPPFDTKAVLELIKKLVEVDARWIPTIPGYSLYIRPTI IGTRAALGVAASDSACLYVILTPAGPYFRGTAKGIPLLAVGESVRSWPGGTGGHKLGL NYAPGFLPQRIAAKQGYEQILWLLGDDEKITEVGAMNFFVAVQREDGDVDLITPALDG TILPGITRESTLALADAHTSSKITLPGVPATKKIFTHERPVTMAELAKHVDAGRVLEC FGVGTAVLVAPVGRIGWKGRDLVLPVYEGGLGPIGKGLEQTILAIQTGRQEFEGWSVP CF JR316_0001474 MPSLLAHHELERRLLGWTGSSYVPRYPFFEGPQASGSNSLNGST SSLVASRHSQDLNRWFNDVELAAHDCNIPPEQHPDVAIYFLKGDLREVMSERRNVYLR QTRKLFWAWEDFKEDLRRIVASDAIEQLQRAHPYIAVSVKLGLIIGGTAVLLPALGVI TWNRLQTRHHVAVQSPQ JR316_0001475 MEPSQSMTNGSSNHHDPSPPAEPPASTFDPEIFRRYLESLLPPV IGAKISDLDSLFDEEFDERVSRFASDTGGVIYVVQVKEETEGDDGSNTTYTYHLTPQL MYHPSHVTTLALIKRGPTLDPTTPLATQLHFLNLFGGDETPYESLHAVVSCGVKPWFD AFVGARGAGKDGGDTKMGIPMTKKKFAELELSLLHLQQNVEIPETHLIIHPIIQRAVE QAHAAGQRPNISNLPPKLLNDSSFLNMLHNHVNAWIKSIQSVTKLTRDVSSGSASQEI NFWLSLERALEAIEAQLRSDEVLMVMDCLRNAKRFRATVSFMADTGLTDATDMVHKYN QLMKDFPLNELLSATDLDKVQESLVLIFGHINRKLRLSPYPIRRALPLVEAISRDFNE QLLRILTSHRLPYTPYDTFERLLSQTMNIFRTWDDQIKEFTNVAREVTRKRNERFIPI KVVPAHLKLQERTRYLRDWRKQHEQLAVMTGPTKGLGGVGMEVGGMDMEEEVKEAYEV VKRVDVLDVSVEGTEIWVAAENAYNERVSRVENQIIARLRDRLGTARNANEMFRVFSK FNALFVRAKIRGAIQEYQTQLIDSVKEDIKHLHEKFKTQYRFSEAYHMSQMRDLPPIA GAIIWARQIERQLQTYMKRVEDVLGKGWEHYAEGQKLQSESTAFRKKLDTRPVFDAWM QDINRRNMGVDGRLFEIVRLRGGGFQLAVNFDPQIITLFKEVRNLLWLNFQVPHTISN MAKDAKRVYPHAVSLMETVRTYGQTLDLVENNKGIEWLVAEYRNESQRMISKGMNIRW DYFVNQYDTSRYVPSSDGRDNRHIQFVREFASIVSVLQDKTNNVIDLYKDILRAVEDL ATCSYTAEAFSELLSKIQGAIDRLNLEGYANLDYWVAELDKRIETILLQRLTHIIQVW CSEFDRTDDGDTRRDIASTRDLTNKRRDKRMKEEKFLEGNMTVKPIVHEIRIQNQVIF LDPPIEYARATWIKQLHDWLGVVCRLRRIQSSRYEIGLQMQGASAVETTYTSLLTRFP DNTLERPFSLIEIKVQHIKDYVGKWLQFQSLWDLEAEYVFNRLGDSLANWQQLLTEIK KARTTFDTSETQKSFGVCVIDYEQVQARVNAKYDSWQRDILSRFGVKLGNAMKEMHAS ILKARNDLEHHSIEGLIGGGGGAGAAGARRF JR316_0001476 MGQVHSLYNGLSFLTGRLLSIFGIQRLLFGGNKLLFEESKSEDT AVHLPKTTFQSLPVEIILHIFSHLELQPYLFSRGVCKEWLRLLPLADIHPARRRLIYL YLKMVNTPDFINTRTWIVDKLLPFDRQAYIDSLLCQYPAIPEEFRIWLLEWPERATID CTWPGLPFVSCNDIIPSKRSGVNWMAYKRHSPQLLAPVYRQCTPFAKFVPGLLIWRQS GLTDWLIFDKDEPEVFGRVYITDLVEFEDPAVIPHERQKMLDDDDDPIPISVSPGSDE EDNEFREDHSHELEGAGDKDTEGYLNVPHPDWVAYLESCWAENDIRLQYHGVPNLIND YLIPESQVTTEFNGSCVTTLPAVPWSLRERYTEYLTKF JR316_0001477 MGQVYSLYNGLFSLSQWSILSIRRLLFSGNELLLEGPKSEETKD YPKATLQSLPVEILLHIFSHLELKPYLFSRGVCKEWQRLLPLADILPARRRLLELYLK MINTPNFIDTRSWILENLQPFDRQAYIDTILSQYPAIPEEFRIWLLEWPERATINCIW PGLPFVSCNDLSPSRRDGVNWVAYKSHSPQLLAPVYRQGTPNAKFIPGLLIWRHHYRT EWLIFDKDEPQLFGRVYVTRLMELEMPADIQHERRLTAEDDEEDIESLEYSLEEEGTD DTDGRFNVPYPDWISYLESCWTENLRRLEKRRIFLLKDDIIDESDIGPYFNGHNDTML PAFSWSLRDSFTECLVTS JR316_0001478 MGQVHSLYNGLFSLSQWSILSVRRLLFGGNELLLEGLKSEETTV YLPEATFQSLPVEIILHIFSHLELKPYLFSRGVCKEWQRLLPLADIHPARCRLIDLYL KMVNTPNFIDTRTWIIDNLQPFDRHAYIDTLLSQYPAVPEEFRIWLLEWPERATVNCI WPGLPLVSCTDFRPSRRCGANWVAYNRHSPQLLAPVYRQHTPFAKFVPGLLIWRQGSS TEWLIFDKDEPELFGRVYVTDRLENEKPAVIPHERQKIPDDDDDEPISGSEDEDGEFR EEFSSGLMLTDDVDSEGYLNVPYLDWISFLERCWDKNARTLQYHKVHGYLKDLAITES DMGPEFNSFYETRLPAFPWIARESFTEYLLKK JR316_0001479 MIGIRDDDTLPAYTHDPFYQRILRTIAYSLQHPKATDPNGTRNP YFSLGRFIDSYIHSRGNNIAKSQFNAFCIVETEEKTIPDHYGHSSAASRVRRKPTEAE KKMDRLYRRALVFPTLNGSNPHEESLEERPVEQCAENIALPYILKAAIQFLANNEQGN RVISVYSLSMSTAKEPIAFCLNCRRHATDLVRKTLGLRIVDRAHVIYQSDATYALWAS DQQGDFSSNSNA JR316_0001480 MPALQSNSGVKVLVTGANGFVAMAIIQRLLGLGFSVRGTVRSQE KAEETKKIFSSYGDRLELLIIEDLTQDGALDDAVKGIDAIEHTASPGPGHLPDEDPYE IYINPAVQGTLSILNSILKNGSQVKRVVITSSLAAMMRPIDGPTVLDENDWGDEYVRI VETQGKSASPMEKYYASKTLSERAAWDFCEKHKGMIGWDLVTINPSLPLLQDFQELHE VTPSVRLWFHFMATEQSEEILKSTLSYVDVRDVSAAHVNALKVEDAGGQRFILSAESI TWQDSRNHLLDRKPEYYTSGIFKRGNPNLKSYIPLTLKTQKAESILGIKYKSRDETLL SVAEEYRRRGFL JR316_0001481 MGQVHSLYNALFSLSQRSILSVRRLLFGGNELLLEGLKSEETIV YLPNSIFQSLPVEIILHIFSHLELQPYLFSRGVCKEWQRLLPLADIHPARLRLAELYL EMVNTPDFIDTRTWIIDNLQPFDRQAYIDTLLSQYPAVPEEFRIWLLEWPEMATVSCI WPGLPFISCIDFSPRKRSGVYWVAYKKHSPQLLAPVYRQHTPYAKFVPGLLIWRRSHL TEWLIFDKDEPELFGRVYVTSLLELESSGVIPHERQKIPDDDDELEEAYDADADGYLN VPYPDWITYLESCWAENVRRLEHRETCRPFEDRVIPESEITPQFNSSYATALPTVPWS LRESFTENLMNTEATCRTYELSSVKDAQQSSAVFVINDIEMIVAPQQNDKSETASIAS TLVDVEKPPPNRIPRYLAKLISASLPLALVAFICTVIDNVIRSQNPKLLIPIILAFVL TLPHHGETLLLVWLQRHHTDSILPFTPCSPKVIAYATLLWLLWMASVVERAISFHRAR KHDIVLCFPGIGSNGTPINACSTWMADILWAVTTSAVASAIEAAIILSITTVCFLHHR QELKSSPALTRSNPSMLEGKAAEV JR316_0001482 MYNGNELLLEETEAEEGTLPLPKATLHSLPVEIMLHIFSHLEIK PYLFSRGVCKEWQHLLPLADIHPVRRRLVELYLKMVNTPNFIDTRTWIVDNLQPFDRQ AYIDTLLSQYPAIPEEFRVWLLEWPEKATVNCIWPGLPLVACIDFRPSRRCGVNWVAY KMHSPQLLAPVYRQRTPFAKFVPGLLIWRQGISTEWLIFDKDEPELFGCVYVTNRLEN EKPAVIPHERQKIPDYDDDEPSSGSEDEDGEFRAELSSGLVITDDVDSEGYLNVPYPD WISFLERCWDKNARTLQYNEEHRYLDDRVIAKSDMGPEFNSFYESRLPAFPWISRELC TEYLANN JR316_0001483 MSNTSPVLAGPPGDCCVQGVKHFGDAVGKTIKIADIDTYVSEPP EGTTGPKKVVLFFADVYGPFYINAKLLQDYFASNGFYVLGIDYFFGDPISLHTDEPNW DRNAWFAKSRKAANEETPKWIKAVREIYGSDAKYTAVGYCFGGPFALELANTDDVVAS AFAHPAFLNEDHFRNIKKPLLLSCAEVDQTFPSESRRRAEDILAEVKATYHVQVFSGV SHGFATRGDPEVEQSRWAKEESARSIINWFKRFSGSS JR316_0001484 MQPPTSRLPPIKSLETQPLEHLEKAVRYLWGIYNPPVRGSRRRT AHKEKDASDDLRTDDFERSYSMKWLTALVSQCELEMDSEEHLNQSRKEILVEEAASLL AICAGTASAGVVTRRFTFDLADTSTQITVDLTDVPLDNQDYASVGAQTWGGACIMAEM MAEDPDTFFLPWSRQPAGRLRYLELGAGTGLVSLIASKILHSVESFKLEVDLVATDYY PSVLANLAHNLDSNLRASCKKHVRVSTQHLDWSTFCDSAPNSVFEDGFDVVYGADIVY ESQHAIWIKSCLEKLLRKPTSSNPNPTFHLIIPLRATHSAESNTIEQVFPPDGKGLVI KHKEIIVCYADSGKSGEEIEYAYFRIGW JR316_0001485 MDSTEDSQLLEITALQSIYAEDFIECPPPKAWKGAARLHEFIIR VSHPEPIHASKIHFNLHVKFPKTYPRLACPTFTIEKPIVGINEGQVSRLSQEVNSEAQ KLKGFEMVFSIITFCQDWIEKNITPPVEVVGSLALQMTQRAQDEERARRQREIEQAAE EEERATRAAEELNEQIQADAMRQMMAKEQQYKARKRANSEATEVPATVEIDTPTETFR DMEINGVKFNTVRVFHPRVSHLGLVYMADPVVDDFSTATPLELYVVTFEEHYYTTSQG RKKLTQLESEIKNLINIRHTKLLTIYGVKLHLPSSSGSPQLMVLTEQTPALTLHDVLE DCDSLREDRASDYIAQILTALNALHCSGLVHKGITTRCIGLTSTDNPSQPKTVKISKA PFHTYLLDLHRSNSFGPHTPPIPEEPKLPEGWLSRDVNESALLYTRKRDIHDVGIVFM QMLLGLDVCERYSEPGSAIHSSSISPTLARQIMLMLEPPKKGSVTCASLLTDLNGVAQ SPVTNPRTPLTLPYGSPEGPDYFRLTPREKQTSRWKTEWEELELLGRGAFGSVVKARN KIDSRIYAVKKVRLSKTPQNDKKIEREVDALSRLFHRNIVRYYTTWIEVSDPTSAAAS GGSSTESSIDEELEEGLTSVPGITSEKHLPVNGQFQFNVDDFDDISVSRGSFPSIHFS VGSDSGGDTSSSSEDDDEFGGLFKSTKSRSRSGPASLALNSLPPDTPAPQTTLYIQME FVERQTLKERVDEGLPADEKEGWRLFQQIVDALVHMSTMNILHRDIKLTNIFIDIKGD CKVGDFGLATSSLSVDPSDVSASSGFVGADMTLEVGTRLYIAPEVQSHRRVRGPRDHS KADMYSLGIVFFEMNFQFNTGAERIAVLEDLRKPSITFPAGWDPHRIRQKEIITWLLQ HDPDKRPTALELSQSPLLPERLEDEYFKNALRLMAKPDTTHHQTALATLFKQPPRLSR AVVYDQDVDSPDYAPLNQTVQDQLVAIFHLHGAVDMEPPLLMPIMDPEEEKNQATFID RQGDIVSLPNNILVPFARLAGRQNIRRIKRYHITNVYRPNTVPGHPKFTKAALFDIIS PDLRYGPLAAGAEIISVVNDCLDTFPNLSQNYDIHISHSKIIEVALNRVPAETRAAVA EILNQPKSSIMQKRALLLKKGLVRSTADELEILSDVEEDLEETVLRLEKLGTGIATIL EPWIKEVRQTIQYTQSAGVKRQIYFHPLMLGAHNNHFKDGIMVEVVRRNKQGDVLAAG GRYDNLITRFQSLKQKPDPVCAFGIQIAVEKITAALASYQSASIKTLVKEERSFGFWS PRRCDVYVVSYQAGYLQERLEVVSYLWEHNISADLMYESGLPDAEHENHLDICAREGI LFTVYPRPRTGRNLPAFKVKSILKGTEVDLSRQELVSWLQHQIADQKRVDAATSGATT LPESAPNLPVIKDTAVVPDVQLVLPDPKKQRKQMKQLFLEKAFEKALAIKNSFQNGMP VLVVDVSPAHFDAMIRSSAWVTDEEAWKVIWAMFPPQHSGYAQTVREAAIKRKSEGHS YILLYGIREDRTQLLSLAPN JR316_0001486 MNITSPFSFLSSLIHNSSISINATDAVLYFLGLYSTIKILKLFL GKGFSEKPFNALPGPKPVSWIMGNLGQLFNAKGLPFHQSLVDMYGGMVRVYGFFGDEQ LYIADPRALQSIIIKDQDSFEETAVFTETNKVIFGPGLVATTGEQHKRQRRVVNPIFA VPHLKKLTPVFYDIAEKLADVIQSEIDQKLSHANESKDSGNLNIGQKDRRGAVIDMSD WMCRVALESVGQTLMGYSFDPLDSPFNNPYTSAIKDLIPTLFSLALVRQFAPFLVRLG PPWFRRKLVEWTPHKAVQKVMKMSDVMHETATEILQKKRAHLQQSAEDHEDDDKDIIS ILLRLNDKAKKEGREQLSDIELTGQMTVLIFGAQDTTSSCLSRVFHLLAMNTDVQDKV RQEIQEKCSDEGHRRLDFDTLSKLPWLDAVVKETLRLYPPVPFVRRTAVKETVLEYSH HEDHSKLSSVRVPAGTILFVSIAGSNRLESIWGPDAKKWRPERWLEDSKDSTAGRLPG IYSGMMSFLGGGRSCVGYRFAQIEMKIIIATLLLRFKMTCTEADIVWNLSQIISPSVR TGDLNGVPEERKGLPLFVFN JR316_0001487 MAGPKYPKIVGFELVEQIGGGGFATVFRAVNVAQHRVAACKLIM FTEETTEKERKTFDKEMRIHTALKHENVLEFMNAVIVELKHKLIYIPGIYMLLELASG GDLFDKIAANVGVSEEVAHLYFNQLIAGMHYIHEQGVCHRDLKPENLLLDAAGTLKIT DFGLSAVFRLKESGKTRMLTERCGSLPYVAPELNSDRPYHAEPIDVWGVGVILFTLLA GNTPWDEPSAKSAEFRRYVSGEIFSEDPWCRIPYEAMSLIKGMLTVDPEKRLTLRDVF QHSWVMRPSQLASSRNPAELAMRLTQNLRENGDLGLAAPNFTGKSDDAMDVDGPSAHK SQFTQSLMLFSQTQHGSRYTPNLTRFYSSLGPSLLIALIKEYLEQPDVGVKCKLGAEP IVNERGIEVYRMRIGGWDARKQMFKGWVDVEKFAYRGEEGAFCLMRRDEGSPISWRQL WKAIILSPAVEPHVLRK JR316_0001488 MANVNSASDVTELRASASVTPVRVNVSLPENQSITNKVPSLPPL PPRSLFKFVPSPRLPTNVGGPALYCMSKYGEYGIRMQEWLFTTRPLSEIFIGADKRFS VEWLRISASGKVKIQFYWPGYGFFDAWTLEVNMLQKQPSGRIEMIMQGDLAITIQADE DSRGSHPLGWDAQLASISDEWRLDGGFGLNFSHVNLVSIRHVNGPVFNAHFAVLQSDI IKEYNL JR316_0001489 MAPRSTSKAGSSTGSDSDSDVPEAISLSQSKKSAKQLETTRQNA LRAERQTRRAQNQQRDKSLKERAERNKRLVETKSKGKGKQKEDSKAVEDQDEKDDQKD EMSSSGDEDLDKDEEEGPSDGLEARMRRAMKEAQEEESDEDEEEYEGFEGVHLNEDAE SDEEGSASDSQDTESGSQSGSELDDDDDDGDEEEDEEDEEEEEDSHPPSKKPLKTKTN PEHLPDELFEAAFASQSNTKRTFSETDNDTSTPASRPSKKRKRANRSRPLKDVLVGTR AIRVLETSSHPKTPSTLPSGKVRKFIDRTLSFKEGKQRAKGWERRPVNIGVLRHGSGP AANFVRSRS JR316_0001490 MDPRHYLQRHDAHMDVDETGNFAEHRQIRNNMLLRRDNSLSSIS STPKPISRQSSFVQGYTRPGGNPLVRRKSSLSSVTTISRPLSPPLTVETEFGDDSESA LEDPQLLANRDANVQAWLSQNSSFSPINTDSTPVSRQPSFTSDRNRKQRNGAEQGRLR NLRGNAGLQRDSSFSTVTTASRPPSRLSTICSDVDTYPPSPTLTMTSRQSTPSTPVFP SFSDTLTGHSQYLQQGKPQEAPTADPSLQRHPYQVYPGGYRTSILEEREVKTPDETTK MQLAAPFPLSSDGPSSFLEQNDADITVLDLLLGLFFTDKNGRNGIRMWEWLDTMHSAQ ELFPDAHDPIALEWLEVSFLGEIVIQFRWLGYSACPEDWALRINVIKEFGPYGPVMMT QGEVITQVIRRFHFLCTSGQTKSDVTRRNVLAFEPCNTAFLSTKPKGSQDGYKCRNFK NVVLKSIRYCEGTTFEACIFASV JR316_0001491 MINTPHFINSRSWTLKNLRPFDRQAYVDSLLSQYQEIPEEFRLW ILEWPNCLAISCMWPGLPFVDCFKGNAERRYGVNWLGYKSESPQLLAAICQSETPNVK FVPGLLIWRAFTTTEWLVFSREGDSLFGRVYVKDFMKLESSVIIPHESLVEYAGGRTE HEDFEDEQMYPGLTTQYLP JR316_0001492 MQFRIPTLILLLRAVSAASPHHHAQRKPKIGHPQPAPEEFWFHV TVSACLVLAGGMFAGLTLGLMGLDQLHLRVLAHSSEDLTQRRDAQKVLNLMQRGKHWV LVIVNESLPIFLDSALGGGYTAIAISTVSIVDTSKRIIPQAVSVRYGLSIGAKCAPFV LGMMFLLSPVAWPIAKLLDMVLGANEQHTYKKAELKTFLQFHRTGDEPLREDEINILN GVLELNTTRVESIMTPLKDTVVLASDDILDYPAVLKILQSGYSRFPVHEKGNKSAFIG LLLVKTLLTYDPKEALPVSAFPLSILPEAPPSINCFQALDYFQTGRAHLILISRTPGK IGGALGIITLEVHVRYLQEIISEEIVDETDMYEDNQSKKRAHRQMTTNILGRRVFFLF P JR316_0001493 MAPTTSSRNPPLPNSTHSEEGESETENDKNNPQLDPERVKALNK ALDGQGKTKQSAQNWYEVLDRGIAYYMDMYEGTDMKTFENQYQKELDVFSDMITHIKC FESDLSYFVSPRNVERKDDLAKAMIAASGAARSEDISTLQSAIITYINECSPTNKVEI SATATKSESRGFKNKVLARLLCPMKYLEDFDANPDEFMRKLDEDVVHVLAKDLPTFLW EPGKFDPEDWDSDMFRTRLLVMVWKHIFTSPSSALKDKPGQTKTRSSQAKLHHMKTVT PASIAYACLLIRYSISGIEDWRIEDNVFDRQGFYNYIISLFEPDKEDDGDSDWSTDTI EWWNAKVLNKDPRSAKSEENLDGPSTYDTIAEQRRARKARKSMTMAATAAVGGKEKGG SSQGKGGAPITESGRVGGPHLAEGLTLVNPARIITRLTLPTIVIHLPCMKQLACINNR VLVAGLTRIVQLANWMTMGILLKGMYRTCLLKPFARPVRSLQVHQPSSTIQALARQPK CLESDHNLLYRITSQKIVDGQPKKRDPRPLYPGYVYSVTVKFRSNVFACLR JR316_0001494 MVFTCSGCAAPPFKNLQALTVHRRNCKGAARNSQQIIESSSKAL SRRNKRQRLSNDQEDTLDLSHDTEPTAAINTNRNDTELDAGEAVNHPASPTLPTFVPV PTRSGRERKFPKHYTDMLPNSSTYLPHLPPAPPRPKKEPSRRNKKPESPSTPPSEPEF KYLRSEINDFGLYRVYPSFPTCEINEADNLDNLCDAPGLAIVNGKSDNQWWKAFGIKA MDATSNRHAGLFTPFMNATVFRLVNWFYSGSNLKSAQELDRLVQEVLLADDFDTKHLE NFSAKREFRRLDEIDPQLPISSTNSWKTSTVKLSLPAEKVSHESESHAPVLEVPNVHH RSLVEVIKSALEDESAKNVHYTPFRLFWQPTKDSTPERVVSELYNSEAFYEEHVRLQQ QPREPGCNLEVAIAGLMLWSDSTHLAQFGNASLWPIYLFLGNQSQYFRAKPKNFAAHH VAYIPALPSFLQDIYMKAFNGLAASSATITHLKRELIHAICLLLLDPEFMHAYQHGIV IKCSDGIVRRIFPRIFTYSADYPEKILLATIRYLAKCPCPRCLMPMFRIGDLGTRVDD QRRQHTRVDTASRQHKVEISRQHIFERGKGVKSTAVENLLQEDSSTPTRNAFSTRLFQ FGFNYFSMFVPDLLHEFELGVWKATFSHLLRILHAHAENSIQKLNERYRQVETFGQDT IRMFSNNASAMKKLAARDFEDLLQCSMPVFEGLLPRKHDEFIQDMLFTLCTWHAYAKL RLQTTSTLNGLKATTKTLGKLLRVFAKDICSQYDTVELPQEEAARKGKLPANSQRTTR NSGPIDDDDSNTQSKRRKTFNMLTYKLHALGDYVVSIWRYGPSSGYSTQIGELEHRRV KRFYAKTNKGRTFEWQITRHQRRERLLHAISEREKRSKRNLKDRSQQQSSNDFECNTY NAPHVPFIESDTLPPTLPQLHHHISANKRLKDNIHRWLYTNENDPALKTFLRDLKNHL LSRLLGHSADSDEYLYYSDEDRYNVQIVNNLMFRHKLLRINYTTYDVRRQQDTINART HADIMTLSGNTDDNAHPYAYGRVLGIFHVDVKHRGQLATSNQTHRMNFLWIRWFEIDP NYIGGWKTKRLHRIRFINSSSPLAFGFLNPADVIRACHLIPAFAHGSTREYLGPSIAR SVLKNRINLRNEDWRFMYINMFVDRDMFMRYLGGGVGHHSTNEYTIGLRPDIHDIRNV FAVPQDGIDDIGAYGDGYLEDHSDDGNNDLESGHMDHNDALLDEEDQHEQLLSDDMDV HPFFEPDPEDFNSEDDDWGYKSPPVSCNGSDNENDDLNSDGSGEDEIGGSAAADEENG ILYEPNIGAEDGEEPWGMGDEEAEGYGVL JR316_0001495 MPVLDAHSGAKVLVTGVNGYVATGVAKELLEQGFSVRGTVIVDD ITKEGAFDEAVKGVDAVEHMASPLPGNLKDEDPEVYIKPAVEGTLSIFNSILKHGNQI KRVVLTSSIGAVMPPLRSQTTLNEDNWAEEFVDIVGRRGRDSSSVEKYIASKTLSEKA AWDFYTQNKNQIAWDLVVLNPANALGPQFQDFKSLNELSRSVQVWYEFVAMDQPEEYL QATLSYVDIGDVTLAHVEALKKEAAGGQRIILSSGCMTWQDARNDLFMRKPEYYTSGI LKRGNPELRGKVMFTFNSTKAQDILGIKYRTWDETMAATMDEFEKRGFFA JR316_0001496 MSAFTTPFTEYLHTNYVPTSIDRAQIRQLLVEPEAALAKIDDDI RRLQALVDEIRQQKASLDETIHEHYLLLTPFRRLPDDILTEIFCWTLPDMHNALMSSS EPPLLLGRVCSRWRSLAYHTPRLWSTLHVPLPVPPSHNGLTYWMPSEAFQQLSVDFEG KFRRHCQAVVDWLNRSGSRPLSLSINPRDSVSHTHQQYFRPYLEILLAFSDRWHKLEI SIPSTECSSLIASIPASSVPKLRYLHLKFSRRSAHENVWARSGILKTPTLRVLYLSGF PFRLSGLEMNWSQVTHLILSDNSNAIARQKRVSLEEAYRIFSLCKNLQHVTLDIIDTP DAVSPTDPLDLPYLESLAIIDGAHCIATLIECFNVPSLRIISYHTNFWPSTARRSPLI LLLLRTNNRVEVLTTDLQFFMVSDIIECMELVPSLRCLVNVRSKLGVSREQARSRSYL PFIVKLMKGILDILLPNSYRECLCPRLEIIRLKDSLILSDQDAANFIQTRLEASKELG IARLTEVSITFTREPLIDIEAHLQPYIQEGLKLHVAFPAARKVTVSNFNPLNGLVKRD STSEPLLPWL JR316_0001497 MPTVEATENTKVLVTGANGFVAMGIIQELLQQGFSVRGSVRSHE KGMQLKEIFSTYGDKLEYVIEGAFDEAVLGVKAVQHTASPVPGYFPDEDPLEVAHMRP FNGPTVLDENDWGDEYVKIVEERGKEAAIMDKYSASKTLSERAAWDFYEQHKKGVEWD LVVISPSHPLNQNFKTADEVTRSVHYWYEYMFEEQPAEILKATTTYVDVRDIAAAHVE ALKKEAAAGQFFLTHKPEYFAKGILKAPLPDLKAEVMFTINSRKAQDILGIKYRSFEE TMLSMLAEFEKRCFFD JR316_0001498 MTYIHIKLILPLYLQAAFLGATAIGLLSYARDVYAERDAGGNGA LHSKTDDIVNSLFFLGFLFALSVAALRRPQENTGIADFILSLSFVTITFGTVIFIWGN MPKPVSISFSVGFALLAVGYATCVDSDPDQEGGNPLKSARRWLQRYRGLTKPQ JR316_0001499 MAHERNIVLGGDLNLGNIEYDVSKGNDGLPYVTFRNLPPPVVVR QELQPSAEVKVPNLGSRTEESTKESDIYNGVCTFLQVRLNDMPLKCYFFE JR316_0001500 MNGPVYARGAEIIWIGDLKATSNLLWLPQCCSSTTPPSSSTKDQ NGKPNVCRIEELDQLRFICRKNVMKECILEMDACNRRIVSAMKPPKAKTGTGKKPAAA PFGASKSTKAKKNPLFEAKPRNFGIGQDIRPQTDLTRFVKWPEYVRLQRQKVILHQRL KVPPAIAQFSHTLDKNTATQLFKLLNKYRPESKQEKKARLLAAASAAAADKDKDAKDS KKPLYVKYGLNHIVALIEAKKAALVVIAHDVDPIELVVFLPALCRKMGVPYVIVKGKA RLGTVVHKKTSAVVAIQEVKSEDQRELATLVSAAKANFTDKYDEQRRQWGGGVRGNKS TQMLRKRAKAAGQTITAATAGKL JR316_0001501 MPYFSPFDLPESILLLITDSLAAPGDFVLHRCLANHLKDKKTPR VTILSVSEGIAKWKALASKSNINLQQHLDSGALEFVDVLADVQPNGFQNNENNSDLKI IVERVRGFLERSSREQGGDTQPAMVILDDITMLEWIGFPLIDVARFARALRAVCSKAN ATLLVRHHILAPDEPDDLFRRLLQICTYHLEVRALASGRSGNVSGEVALHPGFSAPPN TIKLVPRSAALQYRLTDAGPDFFAKGTSQVVL JR316_0001502 MPLDSRKASNDSFAGVTPAPVQLITPPDEEPSCPTRPEVLSISS SFFPGSHNAVSDIILRSSDAVLFYVNSQTILKTSKNAFDKFLGFSLDDKRFRDTIIDI PESAVVLNIIVHTLYGLSCAKHNPSYEDIETAINRMPAYGLIPKLYIVSSSPLFDLLL SHAPIYPIQIYSLAGHFGIHELAVKCSSHLLSYNLSNLTDETCKRMGPKYLKRLMCLH MNIIDSLKTIILQPPYPHGATRQCDLDEQKKLSRAWALAASYLAWDSRPDLSIHKVQT TFEALGDHLTCDDCKASLNSRIKEVVVNWVKVKDILGPRMY JR316_0001503 MNTNTGPHSTPTASETGTKGSDFGNKIRGAVEVVHGAGENLRGT MLGAVDTMVHRGPTTNDDIAQQGRLEMERGLAHLRGPQHHPLGASSTHAREAPLSHSS TTTGVAGETPGTYGKTSSSIEATPGPTNTSFKGGETTYPSHHREDAIAGVPSPQLHEI QAMENHPNQAQNMNSEYDPSRAPYRHPVGHTDYAPKVPPRDFKDAGLGVGAGNTPNNR PVN JR316_0001504 MLNRPRVTPKRPLGPRSNLRASVSKPSNKTAKVFGRPASFEAAR LAAPLSLTGDKHPSTSTFQHAPVASSSSSTNNSEVQTPVSPTHTVASLLNDMETCPPS RSDSHHSWEREKPSIHIDTRIKGSSGFSRDSLESNPPQPDEVGQSIYSSLRARLSRES SMAAVSRGAQSVLSVASGGSSGSRGSNKSSDASQQSGSSLRVVGRGGSGSRIRQFGPN AQKPDPTLIVKQEHRPTLIRPSGRGGLGSRPKPLAPLVPPKDSSTQKSSSTQTDTLIR PSGRGGLASRPKPPGAVSSPSLLKILGKRKARLDKGKGKAKEEPRAIHPIPPQQTYSI SNGSTSSLSTIHFIGETGEPTTPFFPDDRSLHSSNEDNGKSNTTPSSLFSSDQSSSRT TFSDHPNENDEYSDSRPVTPVDHRQRNISKLARTLGDDLPSSVIFKNSTSRRPSDPSP VRKDRNSTAFRRASVSMSSLGSMFIRSGARTRDSVSASTITDDLHQFGLADDLSESWR NMNDSSTSIPQPPASPIVFSPPSPTAVPNPKSTPELSSNGHSDDESEAEQSIELDALS YASSTLSRSNSYSAASSERPSIASHSRSTSTSILSERRLANRSIWLIPVNDDDDDLHV GHIHRLDVAEKPQNWSGEWNNDLHQVIKSLRLL JR316_0001505 MLRIVQRNVLAPRVRLLSTAPPSAPPPRQKRYLGTLTAVAVASV VAGTLGAIYPPPPLSILFPRVAPGPPAEPDSPESKAYTQNLEEKLQTLPLLKALRESE DAKDWYETRPYQNFPEERRVNNLTAGALRGPGKLALLPLIRVKHDESESIVFLHLGRG MCGHDGIIHGGLLATLLDETLARTAISNLPEKVGVTAQLTLNYRAPTMADQFVVIKTR IQDVKGRKASVTGRVEDLSGTLLVEASATFVQPRYAKLLHSAQLRKAMGEPPASKDHE EPVLLADGQDLNPKHKHR JR316_0001506 NVANSITPSPIPSHKLPVGYPDILDGETVVKITYDKSKEERILG IKFHTKLETTKDTLEDFARRGW JR316_0001507 MPTISKGDKVLVTGANGYAAMWATRLFLERGYSVRGTVRSDDKA QDVKNYFNSIGLGKRLELVIVKDISKNGAFDEAVKGVDAIAHMASPFHCSQTCLVDVA TEFLGPAIEGTVGILKSASSTGTKVKRIVITSSTAAVMSPPDQPTTFSASDWNTKDPK LVEEFGSKLQPWTIYRASKSLAEKAAWEYYEQHKSEIHWDLTVLNPPFIFGPPIHKVD SSSSLNTSMQSWFDNVVDGRNITKEDLSDSTCWIDVRDAALAHVLSLEKPEAGGERII ITEGV JR316_0001508 MPTITKGDKILVTGANGYIAMWAIRIFLERGYFVRGTVRSESKA KDVNDYFNSVGLGDKLEIVIVNDIAKEGAFDEAVKDVDGIAHMASPFHGNVKDPQEFF QPAIQGTVGILKSAHNHGKQVKRIVVTSSTAAVMSPPDKPTLFTALDWNTKDPKFVDE LGSNSHPMTIYRASKSLAEQGAWGYYKEHKHELSWDLTVINPPFPPIHKVDSPSALNT SLQAWYNHVVDGTKSTREALADSTSWVDVRDTALGHVLALEVAEAGGERIITTEGAYI WQEWLEVANSISPSPFPRKLATGFPDILEGERIYRISYDKTKEAKILGIKFHTKLEST KDTLEDFAKRGW JR316_0001510 MLESNPLLARRVAVASLIVDTLFQSLALLGDAESSRSSPSAIYV AYAPHPYAPIGLFSAGLILQIQWLLRLSLPSKEFDEEEETSAIPLEITPTNSESSIDN ASGELSERTDSQPANDAHKTEEIDDDLLLRDEVDDVTYFNTHEPAYLGYLPFYVMGCF LQAGWAVLWMTRHYDYCAMFLLLNLAIEAYALFWILGGSKNHRYPQSNIFTHLIVKIK IATSVLYLWKTWGAVDILPPPSASEGIVTCTFFVCLALASGPDPTLGFLLAIVLLGLA TGQNHYFEWSLLFGWTCMSVSIVVALDWAFGVKSRRILMPQLARFEEGTERLLVNRQN GL JR316_0001511 MGKLNIAHHKSYHPYRRDNIERVRRDEEEARLKEEREEGRMLLA DSEARIDLLRERAGVSEKAEKKKRRNEDDTKHIASTSSMQPAVLPTTNGHINLFEDLE LNAIAATLKVGKKPVAETEKGVPLAPSAKDLKPWYSERSKEKPEEVEDDRKKREESRK YAHDPLTSITRQLASRSSSSSSTSRSFRLPHPPRSDTNTDKPPEVQARLSRESSERER ALELIRRRKREMAGSATPSTVHGEPSGGYGDVFNRREVEEAHRHRERRWDGGKRRGED DGRSRHRPDRQW JR316_0001512 MSTLLPFHRHIIEKIHDPATSDLLVIARGVGLRRIICTLMKIYD SPQNLVLLVNATPEEESAIGEELGIIGCRKPGLRVVSHETGSKERQGGIISVTSRILV VDMLQSDIPTELITGMMVLHAEKVTPLVLEAFIVRLFREKNKNGFIKAFTDQPEHITS GLSPLKNIMKELQIRNVHIYPRFHEELKKCLERRRADVVELSQHLTEPMADIHHAIIE CMNSTLSELKRSNTNLDLDDFNVQNAYFQSFEVIVRRQLDSVWHKVGPKTKQLVNDLG ILRRLLYYLLTYDALQFHSYLEVLIAANNATATGGTKQHQSPWMLTDAANIIFQSAQR RCFTVSSTSKKVVTPVIDLTEDEDAWAALDEAEGHTTAPPNKGNDKTEESRPTWLPKG LEPVLEELPKWNLLSEIILEAEGEMIRQESLAKPGSTRSNTVLVMTSSIRTCNLLTEF LSSMDHDAPPGSRGRAMMLRKLRLYLWWKGKMDERKATNKAASSMPDNGTGKGIFDAI YADQEDLSEALKKKDKEKAQRAQNRRRIRGGAPATSSSPVKGKGSATTRLEPQEIPVP NAMQNDTDEFAKFWASQGEAILAGQPLNVIDDLVLLDFESNTLENDFDTNYGLLAPEQ TVLVRAYSDDTDDRILQEIRPKFIVMVEPDMDFVRRIEVYKSSNPGLAVRVYHMVYAN SSEEHKYLAGIRREKDAFERLIKERGSMLITLVEDRRSDNSDSMIKTISSRLAGGRRE LNKEPSRVVVDMREFRSTLPSLLHASGLQVIPATLTVGDYILTPDICVERKSLSDLKT SFNNGRLYTQCEMMSLHYKYPILLIEFEEDKAFSLDIMADMKTYGKGTRYPSKQRAGP SDQPHYNSPTIQAKIVLLTLTFPRVRIIWSSSPYATSEIFNDLKANNAEPDPSKSVTI GADDDPDVGAGINAAAEEVLRYIPGITAHNVKLVMHKVKNIEELCNLSLSEVQGILGA DPGKACYQFIHEGDKR JR316_0001513 MAEFINESMILDSLRNHPHIVNFIGISCNPDVGPAIITSWMEHG TLTNIIGLDPPSQYRRQMVEQIVDGMSFLKASNVIHGDLKSSNILISYNGRACIADFG LSRFAGNWRSSPPTVAFGLQIAESTLSWLLRVPYPTDCNTRVGAGSPRWMAPELLLPS QWESAHTTFESDIFALGMVIYEVGS JR316_0001514 MVKEKRPPEKFGNLIRSDFWFYDGNIVIVAGCAAFKVHRGQLER HSEVFSDLFSLPQPQEQELIDGCSCVELQDPPSDVLYFLGALYDGLYFKKQRSTDFPA IAAVLRLSSKYLVEHLRQRCLHRLDKDWPTTLSGWDLRERRSTDENGRYVPRDHCAHP ILVIELALSMNLPAMLPAALYDLSRYGPSKIMSGTTLPFTSFDRMLKELTGVQVTTEK PVKLSRDLLCRTLRGREATQRYMAGFIARHLHCRAPAAECLHRNEKENPSRPCHESFY FIMLNILRSVGGIACGRDADPLYTLSQATEMLTRTDFSDGQRQCGLKLCQPCKNDFAE AAAKAREEVWTLLPQWFGFVESDNNDSLLDLD JR316_0001515 MVDQPFRWNIGDGSRQQPDIDQFRFSAWLVLDSKCRQTFFQSPS FKGSVRQDSLKPSTAFSLKSFEEFPRNLSGLSSEPIQSTAWTTNHSLPAMASTPTRPF RYEVRVFNQESPIIKEEVVPVALCVARPPEMETRRFLTSLVSKHDRDILNMQPRTCWN CDKQAIGLTHTPASWLHLSDPLVLDFVQPVCENGGACDQAARQMMYEEMTMATAAAAT RG JR316_0001516 MPVVPSNSNSRVLVTGANGYVASWIVRTLLEQGYIVRGTVRSAS KGKQLKEIFASYGDKFEWVVVNDITKDGILDECVKDVDAIEHTASPVCPENQEDPQGK SSVKRVVLTSSAAALLRTFTGYTVIDETCWGDEYVKIIEEIGAKAPWIIKYMASKTLA ERAAWDFYEKHKASIGWEMVVLNPTHPSLQDFEKLGEETLSLQRWYSYACLEQSDDNL KSTWAYVDVRDVAAAHVESIKKEAAGGERILLSADSSTWQETRNLMFSLRPDLYRKGL LSRGNPELKSEVLLVFNSKKAKNILGIEYRSLQESTIATIEEYEKRGLLDQIHRVE JR316_0001517 MPIILPNGNARVLVTGANGYVAAWVVRSLLDQGYIVRGTVRSQN KGEQLKKVFESDGDRFEYVIVDDFTKEGIFDEIVKDVDAIEHVASPVTESNTDTYEDP QIYIGPAVKGTISILKSAFEYGTNVKRVVVTSSLAAILRPLDSPTALDERDWGDEWVK LIEEQGKQAPSVYKYFCSKVLSERAAWEFYNTHKAELQWDLVVINPSHPPLLDFKAVS EVTRSVELWYEYMAKEQPDEILRSSYNYIDVRDLANAHVKSLKREAAGGERIIVSGGR STWQETRNVLYASKPQYYASGGLLPGKPDIQPEIRAIFNTEKAQRILDIPYKSLEETT LDTLAAFETRGLVV JR316_0001518 MPHHYDRFTPVRHRVGGWLPTDQRVLEAWLEKKIKAVQHRRQDK VEWDPVIQEFQHLIENNAEIYMAFHEMFEQVPTKPPYNNDPTGKPQVRDYILMLDLFN LIIGEAPQYEDNDLVGFPINAILDWPMGTPAGFRAFTDPKVNAMFHKMFKVWSTYLGT TESAGVLNSNPGGWLSPDALEKMPDFVNTYVCDPFLPHWGFQSWDDFFTRLFRPGIRP VEAPDDDSIVNSACESNLYKTATNIQKHDKFWLKCEPYSLFHMLNNDEYAEQFVGGSI WQAFLSATNYHRWASPVNGKIVKTVNIEGTYYAESPATGFLGEGDPDPAGPNESQSFI TAIAARALVFIEADNKNIGLMCFVAVGMAEVSTCEVTVKRGDTVKKGDQLGMFHFGGS THCLIFRPQTNIQFRKNFKLQDPVNLNIAIADVPPQ JR316_0001519 MPSQRYDRTTPVRHRVGGWLPTNQRVLEAWLDKKLQAVKQRNRL PNEWAQVIKDFQQVIESDGDIYMAFHQMFDQVPTKPPYNNDPTGQPQIRDYITMLDLF DLIIGEAPQYEDNDLVGFPINAILDWPMGTPAGFRAFTNPKVNEMFHKMFDVWATYLG TTDSAGVLNSDTGGWFSTDALQQMPDFANTYICDPSLPHWGFQSWDDFFTRLFRPGIR PVEAPDDDSIVNSACESTLYKTAKDIQQYDQFWLKDEPYSLYHMLNNDEYAEQFVGGS IWQAFLSATNYHRWASPVNGKIVKTVNIQGTYYAESPATGFYGDDGPDPAGPNLSQSF ITAIAARALIFIEAANPNIGLMCFVAVGMAEVSTCEVTVQPGDTVKKGDQLGMFHFGG STHCLIFRPETNIQFRSNFNVNDKVSLNIAIADVVTQ JR316_0001520 MLSSIPRLSKGPAPLSSSSSTNNQQPVRPPRNSSLQAQTTTTST PHANTARIPSIRLITATPSASGLSSSEASTSFARALEESWDAMAPTSASIPVLPSPLA PKSAVGGAGADAGVKRKLVPKKSKLSILGMGLGSNRDRKSEERAKDLSDVVRRVGLSD SGVLNDASFSYSTGGKGGFEIYVDPSHVDPDIGEIVLVKKKKSRVALDGVRWGASSSG GVGGAMGEVTNVPKVSKEAAKEAGRDAKEKAKAEEKEKEKWWTTIGRGRKDSKDKKEK ENKISHKPPEPFSKAQAQGSQSRMRFNSLDSGMLLNPKPSSPTPQFPTMSNNSNMSNV PPFSGYPISSRPPAPSKIVPMPTEEDEENQEAERRSLASQRERERERAGSVATMNSTY SYNGNGTRSRSGTLSSTVGGMLAPPGAQASGDSLNAQNQGSIALRAIRSVRSLARIGS WAQLKNMPAPGPEDTEELGMQPKDEKKMKKKGKKAKTAEGEKNEQEKEGKKKKKGTKK GKANKENVETATKAQPIRQSTSSFEVGALTSSPGGPSTAVSPVSMEFTNNQLNVGNAK TLGAKKSSGMLLGLGYPSTMRLPNSSSMRVGSSASSIGAPGSSDAPGLAPSPIITSNA NAQANANDNRLSVESAYAYATCRPSSMLSSGSSLRPLSTTSTNSRMSSSSGHSGSGAS VRWDEQGLQTVREMRRKERESREMEGDAEKDEKKERRSSKESRRSSEGRKRTALMDVF PEVRRSLDGAAAVSGTQAEENVQEDERADEMEEDPEEEARYRKRQKERDSASIKRFSY PILTIEEATADGHGKPDDWDDVISGYESGSADGCSEELGFKEEKPVVDATPVKKARAR PLSEQMLGRSRPKPMYEDEEGVLSILDAATNDLALLINNLDLQATPSTPDMTPLRPSA SMSPSPTPVSTLEKAKAIDNGSPTTAASKKRRLVADSPLGAKSVVKNSSASNAQTMRP ELLRQMSTSSISSLRPYAQSRGYPPKPSGQAQGSTMAAPASVAPLNISSKANATGNQT NASLIAKQITPWATLIKGLSPVKEKNTPSPSSSLRMKKTSAVPTPPSAGMGTFRPGHK RTMTPGPEPEPEPVLQPLRPARSRLVVKAKMHTSPETKMAVDENGNIKNDMLHPRAPS SLTFGSRSSSRAAGSVRSSEEFAGSLTPVFQRIQEFESIQKGDDANLGVSKSSRGSRS SKGSRSSYGSQITSNLSYANNVEEEELRIPISREMRRALGMSGTMGGSDVSMYRDEAE AELDASDPDSDVPNELRCILAAGSGAEDEDSDMDNGVDESYMDPDMDMEIGTVMEAAR SRPHEALPALPLDLNFDTGLSLPVFRAELVDAHDNHFDVDVDPSEDDDTKKSFDFTGE LQKLNESGGSDRRSFVEQLENAFRTPAKVDLRYDFGVDLAVPPPVPALPLHLASAAEQ RDESSDVHEEDSAGVSAGMSSGGDGDVESSSGFDGFTESGSHLVDMQFPSLNTNMNTK SRVEDVPQDTKSQFDMFSASESRIVDVKAPSLLQAAETTSSDKTDNLSPIIKRKLASS TSSRTSETSEGELNTSFKFGGLPRSESSTSLPKDAKPLTLSDIIPPPSHARSLSGIDM DSFMLDGLEDDSVLRSIYNKIVSEQPPARPRVSSDPGDVVQHQASALEMKRRSIYKAD SRPVSGISFEGFSSFDEVRRGFEFHNERPAFYPPAGNTSSSYSRSTSAAASAAVAAAS RRAPHARHESVFSIASVSSFGHVINPGSADPFEFGLPSLQERPSSEDMTNSFSIAMSD NVDDTFAYLAHKPRRRVESDASSFYFNAPQSRHIRGHRRRESNMSVSSQAPPISLYNR SFAHHRRNDSSNGSFSFSYYHHRRNDSTASSSSVAMSYVKHGANGGLSAWARHRRDQS VDSVMSDFSAMHLGRPGIGDKMFDNAALDHGPLPSISASPPESATASQHHFSLSRRRS FDSILDEEQRSSMGEDSLFEKTGYRSSISESVFGDDLAHQLQGGLLPPNHFRPLSMLS AHSVHSPIREDDTMISMLGGGHVRRRSVGSIVEASPCVRVEKRKHSAVQDLGSHFYKG KEHYESPNKARIVEKPSIASTSSFQFGGERMIKAQRGLLERQSLEEHCLVGDGEDLSA SYHAVPVFTRPAPATRSRSSTCTSSSSGGDTPPLSASDGSSISGGSQSSIDLAQINIA LSNATHPMSTVARNHARTRARARGAGHRRRYSKSHVSRSSVYETIEEELQSSHSSPAH SLPSKKSSDDPTTTQGVFIVDSDTASLNSRPEESTWDDERGIVALRKYYALRDEAQTT VTESRRLWSDTPFSIFAIQSFQAPGSPAGMQALLQHSVQNYGPLPSELRPHRRTRTSS RPSPYPQGRSSKATLSPEKKRSTAFEKDDQCTPVLQQVSLNQNRDTKTAKASTKNAPF LSELKPFSPLVIDDTEPKRENAFGLAPNARPRVGSNARRTALGWTKRSTGTSKSSTDQ KENVSMGTIATPGENLRLNRPRPRGRPTPGGRTPASAAAANRPPVTAVPTSRTIRI JR316_0001521 MSNFDVDNGAMDKVIFRLQGIVSDKMLPPMAKPASRDRTVKQQP YLRTAIAITGLGDIVFNKVMEKLEEVFLRFANNFPADSVSSYDPVLHKDTGFNVFHAH SQYFTKVSAYQDKSDNIGFHPLVNPDNVLASMVGDSFIHAIDNKVQFLCREILPNGTA RYYSYNPASIRIGDIVVISVAFVAFPAQGNKYKFVVALRGILVLDQEAREKADILRMR SHYTPAKRQVAVLCRTKRQLYKGQIDIEDTQQRMARMRLNEDTVHNSNTMSQD JR316_0001522 MPIISKGEKVLVTGVNGYIAMWTARIFLERGYAVRGTVRADAKA KFVKDYFASLGYGDRIEFAIIEDISKSGAFDEVVKDVDGIAHMASPVHSQTGADPEET LQPAIQGTVGILESALKYGKQVKRIVVTSSCAAVLPPVTEKPTVYSELDWDIVHPEIV KEKGKDAPPLSVYCASKTLSERAAWEFHEKHKDSSGWDLCVITPPFPPIHDIPSPSSL NFSLAFWYNAVLSEPPESKAFLIAESNAWADVRDVALAHVLALEKPDAGGERIITSAG GYVWQEWLDEANSLLDKPRKLTVDGYPETTKGEKVHNILYDRTKERRIFMAVRLHI JR316_0001523 MPTVPANSGARVLVTGANGYIAMWVIRTLLEQGYRVRGAVRSEE KGKHLKEYFSKYGDKVEWTIVPDITKEGAFDEAVKGVEAIEHTASPLPSSAPDPDETI KPAVQGTLSVLQSALKYGSDVKRVVLTSSAGAIINPVTEPTAVFDESHWADAYLKDIK EKGKDNPAIVKYRASKVLAERAAWDFYKEHKGSIGWDLVALNPPLEPLQRVDTPENLN SSLEMWWKHIALEQPDDVLKGTYGYIDVRDVAAAHVVSLQKDAAGGERIIVANGKPAM LLSTTHRSH JR316_0001524 MMWDFRGRSQEDLQKGRGRSKSPQPPPMRMPSPSQANSHSPSTY SYGVNLTPSYQASPTFYPPARSCSRSPSPYSPHRSHDYGAIPRSIPTTQNAQYIQPTA QIVHVIHHSSHHHHHSSSSKHKHKPHHHHNYSYSYTPAPSAPITPITPSTSYYSAPVI PKPPRAPSPRVHFPTPSQIATSEPSMLHVPPRGRVHSQPTMPTSVHRPAAAPVQRPVQ QTSAGGLNPQFQYSKCTGRKKALCIGINYRGQPNELRGCINDAKNVRNFLIR JR316_0001525 MVFSLSVSGQNSLFTPPSFVRNELVLEETKAEAAILRISKATLH SLPVEIELHIFSQLELKPYLFSRGVCKEWQRLLPLADIHPVRRRLLELYLKMVNTPNF IDTRSWILENLQPFDRQAYIDTILSQYPAIPEEFRIWLLEWPERATINCIWPGLPFVS CNDLSPSRRDGVNWVAYKSHSPQLLAPVYRQCTPNAKFVPGLLIWRHNYRTEWLIFDK DEPKLFGRVYVIKLMEFQMPPCIPHERRLTAEDDEEDIESLAYSLEEEGMDNTDGRFN VPYPDWISYLESCWTKNLRRLEKGRIFLLKDDIIDESDIGPYFNGHNDTLLPAFSWSL RDSLTECLITS JR316_0001526 MGDGPSSLVVQRPLLFQSMVHSHPKSEETAVYLPKATLQSLPVE ILLHIFSQLELKPYLFSRGVCKEWQRLLPLADIHPAWLRLAEFYLKMVNTPDFIDTRT WIIDNLQPFDRQAYINILLSQYPAVPEEFRIWLLEWPERATVNCIWPGLPFISCTDFS PRRRSGVYWVAYKKHSPQLLAPVYRQHTPYAKFVPGLLIWRRSHLTEWLIFDEDEPEL FGRVYVTSLLELEKSGVIPHERQKIPDDDDELEEAYDEDADGYLNVPFPDWIAYLESC WAENVRRLERRDTCSPLQDRVMPESDMTPQFNSSYSTKLPAVPWSLRESCMEYLMID JR316_0001527 MSATSTMVYTAPPPTNNTLDRAERIRLLRSSRKLGAVLGATPFV VDPETDLPSSHILALRGDARGGLHSHTSSQSSTSSSALGDSSEYVFVNASSNSRSAPY QVQAIFDNASPSSSRSSSPFPGAVTSRAAGGAGGGSPTSVTRKRKQDIATGESGLVPL NLNLNFALPGPAAGTRKTRSGAADKDTDASASASAGAIVAAHPSQPLLLRLRSVPAIA TSEGGSDSNVHHINTAVRGLPGDSESPSSPTSAKPLSPVASSFNINMGIDVIPPSPSS GDFGSVAPGSLSDREKRRKLAKLARTLGENIPPELVFRAAPASSSSTTATATASSSQG PSGAGPSAPHQPIKKLLRRTSSLTASKSLHPGPTPTSSSAAATVDPVAPFNYYQGQPS IPPLAVAAAPLPSPNLHSNSHQQSDAEPRLRRAQEKGQAQRHRPRSLTLGTASAFAFA AAAALTRGSSANVSVPAAGKAQPAPALSPLRRTTPTPTTTPPKSIARQRSPSPEFQVE DEDSDSERTPTLESTRLFPVPHPSEYFSRGSTSLDEPPFGPRSRSHLRPAPLTFSDHS RAQSYYASGGAMFNDPMPSPRPFIHVAAPPRADDVRASEDSVWSSASAETEANGNGNL KEWGRRKEREWSGEWNLKDMEDVARKLRGLKGR JR316_0001528 MTSTFLSRSGKSPLHLDITFAPPDARLGLSWIQKTRYEESIRRV LFSHIGRCQSLRVESDEFIITTILDLFLDFNLSPSNNSVYTPLSRLHLAKTISASPVV SDPPDYGHQQPTFPPDLSVVAPHLTHLYVDGVQVAVFPRWSLERLYLTDLFVSYQNHF HIFMKTRVTTLVLHRVTIPGGIPYVRQILTYHPSSVTSLRLSELRCAGPENEHQDIYA LFFTLTPYRTLHDLELCGLSEDAMYGLTRMLMSNSELVFSEMRLLTLRNVKLIDTMVV PLTNATPGLNGLVLDSVGGGSRLLEVWRRHPGIWPQMTEVSMGEGESVQVRNGR JR316_0001529 MPAVSTNSNSKVLVTGANGFLALWIVRVLLEHGFIVRGTVRTAD KGNKLKQIFSTYGDKLEWVVVPDIIVEGAFDKALEDIDAVVHSASPVVVESEDPDAVI KPALGGTESIFNSILKYGTKVKRVVLTSSMGALIPIMTGPVVLNENSWGDDIVQLVKQ LGKAAPQITKYWASKTLAERAAWDIYEKNKPEIGWELVVLNPSIRPVQDFSTPAEAPH SNQMWYNHIVDLVDEEGVNGTFVYVDVRDVAEAHMLSITKEAAGGERFVLSAGSSGYQ KMRDTVFALKPEYYTSGLLPRGNPDVQGEPLITTNTTKAEDILGIKYKTLEETIENTL AGYEVNGLVGKK JR316_0001530 MLFSRITHVCSLWRSVALANGFLWSRITLVHPVHPRSLSYVATW LTRSNPYPIDLIFDLRDPEWDWNEDNHVIRWQEMETIVRLILPHVKRWRLVELLTDTW APIFTFLWYTRAVESAPMLETLSLTRCNAYYAARGQTFEPSSLAEPMKLFGGIAFESL RSVTLVGVHIDWNQPTPRNLRKLELKFQASSVMPSLSQFNAIVNNCPHLSHLVIYGWG PTLPDSSPPSIETRVRIQNLQTLSLGLLDADYTTQFLSFLDVPLLKHLILEDVTRAVS PSETPDATSLFRWLSRSISSHSDRKHYIPTYLPLPSLKSLELRGISIGREELVPVFRQ LSSLTHLGLYNVSDETITALGDPCENNSQHSLPNLRELVFQDVNAEVVLDVVTQRASL GAALDRISLRFCSSHPISTNSITYLRLLNAGFDISGSTGSESTDSDDGLYQEGLKDLQ WVLPNSVEGRQCPAEAPDVDQKAHFRFALCLFELKRFGEAIKKMDEYREVIGGKPTAA ERELRSKILHAIMDRDQGRCFPATERSDAVPGRLLRYEVKVFNNAHGILFFDDIPEAL CSKNPPEIPSRLYAVKLVSKYHNAILKQRDWLCWNCQAPAISFIHSPALYFQLKEPKV VDYAQPICEDGGNCDISARNMMAETMRLTATLNQATDSLQK JR316_0001531 MVETVPLTILKFHIIRHYARMGFAEGDVVSLIYSALTEPGKFEV DWGQNLNFKGDITAIPHTRILTNQRRKATQSSTGDWFCNGEETDVIVTPTTSTTASRT SSSTSFSTSSSVSPGPSPIHNEGNKTPVGLIAGVSASMGAILLLLVGFFIWWRSKQKR QQLGAIKTIHISNPLPPPSPNSTGMFEDKQLPGGSQPAHAASTFTYLPEVQHDPDAAR NINSPSAALRVTPTTPTFPYNSSKGSSNAIQYPSAHESPVPSSLGVPTSTHYSSSTSD AVDRYLLEARLAADRELSKMRQDGRC JR316_0001532 MTSNYRLGPGSSSPQTTTCPTASTSTAAASDHPDDLQHSQRKLQ ALFIEDIPRPLTAVCARPIPNSYWATPLLLACEYPWTPKNPNKPKLDALLRAGVRTFI DLTECGELLPYSSILSQRSALLGIDPATIEYHRFAIRDRCLPESINHMYRVLDTLRDN QERGRISAVHCRGGIGRTGMVIGCWLVESGIARDGKEALAIIAREWKTVEKCKRYPHS PETGAQFDFVAKFHPSPKQLHATLELESEDA JR316_0001533 MDNLHNSDKNSTKDRGYHKTSHSGSDTRLGQFEDHRQDALNASD LTANPERERRRDYQSRHQHSREKESYLYSSSSRGSRDAVATSWRDRDRDHDHDQKPKH ERSRSRTRNHHHSHHSRRDDHKSEHFSSRHAQEESQYNNKGEEDRCVRGKETDFPRDS SHTRDIDSSGRKHIHRSSPVRHRDMHREEPHSIGQEHNHDRHRSRKEYQDVEEETRGG QAKERREEEHSLSRHRYRHESSTHSHSHSYRRGNYNEEDDRHISYSKNHRDMAEGMIT PSEHEREGDQGRHRRRDRSNERERRSHSHSRSRVDEVSYRDSSRPQKDEHRRGRSPTR SSASVSSRSASSSSSSSSSTSSSDDHSHSRRHGSSSRHRSHSKRHRSHSHSHSHRDRD GEKEREKDKHKRKRRDRSDSRDRKRRKRKDKEKDKERKHKKEKKEKDEERRSVLTGKR IKLKVKKDKTDRERDVNRQDLLMFLNSTFS JR316_0001534 MSLVQIAMQPQTIAMAALCLTLLAFIHHRLTRSSKLPLPPGPPG NLIFGNSIPKAFAYRHFETWTQEYGPVFTLRQGFNTIIVIGRMQAAIDILEKEGAATV DRPLSIAAGETLSGGMRMLLTPAGERFKKMRRALHAHLQPKIVSNYAPTLMRNAKQHI MDIIDEPHRHQDHAKRYSAAVVMALAYGKQAKSYEDPDIQAVNRCLTRLGNNLRPGMW KVDAYPFLRLTRRGMPNRYVPGYLKELQDGHKEELNLFKSQIYEVKMKMERGEEVLPS FGKYLLERQKELDLSDDEMAYLAGSMFGAGSDTTASAISVTVLAAACHPEAHKRVQEE LDAVVGRSRPPTIADQEMLPQTMAFVLESVRWRPVSAGGFAHKAIKDIVWGNYVIPKG ASVIGNVWSVGRDPEFFPDPETFNPQRWLTEGGKLRDDLKSYSFGFGRRSIFVNAALI QWAFKISSDPSSPIDPLAFTESANTHPLPFKVIFEPRIASSMEATKELFEDYGL JR316_0001535 MSDGTHTLTITNKLAATDPFFLDFLFVLDADPDSTVTGGLPTLS SAIPGSSTSSSTTASSTSVSSSSSSSSSSSVSSTSTTASSTTSEFQTNTITVTSTPPP PSASTIADSANNNAGDVGAAKKSNAGAIVGGVIAGIVVLAFLVFGLFYWNRKRQRRHS FLDYKAAGSQSYWNRPLEAAATPSSAPPPQTTEPFTPTPPRAPMMTRNDTASSLSVST YGQPQTAYTQGYSQAQTPGYGSQGYTPAPAGYMPPTPGPDYYESSDLAYAVNPGPPSA GPGYGYGQGIGQGAGYGQGYTDSRESDIYAAPQVQPAQGYGGTGGYIPPSQLLQHNAG NSSGYYSAQNQPGQRARTRLD JR316_0001536 MHRRFSSLVKNPPGGSSSRTNEITIEDDGESKVSTIVREEPLPP VSLKVKRVDFYYSRWTKSWKYRNTSSKVTVETIPIMQGASNDAWKEFCFVIVRTMPRR ENFDPTFKVVIKSEYLLKACKDVIKSWPGISWNSDPLELDPEIFITFQPKFLEYASEL AAKKARTHEENNVLASVNLLTETIASDYRVTMATIERLIQHGEITFDLLYAILVPRDL MVTKCPITGSPRLFQLTSWIRTSIEGKPMYQLNLESVDLVDRQITHSVVVGRVQTTVY LKPMRGTVKIDTLDAYPMKFHSDPQGLKETILKRGQKWVSLIGVHHKQFDGIAALKAG DKLLKHNVRSRIMIDRATFRRLNANYAVPNPVPPKTEENIHIPGFGQQYDRYGNPIPE AAPPVNPPEHLVQLSSSDSTADVELNEQDLLLTPTVVFGFSLSDKMWLEFDVDKIKDV DWSEDAFSNLVLPGDRKNLLQSLVEAHHKEVGFDDFIKGKGHGLVINLFGPPGVGKTF SAEATSEHVRRPLYVIGGGDLGTSAAALDVSLERIFDVATAWKAIVLIDEADVFLEQR SLHDLERNAMVAIFLRHVEYYRGILFLTTNRVKAFDEAFLSRIHVALHFRELTQESKE QVWTAFIKKMGPAASGITKEQITELAKRGINGRQIKNAARTAHSLAVAKGESVCFEHL IMTLDALDEFTKEFEKRNQFNMYA JR316_0001537 MTSPSSSSSPQYFFVDDNSTDIRYLGSWSLTTVTADNYTSVGLT AYPMYGTVHTYKAQGGLANFTFTFSGSFVEVRFAPSPSNDYIECTIDETEYLISTSNG CSSTSLDHGVHTLTLGIRPSSLNSQIFDYIRYLALPNATVTPGDPWISIGDESIRSTK LSAQTAILSPGGTLDYEFMGTSLSLYKASVFTDSHSASYGYYTLDGGEPVNVTLENNA TPLTSYIYPQILIQTPSLPYGKHHFHFALDPSPESDTMPLRGTIIVQNSSVQSEPIPQ TVYQFDDLYSPPTSTASTSKLGGIVGGTLAAALLAVLLVYLAILRRRRKLLLRRHFVN EEELIHPFFSGQGPPDDSTRRPMEKRSMGTLGTGLSGSCSNSAENGQELRAPRFRVHE DSGEDVIQPDQGVEIVDLPPTYEGIETRRRIVSAPPLAQEGSSAATQSRYTLWKDMAF LVSAELCGSQRVTELWCENGAIEFHAGMKAFRPQAEIIVALKHVMSILLNLPKHPECC RASEAPGGVIHLPESGPNVEQFFLALLDPM JR316_0001538 MTMTKDLVTENGAATPVEDLWFEDGNLILKAENSLFKIYSGLLA ARSSVFRDMLAFPPPAEGNATVSGCPIVTVYDSAKDMGYFLRAVFDTSYFEPPPVQSE LPIVEGVLRLSLKYDVQYLRRRALQHLLSTFPTTLEHWKQRDTHRTIPPVDNTPFAAF RLARQFELTWLLPSILYCISSHPFEKTLDKSTWGSEEITLSWPDKRMCILGRQRIIMK QTQNALKMMKHAHTNAVEGCTSEMCAATRQRCAQVLADWDMAGLLDYFEDNADMYYSG FCARCRAAFKDLCASECQDLWDELPSMFDLPNWEVLEGLRRRSLE JR316_0001539 MVDIATDFEPLAYYNVIMRSWKSLLAAVTFACAGTLVGASQCQA TLNTAIGVANRLQSEYFNTANGQYTGGSLWTDAVKTSNTPILQSSSTDISYSAHAQNT LEDLHNLMLASGTDQYSAVADESFIGRSALNPNTNWASLLGGSNDDAGIEKWVVLSLW KMADYKANRGLSNTAYLNAASTIYDIIAGQWDSTCGGGVWWSTAHTYKNAITNELFLL LSAQGYLRNKNLTYLENARKGVIASGLAYLFAATGNSTLLDQAEITLDATMQHLTAGT NILKESCDDAQSGGPVCNQDQGVWTKHLQYYLDMAGPARVGKYSAFLGSQESAVFHFG TNANDDVGSVWYAPNQGGSIFTPKTSASGLAAHVAAAKYGPC JR316_0001540 MTEYDYSPDAVERYLDKQAGIARWVDNNRKCEPANPFEPIPGEH APSETYFQSSTPPQFPQAQHHHYPSQQPSYQVQQPYPVYYATAQGVISPTYGGPSKRH HRKHHHTGSHHPSGSKSLRPSPHTASAALPLAPVGLTYAPTPQRSVSTPPNMPVQSYF GYQPVQQQMVYPASSTSMVSPPATMQMHSQVQGFPFPPQQLVTSPPALAPAISHSTSY SSKPSSSSHTRRSRSHSRDRYTRSRAPHGTSNQYPTSPPISYGMQTMGPYGPQQFVTS PTHQPMVVPIHGGRSGYIVVPAGQSAVITGAGAQPSYYTPKDYSYNSGTYRYPDSDSE SDSDSEMGDGFVHVHGHGPGPHSGTGYDQEHGHGPGSFFGSFKKLGTSLIGHKKSSST ISKRRRRRDSH JR316_0001541 MFKTPLPTELSLLILSYLPLSSIRSLILTNTEWAAFVDQNQSTV YRNAAAVEGYIEASAKFVYVDEVVAHPEGKRDNRRSYSQHSMKGVEGWRSFCQRRKLV ERSWAGRAPSRVVDVLDPPHYKRVHRIKVDENAGITIATTQLGGLLVRDLESDVVLWE LPVWYVRQYAHLEYGQGYMIFDRDDGNKEVWRRIADSSANEQLEDPEFEPKSAPDERQ KHVVNYIAHLTPNFPPANSSSSASNFKARFAPHAVLHMPEITRAYRYVYPTLLVASLE RAYLWDVRTGKLQQMISGIQVISMPEEEDTQGHEHGNDGAESDDDDEEEEESGAGNPD NHISAQQELMPHDIDLMDVLPRLNPARILDQSSEQAGANGDTDPIDDSEDEELSMTSE DEDEAVQLPRFLGLVRYVDLSERHVFFAGRYLLRIFSRETGKCVMDIPSTRWRYGKWR WEIASRKSATATDLDSYEKAKKEEREAVRMPVRFSLEEYPRGGGRVVIDQFIAGPPPV VAPPPPSSKGKETSTSTSTSATNSRRPQLSNRSTANSTENVPPMSFDPVFFMPGSFPG PFSGPSTSTSNAAASSSSQTRDEASRARIRRRNARRKRRQRHREAREMRRRAKDAKDE EIFEHTIDVQLGAPSASSGIYLAYENGRIGIVTSNAIYIVIPPIPPPPSASNASTPLG IRRNRTIDVPKLEVMRLPFFSNPSWLCEVSCLMMSDTGLFVNWNPLWPRMVGDEVQLA ERVWEDEEDQSELNLDVDSDDYEPMEWELEDMEFAGDGDEVAGPSVDRRSGGNAHQMR NAREEDREAVDALVSELLPLRQRRDPEWQRQHQDNRQEEMMRQWEREYEDDLLHYELS ENERYHHVVESEVSTIYRVDFAPYSNGA JR316_0001542 MASTGSVSMQGSEKEDTTSSLDNKASESVKSSRIHVQEVLNEYH INTTATSGAFPEGGYRAWYINSFGVYQDFYVRRYLSAVSPSNIGWIGGVQICLTFSLG AITGRIFDRGYCKALMISCTLVYALSLFTLSLSHENSYYQVFLTNGIGLGTASGLTYT SSFALIGHYFSKRRSLAVGIVSSGSAIGAILHPILVNRLINGRVGFHNGVRISASINV ALLIIATCILKTRLPPKTNQTFPVKKWMREPPYLAIMIASLDAIKHGVPTHLAFYAVS ILSTPQYPFEVPRDIQC JR316_0001543 MTTEDIPTTSGLADFVVDGKTYQTFYIIYGDLRNLGKRRPLVGL HGGPGFSHHYMIIPVVLYDQIGNGASSHIRDAPTEFWTLELFMNELENLLKHLGIQDN FDLLGHSWGGMLASAYASIRIPSGLHRLIIANSPASGPLMMQGFNDLLDLLPGNAAEI VRSNEAAGTLQDLDYQKTLEVFQKKHICALDPWPQGLVDSFEEYKKDQTVYLALWGTL EFQLTGNLKDWSIIPSLAQISVPTLLLSSPQDEIQPVAYMPFFEHIPKVKWVDIPGCS HVAMYEAPDRYFDALISFLNV JR316_0001544 MRLTPHLRNVQLPPTSTSHKASAALRERVRRPALLKRLMTAEEL APLFNIGWSGFTGVGYPKTVPTAIANHIEANNLQDDPATKKKFNLFVGASVGPEVEDR WARLDMIARRYPHQVGKDIAKGINAGRIEFADKHLSMFPQDLTYGYYSLRRNHGDPSK PLDWAIVEATAITEEGGIVPGASVGATPEILQSAEKIIIEVNTRIPNLEGLHDINQSF LPPHRQPYLIKHPQDRIGNTSIPIDPDRVVAIVESNHPDNTGQNSPENDESRAIAAHL INFLSDEVHAGRLPSSLLPLQSGIGNVANSIIGGLAEGPFESVQVWTEVLQDTFLRFF DSGKLKFATATSIKFSPDAFDTFYANWGNYRDRLLLRSQQVANSPEIIRRLGVIAMNT PLEVDIYAHANSTNALGSRMLNGLGGSADFLRNAKLSIMHTPSARPTKTDPTGISCIV PFASHIDQTEHDLDVIVTEQGLADLRGLSPRERAPIIINKCAHPDYRPALMEYYERSL FECLKRGAGHEPHMLRNAFKFHTNFMEHGTMKIKNWD JR316_0001545 MPSASAEKSVRFSLDDVDLEDENDDMEETDGEGVSTPLQSPPPI TPTPSPTFSESTLDSSIGPLTPPPGHPFPGPSGSRHFSVREPSALNTSAMPKLNPVLV SAHPMQWDMNEKPDKLISLLRDNGGDDPVGTLPSGEPIRQMQVVHDDLADWPLLISDT EELKVKQVLLAIHNHLQKKVTGESYHSRKRSEQMSISERYRERALSIPIGSPEVGLRR IDFLPGRMYVGLKVKSGTDGHWDFILSVTNQE JR316_0001546 MARRRIQGPSSARSTSGNHPMLSRTSTPPLIVDSMPGLATDPGT LLPLDTSSSNASLLSNNPSESFAVPQFLDMTQLYKAYCTLQKDYSSLQTANSQLKAEV ADLKLQLTRQPKRVQNAKNASIPGTIVDPISSEVDPAVTDMALDVKKWGRYFGLFYNL FVTPAMFSPTLPTASFALDSVERYKSPANEAIGATLELYEHIPSKYHPIMRLASSSKG KTFLRLFREGLSAGRSTSLSMLRTSCAASIFGLPTHYFDPKFTSQRIKMPEIQKLLGF NVAFANSEAGKASPEKAYARFPPILCKNGDTSRVEHRFRNRSLYMTARATLFGKTSAM DKDNAVSRASSFYLGSDKPAKTTFGLIANICGFCRYILSSDKSFGGSGIGPDTKIDYN AEINYYKMYLTQLHANPATAGYVVRLLKEWDSEVFAVNISAHGQSGHDGDGEVIELDQ AAEIERDMERLRLEEESEFAVSSYSDAFDREDFDETEDADNDIEGQDMRSDLLVPIHG PTTDAEYSDNFEKEPHHDGARPLNEQPRNDPTLDSVNDINVNPTTINPLTLADPLTES LPSQANPSEPVATLTKRLTRTESRAIASRLAVEERFPAHTSFHTINASHHSDDNANDS SPTSHDPGTQRGGKSSTRSRGSGKRGRK JR316_0001547 MAGNILKYSTKLFSPEKSWNFPKTHTHQHIFDDILNKGVTRNYN TKPYEKANGPLKKFYQNHTNFKNVAPQILQVNEMDLVSNIVRDGIDLLDVSVEKNIED AEERDGLDLPAKSKPGNDHIHLGSKLPTITLSELELETIHSQDTAFNLFRRRLGQSLS QTVGSRVLLSTDHPVSV JR316_0001548 MFDMSKSRPDIPNDASVMFINPWADKSQLSSFGTVKGYPVMVRC ANLPVDIRNGTGVGGGRLIGWLPIPDEDSAHTHKASYVDLKRLIWHKAVHKILQSIEA PATFGAAIKCGDGKTRNIFPHILSISADYEEQ JR316_0001549 MPDANYTFDEYCELESEGNSPLNSVNVDQRPWRPFRTRLDFEFA EIFLSAHLSHNQLEVLIALIHQAIASPNEFTLKNDADLTQIWTFARETRAQGFIKTPI SVDYKGESLTYNVWYRPLWEWCRELLLDPALVRRFHWNAEQLFMYKGERFERIIDEPW TANTWWDIQVSKF JR316_0001550 MLSTSPKSPLQPTRYYPWKDWRGSIKEIAFFPDGKRIVTAGHDD NSTRVWDLESGEEDGEPFVGHSHITLAVAVSKTGNDVVTGGSDNKVLLWKESDRRTHK VLFAGPFSGSSGILSVAFSSTAYPMLVASSGTDGVTNIWEVKTDAYSNPKRAIRIPGC TITSIQFSPSHSGVIAVTCTLDKKIRIFNTYTGEQTNEMVGHSIYAHAFSWFPGGQRI ASCGEKSIRIWDSRTGKEDGAPIYGHERLVKTISISPDGKYIASGSNDGTIRVWSVAT RGQLGTQIAVDRVKIVRFSPDGKFLLSFSEGEGLQLWPMAHLEVEEGLKLTREVVDDL MVFSDEFRREIHNTLQEKVSERPRSMSSRFLIQFDDLSGSHQQDIASMEVLIEDAKNA SRGRFDVLQNFLTELDAHQQGQFSSVSSELKGMADNQDKDFLALKDGLGNVAKQFETD IAEIKRAQERYATKQNKNIEEVQSELSAVRKEIGNLQKLIAEMNMNIV JR316_0001551 MPMRSSFRLVSPPHSSGSSDTSSSADSSENAKNMVEEVRSLAQC IDIFENGPRPLSESLALLNDEEVIMLAQCGKIAAYSLEKVLGMNELERAVRIRRALIS RASSTQTLEASDIPLADYDYSKVLGACCENVVGYIPLPLGIAGPLYIDGTLYPIPMAT AEGTLVASASRGCKALNAGGGVTTVLTQDGMTRGPAIDFPSIVDAASAKIWIDSPEGY STLKKAFESTSRFAKLKSLKTAMAGRTLYVRFATSTGDAMGMNMISKGTEKALEVMQE RYPGMVVLALSGNYCTDKKPAAINWIEGRGKSVVAEAVIPGHVVKSVLKTTVPALVNL NTKKNLVGSAMAGSIGGFNAHAANILTAIFLATGQDPAQNVESSMCMTLMEAVNDGED LLMTVSMPCIEVGTVGGGTVLGPQKAVLEMLGIKGAHPTSPGHNAQTLARIIAAAVMA GELSLLGALAAGHLIRAHMQHNRAPQTVAAPVSEKISRSWCAEEGKKNKLLYLYNVTP VLTDV JR316_0001552 MDFLNLNQYSELLPPFDIISKSFGYLLKDINAEIETAFIMKYVP INQRWTELDTLGVWNNQIKWRRYQHKCLIDPWHYTLRNFSEFVEFGYKEYAIYALDAN SELIEAYYLLEPILSSKYVVYVALVSRFIDKTDWKKFLEFFNIKDSEDIYKHMTTIHI CMNQFERETMNRPLLVEWHKLQSTAEFVWKILYIKYMDHKFGYDAEI JR316_0001553 MLGPCLETLKDFSVFAASSGMENGHVLACEPLPNLSEMNCRSPQ VGEGDAIQASIAMANEAGGPAPNKEVKAGSQDVPWQFSQNLGNDVGGPAVHSAWVLPD LVDSTGSDEGNLQHVHQRLTVEILVSFVNSTK JR316_0001554 MTFSSIDPFDLHGFASESAKQYREHIQELVYYGESRLKRAVDEI LKKPMEDQAPFQKCLRKELHYFLTYVGLITSMGQVVDVPFTLRSLAFSLGRIAPEDLP MQFNVEFVQALNITRDEDRGEVVASYKRPWWEEFRPSWRSVIDDGSIGECLSHHRKLI GIENKHRFIESLGSDITPSSFAMTPGERMLFEPLQNARRTIMVMTEAQTSHQSVIFRK AEEYWNLHDASKAEGMNRDHWKMGITEMGNNITEIGGVDYVDSGVDTETPKNRISTPA FQRATSCNDPPLVRDSKHRSKDWKPSELDDDYSLSPLPSPALLYRSESIQTDQGTVPV ETVPPHSGPDISCGSPEEMGDLSMQASLSPGFASARSRDEMAELYEDIKPHIQEPIQS YSPEFHPPIRIPLADELGDLFRDIPSTPGRSFDMEILGIQSPNVLATLYEEITPQFGI DRQSSPEIKTLRLNPSADDLGELFNDILPPSGLSIDPDITATRPSDVRSTRDEDVTLQ INVDRQSSPEICALRLNPSPDDLVDLFRDILPPAGLYPNLDINGTRPSNVPATLYKEI TPQVDVIRQSSPEIYAPHLNPSPDELGNLFRGILPPSGPFSNVEYTGTQSPQECTTMR EDSTPKCYPSRHIASTDELSELFMDILPNPEPLKRSEAQTPNKRSGFTEDTPPTYHPN CYISSPDELGELFRDLKPASELSESPSAYVAQSPNDIAALWQNTNQPNNHSPRHNASP NELGALFQGITRLAQTTDNSANTALQGTSNVMSRPPASSNIHEDQSLSLGSSNHLNGL PSKRQRRQK JR316_0001555 MTKQSRTSSLNDIIKIGQDCLTLDLPPSLQVEQRKLFRQTVGTD YVLKAYPDIFNHSLQNDQSERLDLVEQYIIERLEMARYNVRKRKGDFHAFGITKQEMI YAVSRWTPDYIHGFLHRFANFSQTKMTEMEIELLQAHFLHYF JR316_0001556 MSESTELQSIHNLSTFQEAIKILDQKIRKSLVDGDVAKVMDSHL ETAKAVATTCGRFKEEKEKPQYNFLFAFLSAYGHAFRKREHRSHEFLEYCQNLQREID DPHDEPVQKPTLEGKDDQHSEVHVRQNVDGEGSRIRQDSEGPRRTTRKSRHQVANKRS RSSSAEKTKTVAETIVNDSANHSALIREPSPPLKKRRVQQARQASPELPPPKTLVSIE NSSDNNNEDGYVPSDIPSNINPPDTRVIAPAPSSDTSSGKRITRAMAAVAKGPTSTEN FGRKLTPEPAPHSNVKTNIKVQGRSETKQDRTPPVPTNYVHLQPCAQCADRNINCRVT YKGYSCFYCGSHRVRCDNRGDTIVRRLPEANEDITGPVEEARKHGKPLHRQKRPKRET GSPEPDENCGHHDPQHHSPALRDPKHSNGKQPISEQTADLNSSQTNQDKHPMGKFVYT EAKIKTENVLKSWEAIPRYTAPPKASSSSLPPEKSARAAKDIEEFARNWEAWVAEDMA RSEARAPAGPLPTRPTYIRNWEDNKADADNEAYPNEDRLARLEKNITKMNKVMKRIDK QLANHDHGFDYIAAKMAFSMAAIDMLSNKFEVLHSYHSDVLHKLVGVEITMENMHDDT YHRGEEGPCPFRRGSTKDQGIQTDSQTKILDSKGLFSKSSGKVGDETGIGENGEESEN GEEGENGEENENGEEGENGEEGENGEENENGEEGENGEENENGEENENGEENENGEEN ENGEEGEKGGQDEQGEKFGDKDSDSSAEIDADKMPYIPVHSREKLEASQHQWYGGTSD QPIDIDFYTELAKDQTDVATNLRTSDQEGTSHAESNVAEVFGEEDVSSNLEARHGEEP TDGKMKDENITATLSTNNLSLVKFMHSNFREPHPHTTPSTYTNDDLVRQVVSKPQSCH PLSLFLK JR316_0001557 MSSQYSAAFSRAMSPSLDALTQAVVNNTVFGVNDEAGRQNALID ELATVVIKKIAECRSVDQIIDCVFFDYRAALREFLLNLASWCDKRETVRASLERLELA AINDGAIQAKKDELAFWEDKCALASCYEAAAVIVKTTYEDRKSSYKLPVFSTDNKGVR RIAEWVTSPQKKAECSALQTILPAIFSHIKQIVKLRHRALAIKIEKKRSTAATADVEM ADATKPGPSIQSLIDKGLNARLKKLNLGYTSSGQSSSKAPQPQAKKTGPSKPKSSSTP SQRKPQTKASNKVDNKKKGKGRAPVKNNNPKGKGKARA JR316_0001558 MELGLSMGRRYVIKTIASIPEEALKEMRKHAFSPKRDKIQKFLI DNNYVITMTDKNLGLAVSERDWILRNELNLLEDERNYEELEFEVAQEVMKSKMIQMQT LARTVEDEHLFLFELGLSRFFLSNVPEDGSSFKYPQFHGIPKIHKKPTGFRPIIPCHS VVFNPAAKFVSKELKPIIKSTPSIIHGTKDLFTRLSQLRIDPQTTMETTENEFHGYHI ILLDVKRGVYIGELSRLAVLCSTKEIYIGAIRDLNALYLMRGYPENLVMSWCKKNIQE RWEKRFALRVAEHDESILVLKTRFDQVWNWFSAAELGKTVTEYWSAWYEHAEKGLYSA DSSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVPDLRKIGLLGSRWIVSRKRNT NLFDLANVWKKTVFRKLDENIAEKGGVVPETQNVNETYHSALVEAAEQISIESDNEII LHRRSTSQEREHPEFGRISKSYNR JR316_0001559 MQYSQTSRREGGPAIEYSPDSDAAVDQTEKWYKELEGYVNFDDE SEYLKVYLSEDATQQKERVHQEPRLPGNSWFASGTLSGDENTSSTPAGPHPFPLLRRP FRYVPAYENVLANTQTPNVGWRGTLHGYLTHLPQFRRIERDGKTGDYWVLDVTKIHGH SLRACVPSSFTVRTSSTCLHDKIPGAPSVFEAEDGSTAFQQGVRTCAQSGPPPSPSNC KEGDQFEESGRNVDRSKIDGLVLSNNITTTACPPRWHTLQYIKGTEKNEGVYIRE JR316_0001560 MKQTQCSDTSRSQDGLRIFHSQDSKPTEEQIKRWCQEMERYVNF NDESEHEATEQKEGDYQESRLPGDSWFASGTLSGGENTSGGPAALQPFPLLRRSPAVG RRRRTAAGSTAAKRVSGGTGRLSREEEDSLPSQEDTENIIRDILNIPRDVAIEDAWPV DVTPWVRYKEIDTLMLVLCCSESNGATVQEIINFLVKRYPVLANTRTSNSGWCGTLRG YLTHLPQFRRIERDGKKGDYWVLDVTKIHGHR JR316_0001561 MHSLNFSDLQDYIQHIPYNTNPVALCEQSNTNGDPAPLEAANTP LTGLSSIYHQPSRLRLDPEVLASIKRLIEEVEEEMGVNYLDLVKTPEASGADIYNSWI STSQTIPLVHSTSHSIQQSTPEEIEDSYDPRNGFEPPAATAAIPTQISTFPELLNSRN TASLSRRRGVETSISMSMPVMGQAGPSRWHQEERAYGEPIQPSIDRNVWLEHDMKGTS SYPEYTELPGSSTHNTLRDTIDVSSYHRNNGTNRSLELDMVPLQNTQNTNGDEMSTPP PPHVWGSADAAQYQGMFYDHAQPWFQPGEGSNTHHPSMSFSSEREKKETIKTGSIKDG REELRSRLTNEPVERQDIDKAQTNDGGCGECPAEGHGQKIGRDEIASRKRRRKDSDSE IESAGGPRQPRKSKVNESPDSIPGNISTAVQGSRGKPRNLNPRAPAMMRSYAHALTPL TMPEAKEGGFIALVTAGTKEASKAFGHHQMPVDMDSMTMVTGFPDATKKKGLRGRKKL KAS JR316_0001562 MVRTHARTCTSLSHFRTSLSQSRTSLSHSRTSHCPHFPHCTSLA LPSLPLQEGGGIECARASPFSSSSTYARGAFLIVFWVFVSCVLGVLGVFVGLAISVYS WLVSGVVWISSSSVARWLSTVDLDSLAAALPDARVHAWATQALRHLNKGKGKDGAGNP NINVQTAVGVVLLSVAAAAVFWKIKPE JR316_0001563 MPSTPFSSVQHNTHPGLTSTSTLTSTAPSMSSSGLPPSNALFPA LYLYPLNNTWAPKRVALTNMHTKIGRQTSSKTAPGERNGFFDSKVLSRQHAEVWEEGG KIYIKDVKSSNGTFINGERLSSEGHKSEPFELKSDDIVELGVDIVGEDNKTIIHHKSS LATHHDRVRQLEGALEAQEGLRREVVVLRELVMRGASSSGTSGAGSSDLREVRLEEGS HKEAMGEGHRTCDDDDKGGEGHEDDNEEEEDDDDDARSVSTIIPHKLESVEEEGEEAV ARTECGEDGDGEPDHEARDAQHGVEDKDHSVSEIVDSSSLDQEHEHEQSDEHGSEDEA EAEKRKQEDLKVGKPRSSGASGSKDEEDRESGDKKAMPAQKLEGQKGVGLVDDPMRML ATPEPSVVFKLSESAVSSLDGDRDQDKSLLSGKTRTHPLADSSDVDADVLSRSFDEDS VAGKYKQSSGDGLEGAYRIYLAGVFDASKSSTYNWVNL JR316_0001564 MQMDLNREENSGADPVESLARLGHRDGGMVGHPSTTKDGDSRVT NASRHTRKRRLSRADQDRREFASTAKRPRTGSPQDPAKTKVSRDQVGEGRVGATLTAA VRPQLQPARPRCLADGFSQGRLVIDKRECHRKKEGGVTFQVMRPTQGP JR316_0001565 MEWIDDPAPEKQALWLYGPAGAGKSAIGHSIAMMLQERSADRRY GSSFFFAKGAPGRGDGNKLFSTIAHELAINFPEYRVILDTVMQENPTLPTKLINIQLQ NLIIRPLTKVRNWPAHHPVVIIDGLDECSGEKRMQVAILSTIANAIIQHRIPLRFLII SRPEYWIADVFETGCFSSIVKRVSLRDDLEADAGIEIYLRSEFNRIYEENIEIMHSVP RPWPEDHLIDRFVRSASGQFVYASTVVKFIGDSLHCDPLEQLRILIRPGPHDALAFSE LDQLYASILSSYPRWDALKRVLGAILCTPYSNSESVMELIFNVSPSELRQILRSMRSL ICTTESKYPPLLQRLIPTFGTPRYDTPWLSFHHLSFEEFLKDSSRSGKFLVNEWSTSI HAFCVIIRHLIELLHGNPDIDGIINRLVELPSSDMDIIIQELKYLHEALEGVLSEADR KIPRSKHILWILSTFQRCILRDQSWRELTPAQGLKPLLDLLQSLQRPVMLALTISVQQ VLEATSLDGPILGYLVRQSWRRDTSSFDILDIGAEMHITNDAIVSELQDIHGIVNIFC YKDRGHVEIILDVFEKEIDKVSSTYLPPALVAEWETPGLVRVIELLNQLFNGTEATLE SPLNEVVRFLHNPARSDIRSREYLQNSHRMTILHILIHPQLPKLPQYLPQFANASLGW LHRQLTGTNRHVLEEHDSMLIVKFEAAAKTTFSALISLYIEHSSYFLTAKDSGRQYNH HIMGISPTLDGKYILSENIRAGGGTSELWLTWIRLFHSVLEINQLLGTRHPVLPIVAL DWYPHLATFLLNVLVSKHYGILDQGGRVYWMDFRDKHFLSLLQCMPYAIPTQENLTKI RLLHYIWHKLDRKYRWRPPSGFCDLTMQFLGKYNHKYFCGMEYDRLKSWLVKLKATES HKILDVPLDYPFHYEEYTTDEEG JR316_0001566 MQNTENLNVDIGTQLNSTLVQCFLSGVYMVIYGGTLYFYLSKKQ QSKAHRAVLAAITALYAFSLINLVVQWTGSNSTVVYSSGTRVSLSQASLNIPQRIRLM IGTTLAVSILISDVLLIWRCYSIWGNSLKVAMIPLVLLAIEIVIAIASTVIVCLHPKI TDAPADSKIDYVAATGLLFSLCTNLYTTSLIGYRIYTTTRDISISHNRGRYMRIFFVL VETSAMYIFVLVVVAICQFVPQVSEQTYPLVGLNAYATVAQSILGLIPTAMVLRLVLT GICTAS JR316_0001567 MGLPTSSTTSTTTTSQYDDPLSTLLLPPPNETPSERSTRLEAEA AARRVSEMIDEELKVERMERRRRERGVVRVLLLGQSESGKSTTLKNFRMKYARADWDA ERASWRAVIQLNVIRSIITIVEALQAEMDGEPEGEGDLQHPVSPGGSSSAGGGGEASG SGVVGGGTGREGSKQLSTLLTGKHQVLKMRLGPLRRVETDLKRRLGAGSDEDMGLPLS SPAPAAAAATGDSAATNVLGGASLGPLSLETEPQGLARPLGAASAQREFGVTRLQEAL QRGQRLVRKGSAQSVRRQARVGSGRATPVGEDGEGEGEMVDDATEILASCLEDMKALW TDDVVRAVLKKRRIRIEDTAGFFLDDLDRIAQRDYSPSDDDVVRARLRTLDVQEYRIR LDDGPTSIFAGGIGGDAGKEWILYDVGGSRTVRHAWLPYFDNVQAIIFLAPVSCFDER LTEDARVNRLEDSFLLWRTVCSSKLLASTTMILFLNKCDLLKRKLKAGVQVRKYLPSY GERANDVNTVVKYLREKFKEQLKEHSPTQRASYFYATSVVDTKATATTIKAVKDSILR DYLKNADFLS JR316_0001568 MPQSWFTLRLEATNRQLGVALSNVLMLRHHMSISRDRPPVGSYV SSAREGAGCSDAIYRFPATHPVDSLDLEATLSLRRRKNVSFSKRVSMQMCGDVWRTHH TTSVVYR JR316_0001569 MPLPGANPAQKTNFIIRAPPKRSEKVNVTRVYGATASQVVAFHP QFDVADQLLVWYAVDCMTDGFGRVAPPT JR316_0001570 MLTLRKDTNPNLCNKRGLSALMYSSILGHVDVIQALISTSRINP NLQDVKGTTALMYASHMGHVDAVCALLACPNIDINATDVNGRTPLLYACLKDRTDVAI LLLQAPGINVNSQDCNGHSPLLLAAAKNSMGILEALLEFPGLVTNMKDRSGNTPLSVA IVRGHNDIVKALLPISSIKVDISNGQGTMALACATIKSHVPIVNTLLASGNINPNVYM VGSDRLYEFIRRQLRYSPEKHIEHAEGMTPLMLASCAGHTEVVKHLLTVPGIDVNLGN EPSYTLATYTGGSNDNSVVDSFLTGMDLRNTCFKCSGITALMFAAGKGHADVLEHLLT VSEIDVNRGDCYGTTALMHASRWGHYSTVEIILAFPDVDVNIQTNVGETALSWASENG HVEIVKRLLFIPGIKVNMQNSNGWTALMYASYHGHLSTVDALLEFGEVDSNLQTTLGD TAILWALDNGHLDIVEHLLSVRGIKVDIQDHQGWSALIAASKCGHCSVVDIILGFFKV DVNTQTKCGGTALLWASRNNHLDVVKRLLSVPGIMINTQDNEGWTALMRASQNAHYSI VDVILGCPEVDVNIQNTHGDTVFSWASGNGHIEIVQRLLLVQGIQINIQDNDGWTALM NVSHNGHRSIVDTILAFPDVDVNVQNKVGETALLWALRNGHIDIVERLLTIPAINVNA QGPNGYTALMVALIYGHCSVVEAILRIPDVDVNIQNSVGETALLWASENGYPEIVMRL LSVPNIKVNTQCDDGCTALLHASKNSNCSVVEAILEFPEVNVNIQNKCGDTALAWALS NANLSIANCLLSVTETNVNTQFNDGWTALIYASYNGYDYIVDAILGFQGVEVNIETNR GKTALMFASWNGHADIAKRLLSMPGIDVNAQDIDGYTALIYASDRGHISVVDALLGFP AVNVNFRAAKRGLTALVCASWNGHTEVVRRLLTIPAINVNLRYCNGYTALEWARRIGH QSVVDILLSHPGVTDECTALVVYQPV JR316_0001571 MSVPVEPLVPSVEDARSAAAVPLVVAGGKTGTAVIKDIAQAPGL ITMLNSAVRFVEATWTASKVERNKGATNLCFEIRKAYHNIHNAHPQNLSLHTREFQVI REICRFIQEARNAPKSEGPAPTSAPPSAAFSAGSTESTAVVVSTPIIAPPVVPVGPVT EAPVRPSKSKKHKGSKAPKSKAIIDSEDDIDEVRRGAVGAWYTKLIRDLQAMSVDDSS VEIITCNVAPSEGSSKAPKRAETMLLSYEDAGVDPSTPQAAKARHYANKKARQEIPPV LHDPSPNFEVQAAERRLAQLRLEQADYEFRVAFAQQQLDTTLQHIAEQLRIVKGKGPV V JR316_0001572 MTHGEEKLTFSKIPKATKVPAEEAPRRSTRENVAKNTAQSYSLA EARALAQKQKAEATATKPKARRSILSKKQPLKELATALTEIERTELNASAEESFYQTA SEEINPQFTVTKTYKMKDLPTTKERSAPKFNESEPTELLRYLEEVEELFKKYDVTEEK DKKKTACKYAPAATEAEWKAFSSYDKGTWIEFKRDLIASYPEVVLLHRGSIATLDKLC KTYKGNNQLESNESTRLAAFVRPFRAEAVKLLKDPALVSNRDLVTRFMGCLSDEFARR VSIKLDSDSDTKNAIRKLTAAAPAGDGIPLIEESAFIRWEDRHSLPDVIAAATNIAEM GAWSRTGETRVVPNEQRTYGADQLASTNRSETTVKLEETIAQLADTVLNSEKQRAAEY RQLQDAQMRQYQEFQSFMSSFRQNTNMNSSAPVGNNYGAPRVRTNQNNGCYYCKEETH HIYECPYIKKHLELKWIIKNHDNHIRLPGGSQIHTDGVKSRKDIVEQQNYKTPGVIPA AKISQMYNHQERDSPMDEAHYRLHRQYELSKSLRSLTENFGEDALEAVLEERRRYAVN EEEEPALANFP JR316_0001573 MSVPVEPLVPSVEDARSAAAVPLVVAGGKTGTAVIKDIAQAPGL ITMLNSAVRFVEATWTASKVERNKGATNLCFEIRKAYHNIHNAHPQNLSLHTREFQVI REICRFIQEARNAPKSEGPAPTSAPPSAAFSAGSTESTAVVVSTPIIAPPVVPVGPVT EAPVRPSKSKKHKGSKAPKSKAIIDSEDDIDEVRRGAVGAWYTKLIRDLQAMSVDDSS VEIITCNVAPSEGSSKAPKRAETMLLSYEDAGVDPSTPQAAKARHYANKKARQEIPPV LHDPSPNFEVQAAERRLAQLRLEQADYEFRVAFAQQQLDTTLQHIAEQLRIVKGKGPV V JR316_0001574 MATFTPITWVINTVLGQFEQDPDNCEENRHIVEWLTPIRSDATH YDTISKRTPGTGEWVLCSTQFKEWISGQRRILLLDGKPGSGKTIIAAIVIEHLMDLSL PYLLGTDAVLFLYCRHNETWTISQYLGSLIAQLFTNYRGNSSVAQHVLNSYEIHQIRR SQPSETELITILSNMILSFTNVRVVLDALDELPDCAQIELMSVLRRLPVSLFFTSRII GLEIFDLPNDTIHMTIGDQNQGDIRLFLHKTIPRTASVARIVRQNAEFLEEICDKILA ISNGMFLVASLKSQSLQGYTTMNSLSNSLDELSDDVQSMYLALMNRINSQKGEYPALA KRAITWIVYARRPLTVSELQHALGIREGSNSFSKRDIPVQDMLTTSSCGIIEIQSRSG TVRLVRELLHLLWVIDAGSLN JR316_0001575 MPLMQLTTAARHLYRSHKCHTLTNCRNLPTSSCQLEEQVVAPLQ EAAAAFSRVLVQVSVSFCIPYPPLLMVIESRAHDALQQGLFRYVLHHIVKYMRGSLDF LNSALIYQVVMHRTTPSPYGSTSPPIMPKKNSGTTPSRVGCVFNKNSTNVLLCSLQHK NVNDGAGEYQFFPIPEFIPLDITLQAALK JR316_0001576 MPYSDFNLSDLQDYVPHNPCDDNRYLGALSGASYAARDSNPVEA IDTPLLDPTFQFCADVLSSISRLFPGLRTYHETKFKDTRGATIDEVEVQGPATRGASS RHQDNHQPIPGEIEGFHNRYTVYATPSAYTSSQYEILSPPAMVPVHTSSLQELINCRD ATSEGSRREPSTSPSIPAMGQPGPSHWHQDRFDEPFHHHPSVHPQIEPSALHLDSEST GFSTHQTVPDAVDGTLSHLDNGKNLMLDQHTAPIENVDGNDMSVLPVHSRQLWSKKSI SFKTYPQPLYIGGSTHTAQHQGIFSDNSQSWLDVGEDSNATHGPSMCFSSRREENKTL VSVIDGSDRRRSGHTKEPVEQQDINKVQTNDGGHGERRMVGHGQTIGSGESGSRKRQR EESDSEMESAGGSHKPKKPKVKESPDSVPGDQSTGVQGARGKRRNLNPRAPAMMKLYA HNLTPLTTPEAKEGGFVPLVTADTKAASKAFSSHQMSVDMDSMTIV JR316_0001577 MPQLDLALAPQNDARRATILALPAQIEAEVLPRDVADAVRALWR DPSVQEAVRRSREFQLNDSAVYYFSSIDRMAAANYMPTDQDILRSRVKTTGITETTFK VGELTYKLFDVGGQRSERKKWIHCFENVTALVFLVSLSEYDQMLYEDESVNRMQEALT LFDSICNSRWFVKTSIILFLNKIDLFAEKLPRSPLEEYFPDYTGGNNYDAACDYLLHR FVSLNQSAATKQIYAHYTCATDTQQIKFVLSAIQDILLQLHLRECGLL JR316_0001578 MGQPGPSHWQQDQFDEPFHHHPLVHPQTEPSAVYLDSESTGFST HQTVPDAVDGTLSHLDNGKNLMLDQHTAPVENADGNDMPFLPVHSRQLWPKKSISFKT SPQPLYIGGSTHTAQHQGIFSDNSQSWLDVGEDSNATHGPSMCFSSRREENKTLVSVI DGSDRCRSGHTKEPVEQQDINKVQTNDGGGESGSRKRQREESDSEMESAGGSHKPKKP KVKETPDSLPGDQSTAVQGARGKRRKLNPRAPAMMKLYAHNLTPLTTPEAKEGGFVPL VTADTKAASKAFAHHQMSVNMENITIV JR316_0001579 MISTGLRAYTNATFTNLHKPTIVAGETVYMGNGDVHSRNQELSG IVQWLTHIRSEEIHYDTLSKRTPGTGEWFLSSTQFNSWVSVQRSILLLDGKPGSGKTV LAAIVIEYLMEFGLSDDRGCNAVLFLYCRHNESWTISKYLGSLIGQMLTRYRGLPVVA EHVHRFYDLHQTRQSYPSTTELVTILSNIVSAFKHVRVVLDGLDELPDRDQIELVSIL RALPASLLFTSRIIGFEVFDFPQDLVHMTIGDQNHEDIRLFLHETIPKAASVARILRR NEGFLEEICNKILNISGGMFLLATLRSQSLQGYTTMTSLSNSLDGLRDDVQSMYISLM DRINSQKGEYPSFAKRAITWIMYARRPLTIAELAHALAIREGENSFNERNIPAEDMIT ASSCGIIEIQLRCGTVRLVHHTAYEFLSNWPEYLIKAPHAFIGNTCNSYLTAFRFTTL EKLTYTAFKALVKSHDAPLLEYAYQNWTAHIRECEGESYPTETVHNFVLKTPNYPLFD SRIGFSWLDPSHVIAYHGLQMPLSWDGYCNLRTTKKHTTLTLAALNGCITVVKTLLEC SCIDVNAQTSNGDTALILACKEGHKTIVNMLLLRKDTNPNLCNKRGLTALMYSSILGH IDIISDLLSNRKINTNFQDARGATALMHASRIGHLGAVRVLLSCLDVDINVKDLNGRT ALLYACLKDRTDVARLLLRTPGIEVNAQDENGHTALLLAATKNSLEIFKGLLGIPGLN PDLRDRNGLTALSVAVLRGHNNIVQAFFPVSNTNLDISNHKVTMALFRAAVKSHVPIV NILLTSGSINPNVYIRGPDRLYEFFRMQLRYSARKHIDHAEGMTPLMIASSAGQTDVV KCLLTIPGIDVNLGNQPSHILVSYTSGSNDYPNMDSFLAGLDLKHTCFDCCGITALMF AAGHGRVDVLHCLLTVSGIDVNGQDCDGLTALMYASWMGYPCCVDTLLGSLEVDVNIQ DKWGNTALTWASAHGRLDVVKRFLTVPNINVNIQCTNGCTALIYASGDGYCSTVDTIL RFPEVDVNIQDNLGFTALSWASGNGRVEVVKTLLLVPGIKVNQHCINRRTALVYASRS GYYHIVDAILCFTEVDVNIQDELGLTALSWASGNGHLEVVKRLLSVPGIKVNTKDTDG FTALKYASQDGYCSIVDAILSFPGVNVNTQDKFAFTALTWASYNCHCDVVTRILSAPE VDANAQDTNGWTALMHASSKGYCSIVDAILHFSQVDVNVQDNYGFTALTWSCCNGHVE TVKRLLAFTAIDINLRDLNGNTALGLARKKGYQSIVDILISRLEVIDERTALVLYQPI YRSQTFAHTHYGSPKHTMRH JR316_0001580 MNFVTFEKVYSLYPNATFGHQVSLLQPSANLKRAQKFLAKYHSR GLKYVLSIPSQTLKMDRHIQSRIQSIRDNVNVGYSSSRGHVLVVLDYDPYPELFSSGI RWVGNRHCWVYSLPLLPKANQTSFVEANLWALLLNEFDYLHFGVRRISGIALDFHYTA ANVYQLHKCVKKAIKSWERDERGNILRTVYAEYSHGQPLPIFSRVWEYSAYSVCRILP WGAN JR316_0001581 MSNFDVDIGAMDEVIFRLQGIVSDKMLPPMAKPASYVHSIEDCY SCDRTVKQQPYLRTAIAITGLRDIVFNKVMEKLEEVFLRFANNFPADSVSGYDPVLHK DTGFNVFHAHSQYFTKVSAYQDKSDNIGFHPLVDPDNVLASMVGDSFIHAIDNKVQFL RQEILPEGTARYYSYNPASIRIGDIVEISVAFVAFPAQGNKYKFVVALRRILVLDQEA REKADILRMRSCYTPAKRQVAVLCRTKRQLYKGQIDIEDTQQRMARMRLNEDTVHNSN TMSQD JR316_0001582 MSSRTRVTGEHVKEQGNVAFKLGDYALAKSLYTHAMRFDPKSHI YLLNRPFANLKLRRWEEAVVDASKVLEHSPYNLKALLRRSQAHRELGQWSDAKKDIQT FMAHGGDSQVASKELESITSAQESSPVPTSTQKLEHAMAPLCSEMLVDNHPSFIISNS TSIPKGVGAFATRNLKCGELILSEVPTFTILENLSERAKVASIESEVLSLSPVILNQY LSLHNSHDDCGCDRGNSIIVGIYATNTFTLTDNKAGICMKSSRFNHSCAPNARYSFNE RKGQMTVHVLTDIKKGEEIFVMYIMGRGLYGTPSSRRQKVLRQRYHFTCACKICSLPP AEAMMSDSRRERLDVLWNLIPRFTPTQGIQRLKVIVQAVQLMKEEGYHADADDYTNDA GVVCAYHRDWESTKYWTEMTYRIRVEEFGEDSSRAEEGIIFANSL JR316_0001583 MQQFPDAHNLMINGGIFSQTQNNYGTGRDAGLHTLKQHIAADAI YDSAERFPPAQCHPGTRERIIETIMEWIDAPNPEKQALWLYGPAGAGKSAIGHSIAMK LQESLECQRYGSSFFFAKGAPGRGDGNKLFSTIAYELAMNFPEYRAVLDAVMQDNPTL PTKSINVQIQNLLIRPLRKLSNWPSHHPTIIIDGLDECSGEKRMHGAILSTISNTIIQ HRIPLRFLIISRPEYWIADAFEIGCFSSVVKRLSLRDDHDADAGIRHYLCDEFNRIYD ENIDVMCSIPRPWPQEQIIERFVACASGQFVYASTVIKFVGDSLHCDPLEQLRVLTTD ESCEESSAFSELDHLYVTILSTYPRWGMLKRVLGAIVHRSAMSEAVMEHIFDVPPPEL RQILRSMRPLIYIADFKCPPLLQRLESTFGPPAYKEEWLSFHHLSFIEFITDDSRSRQ YSDTAHIVVQEYQKLHGELDVLLQADTHIPYPNHTLLILSKYRDDLNHLGAATEKKRI EPSNSNRELFKSLLDFLNLAFSASAQQVLKTTPVDGPIFGCLVTQDWSSFPLRMDIAE LCATKNITDDAFVSELEKIHGVVTILWGSDSGQLITVPHLFQQEIYGKPNDYLIPGQV EDWEAQILANVASSFVEFERKTVKMDPFRYIQMEHFLAIPRLSDIRSQQCLHNPHKMA ILNILIGPHLPQPEYHRNFAIPSLNWFSRHLIGPNRCVIEEFDSTLAGKIEAAAKARF SAMLLLYVQASSHDTNIPNYCFAFKGLPTFLANSDQTYLWTGNKKCQCMSVHVSK JR316_0001584 MTVDTNFDFPHLRSLSLTTRNEVQPTASEAAFTRQPSTFFLTPT TESPGLSPRKFFLTATLSRKPSVPTPLVTQQESKRRATAPLPPLSPSPEPRSPASLEI EGFFTDDDSLLDPPLPPEEPVSPIGNSSALPTPTESAASPAQPSIKFLLPKRETDSSS SESDSLATTINHNNKRHRHRHFLPSSEMSALAEVKVTKLKDCPMLTAGKITPMVLHTW TNACRRFMKHSGKEPEEIVSFIADAMLEPRLQAWYQSGSDRIDKLSLDAYIKELAELV LDKNWAHQIKQQILSAKQPDGTRFVDWRIEIENLNALLLTSSKKHAFTKDALGDLLEA NTRHALSLKLHTNPTSEEADYKTWADTIHALDEELRSEDKRFEAKISAGKEKKSLLSR LSDRKDNTSGTTSPSNNKSSDKDSTKLTAEERALLTEFEGCTRCRRFFTDHRSHDCPM TKNNTWPDWSTYKPLTRAMALAEKSARESKTRVPVAFVGMSAVSEDYADEDTYVPSST TTTTDPPPSFSVPHLYAPVEATGPAISEFPLSFKALLDIGCPSTVISGSTAASLGLHR LPLPHAEDNLCSLTEDPLVC JR316_0001585 MPKQTITDLKEFRNAIETLDKKVRRGLENGNVTEVMNLNWDLVL AVATSTATECVAEKEKKSNIFLFQFLMAYGEARQQDQHYSPEFLEKMHNLLKNEGSSG TPEPQDRRSEHPNNSSKLSNRMQNATRQHARPSPKKCCGTGKIGSASARPVKPESDPE DDSDASNAARPPKRRRIGSTRASAIKTFAELEASEDDTGNDDTFIPSRYPSEPKSPEE TPKPKTVRSTSKRNKSSARQSKEKSVGPGHDERMPVKATKWIHSITSELEDDRNPKIT PRGALNLIKVGASTPFNSRARSVAGHHTELPVNLPTAESAINPTMADAKESDTVNVSN ETTSPQETSSTPSAKAKGKQVERLVKTWDNWFHEDAAQSSSTQPPPPLPPRPSYIRDW DLEDDTKGQDTNTTLSTEDRLTRLEKKCDQIIQKMKRIDDQLTSYDHGFDYITGKLTF FVSLVELIDSKVDTLNHFNAEVIERMTVMRREVNVVLRDMYEHSSSEAEGHTHYDAPQ TYQSGNATQNNGNANASTLASMTDSTDVGREYVPVHSHSKLAALAKTWSGGTSDKPID LEEHETPSLASGDCAKDAENNADQAKLAKPALQTKMRTSVNATASLPSRKKLAKETNH AHRSSNIRVESPNPNSNAEKDQNTKQNTKDDNVQHVSLTTRLDDARLSGHVKLAKEAE VVEQALSPNSVNTAYSSVHANHADDEHMNTQPDSANNSHQQKKILQDQGAEIAKDMVS NKDARGEDKAIAVEQDDQSSPRVSIPHNSHERENCLTLVPYHRLTAVPPSPVIPPQSF SNLANPLQVLSSPFPPMQIGPDGNLLTPPGSQDDQDDMVEY JR316_0001586 MSQLPMNVSDRNVRAYNREERALIDVYKEQYITSSTPNDRSTIV NTKMFPALKVYWDNNRIKYDPDKARTDLLKWAQNCWRLSSQKRVPKSTKILQVQKSDV VARTMKDVVEAEIDVLLDPADVNNVQKRFSVRNLAVSNVIKRMTPTELKELEGRAEKM SEEGYPQEIRQQLAEKHSETRLKASAKGHWLELGMLSVTFAAFEDSTGRVVAEIHNDI VELVGVPKSGKIKSFEETYPRQAREVQHLMIEYVRNVIETSRGREITMAQENSLGTSD DGFPIIGATWNKDKLSKTEASKLYREYLSRHYKLATRNRTEQVPYGDIIKNQSKFIHN NYLPTGFVFKDPHNTGIDDIKAFFDHIYEREQTFAPADVFRFHSITTARINGSIIPSR YPDDIESVSDEVLAEPAKRQRKRPTKKPKQQQVSSSNALSTEEMTMTQINTHVPLGHN NHDQCGQNNDEASSSRVLIPTQLDGHGKHNTEPHIHLDGCEEQNTEVSSCLNIRGKHG EQNAEGSSRLNMHGEHGEQNAEGSSRLDRQPIDPLQIQDENMFQYLCDIGAFSFPPLE NMDTPLSALSSGTHGTSSHSTQQLSFTEMLMGDYPLSNFNDSAGLARPNMEDSSEYPS MARSGANDNLNPISNDAAKMHVGTHSELPSTANDEWAHMAITNFDTNNGNNSNHASDK AAEVYRAPSQQPLVWCHVNMPATPVPTGTESVGRTTITAIAEGESSNSITPAHTAKKK NVHASPAQILNRVLTAMPMTPSKAQTQPKSNATFSETSAGHLHELNSKQNPPINVVNK GSSKRKANLQDGSGSTQNDRVAKKVVTSDELAKHEAERLKVAGKRVSKKRVRE JR316_0001587 MGRKSKRSRLVEGDLDAAQYVTVQKVRKVDQDGNINVERIVQPV QNCRAEYKRKQTGARDHDEWEYHDNGVDDSKTVTETPHKKRVDEFLGALLSREALPQD EQFCQSCQMESIAVWRCKDCSLGCTMYWKCMRHAHIKEPFHRIEFWNGKSFRTAELWE VGVAVIVPHYTGIRMCNNLQLQQRYLEENERVKDDAEQHSLRQGMPRGNTTDADISQD CEVNSEQHKPRQHYAREEEISQEALQDQRFFTYLDSLRSNPNAEPIEEPDDNAKVGDE DGDEDGELDAQGDAIPTWLNHRTAQENRVRVLHTNGIHQISLVTCSCNGINNIPCDLI ACRLWPTSFIRIRTLFSAHLLDNFRLANLELHASAYQFYHLLRRVTSPMNPAGVVDLY NEFCRMTRLWRWTKKLKWAGYAGHNGKKVSEVENGELANYCPACPQPGVNLPNNWEDD PNRFVYRRVLMADRNFKADHVQPKKPSQDVWLSEGSGMIPKREEYHTFLKNTIKKLTV CTAHPK JR316_0001588 MLDDHATDSNHKKALNMPKDLCRRYVKAIENHDATEKYFAEVSQ VVSSDLINLWTQQITQAEAQRLTKPQVMDIYAAKGRGQDSDSQNVDSDVAGSEDPIEA YMLFALVVEEKEIEIRLSVRQLTRSPRHADPHKIQMLREKLKLLIGELERLQDVAGIV EENSTRQESIVEVLDWADECSPEEVVEDMQSTLTSPSIELIEDHKICLPSHGNASQRL VPYELKAQIAQAKSHLHKIRNLIAEKSFQYSDVIRKAPRKGVRTRSRAKVDEMNHRIT YYSQLYTECRARLVVLGADDSTLRKFQVLKKEDIKTSTAILDPNTPGSTRVQLSWIWH SANQQRLGPNIVAEGGEFRLANSEGSNINLDETDPETLTEFKRVHWLRARAQFQRWSE EATILDYEMLWTVNYFVHKAEWWRCSAEVHRNGKVLDGDTVQKGRVAYAERQASLWDN LARRADYLFRMTNSSYKKQF JR316_0001589 MSSSPDAANHEPTARPRYRAKDWWPDMNSDMSVSPQQSPSPQKG YLPTSPNARDFVMTQAIDSEGRPRQTPNGTQLGEGKLHGSQNRPDYHNKISYSKALPS RQRMTGKRVNDDLGRPITSASPSEDKLATLYSDLANPISNASPLEDKLAALYVNLGRP VSNSLPSEDKLAELYNGLQGYKNPDSHSATEHPSESPQYQDSSTFKDALALIYSNLSP SINSTTSPCQDDLARLYLNLAPAQANKSNHAKTPSPNQYNSVAGLQDTNSSSPHEDKL EALYTRISGPSNVSEHCKKSALGSPSLNRNASPTTYRNALPTTFTLPSLHENAKPSRS NLITDDLASMYTNLGVHPPGSMSSVAARYAHSPHSPEANNIAGKLADIFSTLKRSPQP SRPDNYDSITMKLPDRPDPFFDLTPLYEY JR316_0001590 MCDTWTKGRNVIIEQAYGGPKITKGACSVVLGEAACLLTRVWLL VDGVMVAKSITLKDKFENLGARLIQDVALKTNKIAGDGTTTATVLARAIYSEGVKNVA AGCNSMDLRRGSQAAVDRVVSFLSAHAKTIMTTAEIAQVATISANGDAHVRGLIAQAM EKVGKEGKTIEDEIEITEGMCFDRGYISLYFITNTKSQRVEFEKPFILLSEKKFLLAV LKSQSLQGYTTMSLLSNSLDRLPDDVQSMYLSIMNRIDSQQGEYPLFAKRAMTWIMNA RRPLTIAELQHALAIREGENSFHARDIPIQDMFSTSSCGIIEILPRSETVRLVHHTAY KFLNNHPEKLIQALHTFLGKACISYLTAFRFTMLDKLSYASFEALDAPLLDYAYQNWT FHVGKCDAESYPTEILVDFVLHTPNYPLFDSRIGFSWITPGHVIAYYGLRVPFCWEDS CNSRTTKRHTPLTLAALNGCTTVVKSLLESTCIDVNVQTNSGDTALILACKEGHHLIV NMLLLHKDINLNLWNKRGLTALMYSSILNRISIIRTLVSMRKINPNIQDVRGTTALMH ASHIGNIDTVRALLACSNIDINATDLNGRTSLLYACLKDCTDVARLLLQHPSIDVNSQ DDNGHTALLLAATKNSLQIFESLLGVPGLDPDLRDSNGLTALSVAIIRGHNSIAQALF MISKFRMEISGRQSLTALVRATVEGYVPIIDILFTSKIINPNVYIIGSEQLYELIRCQ LRYLDTRHVEHAEGMTPLMLASSAGQKEVVKRLLTIPGIDVNLGNNPGSTLVEYNRGS NDHPPTMDSFPNGMDLRNTCFECSGLTALMFAASHGHVDVLQSLLAAPDINVNGRDSY KAMALMVTAPQWTSFFVFHRWM JR316_0001591 MWCTTVVEALLSCNCIDVNAQTNSGDTALILACKEGHKFTANLI LLRKDNNPNLCNKRGLTALMYSAILGHVDIIKALISTRKVNPNSQDVRGTTALMNASH IGDIDTVCALLASPNIDINLTDLNGRTALLYACLKDRTAVASLLIGTPGIKVNCQDEN GHTALLIAAAKNSLPIVKELLGVPNLDPDLKESHGLTALSVSVLRGHNDIVKALLPIS KIQLDISHHKGTMAFVRATLQGHVPIIDILLTSRHINPNVFVTGSEQLYKLFRDQLRY SEERHRIEYAEGMTPLMLASCAGHKEVVKRLLAVPGVNVNLGNQPSYTLASYVGGSYE HSIMDPLLTPVDLKHTCFEGSGITALMFAAGHGHIDVVEHLLTLPEINVNGRDRDGYT ALIYATLMNYPSSGDTGRCPSYIIHSSYHGHLGVVKRLLSVPDINVNTKTTNGFTALI FASRNGYSSIVAEILRFPEVDVNIQNRFGDTALIWAAYQGHLDVVKHLLSVPGVNVNT KNTDGFTALNYALANGYGSIVDAMLGSQKVDINIKTAYGNTPLMIASCNDHLDVVKRL LSSQEINVNAQNTDGFTALIAASANGHRSVVDALLGFQKVDVNIQTNLGDTALMLAAY GGHLDVVKRLLSVPPLNVNAQNTDGLTALINASTDGYCSIVDALIGSQNVNVNIQTNN DETALTLAAYRGHVNVVKSLLSFPDTNVNIQNIDGCTALMYAARDGYCSIVDAILGFP KVDINIQDKYGNTALTRASVNGHIDIVKSLLTFPAMDINLRDINGYTALEMARTTRHQ SIVDILFSRPEVSDERTALVLYQPIIKPQTISLSHYAYFRQKVQLLGINSFSLVSRSR GIYNMCTYR JR316_0001592 MDRGVLSSWRFMGTNNDEESFTSQLLADPEIPTLFELDDGDDED INLYEELDTSFHDQPRPRAEDNDGIPFKVIIHTSGVHYLPVRTCTCRSAMLPLDLQYL EMGLFATSFQNIRTLFTLEVLEDFRVTNLECKTSGYQYYQKLRRITSPSFPKQVLNRY RELRRLSRQYRNLILHKIHGQGHSEQALKAYMELKYPQQGSEMHPDQEPFGSITPPEP GQTRNNSSSRQSEPNEDSERNDTTSRLEVDPGDSDPNNMSPEPPSRNEPDKRGSLTLF CPACPQPGVNLPDDWVLEADSYVADGNFKADHLNQKNEGDDVWLSVGEGFMTDPGPYK EHIKEAISLAPRYKREPTCHNYHAQKAENRVSPGKRVRGIGAHACARHGCFCPNSVVD FDKGEKQMHMDWSLTQARETTNTKGITRHLEIYDINCQYCVNLMKRLTDSTKMHWPPS VKITFAIGLFHVHGHKSECLYNYASTYIPGVGIIDGEILEPLWSVLNDTSRSTRSATT AHRAEVLDDHMGDSNWKKTINMAATIAAKYKRAGEQSGITEAFYRNLTEQQPPELVDT WEQEIKQAEFDRDQGVSDAMSQVMASKVKTAAGRQEIELHLSNMELTSSGATGKAAWI SSGLKLEQAQLELRSHVRKLGTHPSTAQQLDLVNKRRSMRTRVEAFSRTALTFLGEEA LESIQGVNTPVLDDEVSDDEIADIGNVNITRADPERQPLPFPSALLDDYFRDLEEGMA HQLKGLQKLELRIRQGHAEDCLEAVRSALIQLSWQYKYQVRMADSVYTGTRAWDGVKL LNASWKLHKKIYNANRIAMIRIAGHSEEDIMQIRREFPVLYDHDCKHSAAISDPNVRG GSSDRLSWIWRSRQGLNADNQLYVNEFFRLNWLRARAQRNRWKEELSLTNKEMEWTVR FYVHMAKTWKERHEIVPDRLAGHRAFCQKQIAMWNDLGRAADVKFRKINGNYPSTTMS LPRIFNPPTEDEHYLLSYDERRALAKLHVHLCGARIRTGYRLLLSSASSVPPDTLARW LSLLEREACWSAEEDNDILKSTKPWGYWWEPGHKADEDWKVSDVTIESQVREFWNKIV LPRYAEELKLSKKGGPSESAAQTHSAAPAAAQHSERPTPGANYPDQPLADAPHPISSI SSALNRQKSSGSSKKTAPQGSASKARDSFPSGPQASKQPSGSRSAAPPVANTRDHMSP IAISDHRPPYCPKCGQPIFTRVMRSLANLRLNLADMRKTADEAVAHFSDLVGRYSIIE NIAYEYGRPPFENNFSLPDEYKLQHPEHPKSDGWNARLSEMQYNALSQMNDASFCING IFYSFVALKELPFHPPWLYSTPISRVVNVQTAPETAAESSDPNVIPVKPFAVSASSSS TNEVFVLDPRASLNNYRENNDDCASSSSSGTSVPADYLEQLAEQIEDEEDFEEFSDVM EDSEVSDDETQSHNDWSYEDEDQDDDQDSSYNLDNNFNTSQ JR316_0001593 MPPQPPPSNTSDNSGRRPSVPPPGGTVGPDIPPDEEQLWTAREM RILRGNVQNYKDAPRLSKSDFIRNTIVPLIKATWDHKYSEVAMRADKALFNEWKAKKD RLFNWFANHASTPRNTKLDGMHGRATFQSVFREKKAAEIEEEVQLLSGNARRGSPQWI KFYQQARKRVESRLTIAEREEYARILEEWKKKGFSKTLKAKTAKRQGKKILHQMDRIK WLRMGMRSITFEGHYDLDGKIEYSMTQTHDLGLDDPRIPSFGQLFPDELKSFRRAFVK YLVKVSEIENGVSTPAVPAGTFLEKDLKFNSNGFPLVPSPIYNSKGRETNAIQKTIIR IYMNRVYALAKDRSGSRIPWDSVEKHFGEMIDPEYWPSSIPFTDPSRLRVDDTAALLR HWRQRQSRGLIPFKSPNSAYNPSTKALDYLKESL JR316_0001594 MASTSSASLKTDVEHWENMARSSIDAGEKIFGSRIEVLWTELAK VFPLHTRNPKAYRLFDYIGPIINKACRDGSDNQGRTVREFVVDFAELRKQMENFDKYA RESKKRKEKEGKSKTKANEMEEKEQEREREKEKRRQEKEEKEKKEREEKEREAKRERE REREREEKERERQQRAKEEKEKRQREKEEKEKRQREREEKEKRQREREEKEKRERERE REREREREEREKRQREREEREREREEKEKRERQREREEREREREREREEMKTHPKKRS RTEKKSKPTVDSSAEGSGADTMRPSKVDKGKGKAPPPTVISSGSESEPRVRKSARKTP AKPETSAPPKASSSRKKPASKSKSTIGTDTDRSEDEGEGEGEGEDSEEEGGEDNEAPL KKARRRSEAEDQKKNLVTVTPSCKACQARKRRCKMERGQTIVGFATEGSTLIPQYSRA CVLCRRNKTKCDLFPGRSVKPPGMTLTTPIVEYTEILRAEKTAGSDLPGQGKKGELPV PKDVGELLVQLYAKLGEVGEENAALHSSLTSLHARVTSLTQLSERQKAQMESMEKVMK SMESKLGEMRLEGKHASPTPRPPSPSPAPPSPPPASPAPPSPAPPSPPPASPPPASPA PPRFSSLASSNSPSSPPLPPPEPISPPLQNREVGSSAPPSPPGPMSPPRQNREVGSTA GSNLPPSPGHEEPPSPMPPAPDHLPKDVEMDKINEDHRSSDLSSPEPSDDEAPAEKVK GKAKQKGKEKVDPKVFNAATRTRAGRSGKRKAAEEPETLPSPKKPRGRASKK JR316_0001595 MPTQSNPDSSDHKHSTPTIYAIDPNNFPEISPPSATNTSDEESS GSDESSDDGSGSNDSPDDGSGDNKSSGTGSGDDESSNNGSESADEQTIPLNDKGKGKQ KVNLAVNDNSFVPPPDFDSSSEDAQSVVAMQTSRSSAQFLAPKPSATISSAITAASSS TELQINEPTAEETPTVPTITPIISYTSVVDSIILESRPMVSTERKGGHYSPPPEACHL LLRNPYFRTYGRPDPMGSYPSGSPPTAKEIEYDEVKERTSYFNPPFLFNYTEQQLRQS HDKIKHTRLGDNSYLNKATTLKNLTLNQLQTQILYSNALSEIDDGIAIVENDLETLIK YSPA JR316_0001596 MDVWSKKYPVAGWEAAIVIEYLMRFCLADVSGSEAVLFLYCRHN EAWNISNYLGSLISQVLTMYRHLPSVTEDVHNLYDVHRTQRSRPSKTELVTILYTMLI CFKNGRLLLDGLDELPDREQIELMSIFRGLPVSLLVTSRKIGFEVFDFPQDTIHMNIG DQNHEDIRLFLHDAIPRTASVSRILRRNKGFLEEICDKILTISSGMFLLATLKSQSLQ GYTTMTSLSNSLDGLPDDVQSMYLSLMGRINSQKGEYPSFAKRAITWIMYARRPLTIA ELEHALAMREEGSTFNDRDIPAQDTLITSSCGLIEIQPISQTVRLVHHTAYEFLNDHP ENLIQSPHAFIAKTCISYLTAFHFTTLEKLPYAAFEALVKSHNAPLLEYAYQNWTVHI GNCDAESYPTEAVHNFVVQTPNFPLFDPRIGFNWFNPGHVIAYYDLRVPFFWDDFCNV RTAKKYTPLTIAALNGCTHIGQLYNYVPYDIFKNDELT JR316_0001597 MNLEDGLVARSNHLGTIEDIDDTIVHHQAALKLLSPDQTDNNAV FFALGISFLYRYKKLGLIKDLQESILNFRKSVALCAPGHPQRTLSLRNLALALQDSYK QQGDIDAIQEAITLHREALSGHPSGHPNRPASLNHLANALRTLYRQQGATKDLEESIS HTRESLGLCPIGHPDRSQSLHSLASALQSRYELNGSMEDLEESITAHREALSLRLPGH PERQKSLNNLAVVVMHHYDQISTAEDLEEAISLHRESLVLLPPGHPDRSVPLNNLANT LKIRYVGYGEVKDLEEAISCHREALALCPPGHPSRPKSLNNLATVIQLRSVQQDGSID MDGLKEVIFLHRQALNLRPNGHPDRPGSLNNLAYVLRTAYEQQGNLEHLEEAIVLHRE ALDHFTSGHPRRSLALQSMACVLASRHKELHQEQDLEEAFQLYQEASEDPTSFLQNRV TASVTWMKQAFYFRHNTLLSACKSTMHLLNRSLIVHGDIERQQQFLATAKVPKYLASD AAAAVIDTGKLEEAVELLEQGRALLWSKVASYKDPLEELRRVEGTIGLADRLGSINSQ LEGILLKPEGSTLEGDVMVSSAPGLDSQVRKHRILQEEWEATVEEIRQIEGFENFLRA PPFKMLQTAAAEGPVILVNISKYRCDAIILFSNRTPTLVPLSYIRREDLIEQASKLSF PREIIRTVRELWSTIVSPVVQRLKEMGVREGERIWWCPTSELCALPLHAAGPYRPGEK NLPDIYISSYTPTLSALIKARSNLNQKLNIPKLLVVGQPGHHLTRVHDEVNIIRQHGE HVNVLMESKATRNSVLALLKQYSWVHFACHGRLGSKEHPFRASFELHGKPLTLLSLMR AKLPNAELAFLSACHSAAGDTRTPDESIHLSAALQFCGFRSVVGTMWEMNDEDGPTIA QAFYKDMFRDGTMANFKDSAKALNSAIRTMRKNGIPLDRWIMFIHIGA JR316_0001598 MLTQAQDRPNGVSSGAGINAFEGAVFEDSEGSLFATTAGSVFIY DHNYAHGFSPVRLRNQLARDSGGMLCRYTHPENTVHNRSDPGSSSSLVQQQQQYQMHT PRGPITAFKQAHFRNHKASKQLVSEGDIYIAHAPNRACCSDVKGGDQVEGALVQTHKQ AIEGWSGTPLLDISPHSSSLTHSQMQIQPYSNPYQHQFLQPAQDIATESGHAQSAPSN VQTTSHVPNGPHGQITPYYGSSNVQSTAYVQNTAHGPCIPHTQSTSHHTPLHVQSASH SQTNTNTDANTANTNPSSPNNNTNTNIANTNPSTDVANMRPPSPPPTPSSSPSPSMSM SISTPRSRSEPAMLRESLNKQSLSNKPDRVLFSLHRNDKTADLYKIVQVEIRYDKDNN NIPYSILSFRLQRGDDSEKQCTAVRLRGKFVHDTWHYPKLQIKNICCSPEDGPASLAE MEVQKTSQTTAEATLGLSGLTPSVKGRLNRMSSQQTTVLAGQHARGEVANEESEFVVN LSVDAAAAAETKGAGMSVVDPLMFALLLRLGEHRQPMKLELELEVTYRSRRGAWKTLN KTHTEVYMGHERFDILKV JR316_0001600 MKNSTFNSESRYSSRQRSPSASPSYHSTASRGEPLFNHSNITTD RETTPSSDEFSKLVSGVNLRLLNVGSVARDHLASERTYLAYVRTSLALSSVGVALVQF LQLGHTTKAFAEPLGTILICVGLIVLLIGTRRFFLVQRSLVNGYYPVSSLEMAFISFA LGSLVTATFAIILSGRE JR316_0001601 MVPEEGPQSSEEGSGLHSPVFGAPYTTHGDSFRYQHDQLDVHHS AAMIPPQYDYTLNAQQPPILDTLELPNSSARHVTFPSHYTVPPRNHIGIDFSAQSPVN SRIPPAVHGGPPVDYRYSPTTERRLPGGSSHDHSYNRPSGNPSLLPMESDHRASLVPT AARSDTSPTAEASTSSRETRKEISSVVIACRQCRGRKIRCDSTRPVCNNCVRRSNDCQ YDLVPKRRGPDKRPGTRQRSCKKRTADGSDPPPPKRKRPNTTERSEPPDLPPSRVKEN MPDPKRPSPTSRHLDRHPQEPHYHLQQPHSGQAPPSPTDLRIPADQNAHFKRLYRDDE RLRIQPAFILSVLAMSTLMKSSSIEDGASGMRIAMHYANDAHSAYEEAVRTGHVDASL AEAALILALFESSAYPHHSSGRAMNSLLNLDQLIKSLSLTMHDQGDRDVSIFSRDSVP MVLVDGGSGDPYDDRKCSCILPGSNDTPDIYSNHPYVLPWASHWTPKEIYDEEVRRVC WSALSLVSEYVAQCQAFNDEPPQFFLSDPSNFGLLFPNEAYDRHSPNYRASNSLSPKE SVWALYCRSMLLWNFCNRFRTPSQEEERAEQAHEAFLEVQAIEDSLNTHRCNLDTTLI YTCREYIHNTRMLVAQALRSFHGLETGKTTPGPIFKRKQAEDWLFYQDQVILRVNNVI HHLQGPDGFQLTRRPFRINWFINQLAM JR316_0001602 MAQPPPKRLNISDAYTIPQKHKAFSVTRCDLRIVATTVVLLFAT WYAFKGDKHLPQIYALCSLDGRKIYTVDSSNSVVQCVLIHKSHVAATGDLDDIRKNAI DTVKTHSVAVRYLPRGSIMIPGISDSHCHILEYGASRQIPLSTGKTLKETVSLVRDYV VKNPDIEHDRSRVVEGWGWDHASWDVERWPSWEDLEADPQVAGRPVILQSRDGHALWV SKDIIKDNAPYPDDIEGGFIFRDEEGNPTGIFMDSAQDLIKRRPPGKEELERRFRTTV GEALAIRVYGMSFFDQHGQYWGDVHKPVMASGNGRLSAKSVKIFADGALRSGGAALYE PYSDNPSTRGVMRITAESLNKVIPRFLKDGWQVNVHAIGDRANGIVLDAFEASLKGVN VSALRPRLEHAQILTKNDMMRLGKLGVIASIQPTHAISDMWFAEDRLGSERVKGLYAF RSILESGARITLGSDFPVEEINPLKGFYAAVTRLTTEGTSPHGPGGWFPEQRLTRIEA LRGMTIDPAYASFTEDTLGSLVPGKRADLVVLSQDIMTIATSSIMKTSVIATFLDGRP VYGKI JR316_0001603 MAQRVVVDGSQPRPQPGQLVHSRREIATFVNDVRQFSLYVQALQ IYYDRVRTDVVSHWQIGGIHGQPYVDWNGTPSGGRGYCVHRTPLFPTWHRPYIVLFEQ EVQKIAREIAAAYTHDRPAWEEAAAALRQPYWGWDNIATVVPPLQVTTSPTVNIVKAH SPTPVSVPNPFLTYAYPAGANSVFSPPFNIWPRTTRHPDASGNSQPALLRAALEAVGP QIVNNTQRLMSITTWDAFTLGSAGTTGLEGIHDTIHVQTGGQNGNMAFVPVAAFDPIF YLHHAQVDRVIDLWYSRHRVWTANTDDLLPFRRTQTAYWKSPEIIDNSGVFNYSYGGI VNVQSESGEGAAVDEQSAASMGTNLEWSVRVECKEYEIGGSFSVYVFMSNEVPSNQAE WLFHPTFAGTFDVFANPHPEECANCSAHADETIKGFIHINKKYLERSKKATLDPAVVI PYLKEHISWAVVKANGEVADIHKFSSLKVTVICMPLTLTDGAHYPVEGHPKIYPEITR GRVGGSELE JR316_0001604 MANRVVVEGTLPKAGLGQVVPPRREISALVKDIYQFSLYVQALQ EIYDKPANDVVSYWQISGIHGEPYVEWNGTLNVPRSPTDRGFCVHGTPLFPTWHRPYI VLFEQEVQRIARRIAATYTHDTDRWNIEAAFLRQPYWGWDQIATVVPPPEVISAPMVS IVKPDSPAEVLVPNPFLTYTYPAGANAVFGAPFNAWPRTARYPDGLGISQPAKLQAAL QALGPQIVNNTQRLMSITTWDAFALGDGTTSGLESIHDTIHNHTGGPNGNMTFIPVAS FDPIFYLHHAQVDRVIALWYSRHRVWTPNAADLLPFRRTQTEYWKSPEIIQTNTVFNY VYLGIPNAVQSESSEAGVADYQSEASSTTELEWSVRVECEKYEVGGSFSLYVFMSKEV PSNHTEWLFHPSFAGTFDVFANPNPEKCANCTAHADQTIKGFIHINKKYLERSKKKTL DPEVVIPYLKEHISWGVIKANGEVADIKKFTTLNVTVICTPLTFSDGAKYPTEGRPKV YPEITRGRVGGHRDDQEN JR316_0001605 MEPHRILVEGVMPRLPAGQNPPPRLEISTFVEHIRQFSLYVQAL QQIYDQHKEDVTSYWQIAGIHGQPYVEWNGTSSENGRGFCAHNTEIFPTWHRPYLALF EQEIQRHARNIAATYTHDRPAWEAIAAELRQPYWGWDKVETMTLPAQVTTSPTVEIIK PDNLARVSVPNPFLTYAYPAGENSVFAYPFNVWPRTARYPDASGKSQPILLNKSLKSI GSQVENNVKRLFSITNWNEFVLGSETTVGLEFIHGTMHFHSGGPNGNMSHLQVSAFDP IFYLHHAQVDRMVDLWHSVHKDWTKDTKDLLPFLRTQTDYWQSPEIIKPGDVFNYTYD NDLSVSGESLAEDKQNTDIVSTEVQWSVRVECKMYEVGGSFSVYVFMSKEVPSDHPEW LFHPSFAGTFDVFANPEPEECANCTAHAGDIIKGFVHINQKLETLNLDSLDPENVIPY LKEHISWGVLKSNGEVFDLQRFTSLKVTVICTPITLTVGAKYPKEGQPKIYPEVTRGR VGGYRDGA JR316_0001606 MSKKSTKATKEFRQYETGDPVLGKIRGYPPWPGVVVDPASAPPA VQSEHPPGKKATFHCVLFFPTGDYAWLHAKDMSALKPHEIDAFLADETKKRNGELREG YRIARDPKAWMLKRLEAAQAMQEAEENAQVDQLESEGAEGGEGVENGVDGEGEGGKKK GMAGKKRKRERESEAGEGVSKPAKKSAKAKKDSAEPVSSSTTPAGAAKKSSANTTNNN NANASSVKSGGKGRKNGAKSKMNVESEDDAEGAEVDAADGMGGGGGKAKAKGDGVGSG SSYKKETEKPSPPPAKKARRDKEEDADDSKTGDPLSMKVRDWRHKLQKTFLSAKAVAK PEAMAEIDQLFTTVETYEEMTIEQLQIGKVMRHIAALPDDKMPPRDSEYKFRARAKGL VDKWHAILNANRGAAGAGAGAEEGGKEVGAGAGEKKEEKEKKEKEKGGRGVNGKDTKE KEKEKEKEKESKEQDAEGEQDVEMGDAEGEPEHEHEGEAVAVAEQPAPTTAAASAEEG TVDAAKMDVEEESNKNQEEAKKNKEEAEGQGGEEAVTKTAKGLDLNGDGTAASTAAAA AAPTAAAAAGEDEQDAHADADADAPMAVDA JR316_0001607 MVNGEFSLSMSCVYKQHIDIGSLFNNSQVRGGLGKSTVNPSIKA GSKHLEKLSPSFTDDLLNNLYFLQLLLTWLIVSLSKVLNLGHRLVNSPIHGLKYPHLS KIFANSRYMYKHFKFFTTGIKATLHPIGKFRAYMACLMLTGTAHQVVDAQEIQKIARE LAQAYTHNRPLWEEAAAALRQPYWGWDNTATMVPPLHITTYPTLMIVKARSTAFVRVP NPFLTYTYPKNGNAGFPPDFNVWPRTTRYPDASGNSQPDKLRNVLSIVGPQIHENFRR LFSLRTWKEFTSGGLGTSGLEAIHGTIHVRTGGPGGNMGQVPVADLLPFRRTQTDYWK SPDIIRTGDVFNYSYDRTVNASQSESSEGVATSDENTDPERTELEWSVRVECKQYEVG GSFSVYVFISNETPTNHHVEWLSHETVAGTFDVFANLNPEECANCSARVDQEVKGFVH INQKYLERSNATLSPEDVIPYLKENISWAVVSANGEVVDLQKFPSLKVTVISTPLTLS EGAKYPTEGLPTHYPEVTRGRLGGHRDD JR316_0001608 MATRYVLPYFLEDKTGRLDGSEFVDLHDRIHLVYKRKSRDASRI VYELYDITMNTFEAFHVPVVTLCFGADHSLGSVCFAPGSAGGGEQWMHMGQYLSQVNS IAGSRLRRFFASDGNEYRWGYRLAEGNEWTCTNSSGTIVSHYNLKSPGEPTYPGSSGC MLTVEEDYGHLACEMLATVMIMRHIVEYDIS JR316_0001609 MPIILSSFITIGIAHLLFALPLSVLISSSAEDIRTMGNDEAMKF LQGGLFNGSNFQNFKDAMFIASQGNVQVSTTDTDATAVIRKLADWLTPLNFRAIHRDA LSKSIHGTGEWLIKSSQFSLWVEHPNSSLWIEGIPGAGKTVLMSIVIDYLEHQLCSDN ETVVYIYCRHDDERSITELLASLVKQLIENTDMNSPVFALVHDIYVTHKKRATLPNKH EFLALLHKAFTLFNKVYIVLDALDEAPNIHQDLISTLAGLPASFLFSSRPMQLIDLPK DTIIVSIGDHNQDDIEVFVQSKLRENSHISRVLRGHEEHVDDICTKIHKTSDGMFLLA ALQIESLRGCGTFKKLSKALDLLPADLDSTYRSALSRIDNQEGDFPILAKRAITWLIY AHRPLTAAELMVALAIEDIDMPFDHDNIPPQDLIVAVSCGVIEIQQGSGVIRLVHYTA YDFFRKHAESLVPSPHAYISTSCIIYLTALGFTKGKISTITQFWNFVRQGRLIDYAYR NWIFHARQCGDESFPLEAVSKFVLETARYPVLYNDWDMELFKPCHVVAYYGLPIPLPW NDFCRSRTSDYHTPLTLAATNGVDTIVNSLLLCPDIDINAQTHSGETALMLASANGHH SIVLALLACDNIAVNLSNKLGYTALIQAIRNGHHATVDCLLAWKGININGQVGNAMTP LMYACMGDEQDIAIKLLSFDGIDVNVRDGRGDTVISCAIQRGHQSIIDTVLSLPGIDV NPTNLRGDTPLIIASERGNIAVVKRFISLRHININHQNVYGHTALMQAASQNHNAIVT TLLSSLEIDVNLKDYSGRTALMHATQFGRCSAVAALLCNRHVDANVQTQEGYTALMLA SGSSEQNISTVKQLLCFEGIDVNLRSIYGETALMRASSRGHTEIVGLLLSFPGIRIDM EDKRGRTALFLACQYGHRAVVDSLLQFSKRHNITIGKLKTIDGATPLSLACKDGHSDS GIMKLLDILPCLRDIDINLDYSEGETALMHMCKHGYENTVKVLLSHPSVAVNLQNSNG ETALMLACRKGRIGIVTALLSVPGIDVNLKNNHGSTALMYASWYGANEVVDALLSLPA IDTNACNNFGDTALSYAITYNHKIVVDAIQKHTSDDNCSPKKDT JR316_0001610 MVNVAARLPFNLHNKKSKHSSFSRSGTHLERSTSTSTPSTSSPS RSASTSTGTSRSTRNVHRPSLNFTPYSTGNAHGNVGAPLTPATPVFPASVHGGMPSRS SSRGSRDTSLHDHDFDDPDRPILNVRLVRGVPFAPSHSSSSAAAARGRGRGRGDALHM DGSRLRGRPKRKDMEGSPDLRQDHEAGQALAPAHEPSRSSSRSSGASTSSSSPSPSLA SPSPARKFTTAPESDTEPAERPTLYISPVSMSGVGFKLQDAGPLIVSWGD JR316_0001611 MAQHVVVEGALPRPPPTQLAHPRLEIATFVNDIRQFSLYVQALQ IYYNRDRTDVVSHWQIGGIHGQPYVDWNGTPSFGTGYCVHGTPLFPTWHRPYIVLFEQ EIQRIARELAATYTYDRPAWETAAAALRQPYWGWDKVATMVPPLQVTTLPTVDILKPD SPATVAVENPFLKYTYPAGANSVLIAPFNTWPSTTRYPDAFGNSQPDQLRNALQAVGP QIEYNTQRLMSIGTWNEFTLGSSGTDGLESIHDTIHIRTGGSLGNMQYVETAAFDPIF YLHHAQVDRVIDLWHRSHNVWTPDAADLLPFRRTQNAYWKSPEIIDNSQVFNYSYGNA INLSQSDYSEGVAAKAIRDTEREWSVRVECKKYEVGESFSVYIFLADKVPSNHKEWLF NPAFAGSFDAFVNTNPKQCANCTAHADSIIKGFVHINKKYLERSRKASLDPDVVIPYL KDHISWGANGEVVDLHKFPSLEVTVLCTPLTFSSGAEYPSEGKPKIYPDVTHGRIGGS RLAHAQE JR316_0001612 MGAESIDRLTRPGLVPDLGHSLYYNTTSSSVTSNSAHAVATECH VKSKNTELDIAVTIARDKRKRCLSGSGYSDGINSQSAVDESEEETVFKRSRTSRGSDF NTDTASSSKSVTKSTSKSESQVAPMDPYNIPDDVFLEIFGHLSSPADLAAIVRVCRRF KVLACKPLLRKLLWIREEATWANLHWWERTVEDEASENESGDMNPLMLPRKVTLGVRF DFGIGYYGFNTTPAKSLYDAIYTQLSLFPSLHTLCLQNTIISLHTYTVLRDIPTLRNL RIVNCTYIRLAASFAEQNAAVAELTSQPSPGCHHLITPRAQSKVQWTGSPTQWAANMT IPRSFPFANLPITHLKLHSLHDGANSQRHGLHPVGLLLASGLEKANVLWTTAVADAWA FMWDSVGGRDSAAVAAAGMLQSIKELNVTIPMLTRDIVDSFVSFVGSCVRTVNGRRRR PRVKLVVQKHNFSDQHIMGVDIPIGGVFSYVGPLAIARSFLDVDVESDSAHGQDMRLR TVVMTEALELPLLLRGLERLPRSIHSLEVRVRTWDVELLFAVRELFRDIKELVVKYGR GELGEDFLVTLGANILFDLPNLTTLKLMVDASCIVTKRNSFSNPNQNTYVINTAPNPQ NVTFYNHPSGANFTGAHFAPAPGAQGQAWHAQNSGAVPFGDMNGDDFDFLDEDGNLLF VDSDHRPSSPSPSAGEDCFESASSPDIKDYLVGWNRYCKQLRCVQFSAGRIWERKFEG DRWRESRG JR316_0001613 MRIFVLLCGISCVALAQDLKGLLTQQSPDVNISVVLPGQPTFAN ATRPFNLRFDIEPAAVAFPTTIQEVSDIVQLGDKVNHQVVARSGGHSYIANGLGGKNG TIIVDLSNFQKITVDDKSGTAVIEMGNRLGDIVTALVAHGRALPHGTCPYVGIGGHAV HGGFGFTSRLWGLTLDAILSIDLVLGNGTITTASKKLNPDLFFAMRGAGSSFGIATAI TVQTFPAPPTATIFSYNWHFTAADAAIAFAKYQNFVLTANLPPEFGAEIVLTPGDVQG NVSVGLAGGWYLPFDSLNATLKPFMDVMPPPRTISFDTGDYLHSAINLAGGSLDTTSA PDGTDTFYAKSLMTPEKEPMSDKALLAWMNTLANEGFNAPVGWFIQAELFGGHNSAIN AVKSTDTAFARRSSLFTIQFYASSHGNVPPYPAGGFTFLDDVVNSIVKNSPANWDYGA YTNYVDDRLPDWQLRYYAENYPRLQHLKDLFDPRGTFTFPTSIQK JR316_0001614 MSPANAKVSTKKTGRKRAHSPEKTDSDKQTKKRVKGVESNNAAV GGESDGQVEPHAVPADVVGAAAPTDRPQAPEATDEAEAPKFIGTFDLYGMDLPFLNSV YLPKGSSTVNPEALYKTILTYQAKSDRTAQLILPDSGPGSGKLDSGVFCDPMEDMPFD IVARNIKEVPKLSFKSVFGRPDAQHGAWQGVGVTASLVIEDGGCGIASSSGSISMHPM WRKVEKNGDILELFEGTLTFRVKYSSMYSRRGHGSGQNETIDFWAVRGRK JR316_0001615 MSAAGLYYILTGKKVNTHLIELSNAIEVHNTHSQTHRVFATVGE HLDTCFNLGAVGTRAAIEHCKPSIHQLRSQLLSFSPLFFMQSGGVDTNAPHNATLENR SISYFTGSENVTVNNSTFTAVSGDYYVLEKGHDTEGLRVLSQTISHGAMFDSAERYPV GKCYPGTREEVQTIILDWINDPDPDEHVLWLFGSAGVGKSSIAQSIAEIARDLGKRYA ASFFFARSVAGRNGATTLFPTLAYQIAIQIPDARDLVNDAVLADPTITTKSLDVQLRT LIIGPLSCLTSISHTPTVIVDGLDECGNSQTQRDVLRLLAKAITEHRVPLRFLVVSRP EYWIRTAFDGDLLNHITKRVSLSDSIDADNDIKAYLEDGFNEIYTNNLDIMASINKPW PPPNVITHLVNEASGQFIYATTILKFVGSSSDFSDPQAQLDMITQPGPTRSSAFGELD NLYSTILSLYPRRDSLLAVLGGLITGSTPKAIELFLGVSSVEFQLVLRAISALVTVKI WDFSPGEPEVDAVYGDRDPEVSFCHLSFREYLQDKSRSSLFWVDTQAYAGKLIDGFSQ SVIECIDGSSQYFDKITIAKLDRMWHAFSTKTIFLVEPSVVYRHIQRLVDKLSQLQDK EHDHKLAFPQYILRHLDYVMNLAHVINNPFDFFDTNPFDTPDLKTLFSTLRLLSKAIA FRCIEYILSEAQNRDLLTNVLLYVLLSHSITLDDLGRMPGCNQRMAKTFLLDYWCLVA CTDIEGSDSDRVYYNLPSVQLYFEESFQGGERTGLHAKGFLVTYRCLKHLLYRYDYNE NFPRIVESRSSLFQDITSIINNFLSDMRFSNVDTGEQFIEYAIESLITFLWEFDWTRL RLVFGHYFTVAVSIANWIDRHLTAKHRADMFESIYPGSVFQLQDVMEHMYDEVFLPTF QVPFFSELSVYDASCIRTVILKPRWAHNGRSLGSVILDEEERWGVDVDNVYIQSYIYT RTGELDGILSRAGYLEHDQDWYTTLLEFYLNTLVKRVYHSLHPEFQSYALLVNSTEVV MGLVHRVDSLTEKMVKALMALYYLSQQAEPKAIWSPTHLFCSEIIKLLQRGDPSSFIS SQEISYLIRWLIAIDQEGPDSCTTIESCNP JR316_0001616 MFTIQFYTSAPGGVPPFPSQGFNLLDDMVDSIVKNNPKGWDYGA YTNYIDDKLQNWQNLYYASHYPKLRSLKDKYDPHDTFEFPLSIQE JR316_0001617 MRPAILRSTSSSLTILWGTAICCILSSIQPAHGDLISDLTSKSN FTVLTPSSPGYANASTAFNLRFTFKPAAVAYPTTPQQISTILSLTTKYNHQAVARSGG HSYIANGLGGKDGVVVVDMSNFKTVTVDSQTKVASIGVGNRLGDVALALNAHGRALPH GTCPYVGVGGHSGHGGYGFTSRKWGLTLDTIQAIDVVLADGTILNGVSNSKHSDLFWA LRGSSSSFGIVTTIHTNTFAAPPSTTSFEYQWDFSVSEAVAATAAFQAYVVSPNLPQE MGSEIVLGAGSSKGRISFGLTGGWYAPADQYDAALQPYLKNLPKPQSATVINGSYIDS VQFFGGIGRLNTTGIPDGHDTFYAKSLMTPESAPMSNKSLTAFYTYLANEGFTANTAS LQN JR316_0001618 MRSHQPLYFGINISPARRSSKNRGCIHPASGDLISELTSSGNIT VLTPASQNYANASTAFNLRFTLNPAAVTFPTTPQQISTILSLTTKYNHQAVARSGGHS YIANGLGGKDGVVVVDMSNFRAVTVDPETNVASIGVGNRLGDVALALNTYGRALPHGT CPYVGLGGHSGHGGYGFTSRKWGLTLDTIVAIDVVLANGAILNGVSNSKFPDLFWALR GSSSSFGIVTTIHTNTFAAPPSTTVFSYRWDLNISEAVSATMAYQSYVVSPDFPQEMG SEIVLRAGSTQGRVSFRLTGGWYAPPEQYDAVIQPYLTQLPSPQTATVHNETYIGSVE FFGGLGRLNTTGIPDAHDTFYAKSLMIPESVPFSNESLTAFYTYLANDAFTANTNWFA EIELYGGTNSAINNVPFDATAFGHRDTMFTMQFYTSAIGGVPPFPVEGFGLLDDMVAT IVDNNPRGREYGAYANYIDNRLEDWQLKYYASHYPKLRNIKRKYDPHDTFDFPLSIQE JR316_0001619 MTNHLSSEAAQVIGLFVEATLYGVYIVSFGFLLFYILFAESPKR RWRWPTGMRAVTLAVAVILAINSTLNLSLGLRRMMQTYVYKTESQGPTWIDLAKPYTV TLQTLIADIFLTYRCWVVYGKIWTVAIFPISLSLGSLALYTWTMVMQTQITLNGSVTV EFKASRILAPLYIAQFALTTALNIYTTSFIVYRIAKMDRAIPHSQSSTTCSAQSGTHL GHDYPDQLKHVSRIVIDSAIIYTAVCVMTFASYKSSVQYITSAVDIIAIGIVFNMIVI RLALERSRAQRCQSKGTLSTLKFDSFPNPQESASSSTDTRSLNTMKFSPGSDADSASN IMETEKDEDRNDV JR316_0001620 MATDFPAAPLPTLDHLGVSSVSLDVDAKKIANDWLASFSAAATQ GDVDAIISLLLPDAFWRDMLALTWNLRTFSGKQKISKFLTDRLGLSKLHDFKIRQELV GLQQPYPDLVWISLMFDFMTGVGGASGIARLVPTPNGEWKAHVVFTNLENLTGFPEKS GPLRDQDPNHGRWESERQREVEFLDKDPTVLIIGAGQSGLEVAARLKVLDVSALIIDK NPRVGDNWRNRYEALCLHDPVWYDHMPFIPFPATWPVYAPAKKLANWLEFYADAMELN VWTSANITETVQDPSTNKWKVTIKRQDGTERKLVVNHIVFCTGLSGPTPNTPVYPGMD TFKGQILHSSQHKRALDHAGKKVIIVGACTSAHDIAVDYYDHGVGGYCEGGPPADIVD RMSASFPHHMAVGLNQRAAKQIADLDKDLLDSLHKVGFRTNMGILDTGFGLLAWSKAG GYYLDTGASKLIADGKIKLKSDSQISHFTETGLKFENGTELAADVVIFATGLGDCKEH VARVCGEEVAKKCKPIWGLDKEGELNGTWKGLGVPGLWYMMGNLALCRFHSTHLTLQI KAIEEGIFGTRYEAD JR316_0001621 MSPSANSTLLEWSVRVRCDEHEIGGSLSVFIFLSNTVPPNPDEW LFERSFAGTFDLFTSSSYGQARGQASGEAYATNIAKGFIHINRKYLELTRQSSLEPEI VVPYLKQHLSWGADGKVVQLERFTSLEVTVLCTPLELPIGADYPIEGEPKVYPEITRG RLGGDKSGA JR316_0001622 MPQEHFRVPLLSSVEDPQMAASPEQHICVCDACGQTIQKAEFQR GNNMWLQWTIIVCLLCTIFNCLVSIDISPPKFNRFIPAIHKGQYNSLKRPSPFIGLEK IQTSTSPRTLINYPQVIAQIDASNPRRIFDDDPKRYMSFTGYVSPEDRRVMVSPSIST IVQFRAIDFGMEKCELKLLIPANRNSSSGLPFLLSIHRLETTQPINTKELSFHNSPRR LTAVANLEFFPNTGVAWQRTFHCAWDDILTFDIGCSDEVGFQSDACSLEWWQNKEEDK PTQGIFMVQHSTV JR316_0001623 MISTRAKSLLAAIALFNVSLAFWNGAKTLVSRDKKEPYSYIGAD FPFVHPSLPISYASLTYQESIWPRFNSTDKNEAAFWRTLTDQPKGMGRIHLGEHKRAL LFTFYHQFHCIFQLQRALQDRTDAIATLEHVNHCFQYLRQTLLCSASDTLEMGDFMKR NFDTDPIGPESVCINWEIVFGDIDQRWDDSRQWLELWN JR316_0001624 MRSLATQHFDLPDSVTNNFLLKDRGTPVAMLLQGRTSHYRIDEP DANEEYSRLLPSGGHLVHYPDSDGNMKEYTVTIFHQLKCLNIVREAYLNDASQPISRF TRHCMTYLRQSLLCNLNIGLENTVNAAASVSRTYNALCFDWTKVYAEAEKNYQNTRGQ STQ JR316_0001625 MTVLVGCVFNQNFLPRSINFDVQVSLILQTMPNPGAFKGARKDF LMTQKEEYAKAVEANHVGETLMNINRRFFKRFPLTMPADYEPTEESLRAVDDDAPEPD VPEPNRETMSEEEFSKVVAEVKARNSRREAISGQIKRWFVYQYNKEHHPKKLSESTLL SLLQKLAGKDTSRPRMKSDVNTWRKSQRNAIDDRYEAEVARALARGEPMKKSNKAADR DRIAREMFAELSKTEQEAWHRKSEEDHAEAIAAYKASWSPSKSPADRQRAINSLVKVM EPILDIASETTGWKLMLVAGGPEPAKGGDLSVITMHSGETPGDIKMNLGQTERHKFKN YMIPLFGTFLHKCYTPEECRSRALPKDDMDGLVPAAALLQNENESTSVHGIAFRVPPT GVSAETENLMAPEVLPEHESASTSARVNDNTRQGDAENPFPFDPLPESPQASPCVSRA VSPVFTRSPARIPTASSSVSVPDVPDVIRNAEQPSNQAGPIVQTVPVATSAAAPPATI PRAQSPSPSVAPTSLQSGAPLQVAPAENSIDTSEPSTASPNNPPVAKKSGKKKAKGAK KSTTSAPPVERPKRKAQPQPSTTPAPPKKRVKPSWHYEDEQGNPIDKYGRRIDAQGNV IPDVTDSAASLGNETAGVASASTTTTTEEHCNQPTVLHCKVEMHTRFSEAGYREPGGA WACGVIGESRKPNGSSGGRMMLPSWDRQEPSIASKNTPASLMNDHQRQCQAQTRVGTE AVPGPDQGGQGWAEIASKNILASLMSDHQEAVCGPDQQGRWAHGYCTREHPNREGAHL IPPRHPNPPRPIHPATTPKPCANNAAAF JR316_0001626 MSSDSPPPTSDPPNASAAAATPSSLSASTPTTQSAAHPSATPSS SSAPTPTTQSATHPSATAPSAAAAPPAQPAPPSAHAASSLVSVPRDNGNAGALPFFGV NFSSSRAPRPSELLQPAITILVVTIGYIVGLFDRADQIDMNLLLRVPGYAIRQFFSWS EAVAYYTERYLAGDVHIVPPSPSTSTGLSSGSSPAPPPPAHVSVPVPPPPITARQALS TSRDPTAVRRTAAPAIAPVRAPEDPNARYGSEFNPIPVGMGDALTRRAVRLARLADNV PSPSSSTPPSNSKTSSVSTAPSKSKGKAPASVSQTSNSKGKNKRPASSTGFRVPKEKL IKMNGSNWYCDPQDPENYIWHSRASPDRNSPSSAPPPSYSDLPPATITGNSESAAGSS SATGSRSSRASTNSANNRTRWRGNPDSPPWPNPPLVSRQGEGSSASWNDLSSASQDAD SQRLTQHQQRILSAAAAARRQRQSLVGSSQDSATIGNSQTLEQSVLFTCDADFFNHGA SHPSLSSLMASADSGTSAPPGSEETPEMPQDDDDEDMM JR316_0001627 MARQRASKAIKTVNREMYLRRRRAYYEANCKRERRKSQERYQRR KSLPDNELALSRQKHREAQARYRERNRFTLKVKAKIYYRKKQNPPKEEEEDPDEEEEW IRLSALAHGITEEEWISLHGRNSYRGGSPVH JR316_0001628 MHTSLLQAFFDLPGHIVAALQDPGFDLRAPRIHTPIASTTYAST PLERPLTEGQQKRVVKRNKAANNTRAKKRQKESKAADAYVRARAHSKLIDKSAPAHCD LQTENIPVSSTGYIALNQGPTSDRAYTLEDLVGEQSEYKFRLIKHTGESTPIVDASNH VIGVIANHPNDPYWQDLQRQASAAIEARRDRCCIPIEDETHRRGAFISLNCGVSHGGG RKMPGNVKNSKPNAEVLAELNAMEPFQRFSSFASSVMYTWANQLYQYYATTLSKLHSK HPKLRRIFPDSIFSAVSYNFGPWTTCFQHKDFANLAFGWCAVTALGDFDYTAGGHLIL WELKIVIEFPPGCTILFPSALITHSNVPIKETERRYSFAQYTAGGIFRWVENNFMTRE RYLAKLTREQRAQDEEANATRWKLGLSLLSTSNNAKTTA JR316_0001629 MSNSDRPSKQSRTGHSSYHDTVPSLNRHDVIHVSEGTIRNIGGV FRATRRFESPQRVAGTWEQVTSWEPPDDEEYALNPDGDFYNTVVDQEVVAEDGTKDTV KRQQSKVSFGKRPTVKHIWKRFFAGPGAVTSAMLHSVQTALYEE JR316_0001630 MALTTKASTYDFYRALEKSTNNTGINLPPSRYPALFRMILQWRH LQMLKWAGRGHSPTGAAGTGEGELAIQCPSCPHPSINLPVGWENAPDEMKFLYMVMIC MDANFRLKNQMVSNWSQDPGLGIGWAYMIPLKGYEEYVKSRLSDKDISTCVGFQALAK ANTKFSIGLRYTGLGLTVCGRSEMIMRVGNLHKGERYANMDYIFASILRTLAVQFVLA SYDIACQWFINLLRRIETQWPDEIKPRSNITLMPAIPKLHEPMHKQQNHELYSYNYMP GVGLSDGECPERVWAPHNVLANATKTQGPGSRHDTLDDHFGFWNWLKYTSMGTTLLRK YKNAVAQRNIQTEGHRGLTDSLEDPRLVPAWEKMCVEWEKDPFPKTTAKNPYYVKETG ASEAEVKKALHDEEAEFLSKGGTLPHKTTPSVFIGMGLDLEEAQRRLKRLATNTSADA TIRQEGTLTEQQNILTTRIRAWEQLVPIYMPGLLQYQTDNPPTEQSTHAEDIVLWLPS MVPAECRETICVAGLADVEQKLRMAHMTDSLNAIRQILKIKSRMIEFKNKNIRGQRGG TRSTSVIDRVHERARFAAGKYRAARKAYFELAGPGNWEQNYRVLADGDIRAYQDPARL GPRKGRRGTLDDEQVAAGMDVENQPEEGDLFLFNEERTKRQESGQTRRTLSWIWTVRT NAADTTSEEEKDDLLRVEWARSRARVMRAKEEVSMLKEEMRRTLVFLDWKAAWWRERK NAISNASSDRLEAISAFAIVQADLQDSLAEHFRNLWRSPLQEATLRAAGSSIAATEPT SVSSTDPASTLTLLGDGDEGDDNGDDDDEYNEEEMFEESGVEELDITANSVAALV JR316_0001631 MASSSFSSNMRRGTTPASLALSTSNLSDNNNTSGISINISNSGA ASTSTSTANQGQRANVSLEEWERNTPLTELQLRSVNRLMKWNEERRERDVPLKFASEP EPGTPTHIAHRLLQKDGSTGSPISRSSTPNATLYRPEHALLHPSQPVQTAQQFYDWYA LIDRSVAHSQEAHYRAHVATLSSHLEVCDLLLDRISEVDTEVDRMMEGWRGVEDGGRS LKDACERLLEERDRLVEIVEDIQGHLEYFQELEHATRMLNHPGESLIFQTDFLYMVER VDICIDFLKSHRHYREAEVYLLRFQQCMTRAMTLIKMNFVGSLRALSSEISKRLSEDP SPTAQHHLLYTRFRSISTRVAPLLGELERRAQAYPDELSALLAECHTAYLATRKALLV PRVMEEIRGLDPGRSELVELTREGCGYLKALCGDEWVLYREFFSTGETALYQYLETLC DLLYDDLRPRILHEPRLTVLCEVCTVLQALMVLDTTSVPASASSATSTTRDSSSSGDS SDSEDESSSQSTQRRRRRRSDELTLPPSPTPSHLSHTHASKPNTARISKRLHIATLLR MVLQDAQTRLFFKAQAVIQSEIRYFVPGKEDVDGWPGILVSTANRQQNPAELSEKQST NQIFKYKDGRNNEETWYPTLRKTVWVLGQLRDFVKPAIFDDIAQEALTFCRLSLIHAS ELIAASTTATTPSPSSPGASNSNRKLDGALFLVRHILILKEVYMSLEEVRMREEGSHS SAVRTPATGMGMGSGMASLGSARALDFGVTETLTNMLSKTTSLLPEGLFASLGVSRGG GADGEGGMRGVKLDIDHALRKACEDTIEACAGPVCAILDTWTDKQNVTVASSARPLPT SIATSSSGSSSSTSGAGNASTGTAAAGTVTPDEDLGGMHTRFAESVQRDLRGNVARIR LYLSDVDVDPNADGRDQDRGEGVHRDGRTARILIDHVLERVGECYERWGEAVLAFAGE NRTQKKVEVMSRPRVREMLRDVASMKYVVLFFFLSSDVKRTSQIDL JR316_0001632 MRCLYLSSLTPITTDTTKISGSVIIMARFYMAFMIIACWVSPLI LASPIAVENRGLDVANAIVARDNDCSFPRRRQDDSTCS JR316_0001633 MAAVFMGHQPPVRVHRTYPRLSSAAFKRLAILILAGWAVKTALS SYSDAVFPNSPFGFRSPESEASSTGGFVVVHPKSSASSTVNYRKGFKGGEQNSLVNIS GQPNNTLISMSPGIGSIIFGPLLDISSNKEYSTQLGTNDTTSLGAPDIHKHFMDITQA SLADVHVVDAAFITKDIAKYITFQTVLATLQRLNYFSVDESTMYDRLDHGVDSLSHRG DPNSWSVVVFAHPTSPFVNTRNNEPFFGQTNNVVIDISPGIGSNIFGPLLDILSNEMN GIQQFSSDATPLCGAAISKASSLLSNLTEAHFMDVSQASNADVYVVDATIPIQYLANL MQFQMTVATQECLNHFTVDESTMHDNLDHGVDSLSLRGDPKSWSVVVFAHPTSPFVYR SSAFSGEHLSVSSPALPVDVGRDEMDGSRQLSNIDWNIYMAFGLGLVALLSLLFRHLQ YTVTKPPAPAPRPTLQYIRYDSLSSFGRMQEQKLDTLFECRFSGCKFDRYIEVWYSKV TMDSVNKFLANGCQYLPEQWGQGFWAILSSILSVIAEFMECFVMLLFLVHSFYGRFEV YFIGVFKIIAVLLLLGGASLYFRQLTTVQRLFNVNGKRRKKLRLSSVLAILSVIVEFM ECFLILLFLVHSYCGQFEGYFIGIFKAINGRPTPLQRQWQVNEETMQTSWYLFHLDVI QASQVDEYGVDPTSPTNYPASLIQIQMTVATQECLNHFTVDESTMHDNLATDHGVDSL SLRGDPKSWSVVVFAHPTSPFVYRSSAFSGEHLSVSSPALPVYIGRDETDGSRQLSNI NWKIYTAFGLGLVALLSLLF JR316_0001634 MSSPSTVYLITGANRPRGVGTITFLLDAFVYATARDPSKAPALD ELKKKYPSRLAIVKWVAADVEGNKALAKEIEERHGRVDTVIGNASLLAGNNRVNDVLV SDLEDHFKANVLGPVVLFQALYNLLKKSKQPRFVPISSLVGSISEPTISLDMRNTPYA SSKATLNWITRKIHYENEWLITFPLSPGGVDTDMFDYSKETDASGALQAVIQTYGEFP TADKVAVLLLNIIDESTREKDGGQFINVDGSRASW JR316_0001635 MLHFPSSVNGIPRRSDSLHLNATQQKSSSHPIFLKVEEELHDAR RVHSDGQEDDLRSALSMVINRVSELSALLSEAYKTQADLEVQLNVAKSNLQLVIANNE MLEDALKRDTTGQSKDVGWRRTSAREAENPRTSLERSQSVDHYVPSSDPSPPPSASTP STQDNRFFKFRFSGNTNTNPRPASRPSTPSGSSSLVSSPQIPGVQTHHLTSPSMPSLS SVRSKELEELSAELEKERKAKKKIAEEKAALEEELESLSQALFEEANKMVATERKMRA ETEDELKEVKLEKEALKSALRLIDVENAHLRESNPLASPKPEVNHSTTSYPRGHSRSS SEVAIKSRPDSLVLEAYPPLPPSPAPDAVRHEDDHPLEANTLLSPPVQSPEDDSQPTP RFRIANMPVQDDVFGSSAWADVPSSTPSSPHSSSHSSQSQSPPLIQSAAMFAAIH JR316_0001636 MANESEPPSTPPVLTDVCDTATADPPPPYPYPSRERRVRTSRRS QHSRLQISQSSSGDTQYPTDDYADEDVLPTETTPFLGTNTHPRYVHQGPASGRPRSHS FTSTMSAAPSLAHTVLSLFQGDEDDTPLRVVGQSDSTVPATSTQQRGTGFFSRAAWKR YFRPIFVKSYYRALFHLAVLNFPYGLIAWVYLFVFTVTGTTLLVALPLGAVLCFFDLL GARAFARGELALQTRFHAPFNHTPPYPPRPIFTRYREPTSTEVEMGHTRQGLVRENSF YKNTYAMFTDPTSYQALFYFIVIKPAITLLISIAIVAIGIPAFVLVLPAPAMLRAVRK VGIWQANVAVEGLYVAVR JR316_0001637 MGPTYLTPNNFTSEPDEVHETLLVEAYTSTLSVPATGEKDDKRM NTLDSMESETDARWAWFHNFYEANAELIAARPRGITSESKNIEESMNTFSEAAQATVK GLQCLGQLHPFIGAAVGAFILVITLDVSRRENERKVLAVKFQMRDLMAVFFELRHFRH SNIAQGHDGVSIAERLKGLMTIIAKDVRSCASDCDAYLKKGLLARTVKATAYETRLAE YVTRFEEYRRKVELAFAMHTSLGVDVANDKLTGIESRLRSIEDRIQDTLALFRRLETV REHDLKKFIEEHGGVKACLSNDESLEELVLRSGEASSRISGRDTSRRSSDLPSIRKRL LKEVAEDIDEAFERNMVLFERKLEMQKRQMEDTVIHESDRVIQTLTAGAHDQILDPDL QKIWKDMSWKGSVKARHFVLALHDYYTDKLSKARKDSPIIEGTGLTSPLHRPPLRSPS SSAFKRQDDKWALAYINAAYVQPILEAVDDDGTGFVSVKEVNTFVKERPDGWSLPHWI AYWAVGWQASISMYKNKIYSLVQTMFQTLEHVLPSNRHAVDEYLFHESFWRIELLLRS TRSANHKVMQDPDLARITDAYATAEEERLQRNLNSVSFELDTPATVSLVTGEGRIERY IFPLLYLLLRRHLKIMMIACRHVIHTEELAAHSESLVSILLSIDYRIQSLEAVFKQTH LDVQGRLGNFAFGIFQLSYGDIKRAPIHNSFGSWVNDDESGSLDVEPLPLQCIKEKVA TLPVSSILRYGIQDAYLATEYFEFEPARLARTAHAIQGTWTGHCSRTEGQDLITYILR LSFRMSPDHSVLIGKGEDFSSTFAFQGRVKQTDLGYDFYFVLTDDSSDLSKRGSGSYN ISTDTIVMSWSDRRKKLHPEEPYYQPIHLRRTPPSLVRYRYTPDRFSEDPAKARWAFV CNAAIHQAQAKLWSRQFFEARFTERKRFVELTTRSLIVSMGLTPQNPLNVSESAELEY LRRELNPSEARFYHALAQFEIQKLPWHPSWGCDWCERRITKSRNLCIHCISEDLSDNI ELCSVCIDKTPSKRGFTHDASHDIIKVEETLHDFYFAKVVESARTVVSRTKGIFRALE SAGLHSDVNHTQRQDAEEAVSEPTCACCNKRVTTPCWACVVCVRDTYICIDCDSRRLR SSPEGPSPGHKLNHPLVRIQDSIVAGQHTSTEQVLSSLQQRVLSMEQKLTAGLAAIDA KVEERMSRLESRVEEQLASMQAKAELRFESMEALMRQMILQTASLPPISVAKSQGSRR PFGPRLTSPR JR316_0001638 MYKMDEEAGTGDVNCIPPPIPVSAAVRESISTIDTTDADEEQGI LEASTYTQFGAAHTHSILDLAFGPTLIQLPFTVPIAVLVLLIDVIVLAFTFAITITHI QISIPSLPQRTPRTRPTHASAQQHPPQPSSSTAQASPSPRSSPLFQRLLQPFIRSPSP APTTAAANASLISSESNTTESVEETSINSSISNEINMAALHYYQHQPTPPHLYQNPTQ TQSPGQTQPSSSSIPPTSTPTSPPSRSERLLRDALIRDELERSSPSAGATAGSVSSSS SAPPSSSRPGHLRRHSHVPTSSPSTFGGSSHSPLAQRDTEEGEQSGRRRESRGQTERR REQYTGSFLFRTAMSNPSAGGVSVSPEPDHEHEHEEGSDMSASLVESLSGSGSGSGRR TGRGTTDASSYYGGDAESGSRGHARSASLSPSPLRRRPGYASPAEQHAAHARWQMQLA LFDQQQLQQQAAAAAGSRSPQQPPPRSPQMSPYSSPRSPRRPSSQPPRDGSKGSPMPM TPHEQVLRSRLERVLEAGRVVQERERARSKSKSKSNSSGGQDREVGAGSDIMSIVSGS SGGGVVEDDSRRGRSGGRPRSSRTSMSRDNEVRDEQGGWPWREKGRGSGGAEDEQPVE PFGFGIGDAEISASPVSLSITSLPKPNSNSPVVSGHNPNLNHSTSRRQSTTPMSHTPM TPSHVPSTPSRAAAFTPGHGRTRSKTGPDTSVPNTPSPRRNTSVATPNRAAAPASRIP VRLDSSKMNGSPASRVGDSRAKRGGEGASRNLDNSRQQREEDGDDEDDELRLLTPPPT PPFTARAFSASHGLSLAGKMPPGSVFGEYCPSPYKSSYPAGVQQPPETPSKASGLGRG LNGTDGPRRPANVPLSSSGLELASEPNATRAKAGRYFPGGSSSSSSASSSDGSEPHSP GDGAAVPFDPTVLDGPSLSSPAVNQLQYLQKTHQYQQSAGSSSAAGARMAPSTRPAFN ARKASERCRAIEGYVSFASVEGLGEPPGAAFGDENEAGANAGSGTAGTGNGGGMLGVA WGGWRRLLGVGGSAAQAQDEGVVL JR316_0001639 MDNTEGRRLFGVNAALIDHREGLRKADTGGKHQKPQIHSDLIST PHTAFSFMDITTINLQDQWISSPRKRKTQQKDIEFFFRSGKNHIPRKARVHRAAMQIK TPRTFLFSKVEAKLTLYQWATIFEEHRCRIQTSLALYSSLFIGAFGSVMINRKATLAF SLFPELDPLRKDWEEESSIEDEVVKSTGLSEKEPYRTVQNPSGETPFYKFNPSSTPST LPHTRSRATSLSSYVTARDATSFINTLSSRYSNLSNTFDGKSSYSVASSRTGETDYGL YYRRMALSLISLNSIVTVKEMKTNLRRSRSWTSTADTWEYAGTS JR316_0001640 MSLEHARDAVDLDSSSENVEAAVAGYALSVALISEVMERVRAEN STAKEENLIRLQNLHDTYGDRMSILCLIHNLQKPEISYQAAILLTANHTDHRYYDLSQ PVSTDSESLTSYSVASSRTDESDYGLFYTRRALSHTSEEY JR316_0001641 MSNSLCVIYEDQESHRYEATQKCQESDRYEVAQEHPVSQSSSAV VEAPILVGNDLSEPRLLGYLHILTVSDPFIFAQVSDPLSGSLKGELLPSRFIDFLNTQ WTPLADFVQTNIDLISTKYPLYSPMEIKSISSYLKTILSTPKTVDLEISESKHDTAFN FKYSQLTPTRKWKEEHKDLNLFLCYGKIQSVCMIFESHYTLDGGEELCIADYLRSLIT NLNIDLQECGAFLGNYYDQHMTRFLPQATGYESQLCSWAVRLEHHRIRIQTTLALYSF LYLGSSGNIFIDGNITLAFGIFPELDPFCKGLTKHDKYAFDSRIFKIKSAAELDKKYC TRS JR316_0001642 MLSLVKYLLLLVLPVSYLLRRRFPSLSFKLSSSTPVQIPAEENP EKPLKTIMQAPRDDLAPPKDDPFTTEELKQYDGSDPTKPIYVAIKGTVFDVSSKKDVY GPGRSYSVFSGKDGSRGLGMSSLKPEDAVADYSTLDAKDMKVLDDWHAFFSKRYNIVG RVTDGPVIESQ JR316_0001643 MTTLNVTNLPSELQSSPSLVSSSSNNLSNTSHPTLLNVQHDHNY PPIPPYSFHPPNDIRISITAPSPEQEADIGDSDLQRYMTAHDRHGNIGVSRLVADDLL SLRSSQGPPETLPAYDSNVPPSYRRPIANAPKEPQTLAMHFFKFGFFFPAFWVFGALM LITPLRSDPLSPGAQLSVWPPNTAFEEWCNEDARSEEEKREYLARMQEVEMKWAKRCL MALGVLGCFGIAIGVTIFAVLKAQ JR316_0001644 MSSAGGLSSISAPSQSATDSATPTTTPLPSSTTGFSNVISGSFT VHETVTVPTTIDGRATSVEIVVTTMIPNTPALDVIPVHKRPNTHVVAGVVTSVVVLVV IFLLLLFYYRRRRSPKQGGVDGTKDPLANVHLSRRKTRRVGNNHEENETGTVTPFEVS QLAPLTPNTPASSTDMSHETSPTLSGYLSPPASHTINNNERSSEKGCIRPPSTTHSSN NIILPLPPGLILDEKRAHAPYVLQWEPSTPSTLPPTPTAGSYNHPTPSPSPSSSSPPR AERSLSYLLSSTRPMSTIAPSIAPSEVAPAYSARRMHFRYRSGASVLTNATESIPPAY DQHYEHDAANAPPVPPLPPLPATAVPGAASNANSRTAGREREPGSVVVRSLPSIPPS JR316_0001645 MATTVSPFARSSAFEESLLSAREQTIEEMYSVPESFLEIEVRNP QTHGFGRKMYTDYEIVCKTNIPAFKLRHSLVRRRYSDFEAFRDILEHESTRVNIPPLP GKVFTNRFSDEVIESRREGLERFLSIVAGHPLLQTGSKVLCAFLQDPAWDRAQWV JR316_0001646 MSYPTPTSPRRSRPYNDENSSSPLMIRTPNNPFRSPLTDLSSQT SPNFSTPGVSHFSQPLLYTFVSTPAIATPKPRKKRRVAQNVSPNTRRRLTEDWQERNS ASVEMQKEEEDRDNRAKKTRMLDEAFKSIRKAGFPTFRHFLEDALTAHDPSQASQISQ LVKNHGPALFSLGRKLQPAIVDDWIISSHRELVSMQCKALAELFQPPQLSRVTDTLSH FSIKQYLADAERVAPLICDILRQIGYPNGARESKYKNRELIIATALCMLAKSRNEHAT EFQTTMGMYFLASGTQSSLFAVLNHAGISLSYTQVILKLKQLSQERLKLAQTIARLQA FMLIWDNLNFRFIVAEQRHDAKSHFDSGTTATMVPLYGFKHGELSCSLKPPRLRHVLE LDIKAEDLLPSAEEALRVQQAQIWHISDILYDAFPDLRKRIAKTIPPFPSVLQIPLHK TEQYPLPAMHIDESSLDGTLQVFNSILKDSLKLTEEELKAHGLIICAGDQLSLSLLDK VSAIRRDDTDFMDNIGKYTLGQDGLLHVKFSHTRMVANEYWGKPNSKSPWSLWRINTL LGRKPVCAGWTAKSPAPFRPIWELILNLTLPAHILDAFRIFCSEETVEAWVKKVDHHD TIALVAQKIHDELCSGHRVAQLRQERAIKRDVPLENIILFNRDALHLRQLKYAIKQGD VGAVLDLITHLMLAFRGTGHTPKYADALFHIVINLKRMDPLLRRAWLFNWLANLTGKP NGFKEMDLLQEHLNFWLKVIYSAKGVNRTWAWLSMVSVCIFALRDVIRNVREEFSIPF NSIRHAMPSTSTDVNTIRTYLQAHRLQEFCPARENNAAAVEARDLMVIGAHYANKPNC VSADEAEDEEAQCSGNIDVGEDLETGLEDLLLDEEEYPEGLDAENVFAAVNEIINEMR SLD JR316_0001647 MLVLPGSSSVSKPKLETLLGSIQQKCARIASVDAVWLHLVQCKG SQQEEDLRNITSNYKSLLDRLLTYGDYSSFPGTLDAIAQAKNVAYVLPRPGSVSPWSS KATDIAILCRLDGHVERIERGNVFVFTTRDGQAITEQEVATFSHLLHDRMTQSIGLSP PVESQIFFHNEPKPLRIVDLQSASDAKAKLVSANVELGLALAPAEIDYLIDAYATMGR DPTDAELFMFAQVNSEHCRHKIFNASWTIDDNLRSNSLFQMIRNTEKISSKGTISAYS DNAAVLEGHSAPRFSASTSDHVYGAKKEDMPILIKVETHNHPTAVSPYPGAATGSGGE IRDEGAVGRGSKPKAGLAGFTVSNLLIPGFEQPWETDFGRPTHIASALDIMIEGPLGA SAFNNEFGRPALTGYFRTFSESVPLSLDGQEREVRGYHKPIMIAGGYGNVRPQFSKKT GIKAGSKIVVLGGPGLLIGLGGGAASSQVSGASSAELDFASVQRDNAEMQRRCQQVID ACVSAEVNPIESIHDVGAGGLSNALPELVHDSDLGAIFEIRDVLVADSSMSPMEIWCN ESQERYVLAVANDRVDDFVAIAKRERCPFSIVGVATEEQELIVTDRLLKKDVIRLKMS TLFGKAPKMQRRDTTRKIDRAEFDSSLVTLLPDVAHLSERLPQAVERVLRLPSVGSKS FLITIGDRTITGLVTRDQMVGPWQVPVADVAVTRSSYGFDVQCGEAMAMGERTPLALL NAGASARMAVAESLTNLVAAHVGDISNIKLSANWMCAASKEGEGAALYEAVQAIGMDL CPALGVGIPVGKDSMSMSMKWREGTQQKEVSAPLSLIITAFSPVTDIRNTWTPQIRTD IGEPTSLVFFDLAHGKQRLGGSALAQVFKEIGAEAPDVEDPAVLKAFFTACQNIKANH PDLVLAYHDRSDGGLFTTIAEMAFAGRVGVEVSLDAVHSTRDPISTLFNEELGAVVQV RQSQLDELIAAFNNANFPSTSIHVIASVKSPSDETITIIYQGQNFYSAQRADLQKLWS ETSFRMQSIRDNATGAAQEYALISDKSHTGLFYDLTFTPSPMPNFVSRPKVAILREQG VNGQVEMAWSFTAAGFDAVDVHMSDILSGKVSLVGFRGLAACGGFSYGDVLGAGKGWA NSALLNEVARREFADFFANESTFALAVCNGCQFLSHLREIIPGTENWPEFKPNQSERF EGRVSMVEIVENDITRKSVFLSDMAGSKLPVAVAHGEGRAAFANDTQKDSLHADGLVA IRYIDSQGRPTEVYPLNPNGSPAGVTGVQTPNGRVLAMMPHPERVAAMESNSWYPQSL KESWKGTEREEMVQLKCHDPTHFNMSGTSYSVLFPVEQPPRESGCGSFSSKDYWASPF VGSLEGLQPDSFASSLRKLPSSRDEIFHVIENSDTEWPSLLDFVEKYSALISSRAQHV QGLNRKIQDFIDTKVYEAKLPAYWGNLISNNASTSVRHGYTREEIDEAFLYLELEVRD MGKFCAAFAQFFQPGRVENLKPISIADQMIPLIVTIAKDGKECDLIVKDYYASKVKRS LYIIMGHQTQLSQTPATAFSGSLLILEVV JR316_0001648 MSDSLNLHDIMVNCYPAGLLYEYGGFAFAQLPPVAREFLQLYGL FVTRQPAEELTTDGFKALNDQLVTVRRAELNRLHTATQEVHRNIGIQLLALSSGDDSA RNILRDTLIPYWRSLLDEYVLRNDALLWYELEMRGNGLFHLQPGQYLFDRVQDIDRMR ELVMRWLQEERPQSEEPSNSRTLDEISTEEHDVVEGTNSLVHDESIFSSDEEPQFSSI ESMQNFIPSPKPNHQRRSRARKRARKSTVYTSY JR316_0001649 MSSDSAARSQHARSGMLRGLVRSMSGKQRQDSSPVTTTTHMGEE RKLLQMNLESVDVSAASGSPLQSIASTSSSPAERRLKISERLKQLGRDITTGKLRKHS DKSIPASPRITVGEFQQGDIIQTTGHAVVAEDSNRSELRTSSALEYNHAKQLSVVAEV AEPGQEPVVEVPNEGVPGATIPLEDPTEASTFAKRIQSLIDSLPFPTSGEKQPISAVK DPDSPSPNDAGRPIPPDIPSIQDSGLINLLSSPAIMNGSTSKGRPSIWSILERVGSPT QHAPLPSPFTAGDTNVDEPSDEHSIYSDNSSVMVYSPLIPTLDDQVELAELVPIEPDN VVLETETAIEGTSWTSVWPLSIWYGLPSRSTASPVESKSGLSPEPIPSSPTPNQGKEG NVVRSQTPRAWVPSNTKLSVQAMWWGYRLYLPPPVLEILSDKTLEATKRASMITTALT WFFNHLPIDALPPPLRPAALLLQRLAPFLGYIGTFISWSWSTIKSYDIGFGVTLTATW LLPVALIPGTWRENDFPKSPTQSPIALPPTSPPTSHALLDPILPDSPPATSPSLSYVT ANQSTPSPRSVFIPVPPMPLDLPPIPPSPIPQLVPVGSPLMKELLKGPVLGPVPLPDE DAPSPMMPTVKPTTKNRAKAFFTRSPR JR316_0001650 MFYPSYVTLAIAALISVQSALAAPMPMQAKIADGEHKGKIEETM PVVNQKLKNMKAVINLPDAQAKAHPATVKAFGKNANVAEIRKKIDNMDNSKIMIPSSD PEPGLTQGGTWPNGHIKFGSAFYSSDANTRAGTILHEAAHAKNGAVDHFKADGTPGKK GDPIVGYKDSHMDILNAKHSDQTHHNADAYRVFGDECPHVARRALEESHPVVRRALLR RAAKACAWKPKSKGPAAKSNAKVAANTKSHGSQKSVDAKKPLKAGKATKAAHANKAAA KSVQHASKSAAHAEKKPAAAKAHKANAPKVHKASVAKAHKVAHVKAATKAHKAAPAKA AAVKKPVVAKAHKAAAPAKAPAKAHAKAAVKGKKK JR316_0001651 MANVPETPLPTLERLGVSSLPANLDAKKVAQDWLSSFLQAAIAG NVDAVVSLLLPDAFWRDMLALTWDMRTFSGKERIAQFLKDRLSLSKIHDIKLREQFVA LQQPYPDLAWISLMFDFATDVGQASGIARLVPSAAGEWKAHVVFTNLESLTDFPEKTG PLRNMEPNHGKWQAQRQREIDFTDQDPVVLVIGGGQSGLEIAARLKYLDIPSLVVERN PRIGDNWRNRYEALCLHDPIWYDHMPYIPFPSTWPVYTPAQKLANWLESYAESLELNV WTSSTISDVRQDPATLKWHVSVKRGDGTDRKFEVNHVVFSTGLGSGVGNIPKYPDMDK FKGQILHSSQHKRALDHKGKKVVVVGACTSAHDISQDYQQHGVDVTMFQRGSTYIMST KNGWEVIMKGGYWEGGPPADIVDRVNASFPHHMSTVLNQRQTKRIAELDKDLLDSLHK VGFRTNLGIKDTGFGLLAWSKAGGYYLDTGASKLIAEGKIKLKTDSTISSFTETSIKF ENGSELPADVVVFSTGVGTPIDHIRQVCGEEVANKCRPIWGLNDEGEINGAWRNLGVV NLWYMMEIKAIEEGLLTSRYELQTTKV JR316_0001652 MDAFKSLIQPLVGGHGGSSVIDGMKLVVLGGTVETARRVSSSAW SHFVNSFFLTAHFSEEDFPYDWLMLWLSRRPEWQRSREFETTTRTAGPGGSSSSHYSA EDADWDQWEVAESNNSNGWDPDSESRPKTRVVFQPTYDTTHTIFYRGHWLRVRRGKKQ DTGTEMLSISVVARSNAILKQLVLQAKKEYEAEAVHRIQIYFADAHGSWRWTDSRHKR PLSSIVLNPGVKEMLVDDARDFLKSEKWYADRGIPFRRGYLLHGVPGSGKSSLIHALA GQLQLDIYVVSLSASWISDNTLTNLMGRVPARCVLLLEDLDAAFVRSTNRDDDNLDDL GSGDNQQGGSGVDLHAFPGYGGGLGGGFGGSRRRYGRPGLSDMNTLSLSGLLNALDGV AASEGRLLFATTNHLERLDPALSRPGRMDVWVEFKNASKWQAEALFRNFFPCEEEEIV QETDPELEGLDIDVQVQDKDGNVRTFVPNEQRVNAAANGKRSDERKPLWSLSTSFASS ASSLISGSLPSSASLMASGSTSPMLSSPSETMSPPLPRTFSGSPIPDSPGSNHIAMDK DQFGASNTAYLPPPPDPSLNKVKPLDRKTLAALAKKFADGLPEDEFSVAGLQGYLLKH KAQPEAAANGVEEWVKNEREMRERLKREKEAREIKEKALREKRRKEAQEKEKQKKAQD KKDQELEKIKKLIAEKEKEEELEKMRQQLKDKEAAKRKKKKDAKKKSKSKDKEDDDKD ASDAEEEIKDQSDNDKAAPKEKSEAKQNKKSSKKTLQGEKKVDDTKDAVKEEAVSEGK EKEKEKEKEKNKSTTKKSKKKVESESDDSDSESGSGSSDSDSSSSSSKSSKSSKSACK NQPPLPYTDDEGENMPPLPPMPLHPPQQASWSFPPPPGWVTEAPWRPESPPLWSDGS JR316_0001653 MPSSHDPTNPPRPSRSLEGRVAIVTGSGSRAKGIGNGRAAAILL VEAGARVVCLDANLEWAEVTISMIEEEFGKDKAFALQVDVTVEAQCKLAVDTALERYG RLDILVNNVGVGGPAGTAIDVDPKEWARGLEINVTSMMLMTKYAVPAMEKNERHPISG RGSIVNIASVAGLRGGTPILLYPTSKGAVVNMTRAMAAHHAPSGIRVNCVCPGMLYTP MLYGSGMSEEVREARRSRSLLKTEGNGWDTGCAVRFLASDEARWMTGVILPVDAGSTA ATTGMSEGMSSTLAGGTQDAAQ JR316_0001654 MTSNSAPNDFSSIPILDYSLLNTIDGKSKFLDQLRHALINVGFL YLSNHSVSQADINSLIEYIPKLFDLPQEAKDDIKMANSEHFLGYSRLGAELTKGAVDQ REQFDFATKHVSRWKKESDPDYFRLWGPCQWPDEKLIPGFRDTMERYLDQVQALSYKF ASLIAEAFGLGPDGLSEFYDSPELMQHRSKIVKYPVVEGSSDQGVGPHYDAGFLTFLL QASDHRGLQVQNLSGQWIDAPPVPGTFVINFGKALEFVTGGLARATSHRVLSPKGSTP RYSVPFFQNIGLDVKLTDHVLKFPPEILALKRTRGNVAATDSVNFTEFDREPSGKVNL IGRVKSHPDVAERHYPELFKKVFPNGYSGKGSAY JR316_0001655 MSVHLIRLDDRDPSIIYSNATWASAGNGYEYMRTTSRSNRVGAY MRIPFNGTKIAIYGTIDSSTIGTLPQSLITIDDARGFIFLADQTAEVQYQQKFWESGN LPPGPHLLTVNIISGSSMKAAFTFDYVEYYPVDLNSNLTSASTSSSTSTSTPSSVSST SNASGSGHKKSSTPVILLDDDRRHITKEALHLSLRTAGIPQMPSQYLSSRAAAQTPSI RNGVSSSQKSSSGGASSSQQTTGQVNNTPLSPGEERRNPPPRYESYRFPRAPPAQTTN S JR316_0001656 MRFSTLAAFVAAAGVAQVAAVPIRVVIISKTTEANLDAPHAFPH PVPIPPNVATMVARPGMRHGCGGGRMGRFRQKGIEISNAFRQALGMPLIEMSTPPHHP QIKPGDSPTSVGMLQVLPNPILGSSEVPAPHRYHHPHHHVHGQMRIHGSSFSDRLVHS LMNLGRWEGRAVAFVLGCGIGVLLRMFWVLAVVLYRSVKGSNDDEHEYSHITVIEEFD EDAIMRSAPPTYTYPVDEKIAIENDAPKVASVDESK JR316_0001657 MSATTPTDNDRKPPPAGPTQSGGAIPPAVYPQYPPRLPAMGQNT CKILPGQAHPPGQPCNVYTHPPGRQYVNGQPITHPNYYHPQPSQYGSSNGYPPQHYPP QHDPPQRYPPQPSQHSPEYHHPQPNPYDPNGYPPQYGQGNYHPSSAGYYYKGPPGDQH JR316_0001658 MHLYNLTLQPPTATTCAIVGNFSGARQQEIIVSHGTRLALLRPD AQTGKASLVIATDVFGSIRSLAAFRLTGGTKDYAIVGSDSGRIVILDYDPKTSSFVKL HQETYGKSGARRIVPGQYLATDPKGRSVMIAAMEKAKLVYILNRDAAANLTISSPLEA HKNSAIIHHIVGLDVGFENPMFAALEVDYTESDQDPTGEAFNNAEKMLTYYELDLGLN HVVRKWSEPTDPRANLLVQVPGGQLASSDRFDGPSGVLVCCEDHIIYRHSDVPQHRVP IPRRRNPLEDPNRGLIIVAAVMHKMKGAFFFLLQSEDGDLYKVTIEHEEEEVKALKIK YFDTVPVASSLCILKSGFLFVASEFGNHFLYQFQKLGDDDNEPEFSSTSYPSFGMADS STPLPQAFFRPRHLENLAIADEIESLDPIIDSKVLNLLPNSDTPQIFAACGRGPKSTF RTLRHGLEVEESVSSDLPGIPNAVWTTKKTEDDPYDSYIILSFVNGTLVLSIGETIEE VQDTGFLSAAPTLAVQQIGADALLQVHPHGIRHVLSDRRVNEWRVPQGKTIVCATTNK RQVVVALSSAELVYFELDLDGQLNEYQDRKAMGSTVLALSIGDVPEGRQRTPYLAVGC EDQTVRIISLDPETTLETISLQALTAPPSAICIADMLDASINKAQPTMFVNIGLQNGV LLRTVLDPINGQLTDTRTRFLGTRPVKLVRVQIHKNPAILALSSRSWLNYTHQNLMHF TPLIYDNLDYAWSFSAELSPEGLIGIAGSVLRIFQVPKLGMKLKQDSIPLSYTPRKFI THPENSYFYMIEGDHRVLSEDATQKKLQELRQQGKRIDEEVVNLPPDLFGRPKAPAGT WGSCIRIIDPVEGKTVNVINLEDNEAAFSLAIVPFAARGGELHLVVGTAADTLIAPRS CSSGYLRTYKFTDNGTGLELQHKTEVDDVPLALLAFQGRLAAGVGKALRIYDIGKKKL LRKVENKTFTTAIVSLATQGSRIIVGDMQESIQFAVYKAPENRLLTFADDTQPRWVSA MTMVDYNTVVAGDRFGNVFVNRLDPKVSDQVDDDPTGAGILHEKGILMGAPHKTKMLA HFHVGDLITSIHKVSLVAGGREVLLYTGLHGTIGILVPFVSKEDVDFISTLEQHMRTE QGQASLVGRDQLSWRGYYVPVKAVVDGDLCETYARLPGTKQQAIAGELDRTVGEVLKK LEQLRVTASGF JR316_0001659 MRVLCVAEKPSISKAITQILSGGQYETRNTNSRFIKNYDFDYQP ARAFFTVTAVIGHLTSNDFPATHRQWHSCDPFELFDAPVETQVASDKKDIERNLQSEA RRSDVLMIWTDCDREGEHIGMEIARVCRQVKPGIRVKRARFSAIIAQQIHNAAQNPVE LDRRQADAVEARIMLDLKVGAAFTRLQTLILQGRVPQIEAEKSVVSYGPCQFPTLGFV VQRYQLVKNFRPEMFWYIYLSLSRPGSEEETKFTWKRNHLFEEAVVAALFAMMGNSPA VVTKVTNKTTKKWKPLPLTTVELQKAGSRLLRLAPKKVLDVAEALYTSGFVSYPRTET DEFDPQFDHMSLINKQTVDPTWGQFATALTQGGYSSPRRGKNNDKAHPPIHPTAHAAN LTGDEKRVYEYITRRYLACCSKDAEGWQTTVEVTCGGEDFSATGLVVKERNYLEVYVY DKWTGHHVPDFREGEEFQPTVCEIRQGETTRPNYLTEADLVTLMDKNGIGTDATIAQH IETIVKRNYVIERFEGAVKYLVPSTLGIGLVEGYNLIGLTKSVSKPQMRRETERRMVQ VCEGTTTKNDMLTHSLDQYKEMFIIVRREFAKVSDYLAGQGGAENGEGGRNGPGGHGG DGGGGRGGGGGGGGGGGGGGGSGRGGRGGNGAARGSGRGQPSTRAARGSNGAGRGRGR GGAPNSGNMICIDDTDDDDDGFGSAPSRAVPKPRSTKAQAAPSSSTAVASSSRQSAPL HITSSWSATTITPAPAVQPISRSSAGDGPQCECNIPAVQKTVVRETASKGRQYWTCSQ NGCGFFQWADDVPGASGSSSSSSGIPQKRTYSKTRDASTSEGLPRQCACGEDAIILTV QKENENKGRKFWKCQRKEAPCDYFEWDDKPPKVGTFGSNGGTMPSRTSSLNTSKASTT DCNQTGHWATSCPNSDSSSNSRSKSFGNSSYNKSSSATCFKCQKPGHYSTSCPNDGSI GGGSKAQFSGQDSSGNECYKCGSAGHWSTHCPSGGSSSGSKRGSKRGRGGSSTRGRRG RGGSKKKSAFAAADDY JR316_0001660 MTDNTVTTKLLTLLNVSATKIGKRKRFEDEFVPAEKLNKRKASI SFAQPKAMEKVVEAAKEIVEASKDVEMQETVEEADDNTVDDGYEKHFGLAPSCLSESS RSAVENQAWSTLREKNGKLGSAIVSIPAHSEASTSSCTSEIDILESLKAPFLTRQAKQ AKNQGELQHDILSTLSTRKDLYITATPLETKRCTREAIALHALNHVSKKRRRVLKNNE RLTRAAKANPDAPPLEDVQDQGFTRPSVLILLPFRSSALDWFRAITSHTPPPTFQVEN QSRFLSEYGLPEGAIDKLVTAEPGTYPPDHVDTFKGNVDDNFRVGIKLTRKTIKMFAD FYGCDIIIASPLGLRRSIEKEHNADYLTSIEVLVIDQMDALTMQNWEHVKFVMNHINK LPKESHDTDFSRIKPWYLDGFAPYLRQSILLSAYETAETRSLYNNTLKNVEGKIRTEK HWPPIEVPAGIDQNFVHFDCVSPVDEPEKRFQYFTTQLLSSVLKSAVQSTNTIVFIPS SFDFIRVQNYFRKHSGVSFTVLSEYSTNQDISRARQAFFSGDKSFLLMSERFHFYKRY KIRGSRNILFYGPPDHPQYFTELLSFPFLDDGVEPSDVTCRVLFSKYDRFRLERIVGT EAAIELIKSS JR316_0001662 MAQQLLPTTAPSIISTTSEDMRPGNRQDASVGSYGRSIVASPIS ALAVELLSEILAMASGYEEGYSEASEGNRNHRWSPLVILGEVCKNWRDVILGTPKLWT TLIVNPSMDFKFTNLIQKRIFRSGGLPISLVVRHRRGSGGVTLEDIQPLLDLIRTCSA RWNRVFLYKVDCDVLYEIFKNLKDTTRIEDLRIVYGSLWKEVPMFQPLRPHKFEAQTI SGALVHFHDLNFLQWDNLRHLIVDDIDAELVLHIVNHAVNLETIVIKSIRLNTFRYHI HGNVITLPNERKSIHNSSVTHLEMSLLGVKDALQYLCFPSLKTLVCRLDPKGAWEDSF YSEETEIVEFFERSHAIMPIQNVMLELQACNGMTLLGHEGLGPWSVRGSSIWHSRYPP LTHLRINVDSFKVSPKTFDDTLRELRYGAEDDSVLFPSLQILEIQLIYFMKPDRWNSF IDMFPPSIAYHGTEKSPHSYLVKPMRRSLSKVALKLVNKDEVLRPLVHDGDLMKQDQF LQLLNIQRSGVELQLIGRDGEDFLVHAGRKYRSDSKDNEE JR316_0001664 MQTLQSHFFAVREYISPVLKESKFKEHGRITPEEFVAAGDFLVY KFPVWTWEKGDATKTRDFLPVDKQYLVTRGVPCLRRATSLAYTDDDADAERLLSFADS SMPSAEGDEWVETHAGRKSAKEGGGEIAEIPDLEIPGDGEGLDGLASGMGALSLGAGG GAAGGEPEILDLDEIPDMEEDLEDEDEATAATKPAPAKTYPSGLVDPNPVEASKNNLL QVRTYDVMITYDKYYQTPRVWLIGYDENGTPLTPPQIFQDISADHAHKTVTIEPFIHS TSLQAASVHPCKHSSVMKKVIERMNNSVVAEQLARKAPAGSSTGPTSPSGKEKDGKKK WLFSRKASGSGKDAPSSATGEEEEVEGMRVDFYLVVFLKFIASIVPTIEVDSTTSF JR316_0001665 MSLGYRCNACTDVVKKPKLDQHRARCGAAFDCIDCSKTFNTPAE YKSHTSCISEAEKYQKSVYKGPKTGAAASSQPAKAVQKSQEASAQPKEEEKNARASNA QWFHPPGGASSNSNGYNGGGRGGGRGGYGGRGGRGGGYNSWGPRTNASGANDTPLGAN SRNMPTPPPAPTAASTEETTEAKKDKKRKSMDSEDTPAVSTKKAKKEDKPSEPDAGSS KEDSKKEKKSKKDKKNKDASEAVVTVEAEKEKEEVKEKKDKKEKKEKRKSDESSPPAE SSKEEKSEKKEKEKSSKKEKKNKSKSPTEPSMDVDTAASTVVESKEEQSEKKAKGKSS KKEKKDKSKSPSEPAMDVDATPATEATEEKEKKKKSKKEKKEKASSDSMDVDCPPVIE VSEKKKEKKQKKDKSLKALPAVVTVS JR316_0001666 MDYAFELTQSLSNYMKAKIASRLWHAELPNQIRENFSAETMFEC NLAVEVILQAFENQEYTTWDAEEYLTHLSARCTGQNSAVEARLKDQFPPVHSTLQYQT LPGTVIDSAGNILVWYLPGILSETRVESVWNSLRDIETMIHKAAPLATSWRVNDSYFR HEPGWLQPGNLNFSPAWFQQGHETSNPLEVSLDLCNPIGQEFIRDMMTSSALLGAILS IIHPEQYRAGIKFLQRLAAEPEFVHKAEILKQILTIWSSPFGVMTAISNRDTPYHRDN GSCYSWYDFLMPLGKGEHGRLELPGLGLRYKYDPMTLVAITGRLLQHGAVCEGDRAVI VYYMRRNVFKELGVQEAGWSTTYDLFANLPATNTFDFEI JR316_0001667 MHLPRLFKPPVEDEHHLLTYDERRALAKVHVHICGARIRAGYRL FIANGDSVSSAILLRVLGYCYVNGFQVDIPFFISEILNQSVHAVLNRTPHHRAVLFES LNQSWSAWEDDEILNQTKSWGYWWRDGFAEGDEWQVAFVTVESQAREFWNKVVLPEYQ QEVLRLNNQRKEAKEGASSSTSGPPRNQENNPKGKGKAAERTSVPISGKHGSALTGKH GSAFSPPTGKPNPPTRVANTRDETSPIAISDHRPPYCPRCGQPILTGVMRELAHLRRN VADKMKNAHKAVTTSSAALGRYSVLEKMWIDSKEHPFSNGKGLSAKYKFQHPVHPSPD SWGAITAQARSFEVSKLKVASFYINDIFYSFIAVKELPFHPLWYHSPPSNTANIHLPT DTLPTPGSVSPPSNAHTSNAFLFNARSRPVFPGEEDDIDSTSDTTESSTPATFLEHLA QDFEEEADEESSAGDTTEESDASGNSEELSEDVSETPWDEES JR316_0001668 MGFKKVPDRGSDEEFPNVREASWSSGAKKRKRGRPRIHKLPAES SSTNLPQTTTYSFQNHEHNILEEEIPHYPGDLTDQQVLDNLRQLDDDRTGGKSQNDYL REWLPKREVYLGTMIGGEAPDPDLNGRCQQCQGMSGVWRCCQCFGSRILCSECLRRNH QFTPFHRVEKWTGLYFRPGALWEVGVKLYLGHNGKRCPYPTDPSHLGCDGGNNSSGSH GHGGNNSPIFEDEHGLDLDPLPASNDQESFTAQLLADPEVPHLVELDDGDDENDDLFE EVDTTYLDQPRPKAADNNGIPFKAIVHTSGVHYLPVRTCTCRSVRLPSIDLQYLEMGL FAASFENVQTVFTVEVLEDFRMDNLECKTSAYQYYQKLRRLTSPAFPKKVLNRYRELR RLSREYRDLVLRRQYGEGHTREAVVPYYHHCHDSSPERMGINVSDPIDGGSDSPSQEV GMSTDPSLGHGGLDGPPAPQMTRTEDHPKVEDRRGKLALFCPACPQPGINLPDTWIDD ADSNLYIRSYVADGNFKADHLNQKNEGDDVWLSVGEGYMTAPGPYKEHIKEAISLAPR YKREQTCHNYHAQKAENRVSPGKRVRGIGAHACARHGCFCPSSVVDFDKGEKQMHMDW SLTQARETTNTQGITKHLEIYDINCQYCINLARRLSESTKMHWPPSVKMIFAIGLFHV HGHKTECLYNYASTYVPGVGIIDGEILEPLWSVLNDTSRSTRSATTAHRAEVLDDHMG DSNWKKTINMAATIAAKFKRAREQSGITDRFYRGITDQQDSGLINTWEDEISKAEADR EQGVADAVGKVMASKVKTAAGRQEIELHLSNMELTSNGATGKAAWISSGLKLEQAQLE LRDHVRKLGKHPSTAQKLDLVNKRRSMRTRVEAFCRSAMTFMGKDVLEDIQGDIAPIL DYEVSDNDDPDLGNVNITRADPERQPLPFPSAVKQDFFDGLDAGTNLILKGLRKLELQ IRHGHAEDCLEAVRSALIQLSWQYKYQVRTADSVYMGTRAWDGVKLLNASWKLHRRLY NTNRQKMIYLSAGVRDEDNIRKQYPILQVHDCKHSNAVSDPNIRGGSSDRLSWIWRSR QGLDNDNQLYVNEFFRLNWLRARAQRNRWQEELALTKKEMEWTVRFYVYMAKTWRARH DFVPDRLVGHRANAQKQIAMWNDLGRAADKVFRQINPEYPSVWRFIITD JR316_0001669 MPTIASPDADPLPSTANATTHNGPRSGQTAEGDFPPEESNIWTT KEMRVLKKHVQPYKDTSKSSKADYIQNTVIPELQATWDHRYSRRARKEDKQLHKEWKV KKHRIFNWFRNHASNRIGVKLEGLNSRITFQTVFREEKSAEIDSEVALLSGNAARGSK DWMKFYQQGRKQVEARLTQEERDRFMEILEEWNKKGVSKSMKAKTAARQGRKILRQME KLKWQRMGMRSITFEGHYDIEGKIEYSMTQTSDLALDDVRIPSFGQLFPSELAAFRRA FVQYLVHISEIEKGVANPALPDASFHEKSLKFSSNGFPIVPSPIYGSTGREVAYAQKS IIRIYMNKVYALAKDRPGSSVPWDALERRSAEMIDPEYWPSSIPVTDPSRLRLESTSA ILKLWRDRQAQGDIPFKFSKVFGNGYDIMEPLYPSNLFDGLEAHPIPPPPAAITKRRL RQKAIRLQTQSSSDSESSNLSEFDNGRGSPASAMPRTTARFEVTPETDETPTDAPSRS SEPPSLPSRSSPTIVNPSSSPTPEVEEAPPKPARKIMPRKRTKPYVGTAEMDGPDEES SVPPPVKPKPTRRIQPRKRTKPYSDPLNTVEEDGVQTGTTQDTDNTRAGRDVTCDAFV ETVTSPDTDETRARRNVTSDALALQEASLLAVAGKRQRKKTLKA JR316_0001670 MAASSSTTATFAQRLADWEKKFTECYRNGESAFNAELEQLYRDL VPLCQEHVRDAARFRLIDYVASPVVYSYKVIQGKDGENIYRFEVDWATLKHQVANFKA YQEGQEAQRKRREEEEQEKRREKEEQEEEERQRVEERRKREVRRKREEKKKREEEEER QREEEEERQREEERRKREEEKQKVEERRKDERRKREQERRTREQERQKAEERRKREQE QEQEQETDEERNKEETEKRRAEKGKGKAVEPPVEDGPVTDAHKDKGKRKAADPVESIQ LAPADYRGPRTKKGEIIPHITASNMPGHPAYREKLERLAKSKQGKFRSKAIIGSHTDE DADADVDEDDEGDNKGAPPTTPTATPTRKMRTRSVKKDTEDDVPPIRKARSRSQKVRQ VPEGMVDMVERCTACIKFKVPCYVKGETGTEPLVPVKHQSCESCKSRKIHCSFYPGRN TFVGQFLTTPLGSYGEALKLEEGEDVPAKGKAGEGSFPEDVGELLVQLFERQGRIMER LDGLSASMTAINARIATFAETNLAVENRMKSIEDGIQEVKAEWTMAKEQVAGSTSLSV TMFNDITKRINHVRDVVDDLLEQDEQRNPAPKQAAGPSKTEVEQESGPSRTREPTSVP QSPSAPPPPAPLPSPPPPPAAPILPSPPPPPPAPVLPSPPPPPPAPVLPAVSAPPTAL FLPGSTPEAPSPPPAGRPSLPPVPPILSLSPPPPLPAPRPRSSTSKAAPLSKGAPSSK AGPSSKAKPLSKAKPSSKAGPSGNGHSSELSDPSDSDEVEIVEEEDVEIVASTLPASN IATKTRAGRKRKAETTLAEASRSPKKSKAQKK JR316_0001671 MSSNISNSKESKRTARTDDLAPPPMPVIPDNLAPPPMPVIPDNL APPPMPVISSNLAPPPMPVIRKDLAPPPMPVIPANLAPPPMPVIPANLAPPPMPVIRK DLAPPPMPVIPASLAPPPMPVIPANLAPPPMPVIRKDLAPPPMPVIPASLAPPPMPVI PANLAPPPMPVIRNDTAPPPMPVIRNDTAPPPMPVIRNDTAPPPMPIIPDNLAPPPMP VIPPTLAPPPMPVIPDTLDPPPMPVIRDDVAPPLMAAHVSYTSVVDKIIMDSRPAISS ERKQGRYSPPPEACHLLLQNPYFRTYGRFDPMGGYPGSPPTQSDIEFEDVTDQTAYFN PPFLYNYTEQQLRATYDQIIDSSVGDNSYDSKVTTFKNLRLHQLQSEILTYNALEEID AGLAGIESSLEKHLYTGRDE JR316_0001672 MSLLRTVQHLLTYKRAPSNKRQNCVAGGPSTQTANVKIQNHTRS LLEQAPKSLPKSPIHTLPIEVLSLIFSMCLPDLTTEPYERHSWTSIPSFNISWVCSDW RTMALGTPLLWTVLADIDLTRSSYASLRSYLRFIGELLHRSGNMDLTIFIYAPGVYES EAIKHPIINFLLESRERWGTLMFHSGIPVINLFCNDGHEYIFPRLRKLGLNMCIRPPH MKILGFANAPLLEDVSVSGLFPDQLLLPYEHLKSYREGGLSHSVDPVPAFLKNVLMHG KRLERLEILGINYFIPMIPNPPRNLKVLHLRLDSMRSPDFLGGTFLDALNLPFLEELK FRGMYIKDNAIVEDLLCRSIMSNQQLPLRILHLRSAFPTSTAGNLWRFLQMTPFLQEL SIDMPHINDMIQLIQNMAPSGCLSRLQRMAIHLEQKNIKDRWRSTLDDLTAKFCEPLS SEATGDQCRELQLIFPSRFEARYVQDMLNLWNTVQC JR316_0001673 MVITSGAEPLLPNLETLEISAKVAALKDVSLLEFIINRMDPSPL SSYKLLKHVKVAFYRKSKMSIEEVVLKHAREAGIPSNIKLELDYSLPSKSPQLAIAET ILMTRKNFQFTAWSSDRADEEGTSITSGVPLLPHLETLEILPMVKINALSDAKLLQFI TSRMDPSPSTPTALLREVNVTFHNEMTQNIHQCIRQYAKDKDIAINTKVKLTYHITIN MPISFLPMRASNGQKRETDIWCKDHTDEYTLKALEEYDIHTVPNQCVFKITEPKTSLY E JR316_0001674 MHITVTVRATNTKKTKYKKQPAVIEVQRLLGKPMQEKEILDSQI LTLEMQLKGLRDKRRKVDKTISCYNNVMSPIRRIPADIFREIFFHCVDNDCDHDLSTT RAPMLLTHVCSFWRSIALSSPLLWARMHITFNCTRPKYDDALSSSDDLMDSSKVESHN QPTSTQDDSKSSHLSRRLLMDLVVEAHRWQNVELTMPVQKYATLAAAIGRMPLGNLAT LRVSHHNQGEWDNYLIHPRDIPNSELVTPDLKRYSLHVPPDFITKVASPWATLTHLSL HSYISTLEASKTLAQCFRLVHLKMILVPTVAEWNGNDVLYPPLHLPELRALYVSDQAS NILCSFYDSFVVPQLEVLSYHSKSSSSSTPHNVNSVAGGLGHHLQAIHNLTQLVSGPT FQAHVNLAGHVSANPQVHAPAHAANHPSLNPPMHAPANPPGQANQANPLGVNIPTHVP QVNPPVTLTHAPFVNNHRTPPICRLISKADKLWKLSLSTQFFTNAEVSEILCTASSVK HLVYGISTPPTTNQWGRRLPLLYTYYDDDLSDTKWNFRDVFIDTVEPLLTHTSRWTAQ GMGYQGLWVSGGMLKTGLKIREKIVKNTQKIPR JR316_0001675 MFRPDPDFFDSGEPASYHQDHSFIPNFSYLSLSPQVQRQQSSGG YSGPPMSTRQNKKQRKGRNNAMNRERTVTTLTEDPNTPYLVPTPSEEPAPNLLMAPQF SGSMSGGGKMHNNIAMGPPTHTYQMQNNFGQYGFGSGSFGPMPQQHVNQPQPQPQQHS QQQQQMFSPTQKYNKPQQRVPVPDVRPKVPLPPGKNDLEILQNLKKMIIEGQHPLYKA VPAPMSLARLYKGIIPSQLQRTEQTPAERNDPDDGKLPTPTSGSNQSAGDYGDRRQRG RPQGRDRRPGPGGPGGNQQYNASQGNQGNRYSNAPAGNSAATSNLQNVKNGKNDSGPP STILQPETRPSDVSTGGPPPPIQDGQRRGNEYPARYPDTEPATGVRPGDSRHSTIDVV GLTAGKASVYSGKDEASRPANWTGPRDMAPTHDIREYDSERTSTPRPPAVTGPANVDA RSAAASDEYDRQRGEWSDRDRGYPDRGRDTRPIDNRRSSSVADQRHYGSDYGQPPVRR GTAEPPLSSDARHLSENPPVDDVRPSVEARPPPDARAAPVRANDRLGLDSEDIQSSRS SSVRPADASAPLGSVPPATSGVDTVDNSSTRPSLKDRLNAEPSRNPTETPVGADEQQQ SPHAQDRSRKPNKYRGNGDSPYDEPPRKVEAPPHADEYPPHNAPGNVPGGAPRRAFVP RDGRRNASPATRGRRRAEHPAYQRPPPSSRDDPYYHSEYRDPRSSYSSAVDPDVRYEG RPYRDYSPPGSARRGPPIDLPPGPGRDYNPYPPRRDWSPQEEEEYYKSRGWDVPHDRG RFSDRDYPPPRAAGWEGRPDRDYAARDAYPPGPPPGPLPDDRYPPVRDADRARAPPPP PPPGGYGGSFNRVRPRSPSPPRRPGPGSIDDARPAVKRPREDFSGQDYYPSGGGGRDS MRRPPADYPHPPPRSSSQGPPNAGWAPPASGSSAGGGLPGDRDYRMGRDPMDYGPPPG SVYDRRSPGPPGPPGGRMPYNRGGGGYGRGGGEPRDRGGYGMPPRP JR316_0001676 MSCLFTGSNPTTVTILGLGYSGKTTLLYLLKTGQIVQTIPTIGF NVETLNAVTTKGKSFCITAWDVGTGCGIRYLSGVLHHYVNCGKALIWMVDASDPNCLP ESVEALKEILLSSSRAREDTADKKELPILILVNKSDLPKAMSLDYVRIAFSKITSGKI AAVFKTSLTEVQTGLPEAFEWLQLAIEIAESGKQMKNLPEPLTAAPNPRSPSTLANKL ESWLTRNETDCSPDTFLNLFNSFSLPQWDHYTHIRIAYVILTKYGRKEAKDLIFTGLE KYISVSPQTKGRSFHVTMTYFWIQIVHFGIRNMPVLTTSEGPASSQTSVSSQPGSEDF ARFLLINPYVADGNLWLDYYAKDTMMSPKAKAEMVLPDMKPLPNLVVRDAIQTFGAK JR316_0001677 MLSSRPMQFPMDGVSIPARTPGRALKARSENAHAMTVNGKGKNV APKTPFHPASAHQKIVLATTGRPLGDKTPMPNRIGALLLKTPLPGATKPSKLKGEENG SGQRPSSMRKHIKQPRMSGKALETPINTGNHWDISDGDIVLEATAQLQETIPEQEDDF DDVEYGPPNTLDIPFQPSYDFDLPDYKQLGKTLFQLAHSVPYEDVSPPDEPIIQLESA NWNMIHLPELESDDPFVLARLESKPTVPVVPSYLRSKRPQSSLARKAPSSTSSLAPKA VSKVLTRPPTSVAARPRVAPTSTSMRRPHTSQAVTSSARVTAKNPPVRIVDDVLIKTS AIELDEEFDFKFQL JR316_0001678 MSFIIRRLMDVFYPSKTAIPVKVLGLDFAGKSTLLYGMKGVVDT TIPTIGFSVETTEVAITNGQRFRLSAWDVGGRCSIGYSHPLFRHYLIDDGTKGIIWVV DAADSERLVESIEALSEILREFGDVEQKLPVVILANKADKPNGMTLDYVRVAFSKVTS GYTVSMFKTVMNNDNFAMTGLSEALDWLRLAIEKQFGEETNIPTTSDGQLPDPGPLSM TLATKVDSWLKRAEADSPPDVFLEQFNTFALPEWDHYTHIRIAYVILTKHGRKEGKDL VFKGLEKYISVSPQTKKKSFHLTMTYFWIQIVHFGIRSMTPNLAYEPYYVPFSADPGV PATPDEFPRFLLTNPHVADGNLWSEYYSTDVMMSPNARKEMVLPDKKPLPNLITLDTN HKALKRNR JR316_0001679 MPHPGYFKGARLEFLKSQKAIYQTAREEGCLAEALADIQRRFFK RFPVTQSEEWEPTKEQLLAVNDEEPDPEMYEPDPDSELAVQLREKRKAAYKNQKDKIR RWFKYQYKKDHKINPTSSGSDTVNPYAQLLQQLLGKQSTRPRLKTPVNMWRKEKNNRE AIEQELNSMVPPVETKHLAKTREEIARRLFKELSADEKRKWTVRASEEHKEAMEKYEA DMAVDGISLSLEDRQRAIENLGSFIAPILEGICNITGWKVTFLAGGPEPANGGMLNTI SVHCGVMAGESKMNFGKAERVRYKRIILPIFGDFLRKCYSKVDCQKCALPEEAGFISL SMLANFEDKESVQEIDTLYVAGEKNSMTDNPGNTADNHVNTTDNLAPQHTPLDSTHAP APASPSQHTSDTDQSDTEKSNVNPFTHDWDENDMDIISQPPSTPPSPAPSTHNLPTPE PPSAPSAEPGTQESMAVEPDSTPATPSVPSATPETQEPLSSKAVILPPRSNHPNGSRK AISSVVESASSTSKSGAPKQKSNPNATGSVSAAPLSLNAKGPKRKGIPNAANAPSKKQ KTASQPTSALPSQTSNEDSQRGQRLTRSRAPKLAVSTPTASVTLADPSTVILRSGKAA KPSRFWQYAEDKLTEG JR316_0001680 MSANDIQALGEIGPVLQELNETLPVFVCVVRGPLNEIINDYRAY GADCFVRITEAGLAQFLEERDQAGDVHFRKTVSNDDNNSVGGLLPFLVVLRGTINGVL QKSELFKIEHVLSMPKKSVDAFLDSQRTAGSLHLVPAADPSPFSASSHLLRSGEIRYT QWPAFGHLGPATTRIRRRRPKVPPVPKPDSDSDSDSVIVIRSKQLNRQSTSTQLRTPT SLSEVGTPTLRRSKRIQELSVAPSLRMTTSSLRQRTVLSTPLSSHRSKRTQDPPSTPL RRSQRLQAYAVEQSCRLPDFIVTAPTCDIEYFNELDNIVDYYNPVACNFHPAVLERIG KATLELDKVSVGVSWKA JR316_0001681 MYSTRGKEYVTGDPDHQYDLLRRRLLYYKNHMEEKRKGRERKKR QKERMSEEATEIARAKHRKAQARYREKHRDRINSRARYNRLIKKRIAAGPGWHKQYKL R JR316_0001682 MATDSRVASTGFIGVNNRSKSSKVYSLSELAKMGIRVVEWDGRA VVICAGHPDDVQWDILMDLAADAIEVARNKCSVSSSNSHHRWGDFISLRCGVSYGGGQ TASSNLSNSTVNKIIVEWLNSLELILWELGIAIEFPSGSSILLPSALISRSNVSIAPH ERRYSFTQYTAGGLFRWVAYGGKTKAEYLASLSPEGLAQYAQEEAARWTEGLKKMPVI SKG JR316_0001683 MSNPRKRRRIVTSTSNKIYRDTIPLPDSFGTVRSSEITEDGRVD YSTVITARAWEQAGSWEPQDDTTFALQPNSSEFDQVVEADFMEPDQGLEVENSIDEDQ AGISSNLEHPPPLDNTHIPLQKAKRSLVSRKLFDGPVEATFSISKRVRIALLVGSKHL APQNIDVESASLRILRIKPAV JR316_0001684 MLKWAGRGHDARGAAGTLPGELIVKCPSCPHPGINLPDNWKDAP DNLRFLYAVMICLDANFRLKNQMVSNYSQDPGLGLGLAYMIHRDKYEKYVKSKANEKD ISTCVGFQALAKANSKFSKGLRYTGVGMGVCGRSEMILGVGNLHKGERYANMDYIFGV ILQAIAVTAVLASYDIACQWFLNLLRRIQEDWPPEIKPSSAIQFTPAIPKLHASMHKQ SHSNHDIYSLNLIPGVGLSDGECPERVWGPHNALGNATKTQGPGARQDTLDDHFGFWN WMKYSSMGTTLLRRYRQAVADRNMQSEGHRGLTESLEKENKELVATWEKMCIEWENDS FPKKTAKNPYYMPSFTLSEAQVKKQLAEKDAEYIAQGGAFPHATTASMFIALGLELEE AIRRVRRLAKGVGSQSTIRQSGSLTEQRNSLATRIRSWEQLLPVYMPGILQYRTDYPV TTRSINSEDTILYLPSFVPEPHRSRICVPGLALIEQELRFAQLSDSLSSIRQILIIKS RMIDFKNKNIRGQRDGTRSTTIIDRVHERARFAASKYRAARIAYLALAGEGDWMETYQ VLEDKDVRGYQDPNRLRTHVGRRGIYEDGHEPIAGGREEVETEDGVTLLNQPRSRRDG TGETRRTLSWIWSVNIGVRSEEDKDDILRVEWAKSRARMMRAKEEVMLLKEEMRRTLA FLEYRKQWWLKRKSAWTGVRKDLLEGISAFAISQADIQDSLAQHFRALWATPLVDGFS QTQGDDVDDDEAEGEEMEEVEIQDNDEEDVDDIVEHPLL JR316_0001685 MDIKFVGSGDAAKAFLYYVTDYITKASLPVHAGMAALSYATPNN STLDDVSNTNEQENEESVELNMGDRDITASNQRLDYCLRPANDKFIDLCLYDFVAWGI KQRYTKEMLHIETAVRLGSFLNDEHPEYFTHYMTIRRKSCIPIILGPSIPNPLKSDQL KDDWARDMLLLFKPWRDISDLKTPSETWTDTFHNYEISMKLEHTRIIQNMQALTECSE ARDAHRQRRRGKTSEDVVSDEVQDIILTDTEGNTDTLNPNDVYSPDPFQCIENPNEDF TTNLHDSIDNIGEETSRFLDMCLPLDTTETAVDTEYQKSVPVNQQTLTSHEVDDLLAS HRAIMKSKRKRAMLHEPDTDDITTPPKRYRNGNYAPIAKQAILQDLYDLSHNYNSITD TDTMNNIAEEMGLLNNPEQLKAFRIIGNHIITDNKEQLLIHIAGVGGTALASQE JR316_0001686 MCAIFIGKDLPNQYSASTEYSEENMRLLFDNDKDEGIPHAVHIG AMTDNDAISSAVADYTCRNVDENSKDELYNELLMENVGYTSGDNSPQAFLTMKSTALE RCLTGKPFLASGTGSSMVPDFQNPSILTWLFPHLDPWGIGGFYHPGRKIKISMEEQLK HLLLVDDSPFAKDPEFAFIFYNICRKALVSQSLRFLVSQSSHKKIANELLNIDLDMLS HLTKECEKNPYYKPTETKEKEAFRLLSSIRLVSKHVPGSDGYKLTLRNQIRALINNKG TPTLFITINPSDVDNPIRVRIFHWKVSNEERTGRNGEDHSLQHGTLQDVHVFLT JR316_0001687 MANLEIHRFSPARILILDVQERLLAKDSRRFVLFPIRYIKIWKA YLHAVTTLWEARCAGLSRDSKDWTECLSFQQRCGGIFFFKLSIASHGIHKRLLDIISK EITVPEAHCYFSFQSVNENVHQEAMAKITHGLTGIRMDDCVDEWELLRPKEKFMNIWT RSSVYPFSERLLVFIFIQGIFGISLSRLLQWFSGKDYLPTMVSTYTRIFNDRECHVDF VSLLFYHLKRRPLTSFVNEFVGTIVKIEKKFGSDLLDLSEIDIPLDDLNRVLDGKAQA AQPKAPENELFSFDFHAPSLVQNTAVMEQPKKDVKQNILSFTLISVYCRLYRLSHAWI RVCTSMGILVSLCFSIPLHWRTRVTLGEDGMYEDVLRFWMDKDKEGNTPDALQEVIEH LMYQGKACPHLYSLVLRFLTSTPELLNRHQTGLKDILEHIDDVQLILLGSLTSFESKW SGECRFNEAMVDQDDQGK JR316_0001688 MEGAAAFTARLFSRLCLPGTGRVLELSAAAFCSFKSTLEDGGTV DKARDPSREALMLYHLIDSATLHDGRIRQAKHVTTSSLGVWVDVTTHGITVRLYAPYE APQHVEFHPHSIGGNLICTAWNTEYSHRALCVVPSTPPLTPLFSAHNYMERMAHTPSI PLLRVAAAATTVTYTPPSHLHPPRHTSSGVPPARGGTGTPTRLK JR316_0001689 MQVCPHVSDLLPMKPFADLDAFTNDYLVNIHTNSGSVTFVISDE LQTILRIIGFTHTSVIDLQTEIGYAIIFLPFQRTHITTHTVRMLLYYTLDLPSSNGIG LRHANWSNKASVRTAERMEFVFETVLK JR316_0001690 MSHTQEISDIMTIWQSKKLRKEDITFTKAAISLNTNDPLVVARP GLNNSKWIVCDTVTGKVAVLVHAGIWKWTTDLETGNFVPYGEEAPEGVPDGQIQDEPS FKCKFSYAFDTSRDTSIWDNIKIFEDHVCRQPGFNKGNRPRRPWQNGRSSTNRDKYII NTRVFANRSAFNTKEGGEYKVPYEVHPWLKEGITRFPEAHQIPNPDRPKYFEFTENRI SSLADSNEPTFKQGDIIWMTFKLGFVVTGGYWWPEIIPIEFVRVGKLPEQIHSKADHS LFPSVDESFNLLCAGDIVEFTDDHSPRPVKRIRLHDSYNQEEDSYRRKGHVEKEEDIN MNDEPVLDDDYVHVDAPETNSKNRLRSGRGGKNTNRS JR316_0001691 MAGLGGWIIDHSVDLKPDITHHEDLFTRARAHEMNAPRRVLCIV FATGGSIELMSIAPFNDRTSDVFDVGRLFPNGAISSRVTCIPGTAFRLGNHLRIIVSK NDRSGPVNKSVEKIYNIQWHGNIVVAQYDVNGIHPIDFNINQIESVVSIFSFINPNNN VKVDEKHGIYRT JR316_0001692 MDDNQSSSGSENFVSSNVQKNDVIADDYLAVELINSGYSGEVWR CESKSCARVVAIKVMYTVGESVRATRAAHVSALLKNSSSVDASFICGLAETVFHLRHP CLVFELYGMNLKEMITKENVLPLPLFQIKAIMWQVCNGVASHTDIKPENVVLVDDQTT TVSDISPDGAFYNKNTCLKNVVSNVQIKIIDLEDSVTSPRNLHFVPGTMGYRAPEVYG GVGWGFPVNVFAVGCLTFEIFTGLSLFPKTDDVREYFFCMERLLGHFAPGQAQDISEM HPAIFRTKTRVPKVRGAAINAKVCNKLLRHVADVKWFKKSINQSDAVEFISMCTAVDP HRRLTIESALRHRFFTKFSRVKLN JR316_0001693 MSSQQVQDEISSARLLHEQELQMQYQNDVVFVAQRRAFNAFRNC FGKKVSKRPTQPRSSPYSIALINSSGDVVNNAHSQGYDMNLSSAQAKQTKPNVSLSLD SAFSDLHCRTSVDTDIDTLTQTTKTFTKPVYDTPPTVPSPFVCLRKHADYLCTNQSSF VSDIPFSGRTDANVNGFMNVRQGLETDTGTGPVASSQSFVDFNASGFIV JR316_0001694 MVMLHHFLLSIVLHSVYVSAAPTALVIPFVTLGSATFVGETSGT VQRFLGILFAQPPVGNLRYHLPQPITYMNGSYDAKKYGPSCGQQSVGLPLLSGLTAEA VNYVINSIFGQVFPTMKIVSLTVNVVKPATATPGSKLPVVVWIFGGGFELGSTSMYDG ALIVKKSQDLGQPIIYVSMNYKLTGIDFLASKEAKKAGVGNLGLQDQREALRWIQKCI TQFGGDPTKVSIWGESAGPISVALQMVANDGNTEGLFRGGFMQSGATIPVGDITNGQK YYDAMVKETGCTGASDTLDCLRTIPYTKLKAVIHKSPGIFAYQAPSL JR316_0001695 MFNAIEDSLAKEQRNSGNLTSFEVYPFPSKLVEIAYPLDTRGFV IPPTLLKVYSGNHMFCMPKCFHGVDANIVVARNAYYNGHDGVHLVCGNFKHHSQCAFQ VDITRLLRESTDMVFTQYALTPTVPMKVPPSSPVHSSIVSLPPLEEAEQSVQLHSDYN PNEEGPNEDNTPRPTYTMALERTNIPDTCFEDRLKYDHRPASICNIPRETATTPSLLD RIETDDAFSTRTCRSTSPSLCQVKTSEQKDKSEHGRDICVVTGPGLPTMVIGAKNNIG TKTVKLDVDQPKQERADAMVFHPSFLA JR316_0001696 MTVASLIEFRLNVILVTLLVLVPFRFNKTSLPCLANELLDEITR YLPRRDQHALLCTGWALHDVVSRQLYRDVSVYDSSAKRFFKTIIESTESYGTYTKELQ YTVSTNNDVSITHALLRRAVPFLANVESLTMIMRTQLSPFLKYMLRYSVHPIQSTYAD AIRLDDKRLRVVDSPITFCNFPKLKKFNLHGDIKVIRFISIKSVLVKICLTEAMDDDS FTFFLNEMAPTGTPNTSLSILCITLQFVESYSRIGTSLYRLGNAFPNIKSLTVRSPKL DALEASLMLTLDPPLFREARLLQFNDWTITTPVIIPVGSGPDHLKVQGIHITKAAESR RNLVSVNFGMIKWAKSVYSGGWEQTNKICVDSGDDDELYPDFDKDSSLFWDVLYINPE PLHEPLTKCFFATVF JR316_0001697 MALTKSMIDRPTHFTLLSSSSEKVLQSPTWDVYKRMSSSFWTVD TIDLETLTESWDLELDHNQRHIFGLALMVLLPRRLGRSPIVDSIYQSLDLFEARCAMD VRTMNENIYHGVISELMSGLITDYAEMDRISSAIHGSTYYAQMQDWVMTWASKTSANA NGSLVDRVLALAVSAGIFNSSAYTILSSSDALVEVPELSHAITMIQSSNHLFLDFVCH LFSGFSKKPCQSHVMQIVLRAVDIEKQMVLELFPLDIIGLTDTEMWWYIEFTADCLLC HLGFTPYFEIDNPFLFAPRRVGPPERVAGHNYELFRFKYIPRMDS JR316_0001698 MSRRSRTIPIVVPALPGFNIRPKNTHQPKPQELSVDFGSLYFSS KPYHSMAITNSKNPLLLPNLSITTHPTTHISSYLQLPALSMYSGYSANQSLTNIPFIA DGGSNHSARFPDLSSPPVTNQQQQQYGG JR316_0001699 MVRFFSSSLTFSTHLSYVLRGTQKHVGWDKKLLKDGIEAALKDK EVESKLQVALGLTAYNTKFKLRVLATRAIASPSRTDDTPHFTARIFDAPGNGRYLGCI HAYPIGYSKQPSFYYPSQDRSPKWARREFVALRHRIERI JR316_0001700 MDSLRSTLMEWLLVKDPRRFVIFPIRYTTIWRAYKHAITTLWEA QYTGLSSDYKEWKQCLSPAQRYVGVVFFKNSIASHGMHTRILDILSKEITVPEAHCFF SVSSRRSLCFNSENVHKEAMAKITHGLTRLRMEGCTDDWGLLHHKKKFVDMWLMPLVY PFSERLLIVIFIQGVFGISLSRILQWFSSKDYLPAMMSTYTRILNDRECHIDFVSLLF YHLKRRPLAGFVYEFVGVIVNIEKGFGNALLNMLNVDILESDMNRYIEYKTDALIVSL GYKPMYGTSNKVFILP JR316_0001701 MTKKKLALGFRPIDPKKSLATKPISKFSSYHIKDEYGQFNQEQE HQRPSAYISKYITVASKTTSLTYESEDVPYYSRNTGKPLYTLNDDVTNLIMEIAMDDY SHLPPGYRKPGNIYLSSICAKWRRTIHGIPLFWTKVSVPLSPKTFNTMECLLRDWLLR SGTILPLDIHIYSKDRVQLKLPITFYDLLLQSSDRLASFYCDEFIQLWPSNIHVSSQL YFSHAKSLQKLSLSPGTSIDLLGIYWANIHEFEWGVFTVNEVMEDIHLLTHLRTLRIR LISKGIFRSTDVHAKKPDIDLQWLTCLQITGDSSSLAIILASITTPALISLAIELDSP GDSTWCIALVNLENRAKGIKTLREFTLSNANITEFELIVILMPLTSLITFNLQRPDFI VSDLLIKALAPLGCSFTTYLLQNLQNFTCTDALIAFNPTDVVDMMYRRHDWKTTKNHL QFPDIASPIPITDIQPITKFITTFINRDCGTNNDFNKYRSRYNIRTHIQQLKEKGMTM DIRKESKT JR316_0001702 MQITFNDLDTDVVDIILRMFYADSGAGTDGINTPPPITIGAVCS QWRNFVRARPMYWGFITLRLSVKNLRIMEELFADWIQCASTNISLDISISTTDVAVDL PSTFKSLILGTHRQWEKFSCDDVGSIWSSFFSTAQSSDPFPLLHTVSFDLYLHDHIDF RPAKNLRYVYISNSSITTPTFNWKHLRDLAWYQTTVKDVEVFLGELRDIYDMELVFDD NEQRKFGKAKSCILNHLGIFAVEGDSTNLQTVLNVITAPNITILCITLVPDGDSCWVN SLLDMKIRSSWFQSLTHCTFSDIDTSEHDVVEILRGLCSMRVLKFYRPQFLLSDYFVS SLDPSFAFGGRCLLPCLEDFECYRAIISFTPNALFATLVHRLALWENRAFAPNAQEYK ITDVRPITLFRVSHDCKEWSPLNGYLSPSHTKEWDKKAQSLKNMGITIDMIFIDLPED NGF JR316_0001703 MSADSGHPTTIKFNTFDVKHYKFSENPRRFETSQQMQHLTLPPN ARTHADEVTNFPFETRILWEKLEALFTGSHPLCRMIHFLRRYEDWIFCKYDAPSSGMR AAIQYRLPSTGLRDIISETPCVTSSVWEQTGSLFAIYRLLDIITLKPEYFGPSISITV PRNEPQIIDDLKDWPSLLRAAKKRIIREEPKAHKRLTTASISNASSTQLAQDGSPEAT LLLCLARNHFKSVLGKIVGNVYCASLHYQVLLAMRGVKDDEVVLPDIPTDTSTFVNLY ASGHPDAASFLSEITPSHLKIPLHIALFISPILLFSNTSWYSRKCDREQLLKASKALG NHRPPALLELEMEIWRIIANQNCDIYSELRQLVSSKCWVKCEQINPEDHAYNFFRPNT TVQNNTNDTEHHSESNESIINQHQPIHPRDLTSAGHNSDIDAEGDDDHEVNPGKLGKV LVPVKEYNETTTTQPPDHALTATHKPFDHATNSEITEKTYSIIQYEKKSDNASAAQYL NNMSVSVNKTSLANGLHIDPRLDSETSERNTQHEEERDDATGGQRPTVTAEKPFPTDE LYIDRDMDSRTIERITQPEVEKDDTLADQQPVDMSMVIDKPSLTDTSCTSRPLQLPLD NGDNDSVDKQTHGISTDELNRNDNDVDMEDGSGSKADVTETSMANVVKHGVGGCLDHD KPSGISEDRGSEVVQDQEQNEGQKDHSPNEVIASKDIPVSSHTQTSGKATTPKANQRK NKKKRNRKPVNISPSEVDSSGGPSDNDENDYIDVDLFDSKIDLDVVSTPKKFWSTVEW VCFNAIGTQKTFRPVAHSQDELDNIHRFLAMVEADYIDGLPMHIARPDESCFMVINYR DHRHPQPSLDDFAERNIVIFRNTSFVDPDPNLFYRSVRHDIGDITSARSIEDLSVDSS HRTDRIKRGTLLQVVQAARTPDHHGKILNTHNIPLPFSGANSFDLSTDGHALRATAGS WKYKTPPPFGDISWGLVSTEGAFSEARISPNGFCTVIKPTHGLNLCITMRPRHPDRDL PSNQRLFLGDFLDYDAPEHHTDWIYEAIVLDPQSELICLGGHFYSSACLENTLTGIIH NFVAGDKAANTNRPTARFFLQQIMNWFYKTLIEGDRDPTDVHEGHIPLCDTQTGYTNM LALCIYMLFANVLDYDTYRNPDQIQSPKTPQQLTQWIMWDVNALNDEQRKACMFACGQ AIVILDWLCKRTKLIHYLNSYGDNQERRSKASAKQFHNMMIRHYARTIMSYTQEATSN GVHGAPFCTEALLKVQVLGACNGELNKLINDEIGQSVPSIPARPMLKLAVICLVECNN TNEYSDQLRTPKELMRLGASMRDMIYTNGLKVKLERVENTKFERSHPSKKPRY JR316_0001704 MTVVTDISPDGRLPCLDVKSVLSNIQIKIIDLEDSVTGPRNIHF VPGTIGYRAPEVYGGVGWSFPVDVFAIGCLTFEIFTGLSLFPKTDDIREYFFCLERLA GQFTPSQAQDVSDMHPAVFRTKTKVPKVRGAAINTKVRNKLLRHVADVKWFKN JR316_0001705 MSLTPSFRLLDEQELQAQHESDFIFVAQRRAFTAFLNSFGKSVT KRPVRLRFAPYSVALNTFHGDNPSNNKQGRDFCVSSTQSKSVNPNLSLPSDTVFPVFS KDNGNARDTLSQTTKINTKPVLGSLSTASNPEIQFFTATSPELEHPVNKQIQLVLRLK WTFFPMFI JR316_0001706 MTEEQIVIIFKAVGQVVGFRLAFDRDTEKPKGYGFCEFADHETA LLAVRNLNNTDCGGRSLRVDLADLDPLLEGKTTVRGRIMDRGYTGSSEYRSRMHLDAN DGGKGQWNDNDTFLVNIPPGITIPSGVSALDHIKWIVAELPESKVREALAQMKAFVIT YPEKARTLLIKYPQLAYALCHSLVLNRFVDPIMIERMLASSRRPAAAGSSLTQSPIDQ GPTGSDSQYPLYTPYSPLHGLHYSSSQLMPTTREQSSIAVKPHAHAQYLLLELHRICH DPSLSPCTSPLTLVEPPLIKQQQQLAQIATAFVEMDPEQQRAISIVLGMTQEHIDNIP EPAKSQIVQVSLLITGGESDVNKAYVLRPVTKCQAILEEL JR316_0001707 MSESTELQSIHNLSTFQEAIKILDQKIRKSLVDGDVAKVMDSHL ETAKAVATTCGRFKEEKEKPQYNFLFAFLSAYGHAFRKREHRSHEFLEYCQNLQREID DPHDEPVQKPTLEGKDDQHSEVHVRQNVDGEGSRIRQDSEGPRRTTRKSRHQVANKRL RSSSAEKTKTVAETIVNDSANHSALIREPSPPLKKRRVQQARQASPELPPPKTLVSIE NSSDNNNEDGYVPSDIPSNINPPDTRVIAPAPSSDTSSGKRITRAMAAVAKGPTSTEN FGRKLTPEPAPHSNVKTNIKVQGRSETKQDCTPPVPTNYVHLQPCAQCADRNINCHVT YKGYSCFYCGSHRVRCDNRGDTIVRRLPEANEDITGPVEEARKHGKPLHRQKRPKRKT GSPEPDENCGHHDPQHHSPALRDPKHSNGKQPISEQTADLNSSQTNQDKHPMGKVHYQ FVYTEAKIKTENVLKSWEAIPRYTAPPKASSSSLPPEKSARAAKDIEEFARNWEAWVA EDMARSEARAPAGPLPTRPTYIRNWEDNKADADNEAYPNEDRLARLEKNITKMNKVMK RIDKQLANHDHGFDYIAAKMAFSMAAIDMLSNKFEVLHSYHSDVLHKLVGVEITMENM HDDTYHRGEEGPCPFRRGSTKDQGIQTDSQTKILDSKGLFSKSSGKVGDETGIGENGE ESENGEEGENGEENENGEEGENGEENENGEEGENGEENENGEENENGEENENGEEGEK GGQDEQGEKFGDKDSDSSAEIDADKMPYIPVHSREKLEASQHQWYGDTELAKDQTDVA TNLRTSDQEGTSHAESNVAEVFGEEDVSSNLEARHSEEPTDGKMKDENITATLSTNNL SLVKFMHSNFREPHPHTTPSTYTNDDLTSTGRR JR316_0001708 MHLNYSMIAQNLLFLDEPTSGLDSQSAWNIVAFLRSLAEQSQAI LCTIHQVFDRFLLLRRRVAKQSTSVTLDTMLKLCCTTSTPTVLAHVFLKKIRAAEYML DVIGAGVTAFSSINWHEVWKRSPGQSGLSRKSRRSIQLDEVNLLLKLISGLNTPPHGA TRSSNLSFKQGAADHYRIAKLILNVAGGFFIGLSFSRTRTVYKTFRITVYMLLVLNQP LVNMLQVPFVATRTIYEVRKHPSGMYSWTAHIIAQILAELPWNILGSCLYFLVWCWTS RFLSGRAGYLYLSVGVVFPLYYTTIALNDVRTLLIQALLTCCVVIGVNIRQRHARLHR ITLAKSRDRRLP JR316_0001709 MDFLNLNQYLELLPPFDIISKSFGYLLKDINAEIETAFIMKYVP INQRWTELDTLGVWNNQIKWRRYQHKCLIDPWHYTLRNFSEFVEFGYKEYAIYALDAN SELIEAYYLLEPILSSKYVVYVALVSRFIDKTDWKKFLEFFNIKDSEDIYKHMTTIHI CMNQFERETMNRPLLVEWHKLQSTAEFVWKILYIKYMDHKFGYDAEI JR316_0001710 MAVVGIDFGTLHSKIGVARHRGIDIITNEVSNRATPSLVAFGPK QRAIGEAAKTQETSNFKNTIGSLKRLIGRALSDPQVQDVEKKFINAKLVDINGTVGAE VTYRFEKVQYTITQLVAMYLGKLRDIAAAELKTVVSDIVISVPGWYTDVQRRAIIDAA AIANLNVLRLINDTTATALGYGITKSDLPEPENPRHVVFVDVGHADFSVAVVAFSKGQ LTVKSTAYERNLGGRDIDYALMQHFAKEFKTKYKIDVLSNPKATFRLAAGCEKIKKVL SANTDAPLNVESIMNDVDASSKLTRDELETLIADVLDRIPGPLQRAITDSGLTVDQID SIELIGGTTRVPSVRQRIQDAFPGKSLSTTLNQDEAIARGATFACAMLSPVFRVRDFH VNDINHYPIKTQWTASPTDPDDDTELLVFPQGNSIPSTKVLSFYRKEPFTIESVYADP ALLPGGINPWIANFTAKEVPVAPNGDATCVKLKTRLNLHGIMSFEAAYVEEVEEREEA APAPAPMDVDGGADGAAAPPPPAPKKKRVVKKKEIPFVATNSSLEKSVVENYKELESQ LHAADKLVMDTEDRKNALEEYVYDMRGKLEDRYASYVKAEEKAKLLAGLSEAEEWLYT EEGEEATKSAYVARLDALKAIGDPIVFRYREVDERKKAAANLRETLDNYMSQATSSEE KYAHIEEKDKQAVIEKVATIRKWLEDQSVRQGEREKSADPVLTSAEIEKKRDELIYFA IPILTKPKPKPVVTPGGSGTQTPNPESTQTPPPPPPKEEESGPSEMDVD JR316_0001711 MVRISPPPSPALSMEYCFETEYTSNISTFSNPTLDVPQTPSLCT CNNVFFNIWSACSFASGNNTLPMFSLWSSTCTARGISLEASNNMKNGGGSMMGIEIPA WADIPVPGNTAFDLQQAVVLSQKLSTRSKWTTMQILLPILSAVLGVLFTLIAIALRSR HLRHRHVYTPTQNSKATAKSRMSVPRFPFISRIIHPSRRVRPLDQRSLPDWVIDEPTG SSASAAGAGLIAPPPSLNSGGTSSMNVNVHTNAGSHASAHARDQTVVLPPSPSDTPHR DSTVPGHPSIYSETPRQSVAAHESVELSIPGSASTAARERNRGVYPFGREAQELNMKR GAAGGGVGTHDIMSGRYVHPATTATNSNAASTSNLPTTSNPTSYFPSPFTSNANSNSN TPGTSTPRTGWGSSIRDVSVRLPNPFRRRPVPIVSTVPTERFRIDGSSEGSASVRESV ALPPPRGTGRVTPLAPNVGEGRVAILDDFYHGDEGIDHEMMLGQEILVYGDSEFDDEG TNLITEDERLDSVRYSESNSRTTHGTSIDSKIRIESPTASTVSPRSSMPGTAGYWPRN KSIPLPALPPVPNLPAPLPPPPSSRMSPRVANIPTDTPIASGSGLRLNSPPANSSNTR MMSPIPEDQNSIRAVNPPRQLELPASIAQTSSTSSVRPLPTRSASGDSDMSVMSSSSS RRPLPSPAISVSSPSTANLQNQHQHQRSTSGSASTRGRLPDTPPLYFNNPNNAHRRSF SNNSASSSSINRTISPPPAHPHPPPPPLPHPPPPRDLFDDPIFVPHRRGLSADDTASF YLTPDTSTSPRSGTPLGVANQSSGAATFASASGSRVDPAVFFPGPVRGAGYGS JR316_0001712 MDITDSMMHPEPDPNHALDALLTNNDEPSKQAVKEVHRLLVKPK QERELLDERIKLLETQLEKLKLKRITVDGTITRYNTIVSPIRRIPTDILREIFLRCLE NTRNPYISAMEAPVLLTHVCRSWRAIALSSPLLWARVHIEFSNDDLVPDIIEDQIVED EFLEYVMPRMSKSRVIEILQRRCEALEEWLRRSGACPLSFSLHYLKTQIAPVEPATTG QVNLQHSDLTHRLFNALLNESSRWSNVELSMPMDTYYALSEGFAQINFNNLVTLRVSS HGNWRWNRRRPQVNPPPSEIIAPNLQRYSFGMPQTVLQKVASPWTTLTFLSLHSAIPL SEASAILTKCSQLVHFKATLTTVHMDWIHGTHSRSRPIVYRYANLPELRALYITDQAD DVLENGFFASIFAPQLSILSYYSDHSSVRFHDPALTAANLQNITQNIAQNLTQAFGHN PIHQMFAQHTTHTYQKPPICALLLKSTKLKTMILDVIGYTSSEILDVFYSASSVQRLI YGCRRTPREREHLLYEYEELDDLLTPYPIGRDLYSPTQWTFRDAFIGSENNPCTLPIL PNLETLVVRSRISALSDQNLLDFIIGRMDPSPLSPFSPLKKVKVKFARKRQVDVQEGV LKYAAEKGIARKVQLDLDYSPPSFSESANLANSAISMGVKQRTNEWNYDRADEELTSI AIAKEAAK JR316_0001713 MQTSPLPKSIDMLLSSNVEPSEEEASKIQSLLVEPTRQLEELEN NIRVLEIQLEDLKAKRTTTKETISRYRAVLSPIRRLPADVLREIFLHCLEKHRNPAML ASEAPMLLTRICSSWRSIAQSSPLLWAQIHIAFPNWIDFLVIEDSGDQSEHVPISQRR HLVTGKLFQLQFEAVVAWLSRSGTCPLSISIHYVASSIRSGYPAFPAENLNVISKLFK VLTDEADRWRHFELSIPKDAYDILVEALEGSPLPNNLVTLRVSFTPGGVEPSFSEPPN IIPSLRKISIDDIGHLTNGISRSRLQVLTFLSIHLQRLSTDVAAFVFKNCPSLIHVKF TILSWQQTPSETMPTIHLPELRTLYIGDDSPNLLSTRGFYDAFYAPKLSTLAFYSLSI GFYPSISPALTPNYPMTPISGLLQKSTRLHTLMLCVDNLSDKQLSEILHSASNITHLI YGKPTPPHRVSTFSPSEVPVLVDGYCETKWDFKSTFFETTGNIDSGSIPRTITPPLLP KLERIEFMESARVKALSNSTLLQFIINRMDPLPSGLISSLKEVKVNFNFHLKKKAEIN VKESLIKLTQEMGISSNVHLSLTYSEYDYSPLRALGVYSEMWVHDRIDEDVSSPPI JR316_0001714 MARGEGRGFRGRGGRGRGRGRGGGGDGNRGGKGRGNVIPGASYL EDELELNIRMFADAPRGSGGKTSRGKGRGGRGYGQNSGSNSGTTTPNRGRGRGRGNDM LSGSGYDSPRGRGRGTPGDQFTGGRRGQFGIGSPRGGGQGGGYGRPDTLSGLLYQERP FLRPIKFVPSVLTKVLFKEEESEELLKPGVEDVDETEQSHIPTAEQVFRVFSGGNIPR LEPEEDDEEEQEEIEEVDFNDVGKLFNPSEEVKTTTIRKSKLAETTIIHEEQFTGFYM DPKPTLTSSFNGPEPTLADQPNSIDADVDSLTKMVEDALSTTEEQPNADDVDISDLQV EAETSESIATATIPVPPHSAERYNVDMITSPSEGLVPSSADPISISPHQPQRDILVVD VPVPQVEEISTTFEETLSVSPKPDDLDKAFVPQSENIGSISIQSTQHAETIAIDVPVV NVDPVIQETPSELASQSPKLNTTATEPEPDLFCIDVQPTSVPAEMAPSGDLLPSALRN DDEDDDIIVYVAPHPRKTDIQGEGTISETPEASTSTNNAPDTSRFVPYVRSAALSATP QPVASSSSLPDVTPAPVSMSSFSFSFSKQSQTPSKGDARLVVPPVSTPRQAKVWKRKR GGVKNRMKTSFGAFGAMREEAMLHREDPRRHERRRGDSDLDWGDSDDDAGEIDEVQVG SDEFMAWKGKGKEKEIQANMEKRKAQDDDHGMDVDPDLLPGMDAMKSFVGGLLGNKAG LHTTMDDIHVEDMIRMEDEQDDEDSEDDEVSSEDESEEDALAAEEAMLISEALEFDDE FGDDISDDEDEDEDEDQTPRTSFQARLERLRNKSRSKKMQDTSFDQMEDDDEEDDDDD DMIKRNMTWADQDEDFIQEIEDIFDENEDVLTGKNRKLRKALFKSIRDGTFDDLDDFG LTPAKKRKDKIKGLPLELQEAWERDRQKKAEYKKARALARLEEAADPLSINKGGKKGR KAMRAAAALDPTITVIPNRIVDMTTLVQQIRRFIADIGGPNSMSLPPTNKETRKNIHE MALAFNLKSISKGKGDSRYTTLSKTSRTGQAVDERKVAKIVRRSGGMGARGDSFIYDK KGKGPSGAMPRHREGDEVGKAAPKLTESNIGFRLLAMMGWAEGDRIGVTGGLDAPLTA IIKTTKLGLGATK JR316_0001715 MAASIALQRRNSLASQRSSRRFSSDTNSLFVSTEEATLISDRID EEIKREAARLKEAKRREIKGKSTLQKQFQLFYASKTLDAERHSWTPVVYFNIIKAIRM IFAELDHEILNYTPNEPMASNAVRQELNGLRVKLLPLLSLENTLASELSGGVAVAGGR TGAYVRLGWQNLIASTLATSSDTKRSRIENRTRETTLLVARTLAVAVDDVEALWMHES IKFYIQKRKIRLDDSASYFLGHIQRIAEPEYTPSNDDILNVRLQTLGIMEHSIPIYTG GRTYDWKLYDVGGARGQRPMWIPYFDDGT JR316_0001716 MDDQLEDIPSPRLPQELIDHIIDHLYDDPLALANCARVCHAWLP TSRLHLFAKVSLKAASPHNGPAVPQDRCKRLHALLLRSPEIIPYIRELEICEGSPLHH THPNVQSSTTWVTTERTLTALFKTLSHVERFDFSSTSIFHWSTLSPTFITALCVLFSL PSLTYVRLHSWVFPDFASLTRLLSHCQNLRALALSSTTINSDSGSELSHAPGVVPEDK DPGDLQHAQRPLEVLTLDYVNFAYLEYWLLGRQQLVDIRTLRELRVAHFQEPHIINRL LRALGGSLEHFHFKPGCWSVYPFDLSVNTGLRSLRLTLEDDPTTAAMDWAMKMLSSIT ESNAVLESVGIEFYAEPKKMTGWRELDALFMQPQFESLKRVEMGLFAIPTHADFIAVK EEMSGLGSRDIVRWYQLGKNRQRSSRQLTPMISRYES JR316_0001717 MAMRYVRDLCRNGSSGFCLASAVVFLHDIDCDACDDAMQAGLFS ATVTSFTVESYQWLQQQPEDEMVQILSHMSAQLKNIQNSSAIPPYAVTQFSVSPSDVR INILWFSSLIVSMATVLIGILCMQWLQEFGRDAARSHKDAIAIRQMRYEGLYKWKVPM ILSLLPLLLQLSLVLFFAGVLELLWARNRAVAICITVVVGLVFLVLVLTTVLPALQSR FTMDPFLKVGQCPYKSPQSWAFYRMTLSISRLYKFTVTCSAKLVLCIRNNVHAKAIDP EEEPHDSEPMSSVGLSHFNRVASEDLDRNWCDYDVRWRLMRDATFLDEDTGEPAEFQD GDDIIHGLKWIDQMFSEDLDSVYYVFHCLLDLGSAQAAQLVGELDEEVAYLPRLLFPT DSRPSLYADSPISDFQVREHIFVVFLWLHRHIHPSLHEPYLECTIRLMNSSSQCVPRL ALRQCDNDLQGVSRETVYQLFTSLKLVVSRCSITEEQGSDIWAFLRDIYSSHPTLDDP IIPLTFDIFEQFQIWLRPIHRVPFGTHYRNRVETCAVGLTRVFASTNGDSLETLRQLT FFHKLEKLVLDLNTIIPSLLSKSAILRGLSKRRWPDLISRLCVANLQQEESSLLVSVS PPRTPLNQEILYPLPGVDAVLSRLEAMETAFIVPEHLTHEVVQSGAFVTDPN JR316_0001718 MQHSEFRPWRCGDSWKFPVPNPKPNKHWEECHDLVQKYDEDTFK AWKGEVDNLLIFAGLFSATVTSFTIESYRWLVEEPDDQAIQILAHMSMQLKDLQNNST TPPYIVDEFSVSASEVRINVLWFLSLTLSMATVLIGILCMQWLREFGRDAARSHKDAI AIRQMRYEGLHIWKVPLILSLLPLLLQLALVLFFAGMLELLWAHNHTVAIWISVVVFL VLLLLVLTTVLPALQSHFTKDPFLKVGQCPYKSPQAWAFYRLTIHLARAHKLMLEWSA RFALCMRKQVLSDIEDDAGFDVTADTASASGLSHFNRLVRADLDKNWCDYDVRWRLMR DATYLDPETGEPSGYQDGDDIVRGLMWIDQTFSEDIDTVYYIYHCLYDLNSTQAAQLV GALDPEVAYLPQLLLPTDEPALYANSPIEDLQVEEHIYMVFLWLHRHIHPSLHNSYLE CMIRLMNSNSRCVPRLALRQCDEDLDELSDETVYQFLHSFKLVVQNDAITKEQGSDIW AFLRDVYARHPGIHSPLMHLTFDIFAQFHAWLRPYAGPLALNDVDYRHRVETCAVGLT RVVALLDAQAIEMLRDEIGERFESLEELVKEIDAAMRRVIGQSAILHGLNKRRWPEVI GKVLGPAGATNSTGTGTGSQEKEGQAAPTSVSISVSVSHALPVAVQDNPPVMYPDVDK VSLPVQIVHTDTVVPGYSAQEVVSNGEPIADNHRHHLQ JR316_0001719 MSTSGLKAISPVPTATDFLDIVLSKTQRKTPTVIHKNFKISRIR NFYMRKVKFAQDIFDEKLGAILTEFPMLDDLHPFLSSLMNVLYDKNHYKLALGQLRTA RHLIDHVAKDYVRLLKFGDSLYRCKQLKKAALGRMATIMRRQKDPLAYLEQVRQHISR LPNIDPNTRTLLICGYPNVGKSSFINKVTRADVDVQPYAFTTKSLFVGHLDYKYLRWQ VIDTPGILDHPLEDMNNIEMQSITAMAHLKSCILYFMDLSEQCGYSVEAQCKLFHSIK PLFSGKPTLLVINKIDVKRLEDVHPDTRAMVQEIIDLPDVQCAQVSCYSEEGVMEVKN KACDALLAHRVDTKMKGSKINSVINRIHVSQPKPRDDVVRAPFIPDAVKERKKYDKND PERRTLQRDIEAANGGPGVYNINLKQDYILANPEWKFDIMPEIWQGKNIADFVDPDIE EKLEALEREEEKLQAEGFYDSDSDIFNSDDEREAAEASIALSHKIKSQSIKKSKKNQA RLPRTATLRTLTELTEEMTKAGLDPSRIQERAEMLAKVQGAKRKRAQEDEDVDMDDDE DEDADSDSDKAEGGENWMDVDDEEGAPKKRVKTNSGAVVNKRVPRSNRQLAGLRDEAQ YDKANELRNLGQRPRNMLAKAGEADRHIRIKMPKHLFAGKRKAGKTQRR JR316_0001720 MAPPPPPPLCFPFSNKKPKRRLSWSSSDSRQPLPPPPAKDQKVV RHPSFSPTSTYTTQADSLTFHSDSEKYDELPSELPVLHKDEKGRSRLNRSNTMTGTKK STTSSKWGYGWGVGKKDKEKEREREDSMNEKSSSQVDLPLYQPVVRRDSRSTQASRST QKTQETHRTYASQNSKASGQSQETYRSGGSKSTAPKPRPPLVSGHTLNPQDSTSTLVG SAFERKINDVESIRMKPDTTDRLDEMRRLMAKDNLDYYVVPSEDAHGSEYVAASDKRR EFISGFTGSAGQAIITKSSAYLFTDSRYWLQAQEEIDHNWTLIRCGAPGSPADWIEWL EGRVKGSRIGIDARMISHEKATLINTKINGKDSKLVYPPQNLVDLIWVDKPTKSLEMV YIQSTLFAGKDAVYKLEKLREWIRQQPAAVPSYSKSEPLPSQMHTATLVTSLACIAYL LNLRGSDIPYNPLFHGYLFVTLDKAFLFVEPQKLHDDVIAYLDTIGVQRKSYTDLWPF LRRREWGEGKVLIAPQTSYAISLMLTHFRYTVAPSYVEVMMSVKNETEIEGLRRAYLR DGVSFVRFLAWLEYKLAQGYDITEYEAGFRLTEFRRKNKYFMGLAYENISASGPNAAL PHYSPRKSTAAMISRDLPYLNDSGAQYYDGTCDTTRTMHFGRPTPEMCEAYTRVLQGH IAIDTAIFPEGTSGRQLDVLARKALWKDGMNYMHGTGHGFGAFLSVHEGPHGFASNVP LVPGHVITNEPGFYLEGKWGMRIESALVVTRVKTRGQFNGDVWLGFDRFTCVPIQTRM VKESMLTKEEKQWIKDHNTRCYDKIAPFLKDDKRALKWLKREAERGIGLAPAAGGISI DWD JR316_0001721 MSYTTRTPRKCTNDENKTPLRRPASTIVFRQPKLTPSQTTPSRE PLTPFKSNSTPISFVDGLTPQTKKRKAQSDIQEQKERRRKEKEVKVLSQQQQENEDID HYLGLLNDGGYTLHSFMSALLRTTHPVRSSQVSRMLVNHGKSLLDNIMARERVVATDW ALSTTRQLAAMEADALAAEFTPSRGQSMFETLAHFSLSGFLQDAERIAPTLYNHFRVV AFPPSSEKYKHKQHDLILATVFCMLAKSRSEHATDFQTSMCLYLLACGTSKSLFNVLN HAGLTLSYSQAVEKLKKLSDELLEETRTIAHLVTFMIIWDNINFAFRVSQQRHDAKDH FDNGTTATLVPLFGVEVGSLPTSLKPPRVVRPQVLEFDGLDLLPSCEEAFRVQNGQLW HIEDILYNAFPDLRSKLFAHILPAPTVHQIPVHQTRQYPLPAMHIDESSLDGTLNVLS TILQTTLQMSEEDVKRHGIIICAGDQLSLSLLDKASAIRRDDTNFLDNIGRFTEGQEG LLHLKFSHARMIANEFWGKPNARSPWSLWKVNTLLARKPISAGWKVKSPAPFRPVYEL ILDLTLPANILDAFRIHCGYESLETWIKTGVTSVDDVRRVSQLVLDKNCSGRRVQSLR ATKMRDIPLENIILFNRDALYLRQLKYAIKKGDVGVVLDLCTHLMLAFRGTGKTPKYA DALFGIVMRLKKMNSTLRDAWLNNWLANLSGKVDGFKEMDLLQEHQNFWLKIIYSAKG SNRSWQWLSMVSVCIFALRDVMRRMHKEYSTPFNSISHTTPTTATDIATLRTHLEAQS LQTYTPKRENNDDCVEARDLLQAGSEYANKPSAYHNFKYAKIKTTHRGTKSSNLSEES VYENANDKV JR316_0001722 MAGGPAVASDGAGYRQFITDTRPWYKNKRIIALNLCICLLLITS STNGYDGSMMSGYILSSFEVEYSSVTAWEDEFHTPHGGKNIGSLAAYPFAPYFADGFG RRPTIFFGACIMVAATILQTAAHSFGMFIGARFLIGFGLTFAAAAAPLLVTEIAYPTQ RAQATSMYNTLWYLGSIIAAWTTFGTFRINNNWAWRIPSALQGLPSVLQIFLIWLVPE SPRFLVSKGREAEALKTLAYYHANSNQNDPLVQYEFEEIKAAIAFDRDVAANVGWLSL FKSAGNRRRMRIIIAIAFFSQWSGNGLVSYYLNKVFISIGITDNSTQLLINGILNIWN FIIAIGAGFLCERVGRRRLFMTSTIGMLIFWTLQSVCLAFTPLIVSYTVEILPYSLRA KGFTIFNFSISLSLIFNQYVNPIALAHLGWKYYMVYVAWIAFEVVFCYFFIIETKGLS LEETAALFDGDETVNMITGTAHVGTTGEKDIDNDSNEKISDTRIE JR316_0001723 MLFAIAPLLREVRIFGVYNYCDFLVPWWQLVILDDTQRFKDDTF STAVLDILPTMGHIQELKLSWGIRAQTHLPFRLLFDNLEVLHVQFWSRCHRGLLDNLI LPNLIELKITGQHRDPTDSIISLIKASWNRTSMLQKAFVAYIPLARTRDHTPSKTDLA ALIVDQEHLLVPDLRVLRILADTVCGDGIAAIIHKIGVSRLIANDNPAAPLAVCVTKL DELTVIFPTDEDRLSELKFLEDGSGSSAGILTVSLPEQIHDFLHILKKSSSR JR316_0001724 MDYETEPQHNEINLIRLVRRLEKSVANVDDWKPSASLRKEEIYL SALKSLQNVKFAKRLVKNIEADDFEPPPKKLQRLDDAKAKLERVESFLSNVEKTTKPE PKEPIPILPTLPVPRPPLTPSHIEPSQQQRSQSPTPQELKEKSPSPALSKNNLFITPP DPEEMSPTLITTALPSLLPSSYPPETATTSAFSRNFGPTPTARKLGGTHASTAVQEEL STQLELMAQQLKRNAMHFSTSLEKDKAVVEQAQAKLEGNYDMMQQERVRLRDHSGKSR STTCMTLGIVILVLLVFMLMVSVIRFS JR316_0001725 MLRRSQALPLSIKFDKSVSNEGRKEEFNNVLKVIHLHCDRWRSV ELADLTFDFGILSGLEEAHPIDCLRLISVQNYRVRDTFPSPNPKYLVPTTLYMNDSSL IIPLIRLDNLTHLEVARVPTDQVRITHFTQHAALSFPVDSLPPTPIVNNTITHAKIRS GFNVSFNLLEYLALLQLKYFYYDIGPSSNHLLRPLLAFLRRSQAHLDDFAFNFTVISR NKSTQLDNRFHKLSQITRIKLKVSTRPNRRLGPLLDKFLGALDNRNSDSYFPNLRELE IIVPPMLMSPVSWSKIDFKRYDSWEVGSDQEYTEENTYVEDTESDDTSDEENLHDICG FKGGIIMDRTTYDLIVHARKSGISVDLINTDGSDILDNVARLGFGEEDNKNDPSTDHS AC JR316_0001726 MTSPTTALPFTFQPGLKPRFKARIWVLIEVLLILSYTVKPFKHR PLVFFVPIAILCLYSLFCTHMIHLEHVANSGWGMACRLTAILLLSSTNILLSDIQHDL QPTKRAKVRQQKKNDDIREGALSRSISEEPFLTRLKWAFMDVWFNPRAINYSDEATNI LPPRPSFPTRRAFVLRQLRDLVIGIFIYDVTQIVARANPYFGFPTPKVEGIQQLWRLG GFLYGVGIYLMVQFQHKIASMIFVGFGISDAEDWRPLFHSFKYAYSLRGLWGKMWHQM FRRAGLAHANYFLKKLGIPRKSTAANFFTLYVVFALTGILHHGGDAMFLQSISKAGSF QFFMLQAVGITIEDFVLKLVGSITTTKEAKANLETTKHIADADVRANDEKLPPWSVRL LGFTWVLVWLSITLPWWTDPICNNGYMREIPEFSIILGLWRGEWLPEEWQPIKE JR316_0001727 MFAPAARSLLATSVRASANPKNAFNKVYGSARTVVTVKTVKYTA TARAQGAGRNGTVKSGNLDLKLASPKELGGDGKGENPEQLLAMGYSACFLGAVQLLAK KQGKEEMAKAAQIVANVSLGESAEKPGFGLTVDIQAVGIDEELAQAAHEFCPFSRALT QGAVVNVSVSK JR316_0001728 MSSWPGPGWQAPPRYMQPDPRAYYQPAPPPPPVAHGWYNFTANP APVNPEAYRLTSPSATQLASLKYPKLNPVLAEDTTLLRYDTRIEPSSAIVPSTFHAHR HELALRNPTTKLRLISRSFPWQIDIPSHKNITCEDIWNALYKSLQEPIRDSEWGFIIR DKDLRATVEGAMKKRCEANKSSEKILKRIDFLGDVTLFRGLEKDDELAKIRCMPGDTL WAETWIVKFIS JR316_0001729 MEASTAATRRLQTLRNHTSSSGKREQGTLLLVLPDGGKVSTALA IGEEKVNEIILNGSVILCYTISASKSYAFDITSIGTRYQGATTHIPKYNLYILSAPLS NSLPPRSNDISIPELWVGIYALFQLYPDNEYIPFVAPSIPNVEELKSYLLTTGLARAY PTSGIAPKNSIPTDDILFLSRATFWQGAGTTGYHRLSWILNPQIPFPSISAFTRNEKV IAQHPLRPSKPQPGEVLYRRWCSHVKQTFEITYFDLEGVHDGSKVLAGPKGLSRHMAA FHKWHNDERVNSAWGERGSLETHRDYIEGVLADPHVLPCMMSWDGELMGYTEIVYVKE DHVAQHYPTGITPGDWERGIHVLVGEDKFLGGGRSEIWLRSLVHYIFLADPRTDRVCG EPNHSNTPIIKVGSKSGFHLETLIDFPYKRSALILNPRENFFKLCRLR JR316_0001730 MGLIAPEMRASASSQKRGRSPSPRRRRSPSPRRSRSRDRDWGRD RERERGRDGGRERDSGYGDRRNGRDDSRERGRDSHRDDRRDGPAEPRRASPQYDDYKR PPPPSGSAESSVPWRKQDSMYPPKQSFQYQGSGGSNFLEARRVQRAAQTVDIWPPSPK APARDLSPRGKKSSKKSKRHRSPTPSDTDSEEEERRRRKERRRARKAREKEERRKEKK HRRHRSRYSSDDDSDDDDDRKHSKHRSKRTRSRSPSRSKSRSKSVRDRSRTPTADRKT PDVAPSKATPTIPIDVPFSSSTSRHQSTSQPPQSQGDSDSGEEVGPQPMYKKGGNKKV DERAYGGALLRGEGSAMAAFLQEGTETRIPRRGEIGLTSEEIAKYEEVGYVMSGSRHR RMNAVRMRKENQVISAEEKRGILKLQKEERERKEAILRDEFSQLVNEKLKAV JR316_0001731 MFAATALKLLAVPILAATHVLAAQNSLQQVFNFGTNPSNIALHV YRPTGLVANPALIVALHPCGGSGPGWFSQTRYADLSDSLKTFLVIYPSSPPNSNGCWE VYSNATFTHNGGSDSLGVASAIKYSLSTYSADPARVFLTGFSSGAMMTSVMAGAYPDL FAAGSAFSGVPYACFSESTMINNPCATGRVSKTPQEWGDLVRRGYPGYTGPRPKIQLW HGLQDEVVNYNNQLEGIKQWTNVLGYSTTPISTQTNDPLSGWTRSIYGPNFQAISAPA THGIPIQENEVLKWFGLVGGSPGTGTTTTTRPPSTTTTYPPEQPTQVQYGQCGGYASM FVIII JR316_0001732 MFAATFLRLLSVSFLAVTYVLAAQNSLQQVTNFGSNPTNVGMYL YRPNGLAANPALIVAIHYCTGTAQAYFSGTQYANLADSLKSFIVIYPNAPDSGGCWDV HTSATLTHNAGGDSLGIASMIRYAISNYGVDPARVFVTGTSSGAMMTNVLAGAYPDLF AAGSAWAGVPYSCFSGPGMWNSACATGQLTKTAQQWGDLVRNGYPGYTGPRPKMQLWH GTQDETLNYNNHLEGIKQWTNVFGYSSTPVSTQSNSPLSGWTRNTYGPNFQAISAPTT HNIPVQANEVLTWFGLIGGTPGTSSTTVPPTTTQTSTTVAPTTTRGPTQVQYGQCGGI GWTGPNVCASPFTCRVINDWYHQCL JR316_0001733 MIHGRSTTSSPLLDSEKTNQVDEREPNHIKNSVPRRDRVSKENV PRRSTRISSSSFTFPTRTLPSRSYTYFSTQSSDRLLKRLPSRVVSHIFFLAVQDNNNV QDSEDGKTFTWHPAILLSAVSKDWRDAARATPSLWTHIVINLSRHHLKIGTTTKMLKR SQNLPLTMTFLSVPPDKNNLKFKKLMVVVQSHSDRWHTVGVHELSLEYVFTDLRSVLA LEYLYIDLPAQSVYSASCMPRIRSRFSVLPKFFFARNCFPEKLPLRWGGLTHLEVCGV RVDEVLKIISQAPKITQLIERVGLLPPKDSFRPPSSPIAQNSIRCLVLNEMGILLISS LLQYITLGPRFNYLDFTYNSQPGTIDILIGFLERSCPNTPLDVFIFSCTVVPPTHVPH DGHWLGKLHQITHLNLNLSTQLGVHGLRWLNCFFEMFVAEEVYYRWLPVLQRLDVTVP FDLPPPTWLLLARIFPCKRGYIEQNNEESNMNYRNSILSIDQEGTSNERPLKYVRIKS ETGQQQKIAYLKQFGIKCPQPQVGNVSANDDDNDLDDGHEKLIMGAEALDLLLSARKS GVTLELINSKGHDVLNGTMI JR316_0001734 MALPDFLLDPNVVLKDQSTWRYKTAPDYTATNANFETGKSTSWA PSSLEFLVQNLVKNWEKEASYKTDPKEWRTISQEKYAFHLNGGPALDANDMLRLGTYN ALIGESGVKDVYETKEMDFGASHKLFKGVMRTFNWEVLELIGAPPKVSIKWRHWGTMT GNYRAKLSSGRTVIAKANHKLIEVFGVTMAEVNEKFEITKLETFWEPESMFRQLITDD MKILAEGETVESVVPVDETGQAQGGGCPVAH JR316_0001735 MSDIPVIAFGPRPDIYYIGLGMRYYAPGMPASAQGTISKWPAIQ IKWMSIDADGAFMARDAYSSRVEYDTRVTPDAISKLHATPAAEYITFGPNKKNFCAIM SGGTWSSYLENENIKNLRVIEASVGGPDVFNRALDGILFGKGSTMIFMFKNCFSYYTD HETENTAVEKLMDDYINRQPPWTIERGSALCQWNVNYYFLKFRNTQTNAIMMHWNLPD AMAQQLADLKASFATQESKQAIANHQQQGMIQATNNFALAVHANNAMRAVFFPSQYGY Y JR316_0001736 MGSDYEYSDDDGEYYDDEEMFDGTQDEGDDVDMDNFGDDFKVAG KGKRKSYEVDYDSLSQQAVEKLMQRDVDHICGIFGVDTDTANLLLRHMKWNKERLIEK FMDNATSVLVAAGVAAPEPASPPAPVRTHNNSAPNSSTTRRPTTRGSKLLSLASGSKS AKSPPSTPKPMQRQLSKKQDEPFVCQICFNDAPDLQTLSLGCDHAFCTECWTDYIVSK IRDESEHSIRCMAEGCALVAPDSFIRSVLLPETGADPEKQEFNEKTWSRLQELFVRHF VGCNSSLKFCPYPSCTNTVSCPSAASKSSLTTIVPTVSCGARGIGNSAQDPAQSQQAS LGLQGKEHKFCFGCDIESDHRPVICGVARMWLKKCRDDSETANWIKSNTKECSACQST IEKNGGCNHMTCKKCKHEFCWVCMGPWSEHGTAWYSCNRFDEKTGVDARDAQSRSRAS LERYLHYYNRWANHEQSAKLSLDLYAKTEKKMEEMQITSALTWIEVQFMKKAVEEVDK CRMTLKWTYAMAYYLAKGNEKELFEDNQRDLEKAVEDLSELLESQIEAEQIPTLRQQV TNKTVYVQKRNEIVLEDTANGFLEGRWRWNVPVPGFDDPVETA JR316_0001737 MANVPVIAFGPNPDIYYIGLGLRYYMSGMPASVQNTIQKWPAMQ LKWMSIDVDGAWAARDGGSLRTEYDTTITQPAIDKIVAFPTAEYVTFGTTKDMYCAVT PGNGWGASLEDEQIDSLQQVKASMGEQLFDQTLKGIVFGKGMTMIFLFSGSFSYYTDR EAEGSQMESLLNEYIYRQPSWTVEPGSVLCPWSIDYYFLKFKNPQTGEIKMHWNLPPT MDANLADLQATFNTPEAQQAIANRQQLGLVQAISNYNVSLSAANALRQTWW JR316_0001738 MKRGQSEMASVETQSLSTKKAKLENGKPAQATPPVPPPTAADQA NGEEWTKVEKRKKKKASKNEGKVEQTTQPRFMYSNHEIVKRSHAINIDDVRDLVLHLI ADAPPPNWLRIDNANMVQKVVALLVPGLTPELLSLPPLPTSATQNPNLPLSIPLLPST ADTASIPFIATTFSHACPTRAPGDQTRMHSVLSTFFTGPVSGEEKKRRLTQRVQSELN KTDPTQYLLTLEQMIENDYPIPSYMADVFEKPTGWMETPQPTGNENKEKQKIYAIDCE MCLTEDGKELTRVCIIDYNTGIVIYDQLVKPTKPITDYLTRWSGITAEALGPVTTTLA QVQAHVLRLLSPPAPNPFSSSTTTEPPPPTPILLGHSLESDLKALKICHPRCIDTALI YHHPRGRPLKPGLAWLTKKWCGREIQTRGEGGHDPEEDARACLDLLKKKMENGPGYGE FKIDFESIFERMARSTAKNGRVVGGVKSAVVDHGNPSVMHGAKANTAIGCKSDDEILE GLLNAIPSHQFVFGRFMALANALGWVTPKASADGPLPSPTTVDPPPSATELAPVLTQL NKHLKTLHANLPPRSAVVIFTGHSDPRRMSILNARKNAFDTALKSGKTPEEMRLAGLT WSASEGRDLEEAVELARRGLLFLGIKQ JR316_0001739 MSNPVPSLPMPMGQARKSYYSQDMHQKPSQNWSARYEPYSSFSS SPPRSSSPPRTPYTTRHTYSYAHIRSSPEINSDGAYHGAGENLEDPSSSWGHRYPRSE HIKYSPTAYTRDSNRSGYSTESYYPPTSDFDTSEYSEDVDIDIPDADSEHTSDSAPGR SSGIDTDSEEDGQEEDEDSFLFGYAGNRRTFFHTSAERGQWKSNPMPFKPAPSILQNR KSAPTLSRVATPTLLNNSRTISEPAPSTSLPETTFVLPPVQDLSDRTTEPSASTSTPA SAVEESCPASNVTEEEEVESPHTVPSLTSDRESSMDVDEHDILSSPLPPSSPPLSPVS FSAARMSRSVSPLSFGHQSEGNGSSSPLSELPDDDDDESTWEPLTVSGVLHDFAKAED TPTSVPVSSSSSDSKAKSKSPSPASAEVSISTSQDPEPTPAPKDPELPLVETVSPTQK ASVSSPSVPSVVSLPGESTPSLSVAPPSNEILVPTISSAEKGKAREHVTVVEKKRSNA SKENDGPYSSLPHSGATKAHADKRKKEQGSEEPVKKKQKTETGGKLRNETAPTHTSLP ASDSSKVNAGPTEQMPRKKTVVKRKVEVESEATEKDTASEVEAATKGKRKKRSGGEPS ASSSSGRRAGKAPRGSGRRRASSSYLDDSAGESDVEAGRGAASEGPLDPETAALHAQV CGLLIETMAMSRASSLAVSTLFKMVMKSQPALKSQRSDKEWMRLFDRVLHSGEAGRGS GLFGKVESSGKDDADRPLEAQWFYVPELDEDQERAALIKAMMPRPAKRSETKKYKQYY WRPLDKISKWDPEDEL JR316_0001740 MPRNAETASYKFNHTMIRIKDPKVSLPFYQDVIGMELLAEKKFE TFTLYFLAFNHDGKDLTPEEKNKQRFSREGVLELTHNHGTESDASFQGYSSGNSDPGR GFGHIAITVGNIEEACARFERLGVPFKKRLTDGTMKNIAFILDPDGYWIEVVPGSLEI JR316_0001741 MPTHCENCKEQNPPKRCSGCKAASYCSKECQETHWAIHKANCKS SSKSEATKSPPLNPNTTPSGSSPGVVHGVKLIAENGRYRPGRFVPFEFKKDHNIFKRG EICPFTALYGVPIILYSPLVHLGKSDVDDKDNQPAVYLRIQPDSGIAPPQWQLSYLGT CYAVRRDRQPLTPLDLEAMYAFHESRLDSDYFEYALDGLPYPYKITPKLFREFSESYW EQEKEFGRKGLP JR316_0001742 MGGYWRPLNVVAVLLTSLYCIQDVSATAAPYLNPGFQFVYDNGN QALPIPVTTQCDKVRLRWSRDTNSTGPLPVAPYSLLVFTSTSSIPYSISAGFGPTFDF QVPFAPNTQYQICMFDTNGVSGGCQQRYTVIASNSSTAPTCQNVTAPSELSVSATVPT GAMSQFSYIDQCNSLTVTPKSGSPPYTLTIAPDYHPPYNITSNSMDPISWQVSLPVGF RFFLSLTSSDGSLWANGPMRVGGLGPSDCLVPGSVSKSHFESIVIGASIGGLFFGVAA GALAYFAYLKFIRRRKKSPSQSYLATAYASGNNPSRPLTAPSLIGGSTIVTRTAPSIV SSMPTLAMPAMPLPTTPPTRSAELPLEPVRHPSSVRSRRPNRSVDPYDLSIRSDAESV ASRGQSSIAPTLVSNNNNNSSSNNYPLDVKRPLPPSPGAVDTSFGADTTAESMVSSSS YITHTPSPPRGRPVSVQSRAPTYVPRSTNLRGAAHTINETEDGEMGELPPEYGRHTND PSLNYAPSVLSSGNRFNLVTAKVVTLSFTIMSLSFWSSARRALTVATI JR316_0001743 MSSLTPSATSAVAAASSSAANKTLSAGSNLKIVGIILAITSGLL IGSSFVFKKKGLLRSQAGGELGEGVAYLKSPLWWLGMSSVFLPNLLYCSCLNSLAAYA FVEAIVVTPLGALSVVVCAILSSIFLNEKLSFFGWLGCALCILGSVIIALNGPKEETV GQIKEFQKLFLAPGFLAFISVLIVTSLVIVFYFAPKYGKKSMLWYIAVCSMIGGISVS VTTGLGAAIVQTAMGDNQFKHWFMYFLFGFVVITLLVEVYYLNVALALFNTAMVTPTY YVVFTFFSMVTTIVLFQGLEASPTQIITLVMGFLVICLGITILQMSKVDPEKLSNKLD RRSTMLLRAASVHTDHMDEKGGMSAYEDPGMDALRGSFGTVGSIIRARTVKRMSQRMS HQSGGSHLRMRPPGAAAPYDATTSWMSSAGLGPGDSLNRRHGESMIGGMQRHQLYDAP VPRDDASSIRAGSVYSQNQTQSQLMSKKPTIKFDSQEIVHSYSRPGQPQSPATHEHRQ AIHGSMIVHDGYPPLPPLPPHSTPDPSPRASAVPEASSTTAGEGVLFEMDSPEIPRGT AGMKLPLLGGDKDLDDNQTLLMPPLLRNEHTVHSAPPTMYRVVPRPGAVGMAHQQHQL PTRKDSRDIFDQERLSTVATRGTLLSFPSVTDSSPSDWEDDFSRADAPSETSRPGGLA GKAKEDKARDRSKEKGSRTPKRYPKGLDDDDLEESQRLWQKATSAENSLENSEEGTIL PPPEGSIRLVQPRKNMI JR316_0001744 MSGAENLPAPGVSFYTPFQNPPAGTASNKQPNGKPIPKLFQPLK IRGVEFPNRIFLSPLCQYSAKDGIVTPWHLAHLGGIISRGPGLSFVEATAVTPEGRIT PQDVGIWSDAHADALAHVVEFAHSQSQKIGIQLAHAGRKASTVAPWLNGSLAGPELGG WPDDIWGPSSIPFTPGDPNPKELTVEGIKKIVNAFAEGAKRAVKAGFDVIEIHNAHGY LLSSFVCPTSNKRTDNYGGSFANRIRFTLEVVDAVRAVIPKTMPLFLRISGTEWLEFV APNEESWTSKDTVRIAPILAEHGVDLLDVSAGGNDCRQKVRSGPEYQVSFAADVKAAH GSKILVGAVGGLYEAKTAEGVLESGRADVIFIGRQFQKNPGQVWAIADELAIDAKFAS QMQWGFKGRFSKVLGTSKM JR316_0001745 MSPLITIFLLVFIGQLVTWIGKTVLLDIAYNAYLWVIRSPLAAR QRALKTQIMANKTELMKTSAQDQFAKWAKLRRSVDKGLADLEKLNSEIASAKSGFAMK FNTALWLLTTGLQFVVGWWYRKQPVFFLPEGWFGPLGWWLALPFAPAGSVSVGVWQMA CRRVIVVGERVVKDFIGPSTVSADPSEKSATSTPNNTPKKESEKKDS JR316_0001746 MAEISEPALDKSAAVEEGTTTVANEGDNAYEEHVQQGPESKRTL PELPTEIWREVFQQITIPPRTVFLSRTEAPLSLLHTCRKWRVLALRTPALWAEINIRV KKTSEAAPDTSYTKIYPRPEILRLKLAASARHPLSISISPAAGPPYIEEEDITAKSVF MLFRGLFMTSPRWKRLSLRLPGMSSGYFLSHFKVVSQFPELETFSLSTTYSMKKRIPS SPRFGNFVADRCFPSQFWTHSPKLRKLDLDITVSGPRFLDPPPRHPPPLTGWATSASR IPFQQLTELTLDGGELQIITMEELFEIIQVARELVTFKALHVRTRSDQPEYVDPTPCL PHLRYLELEICGMDQDAFDPIDDEHVSITVILSYFSAPSLVDLRLGWDDRLDLESLGI FLERSGCSLETFALNDSPIESDDLIACLKLLPTVKSFIRTMLCPLGSNPYAPEWTRLR EFAEWDDETSQFALCPLLEEITIDAGSLELEDEGTEDELNPDEVDDGYFFWEMVKCRL ERSQLPDGTSRFKKVTLTGKNRRPIPEYEMLEKLRLPPFNLNLVEIKDWPNGL JR316_0001747 MSVMPPNYILPPEILNEIFLLALPHAKRGNYDALPTEDHTAVAV SQTCKFWRQVALESSALWTEIYIQLLVIQMPQGGQDRVVTFPRLDVVDLWLERSKQRP LTIVVDGASSDWQLPELLSRLLREIHRWRNVDFQIGNLDKCVLGALQDPICAPKLEAF SLRTNISFEGSDLVSALPLEIWNESPLLRQFTLVAGVVNSDSETYFLNWAKAVVSGIP CSRLTHLCIGGPIGGKERLSISEAISILSMASNLISCILTSVANHDNPVRPIVRKEKL IRLENLENLSITANHRLGLHSTNAAILGPLQVSLILCFVSMPNLKSLVLVDKQFWLDP SLGPLVRRFGCKLQELVLHVLCESHDVIEFLVASPELTQLCVWNAEDVFLSELVRFAK EEYQLDLRRDLCNKLEKLALGKGIYNAEVLASIVFGDTRVSSKERKGWPLQSLIILDE HAEDSSEGEEVVSHNLDLDWLDGLQPKIEVDIIPLSDYIVEWVNEYTGRR JR316_0001748 MLYLTSDDFPIFVPFGVIGFYRYLWYLIRLAAAAAYRPVPLPEN PTYIASEDVTIIVPTIDAGEEFKEAANSWLVGKPKEILIITEEKMLGPLQDLANEVDP ERIRVLTVPYANKRLQMSHGIKNTTTDIIVFADDDAIWPSTMLPYMLACFEDQKVGGV GTSQRVQSVGSRMTVWEVLAAFRLTIRNIEIASSTHIDGGIPCLSGRTAAYRTVILKD PEFLYGFTHDYWLGKYQLNSGDDKFLTRWMVSHGWSTYVQCCKEAELLSTMKPNWRFL KQVLRWTRNTWRSDLRSLFMERHIWTAHPYVAYTMVDKLFNPLTLLVGPCLVSYLIYK STIPIDQGGYHLRWWNIVLSYFVWLSATRTLKLMPHLWHQPSHIIYVPAFILFGYYFA IMKIYALCTLHETGWGTRAGIGDASAATAALDAQDKLQQDEKHNLNNNPYQQHHPPYS ATTPNEHSPFRDHEDPSPSPYRDYPSTPNTGGNTATPVYASRNTSRKASTGGGQRRRG GDDGDDVELQVGYAK JR316_0001749 MSSFASIASQLKFDVVSKTHALDNANKRKEIARTFILPTEEMYS YAALATLGDDVYFEPSTAALEAHIAKITGKEAGVFLTSGTASNQIALRTHLKQPPYSV LCDHRAHIYKYEAGGAAFHSGAAIVAVAPTNKHHLTLQDVKDNIIISDDTHFAPTEVI TLENTLNGTIIPQEEVIAISDYAHSLGLKLHLDGARIWHVAAETSTPLNELCDPFDSV SLCFSKGLGAPVGSCLVGSKEFITKARWFRTLFGGSMRQTGILAGAAAYALTHNFPQL PRVHALAKRLESGLEKIGANILSRAETCMVFYDPSPLGITYDEIGDRGSALPEPLFLG GSRLVVHIQTSDEAVDDFLHIVAQLAEEKKAAGFVRPEAHANGEIKDVYVRRVPKATK JR316_0001750 MKLFAWISAALFATVALADEVKTPPTELQIETTYLPDNCVTKAQ TGDRIKVHYTGTLFSNGNKFDSSLDRGQPLPLTLGIGQVIQGWDKGLQGMCVKEKRTL TIPSDLAYGSRGFGSIIPANSALVFTVELVDLESTGKTREEL JR316_0001751 MACRHLHDYSGQSCHLQGVCDACPRLLVVDKQIEVLQNTITRLV KLRTEVKRRINYNHDSLLGRLPVEIISMIFVFCASGPLPSRTQVTTVLKPANAPMRPV MLEPGLLLGSVCRYWRHISIKTPQLWTRVAIDLSRDIGKLNLIEGWLRRSGGLPLNII LKSRYTSLPPELEPLLSLLRSQATRLATLTLSMPPNAMRDIFIRLPAPTRLHFLHIIV KDVGESPLAPIVLEHALAPTFMKVEKYCPPQTFVRWDNLRCVELESIQMDALVHIFAH GTRLDVVRAYKIKERGSTYPLPSSPIVHSGIRSLSTEACRAEDNFLQYIRLPGLASLT YDVKLDARDLQRAHNLLVNFLRHSASSLNHFRINYKVDVNYEAFPDWKDQWKALPQLT ALEIDITTGEGEEDVLYLEEEMSDFIDAFFRELAYDREPVVLPNLQCLIIKTNMFAQE NWILFANIIPPGEPASSSESNPIDVSTLSLDHGTMTLPVHGSKRPLKRIIVQMRVTYQ SLEDYMPESLWLRFMDLQKKGLTLIVEGPDGVDLLARMAEFYDFKDVDNPYDESETSS DDSDETGTDGSHITDSEGGAEGPQDTDSDAENPLQDVDAE JR316_0001752 MYQRNDSMVLLLAYMGVHRIKLRITVKNCFYNCYNLAINGFNHK ILTGNTPDFVLGLCNEHELEVKAYFNAFLSILNAREYLREKFDNSADIHPDFADAGWN HEDTEETATGTYELSPGEIRFASHKVGITREEA JR316_0001753 MVFGGAAPSKDFNPARDLPSMKGKVVIVTGSSSGIGLAILQHLL RLGAKVYMAAPNDEKVKEALVRVDKEGREPGLGEVIWHELDLSDPRGAKSAAEQFMEK ETRLDILINNAAQITDLGNPKLNADGVQFNMAINYLGPFVFTQTLLPLLESTAANGDD VRIVNVGSDGHKDVKFLDYGSIEAWNHKFRWTLLPTLERYKYSKLAVHLWTNNLTKRL TASNSKVMVLLAHPGAILSDGAIRSLKTLPLPRFWIWLMGTMMYSQELGAHTSVFAAC APRDNAEISHGAYIFPPNIARTQAPAALDEQKQQQLFLFTEELLKSIGLS JR316_0001754 MRAFIITLTLAHLYTQILANPLAQSLKTRQAPGIKQTVGTWLYK GCYKDFGPRILAFRFDVPGGNSAERCTAICNGHGYGLAGLEYGSECWCDNYMPYGQLM SDSDCSFTCPGDNTELCGAGNRMLVYQDSTATPPSPNTCITWRDASSFINNVLQAVPK SGSGPITKLYAIPTNPFTDPLYYTIISTCPAGCPYTDYYNFGLYGSVLRSYNSLPYAP NVGDSQAFTSQSSAVGYAGFCPKPNPLSSNPFIGYPLLSVSGHTDLWSLCTNTTAGGR QDIVYSPVVNHPHYVKSACQDVWIQIVPYS JR316_0001755 MDRRQSTIRLSDDAVDQNGVARFADYSFQRPMNDDSIIAPWIDE PPTPPPKNSKSFGFSQKSSLVSFASLRQNSTTSLLRSIRPSESSLYIPETNKRRSQDD SESAKGMLYSGSSAHSGDLRKAKSNLNLFQKLRTRSSKPHLRSESDGSEHPSLKAPVP PLPDQRHGNNLFNGLPLPMPVASTSTIPIQTQPKKGKPKKRGKEPPTPPPKNEGQEFT GDLDLRSMEGILDPKVLSAGTSSSMFGNPSSPSSGSQSHSEHSSQNNQFEFNDPFSPT SLQDKRKAIIPKGDYRKVSPMTIMPPSDSCLPVSSASSTTLGPGSPTGSHNEGWVPPE SWAVKGTEDPYDNPHTSGNLDSSDSDNSSNGLPPALSGKKILRDKTKGGRRRNASGSS VSLLSTTSKGSRSTIRGNAYQSMPFKVRFSKAGNNATHIISADMVTTVADLTAKLSKR LPPGENHLQHNLYLSINDRERILAPNERPGVIVKQTMEQAGYDFEDGHHLLGVDSLNN LLKFVYKSQLLAGEEKIVLDNYDMVDLSGRGLRTIPVVLHQNADSIVTLRLDGNPMLE IPLDFIQSCTILRDLNLTQMSMKKVPASLRHSTSLHRLNLSSNCIRDLEDACLDHIEG LIFLGLMNNRLDVLPSYFPNLRALNTLNISNNKFRELPLVVTQLENLHDLDISFNPIT ELPDEIGRLTMLERLIMVGNRIVKFPPKAINLHNLRILDCRRNQISDLSVICMLPNLT ELSADHNVVHALELALGPRLTTLNVSHNDITQLSLVPGPMGRPYGLTLLDLSYAKLSS LDDLALGQLTSLRTLKLDHNSIRSIPDSLGDLIWLEYLSCTDNKLDALPATLGKLQKL ECLDAHNNSLTELPQTIWSCASLSKINVTSNFINGWHDPPVPIHEIPILDGSLAAPGA VGRKSSTASIGLGGTVPSLVYSLSELYLGENCLTDGLIQPLMVFSQLKTLNLSFNQIQ DLPSNFFRNMLNLEELYLSGNKLTSLPVEDFHRLVKLTTIYINGNRLHTLPQELGKVK GLQVLDAGSNLLRYNINNWEFDWNWNFNTNLRYLNLSGNKRLQIKAENRPTRYSTSHS GVMLSGFTSLTQLKVLGLMDVTITTTGKDTTVDIPDENSDRRIRTSLSTVCGMGYGIA DSLGKDGHLNMIDLVHEFTGSKHEAIFAMFGRTHYSKTLKPGSTPNRLAKFLHDNFVN VFKTQMGVINTKLGKDGVPPAQWKEGVPKALHWTFLKLNQDLRESLAANPRKNSQASV QAPDQQYNRMGASGIVVYFLDHTIYAANVGDSLAVVSRQGVCHQISRKHDPYDRDEIA RIRAAEGFMTPAGLVNEEVDVSRSFGYFHFFPPLHARPDIFTYDLTEMDEFVIIANRG LWDFVPYQTAVDIARTVARNDKPDPMLAAQKLRDFAISYGADGNTMIMVIWVADLFNS LSRSRQPTLDPVPPYYSKRKNDIRDHGINRLREEVPAPVGHITIVFTDIRNSTHLWEA NPGMPTAMRLHNNILRRQLRFCGGYEVKTEGDSFMCSFPTTLAAVWWCLSVQVHLLHE SWPLEILECEDGKPIYNPQGHLIACGLSVRMGIHSGTPLCEPDIITQRMDYFGPMVNR SARINSSALGGQILCSLDIVREINAKILEVDEETEYSKLQSPEAIEAIRRLGVVIIPV GEVKLKGIELPENLSILYPAGLEGRHELKEASSNPTASGSRVPFSVPQIRDLGLLCLR FEALSTGRVFKPLPDRKRSIQSNPDADDSSTTSMILYGDPNLLLPSINEHSSDSDLML VLDALTIRINNAANTVYQQFRMSTMRDEPPSQTKNSLMSALEQDGILSPDIVAYIASK M JR316_0001756 MDVAFEYRSHPHFFNQLTLPAIEDITVISCRGKWMPGLTSMLAK NSPSSLKSFSARLESIDKDELSSVLIHTPQLVTLNITLPSSSSDILNLASGYQNHPLC PHLETCSFYADDLINQDLTNALNLLAYSRCELGNNADDINVLLPGEVRPLRNLTIEFG HSNTLVHLQHGRLENWSITPTSTLLERLNAHLYEKFPDLPFGYCSQPKKLSIGWDDKL GRILADIESVEVDRAEDIYMSRVHYSLKIISNWANSGHGNCAQRILDKWQPSIEKSLK DRRWAFKQRFALVYISKDNALRNSLESAMTIAYGLKERISLASVLWSTSIDFDF JR316_0001757 MFWRARHYVRMRWLELKLETLSTKHILKTKASLLVSRFHKTVNQ ARRRRKRLAKRIRRAAKNALIVSLPGVTKVQLPPPPNPQLLISNHPPSDLELALIATA IEKAETESRRWTHILDERRATGHSTRAWEMVTLHRIGQANRFASRHKSLVSPIRRLPT EILQEIFIWFAKTTVGSAAPSWRYNNTLPWVLGQICSPWRSVALNTTALWVKFPAITL KNKSKKTNMQIQYLNELLRRSKDALLDISIYSKSLGGQRHSVIDLLCRHAERWNSAYL SLPAQVLVAFQSIRSRLSALQSLTLLTPMVNTLPGPIDLFEVAPVLKKVAVARPLFGD DVILPYEQLVH JR316_0001758 MTVQGVKIHSKVAPKAQAELFTDGALKFLAALHRTFESTRQSLL VAREDAQRRLDSGVPLDFPKETAHIRAEPSWHCVPPAPGLEDRRVEITGPTDRKMVIN ALNSGAKTFMADFEDSSAPTFANMINGQVNLRDAIRRQIDFETGGKSYKLSENPAVLI VRPRGWHLDEPRVTVDNQPMSGSLFDFALYFYHNAHELVKRGYGPYFYLPKMEHYLEA RLWNDVFVFSQSYIGMAHNTIRATVLIETLPAAFQMEEILFELRNHSSGLNCGRWDYI FSFIKKRRADRSAVLPDRKDVTMTVPFMDAYVRLLIQTCHKRKVAAMGGMSAQIPIKD DPKANEVAMAKVRADKLREVTAGHDGTWIAHPLINKIAREVFDQNMLGPNQYYVLRSE VKVAAADLLSTAVPGKITSEGVRENVETCLAYTAAWVGGNGCIPLNYLMEDAATAEIT RVQLWQWVHYGSRVSDTGAPITAEYVDALVKEIAPNLGKIVAGLKEADVNIAAEYLKG QVRRRWPSEFLTSDLMGYLAVRDGVEPGLQRAAL JR316_0001759 MFPRTALFQYAHLDAEIYGVHDQLGELLKERRVNERQVNVGHNI SIHRFPVDVMAIIFHFYDLLNERKPMTSPLVLGGVCERWRDITLQTPLLWDRVVVLPP RDSTELGLVKLWLDRSETVPLSLTVQHKHPSPTPCPPFEDLITLLRLHSSRWTTLKLI APASVIHALLHNLENVPDVIRLRMDIPSNDRMESISMRKLLRPRTFHAKKTSIQQTFL AWDNLTRLDVAAIKMDELVHIIRCGKHLTECTAMYIAKHGRSFPLPSAPFVHKSLKYV KTTSSSNLSDFLRYLTLPSLRKLHYDFLWENAWTSVPALNAFLDRSSASPETFSFTFS LEPQHHQQVDWVTLRDLPRITHMVLDIEHNTRFDMTTALFSRLSDTADVYLPHLRALK ITTPTMSQESWRHFHKFLGGIHNKHDDPNHPRSNIHNPFPIVSATSSLPNTITRKAQR PLESVIIHLKDRFTSDMARMMDPYLPYFLDVEKFGVSLKLVDSMDFDMLHRPPKVTAK G JR316_0001760 MDHGGHGGHDGHDMPTMARCKMNMLWNTDIIDTCIVFKSWHIRT STGFLLSCLAIVALGVLYEYLRGVQRDLDKRIALSLAAGKAKGRERSRTRDGDSGQSE GNVATLVDVEEVDSEEAGLLTGRRALKSSLTGTPVPPVSRALRAAVYGATVFLSFFLM LVFMTYNAYLIFATVLGAALGHYIFGATINVDAILSESSGGKGMACH JR316_0001761 MAKILAWLSGKQSEHADEASSADGGSGENDVVPGVEEYLLDAGM ATITTLQTLSALAPPLSVVSPVLELAVRIVRTCETISQIKGEIEQLQRRVCSLGVLVV NKSQQQQQLPSNGGSDPDFDETIKTLQSTLNSVVKDLETIKRQNKWLLIIWPDLNRGK VVKRMGDLDYALQTYFVSHNVRVAEVLTSLRSTMNRVDENVIIMKDQVQRLMDCTQTQ QPHCSESTGKDDIPTNFDTFYGRVDDVATIVQCLTDQHNGNDSRQHVWINGPPGIGKT SLALELIRHPAVVKTFGKYRFWVPCTKVMSHQHFIRILYTQLSITARSYDGLETLIDE LSATNDRRLVLLDHFETVWDLDGVDESQSRVQGILSRLASLPNVTLLVTITSSQPPPK HPRFQWLDTKLDVLDSEFAVEVFNFHYEGQNREEVKSLLDKVDRIPLLIKLMAIDARN SKMSIADLAEEQGNGRSTSMDNRIKSSFDVILRDSNPEVLELFAVLSMLPAGTTFDRL GKIWAPTRTDPTTGQQKTIATSSNVSSLQSSAFLVNLKATDKSESNKLLLFAIFRKYI RQHNMVSREVKRHVLDACYEYVTKRRSHPDDAHFKSYISELASEEDNIICLLQETDSS APDPKRRAQALVGFSYYLSKKKQSVECARVARDAAHAAKDDRSLAQALVLLGKLCHRV AKYDEACEHFVGAQSIFADKLGDKAHAAECLLDLVRTWRYMEKGTKSISEMKKITEKA NKMLTSTKPKPNSKEAKKGAGQSKDGTDEVNRYRYNVARGKLGLGRHYLYTQDWQKAK VFFEDALAVFQELNNSGSTSECLHNLAKIEATSKANYQRAIELARQALVAADESGEDT LVTYAFELLACYYLITRDYKSATDVLLESIPKTISLGDLLIISRVNEQLAYLYAAVAQ RDKAVKCYDESRVGFGKIQGTPGGRAGRARCERNLEVLRREPFHFEQIELNRF JR316_0001762 MEAISHAGTVLGVLAKDGVVLAAEKKVTGKLLDLSSAKEGGYGG SGEKIYLLNSNVIGGVAGLTADANSLVNYARSAAQQHLLQYNEDIPVELLAQRLCDMK QGYTQFGGLRPFGVSLLYAGYDPHYNFQLYHSDPSGNYSGWKATCIGANNGTAQSLLK QEYKDGIEVKEAVGLVLRTMGKTMDSTSLSSEKLEFAVLTLDPTTKQPRAKIYRPAEI DALLEREGLAKKEDEGVPGA JR316_0001763 MVHMELESDAGRQMEVDAEAGREVESCALDDAVGSAGQGQGEVD VDVDVDEAGKEREEEEEKVLIIVMLWLWWDMGVSGTGKSTLSHALAHTLSFAHIEGDD LHPRANVEKMSAGVPLGDGDREPWLARVRAEGVRVLVEGEKGKGCRGVVVSCSALKAY YRDILRGKRPLSSPSSLSAPTPTPTHAEIQTYFVHISGSPALLLERMEKRPGHFMKAP MLASQLRTLEDPAGEPGVVVVDLERGTGEQVREALRGLRGVVPGEGKEGRGRGFVRYL EEVERGLDVKDV JR316_0001764 MNLKSRARSNSGSGPAPSLRSVKSKTLRMSILPSVKVKFSLRKQ DPHEHEPPVHTKQPLLTLTLTSRSFLDSLVQDASTHEVFYKFNTVGTATTVLRADAKK AFHKTISIKWPRALPTKTGKDYTDGVLIQMKNARWYGGETLLKLGAHPNASRRFSIPN YSQPMKWKRYGHTYWCTTASVKGPVATLTTPKGPGPLTLTIYETLHDKYDPDLLSTIK GVSILLLDYLLLTATLLVTDLQEWMLVRKPDDGSLSLSSLERHEQGHAPSFAPDVPFR SDPKFRKILYGEPIFNKTGRAASPVPSSSSRARTRERERERPRTPASPESGMPTFTPY PESEASSPGPSRTTTMLPPMTDSDSDSDSNNSNSDDEDDEDEFDTFSLPDPHRASALA ESFQFSAEHPPVPPLPVQYAKSLVARERDSERDQDRDRERDRDQPPTPVSSTFVPPSY DAMTMTTTMTAPSMTTDGSGSSIRGSRSASGSTRDRSSSILHGGGGGGGGGGGGGASV YSHSHSYSHSHSHSHSHAQQDARQENAHTHTHTYPEALDLNLNLDLERELERPRALPF GRAESVRSVTSTTSRTGAGAGGGGGGRVRRPLPRPPPVPLLADVTGGAGSGSGAGGSG AGAGASSSSTTAAQAQAPRQARRVQSSSQLSTHPQTQTQIQTELVPPLPQQRPLRSLP PTPGPGAMSMPSSPLVASSSSGYGFGVGYERGGTSIARSASASVAASVAASVAASPQM HLQSLPPISDHDYEYGYDYGYGYTHISNHGQSHAHRQQHQQQQQVRAKASRDDIALSR FTQEREQDPLLAHGHALGHGLEQAHITSPNLSMSAALGTGESEGAFDVPPPAYSSIVF GRGGAGGSGEGVGGGGSGDGGSGGGVGAGASGSQSQSGGGSGSGSGSGGQSQSGSGTG TGHGEAHGHGQVQVEVDGGEAGHQHEREEDGDGAQYAYAYPYAYAYDHPPPPHQHQQQ QLTTGTGAGTGTGMRSQGQELYALYTQGLASHSQSHEHQQEQQQEQAQAQESQTHQQQ QQGQQGQAQAQPQLIEYTYTYSRRPTLADVYDE JR316_0001765 MSSNITSPSNKSNSAVAGENTTASPSGKGKGKAVDVSNEEEDEE EEEEEEDEEMQSGEEEEEEEEEEEDFEEIDPSAILPTGRRTRGVKVDYTSQEALAKAG LQANDDGDDSDEDVEMK JR316_0001766 MFSFNERLGVFLTVEASFLSLVAVASILCFVIYKWLRRTVTTWG KSVVLSKDATDSSLFLNLMFADMIQAIGNMPSIRWMEDGFITEGQLCTAQAAVKQVGI VGVALTSLAIGVQTFSILVLRWRAPKHISKLLVVGIWIFAGLVIGIPNAVHRNTVYYG NTGYWCWILQEFKTEQIVTEYLWVWSAGILMVILYGIMFVVMRGWVILDDEGVHWYRN YRPQHANLAVPETEEDKVSKEIANLMLFYPAVYVFCVFPNSLSRWLYFSGFQTPYQFT LFASTLFALSGLFNVILFFSTRPDLVKGPNVIIESEALPLHQHHRKDSSSISVSRLDA TGGGNSSKLGRLPERNYAYNYSDNTTMANTEPWPSSAVSGQSYTDALISNTSPPPLPP PSGLHSSYDNYSYAHSSGGGAGGEAVYSSPTAFNGALALPAHARTNSYRSDELKRSKE SASLMEEEEEDYGWLPGA JR316_0001767 MAKKQKDETTNVNATANRDIVQRLNFLYQASIYLQSVAPGPSNK GKAREDGRSVDAMNVDEPPQVNTESTSQTARKASQKARKRKVGAKKTTADLARSYVKC MRVVGQKTTVKIDPSLKRSLCSGCSSTLVPGSNASIRVKSSSSQGNILTYTCLHCGAS KRIPAPPNGAIASPSQNSSATPNVALDMDTSATIESNVVPPVSLERPPQISNKKKPRR SRALPLFARPDAGHVTFRGNERLPLDEKTGFGVLLG JR316_0001768 MTQGPPSSEASSSKSPAQFAPLNQPPPVPRPSNTYAPPPPPPVP QADVFTDAQKNLFRSYVGPVSTSTAAGVLPPSRSPVLPMTNSNPYAPMLQTTYNPGPQ RAFSNSMPFPARPATAKTPQPQPQKHVEAQAQPSSVTSQAPKPLDPKTYKNWDQVVRE FLLKTKMTEALKGFENDMLVLNPDWERTVMAEALKEMVDGLQAVLLQTSASFDQKATN DRDPPVDITSTEDTSLNEPLRAPPQINKSISQFLARTRARNDASNRAEFLKTLAEKRR EQRTAEAGSVAIPSCARVDAKPIDRDKQIKYDIAKYGEGPLLSTTKNLNESVAPGSGS LAAGVTSLASVVPPTGDVAPLVTHSQRKRKLAVESEGEESQAKTSKSKIRKGKEKEKD KDKEVVQLAPQDLDEDGASAATSERYPGLDNRLNNVETHLALRYVPTPPRTLMARLKF IEDHIIKLEKEYPPWAALHFNQPNRGWPPPPRATPIIVPTHLRTFASTSATPAVPPAT TGASYSSHTPQTNVSSAPTSSPSGSNILGSASASAATSTNAPASGSVGPKQRKATSSL HKAVLERLEVQRARSEMGGGKGGRE JR316_0001769 MPIASNRRPSLRWSPQCRYVRNKFESFARVIYRVKQRSLAAFSK TKLSRTSEPVHNSTQLSLRSPETVLILSLPGTSESGVLVPPCPHLFCSNEAPTDDEAK LIIDAIKRAEEEASRLNSILLRKQKFSDHCKRNGRGWERAVTHTIDEVNNFIRLHRGL LSLLRQLPVEILQDIFLIHVIDSINPDYNGYFSKHPALAVSQTCRSWRNVALNFPSLW SRLPPIRIQRSRSKTDTQIEILADFLRRSIGSTLHLCLNTANFIPKSADLQFNHPTVN LLCQHAERWTTFTLFASHLTFPNLRTIKGRLNALKGLGLFVSSIGSSMVPGQIIDFFE EAPLLTVVDIGTPFSRAVSLPFSQLKHYKEYVTSLQECQIEKAIASPVLQTLTMKTRN EAYVFPKSTLSNLVRLYAEFCFTPHLNDDVVLCLGNLTLPAIREIRLLSRSDNMTPSL LRLLRNSSPCLSLKTLVFRFWFINEGDLTAILKLTPSLVHLSCTAPATSDIDFVNIAD VTLVPKLERCDFIWPDELSASIPHAINILCDSRCEPLIINPAPFLRLNKLTLSFTGLE GSLLQQQLNRLEGWHPTVTSYTLNALKEQLLERLPTLLYLGYREETDIRSLGENEKVA KLLALINTVMVNEAMDIYSSGIILVLDKIATSQYPSTKNVALAKTILEKWKPMTEDPE NLLRRRWAYHGYRTLEYIPIDSELRTTSPTDIIRGVADEQINWFDFERIQVGSL JR316_0001770 MKNDLDRFSILSSSIISIQPTHEHERAIARVYIRSLDKALQFHH RSWSLLLQFSNLGGRPSGGVGAGLVTPIFLGSLASP JR316_0001771 MSEPPIIVVDDTDTNLITYTGSWASTNTTEFDLLGHSGRPFEGT LHRTSSNASLTFTFNGTFVAFYGTLNESSPLPTPGVPSVQCIILLKNIFITTGFSEVG NNQQFCILDRPLDDEEHTIIVNIIYPINNDHNSYPHSFWFDMIQYRALTPTQVNNSRA LIPPPDPRMRFGPGWTPSFNGPIQFNSGGNRTNVKNSTFTMQFIGTSLSWFGYMDQSI TTEATTASYAIDDETPTIFNINASNPALKILDTNVLFFQTPKFAYGHHTLTVIYNGDV EDNSTPLTLNYVVIQNSSSSSTVPSLDSPSTSSTSPNSSNSRKDLAPIIGGTLGSLAF IVASVVILILRRRKISSSKASYISNIDGSICDDVPPPPSPFLVTTDDITGSKELNDSS SARAAPETELSPTPTVIGNTQQPRKARTRYTYNPSVITTELGEDSREATRAIHVETLA QSKTEDDIPPMLQRPTLSPSRSTVQRTSFDEPQHNSPASRIVLRDEDSGIRLSHSTGG DIVLLPPEYTLS JR316_0001772 MKSASLWSLLPSVLLATSTIAGLSLADIKKVTTLPNVANKFIVE LEDIANIPNKRSFPRSLDAIYSSLRERNVEFEVTKEFDTEGIFVGASVVLNDVAAIEN TPGIKAIRPVRSFKIPQLAKKHVLTGKDDPQLPPNPLSTHLLTGVDKLHAQGIKGAGI KIGILDTGIDYNHPTLGGGIGKDRLVIGGYDFVGDEYDGRNTPVPDSDPLDECQGHGT HVAGIISALPGNDFNIAGVAYEAKINAYRVFGCVGSVTEDVLVDALIRGYKDGNDVLN LSLGGADGWAASSSAVVSSRLANLGIIVAIAAGNDGEEGSWYSSSPGNAHDAISVASI ENTVVPLQTATVHGVTHDPITYFATLPFAVDGILPVYATSNDTTIADDACTRLPDSTP DLSDKIVIVRRGTCSFVQKLNNIAAKGAKVALIYDNGTGFAGISVGNFKATLIQAADG EFLVQQFASGVPVALSFPQSGGSINFPNARGGLVSTFSTYGPSNDFHFKPAIAAPGGN IISTMPLALGGFAVLSGTSMATPFVAGVSGLLLSAKGKSPAVAKSARTLFETTASKVP SSLTDGDPWHTATQTGAGLIQAYNAIHAQTIVSPGELILNDTANFKGLHTFTVRNTGK SAKQYRLNHSPAGTALTIRPGTIFPATGPVPLSTKAASVSIVPSSFTLRPGQSQTVIA SFRPPTGLDASTYPVYSGFIDVVSGSENYHVSYLGLLGSLKNKQVVDNTDAFFGFKLP AVLDATGDIQTAATNYTFVDGDFPSVLWRLVFGTPALRIDLVDPAINLVPTLGARGFR GGPFFTFPHKNKAGSYARVKVVGSLASFDYITRLNEGSDPYSLLNIEEPVFANGTAVP SGAYRILLRALRVTGDATRQEDYESWLSPVIGFQP JR316_0001773 MKLLFPFFRRTLYPILYFLPLVFTISDVPGSYAFQARDLRNGTI VIPKAGLGWANADMVDMRQFTSTGNVSWYYTWSAFPTEADIEFVPMFWGNKSFDQFNS TMQQVLFNNVNNVTTLLGMNEPDIPSQANVTPEQGVEMWLTYIQPFHDQGLRLGSPAP SSSPAGKAWLHEFLTTCGNNCTVDFIAIHWYGTDASQFITYLEDFNATFGKPLWVTEW ACQNYVDLSAQCSFNDVEEFLNITQTYMNQADFVERYVWSDEGFARTQQGQCINRRQW JR316_0001774 MARTLIRANHRAKQSVKIAIISSLPGATDIILPDPPVPRLLISN QPPTESELALISESIQAAQAEATRLSETLNKTKSDREISRALELVTIYKLEAANQFIR QHQGIISIVRRLPVEILQEVFLWVGTSLFEPNAWSSPRSFVIYNSGPQWNLAQICHSW RTIALNISSLWKLPSITLDNSGTRSKLQLQCIKELLRRSKGQPLHLNITCSYDFEGES HPVLNFLCQYAESWETLCVKLPCKAFAALRSLRGRLPALRRIDLSTSSWSWTWSVVEP NDCFQSAPLLTTVYVESPLRAMFPLPFKQLLHYTEDHGIGLTSPTNWTPPNFDFHALT TLSLTFYSEGYVFPHIALSFLVSLEIRVFYNCPTMRSCFDYLKVPAIQILHITADHDN TMPSLARMLNNSSPCPLKKLTLRHPKRVGPNELSELLLFAPELDTLSVNLPMSSSDIL NLAYGYKHQPLVPLLRTCAFHHYKRINEGETTDAAIMDALNRLARSRCEDRYKIESDF SIMSIERLEAFEDFKLSISFEHFEGPMLELHASFNNWSPSTTTSNTLLSLKSELLEVV PRLREGYCSLDHPALARNRKVNDILNSIESMPVGDVRDIVQSAQDPSTLPIRGKNFIQ MENFAK JR316_0001775 MASDELPHEVILSIQRVLDIQPTEEIDRLDGLSQKFNAIAILND FFPDEASLAHLEAVSAHLAETHQELQSEVNSLQSELRVSQDPERMSVIQEMISDLLGQ MSRIREKATESEAVVRNITKDIQVLDLAKKNLITSMTMMKRLQMLVNALTQLEDLIKE KKYSEVAQTLAAVKQISSSFKSYTAVPRINRVWKHIQDVQARLKTQLDADFDAFYLQD SNNKIKAPLIADACLVVDVLGPDVKAHVIERFVALELKEYRRIFRTNDEAGQLDNISR RFAWFRRLLQTHELEQGRVFPAEWRVSWHLLAKFTEISRDDVTTLLTKAGSNLTVKSL LDNLAMTTEFEQAMSKKWATPFKEMLKATDNPHSEPGKPISAAFEPHMGIFVDAQDKV LVDMLAPHRRGKGSNIKVPARASMETTSGTEDNTSSPMVVLPSSTDLFYFYAQSLEQC AKLSTGQALFDLCTVHKKWLRIYAEEVLAVDVKRPVATSRKSTEGRFDLELIKQICLS INTADYCQTTALELEEKVKEKINPEFKEKITFQVECDLFVSSISTAINVILREFEANC DPSFTTLSRMSWSSINQVSGPSPYTGELVKSAEQVVEYIKPRIEQKKYLRNIFDKASS IILVKFTNSLVRSRPLKEIGAEQLLIDLQTIKAFLVKMPGDTLASTSYTRSLNKTTTR LEALLKVIVTPVDPPEGFILNYTLLIGDASFSNFQKILDLKGTAKATQNNLLDSFLTI TSTKTDLESTSFLSSLDMDPPMTGHAGGSLVSPGASRVSLPLGGSEGIFASMTGPGQS GPTTGSSTADNAAGRAENHRREVFSDFRRFVSFGLRKDSTAPS JR316_0001776 MESVVQFIVDDTSPTIHYSPFADTFTTPNLGAGWNPYFNISGFA ASIGEVGNGTSLHITSLDGASLAFQWRGTGIQLQGNVTLASYSVTIDGQSVSVNTDST QTGSNVLVNMQGLEDVAHNITLTAQIPQGQNPPNSSMLVFDKAIITSSSIPVSSNTSF RQDIVVDNDIAFLGRWSFEQIPTGSSFHTSSTVGDRAMATFNGTALLIRGTTSPGAAN YSVTLDNVTNSFSARSSFTDYESLLFFASGLDPNAMHSVEVRNQGGGELSLLVDGFST FVSYKQVSHRLPLLSFTNLSRSLPVPPDSSPTAGATSNMSFPKGTIAAFVLAGILAFI LLAGFLFFFLWYRPRRRRSGQQVHHFVTTGLEDNSKGGGIDNANTTGEGNYGKGEPFE ELSPISPVVDNQRLSGMSGFARWRREAVRGSFGGMSLPLHFRHSGSAEEKSPETIQER PRSEQSFPTSSDSSAKRKARAKSKGKARQITGRSWSPSFTLDLPLQQQGHRQSAGQNS ARITSMGHLSSFVAAEPSPQALRNPAPPSYAMSVSNSGSTQDFNHINNSISNSTSASD PSAGIPSGPRSVSHSPVNVSYPRTHFRENSHGFLLHEGEPSSDPDSQEDTYAHQQLTG PEVIPMRPLTRYDGVSVTTEDNPSITEPSTMRQVLRSLSPRTSEAPQRQPQWRENRTR HSVLVPPSVESPSVHVPPEHEQAEEQNKPELKIRPLPRPPASSTSEEDVEVKDGVFLS VRATSPFHVDFDSRSARLASDESSDGAYATASTGKNASTQPTGSPRRLPVPPTVQMPE FIQGTSRLPFRLTPIKFPRPLMSTSPQSSSKQPSEGHSDGVTSFLDLTVSREGSMRSR SIFTGSDQEKVINEGRLSLPGVTEPRSRWSNTTVPSIATNLPPAPANDSSGESQKLSP SEGLSTDSSTFPIAVQVNIPPSPHHIMDYNLPQARHSRTSRVSGFTQAGDHLHIHPHL EDMDSPTESIPISVSDLHFRHSDSEDLSQRNTVVEGPTHPPLPGNSVEEYPRRAFDPS IIVNRVLGLPSPILTSADHSRSASTAAPTPSFSAFSQRPSNNTSNERLDPSSTSGHPF S JR316_0001777 MSIPEQLPLHLIGTQAFLPALLSYIRSLANTTSLPLDHVIFQSV LVCLIAGDKHLILRTPEEDVSFVVKLVVWLRSKTGISSVSDPDAFLRSLFFPPGSSSS NSNQTSQDEGTAENLKHLGHYRHHSHARSSLKPGTKHQPEYSRSRSFPNNLGAAQDHG TPAPDFPASQGATTTTGQRSVATTPHSPGLPSILKPQPIYPNSMPHAHTDPLPLPRRK KTLSQSKPLQLPHALVLSGLENAADSVQRSLARVLADKKVVLESRRDTTALDSDRTGF VEDGVWTLPDGFIVVYVCPWNARERPALHKSLLDKFAMSADVFISQSIRRDFHSLPFS SSPRAFHPSFVSHSNPGSPSPSHPTPLPPTHTPPVFTKALPLPRKSSAHFNPPPLPDV VLPTSFIKALQDARHRVHISWSSSLYLSDLFSATRHHSRLDAMLLTAKSMKDAEDLIC ASRIIGNDLTGMELVRSSGLIYDGDAAEEDDDDDPSGNENVDSIARDYVRLEDVDEHS TMSAPSRMNAKDTNEQQSLTATTTTTTSGVLDVSEVDVARIVPRVISHRVRLRNGPQD EILSSALYGATFLPHQMPKPPVVSDESQKTADKTPSVKAVLVSILSEV JR316_0001778 MPENNSEGDRSQQKKRRIPGACDICKKKKSDSGEMPGNRCTNCI QFGLECTHKEVTKTLGPAKGYVESLEARLEKMDRLLAKLLPQDVDISKEVERLEEQEA QTNSDKLPRNDDVVEDMAIQLTSKLNLNPQEHRFFGRSSGFQLIQTALDLKQEYTGDI LMQKPLLPSKRREFWDYPSWAIMKDPMHEPDIVTFIYPDADLMPSLIDAYFEQMNCFL PLLHRPTFEKLVAEGLHYSDSMFGSVLLLVCAHGARFSEDPRVLSKGTDSPRSAGWKW YEQVNAFRRTLIKRTVLYELQMIALHVMFSKTGEAPQGIWAEIGLGVRLAQEVGAHRK RRRSDSPPTPEDELWKRAFWVILSIDRFISAGSGRPCGLQDEDFDLDLPLEVDDEYWE NGFKQPADKPSSITFFNCYLRLMDILAYAMRLIYPVKRPKNFFGAATQYSEQQIIVEL DSTMNNWMDSVPSHLRWNPHCEDELFLKQSAYLHATYYHLQIFIHRPFIPSPRNPAPI SFPSLAICTNAARSCCHVLESFTKLSSMPLTELQNIIFTSAVILLLNIWSGKRSGYAP NPLREMEDVQRCMDILKMTERRCALAGRYWDILTELAYAGHMSISMKKSDAIKNGRKR NRDAAEQTTSTSTSLPSSSNEARPIAGNRRVSESQSPPSVPQTPPETALFSLPMYSNE LGRLPVYGQFNFSDSLNTYAPPTANQVTNFDQFFFNISATNQNNIVQPDLSGLANGTL PIFDDKNQPVDNNFVQPVQQPPATDITSIFGPSFDADEWARSIPQMDMDTMNMWSMAP TNLEYVVAVVATIMKRIFVNLSCDYRMDDWNSYISSVEQITQARSSSFGTQ JR316_0001779 MTRVTNFGRKRTHLQAGFTTDDTPTTTQIDEPSTSNGAMNNSNS NDANETLSAANADCAAPPPKKKRKRTPKSKRDGYAAQRAAEAALARGEEPPAPEPETA VGSNESKPYEELSKSGKKKRRIQDKKRKILNATETRRLKRIDEKLKNTICFACRENGH AAKDCPKNPEGGNKEKGVGICYRCGSTKHSLSKCRKPSNPEDPFPYALCFVCNGKGHL ASSCPQNKTKGVYPNGGCCKLCGETSHLAKDCGVREKITDATTVFGTGREVGADEDDF HSFKRKALEIDREEKQENKLKQQLEIKAGVHSDVVKAYGAAPVRPKKVVVFK JR316_0001780 MAMKHWNVMIWLFLVTSSLPAKALPVSPQYVNKLDSITFREDLV GEALLQLQKEHDIKREHLFVQTKFTPIGGQDATQPIPYNPSDSISKQITLEAWRTLTQ LQDEGKVRLIGVSNTYDVRILAALQRVRPVQVVQNRWYEGNDWDPKVLNYCKESGIMY QSFWTLSGSPSLLAHPSLLQIAKASKMTAPQTVYKIAQLEGIIPLSGTTDENHMRQDV SVEELSFFEGSSQFLEDVRKFIKE JR316_0001781 MLPTVVKSLVLWPKSLLIDQNVRSEAGQRTRQVEKRDRKRNLVR ATARRVNAATTRAKASAKTAITASLPVTVGLVLPKSPCPRLLLCNKPPTDSEAALILE AIQAAQAEAKRWSEILKTGKDSGVTSRAWERAIIHKINKATQFVHQHQAVISIVRRLP VEILQEVFLWVAAIPYPRDPSLPLTLVYWDSEYIWYLGQICQPWRTIALNLPGLWGIP PIFISRRPEKTRLQVKYISELLRRSKGGMLHFMIKAFTIDSDGLKTHPVIDLLCEHAE KWEAVSMTLSPEMFANLSCIRGRLPVLKTLQLLTYKGEGDAQLPMQLNNCFEAAPLLT SAFVDDHIQRMFALPFKQLLHYHEHVYVGLSISSTIDRCALVTFSCASRDLDFVFPKI TLPRLTSFHVHLHGSLNSQHCFDNLTLPAVQDMKIIAKAENTLPSVRRMLTKSSPHCL QELTIRFPQSVPANELSELLLLTPDLDVLDTTMPSNDDIVNLSIGYEGRVLVPLLHLC ELRLHAEDKVSDTMITSLKALAYCRGEDSKYITRHNLTTKCEVVDAPEMCVVGIHFSF GEVDDLVQIHSRLEDWSPESTPSKQLASLKDRLYKLVPSLHPRTIFRYNITRDGSTVL MDRILKEIHYVQVKDVREIVVSY JR316_0001782 MSEYQLVIVDDTDTDSIKYTGPWFASKSSQFDYIGSFGKPFGGT LHGTKSNASFSFTFSGTSVDFFTTQEGNNSSSSAVFSVECRVLVSNLYSSGVLSPMMA GSRLRFCNIPTMLDGEHTVIVNVALEANSAGQTFWLDYITYRPSPETPLDNALVAVYT PDPRLQFGSGWTSAEQNYSGFIIPMGNKTTARHSTFTMDFIGKSLTWFGFKNMTVDFE STTATYSVDDGPPTTFNISGTTRTQNVEPLAHQEIFFQTPTYSLGHHTLKVVYDGDAQ ENSHPLTLNYIVIHNGSSDAAAAIPSNPVTSDPTDDQTIAPPNSKSPVGPIVGGIVGG LTIIALIFMLFFLRRRRRYLAVQGNDSYSIDESLHNETPKIEPYVAIQDEEVFGSKSG RLAPSSPLPESSAPSTTVAATSQQNEKSNLRRIQNSGAESLIESNSQPAQARAPPSQR ESLTPRNSMPVIVSNLAGNHTLASQNSRIVLRDEDSGIRLSSSHGNHDEVVLLPPEYT PS JR316_0001783 MAAQRRRKGRGRPFIYGLASDPRKIGVTNPAPTPPDGRPPSGRQ LMKGVAAETRPSTEEIPQRFDQEEVAVKWGDERDGGGLEKKGVARGDSCSPSRPWIIC RRRRSCLNDVYQNFPHRS JR316_0001784 MLNGTRRYIRNKFETFSQIVYRVKQHTKRSAKRSKTTLSRTSKR IRNSTQLRIRASKAVLISSFLGLSKTRIPDPPCPHLLRSNEAPTDEEAKLILDAIKRA KEEVLQLNSLLLRKQIVGPLERGNGHGWEHVIMHETEEVNHFIQQHQGLLSLFRQLPV EILQEIFLIRVADGTDRNLFVHFGKHPALPISQTCRSWRNVALHLPSLWSCLPPIRIQ QSRSGMETQLKVLTELLKRSIRSSLDLCLDTTEFFPKPGSIQYSQPILDLLCQHAERW ETFNLVTSHCTFSGMRSIKGRLHALKVLTLSVPFLSSTSVSQIENIDLFEDAPLLTSV EIMAPFSRAVLLPFLQLKHYKESFTLEERQVEKAMSSPVLKTLTIRAQTGGFVFPKFT LSNLVRLYVEFWYGPHLNDEVEQCLGNLTLPAIQDIRLLSRNDNMAPSMLRLLQNSSP CAALKTLALRFRYINPGQLTKMLRLTPSLVHLSCTIPVASTIDIDNIADFTVIDPPYD PLVPKLETCEFFLPDEPNAYAYMPYALNKLAAIRCEPLIVIPAVNNQPFHPLLPEPFV RLKNLTLAFPKYDVSHLQQQFNCLEGWSGYQSTTSSKLNSLTAQLVERLPELLIYHEP GGIRSWGEHDKVAKLLAKIDAVNVTKAIDIYTSGITFLLGKITICQCPGRKNGALASR ILEKWKPITEDPDKLRPRRWTLQGDRTLMYIPIDSGTAIVRAMII JR316_0001785 MISTNTLGSLLLAFAFIGTHAHTIYTIENNCPAPIKIFINGKSQ GFIETKKSMIKSYTEKWSGFIYSSSNGGTAAGSGSTKAAFIGESGIYYILKDRDSFNT GIGITAKVEGSDKICSKTVCDSPRCRSAFNVAPGRLTALEYSSKESQLCSAVGVTPAR ITAKVAAVTSSLTVPLKDNTTPANIIDAILDKLSGFLTSAKIAIADGVSAGASGEHSS YTVTFCPSGSFPAPKGSVEIHPNGNENKCLDVRGAVFKNGTPVQIYDCNKTNAQRWII NPDQSSQIKVAGTSFCLDSGNVHDSRISLKIWKCRKNNANQQWVYSQNNTIALAGTDF AVDLTDGNTDNRNKVQLYKAYHGLNQVWTTSQF JR316_0001786 MDRDPLSGKPHFPPPPTPIKGERTVNPRRRLAPLQPPPSLVPLP ATLPPGLSRKCAFTYTHTLSVHILPAAYPRAASTPGRIEVPLPEAFIGPKAKEQRTKR LFETAHGMLAEKVQLESVFPDPKLNARVGEVGLWSTVLRIRRDESVSLGEGKKGITLV TTHPIGFHKEIWEPTFRHLIEMTELANSSIRIEELWSLEAVNHGDAALINGIHIPKLP DRSDYGRDIANFVIHHLPDGKDAFGKDLPLQLTRLPESIATERVKHGFRDRNVVTMGH SLGGDATALCGISYPKLFPAIILLETTLFPGSNNRSKLKPAIIVSTLGRRSSWPSREE AKKALLKSPLFQAFDPEVLNVYVEHGTYKDDKTGQIHLKCPPALEASEFAELRTMNEG WELLPTLDDNVELRWIMGGRDDASNLLTREFVGCVRVGGMEVARKTVWRRSKNASNVK LPGAGHLLVQEKPKDVAEDITLFLTRKFGSGEDGRKAKL JR316_0001787 MSASPLSQLACLINNSVVALEKACSDSNLPFPSLDDPFSPASEA FRANPQAEEATKVIAAAAHQLMMMVLPPSVALYTLVSGQFKTAALRVCAEANVTEIIR EAGPQGMHVKDIAKRANLNSGKLARLLRYLATHHVYKEVLPDVFVNNRISSMLDTGKA VNDIIASPESKHDNTPGFAAIVGHHLDEVYKSSGNLWENMSNPVTANSVETNETAFNT AMDVKTTFWETLAQPDQEYRQRRFDIAMKGVAALESADAILKAYDWESLPKDSVVVDV GGGVGATSMVLAKNIPNVKIVVQDQPTVIAEGSTPFWEKEMPDALASGRATLQAHDFF TPQPVKNASVFLLKQIMHDWSDPYAIKILSQLRRAAQPDTKLILIDSVIPFACHDPSA DANTDTENSLRIVGISPKEAPMPLLANFGVANELAYVADLTMLVLFNSQERTVKHLAE LLDGTGWRLTKVVRDQAAGSFLQPVEAVPII JR316_0001788 MSFSVFRASFRNPAANPLRRATQLPKAQFRVKFTRNFSTPPPAA EAKSNTALYAGLGAAAAGGIAYYFYATNSGKEAGTAVKSGVQAAKVSANFVPTKEDYI KVYNRIAQVIDEAGEYDDGSYGPVLVRLAWHASGTYDKDTKTGGSNYATMRFEPESQH GANAGLNVARDLMEKVKQEFPWISYGDLWTLAGVAAIQEMAGPKIPWRPGRIDGFAAQ ATPDGRLPDATQGADHLRNIFHRMGFNDQEIVALSGAHALGRCHRDRSGFEGPWTFSP TTLTNDYYKLLFDEKWVWKRWDGPKQLEDKKTKSLMMLPTDYVLTQDKVFKKQAKAYA ESQDLFFKDFSAVVSRLFELGVPSSQWVTPEPWVMPTVEEQKEQK JR316_0001789 MPSTLSSLAVLINRSIITLEKACSESKLPFPSFDEPFTPASEAF RANPEAEEAAKVIAAAAHQLMMMVLPPSVALYTAVSGEYKSAALRVCLEANVTEILRE GGKEGMHVKEIAERTKVNHKKLARCLRYLATHHVYKEVSPDVFTNNRVSSMMDTGKAV DDLIARPEEKHENTPGLAAIASHHLDEVYKAAGYLWESMSDPATAHSFESNETPFNLG LNVKTSFWETIALPEPIWQFRQRRFHIGMKGIAGLESADAILKAFDWRSLPKESVVVD VGGGIGGSSLVLAKNLPDIKIVVQDKPTVLAEGATALWEREMPEALASGRVTLQAHDF FTPQPIKNASVFFLKQVIHDWPDPYATKILSELRKAARPDTRLIIVDSAIPFACHDPS GDKGKGVIGAVPKEAPEPLLANYGVANELTYAADVTMLIVTNAQERTVKHLGELLQST GWRMTKIVRDHETGSFMQPVEAVPMVKIPVGDTAE JR316_0001790 MPSTLSSLAFLINRSIITLEKACSESKLPFPGFDEPFTPASEAF RANPEAEEAAKVIAAAAHQLMMMVLPPSVALYTVVSGAHKSAALRVCLEANVTEILRE GGKEGMHVKEIAERTKVNHQKLARCLRYLATHHVYKEVSPDVFTNNRVSSMMDTGKAV DDLIARPEEKHENTPGLAAVASHHLDEVYKAAGYLWESMSDPATAHSFESNETPFNLG FSVNTSFFETIALPDPRWQLRQRRFNVGMKGVTVLESADAVLKAFDWQSLPKDSVVVD VGGGIGSSSLILAKNLPDIKIVIQDTPIVLTEGATQFWARELPEALASGRVSFQVHDF FTPQPIKNASVFLLKQIMHDWPDKYAIKILSQLRQAARPDTRLIIIESAIPFACHDPS GDKGKGVIGAVPKEAPEPLLANYGVANELTYTADVTMLILTNAQERTVKDMKELLHST GWQMTKIVRDHETGSFMQPVEAVPMVKIPVRDTAE JR316_0001791 MALNAVSAARGSARQYISTFLTLDNAKSGLFYYVLLVQAIKVKR HLRARGISASLKELYTWISQQIIRLLLRLPATRKKVASQMDQAKLDIENRLVPKGANV TRHLSLPSEGKSLEWITQEMDKMDTELGGTSDAWRQGKLSGAVYHGGDELAKIIVAAY SRYCVSNPLHPDVFPAVRKMEAEIVAMCLKMYRGPEGAAGAMTSGGTESIVMSVKTHR DWARSVKGIKEPEMVVPVSAHAAFDKAAAYLGIKLHSIPVDSYTRQVNIKHVKRAINS NTIMIVGSCIGFPDGNQDDIEALGALAKKYNIGLHVDCCLGSFIVPFLEPAGLAKGDN KGRYKLTPFDFTVDGVTAISCDTHKYGFAPKGTSVIMYRSAELRRFQYYVNPIWPGGV YASPSLSGSRPGALIAGCWAVMQYMGTEGYLSSCRDIVIATRKIADAITDDIPELYVL GNPPASVVAFGSRNPTVDPLEVGDGMRKRGWHLNGLSSPKSVHIACTRLTLPVVDQFI ADLKDCVREAKVAPSGKGTMVSVYGLGNSSAVGPDMVSQLASAFLDALYKA JR316_0001792 MRMLEQEEENDDAAEVTWEDQQRINTFSKLNTRIRLIQEKLEEL KQEKEALDDLSTELELADEDEPVLYKIGEAFLHMRQSRALKRLEQDQASVDAKVAVLA GQADECEVQMKELKVKLYSKFGKAINLDE JR316_0001793 MAIPAHQSSTPKIVPLKYTIASSTPHSGKYGPEHIIQDKPLDQA SRWSGAFQGNTNQWIILRLESLVVLSANLYIILNAYNSPAVRCRDNNIWKTHPCNMKE FKVFVGLSEDHMAEVLHAGLKNDSTPETFSLKHVNSSGVPFPTQFVKIVPILAHGQNF HISIWHTSLAGIIDENYVEQVRNSYEEHRETLVIRYILKHLRQRRLLTPYQSVLSRAN IHLEHPLVTQLHENLVLKGNWDDSEKLLDSLNTSGLFDAHLQSLQPRAEWTRLLGTDN DGDVPPARGGHAMCMDPENEIIYIFGGWNGEKSLDDFWAYSVKEDKWKILSHSTTQET NAPGARSCHKMVFDTKTGDIYVLGRLNDSDGLRLPVPVQSTARPPHGTLPAVPGASQP PQGSQTPATSGESESNRIYSSEFYRYHTRGLLAGKWDFLSIDTAASGGPPLIFDHQMV MDSEAQILYVFGGRVVDGDWDTSKYSGLYSYNVSTSKWQSLHPPNDSSSTFQMIPPRF GHSMVLDSINKMLYIFAGQREDKYLSDMYTYNIRTGISTEIFSNFSAAGGPDPCFTQR AVIDPNLKEIYIFCGLTRNATSNPRSTLRANQSYWVYRYEGQPGKWMQILQQPDKAAA ELPLPRFAHQAAYNPKTKTVYLHGGNAGGALVGDAIKTDGDSTPADASSDQTKDAPKS SKERRLDDFWRMQLERPGPEEIIRQAKFQIRRQQFREMCEAEPPVKALKFLQTQVASV VDHSNTKEAEIFRALLTHLLSPSPAISPSTSESRPSTSSSSSSSSSSSESTSSGSTAS FVGHEDTRENNPRPVKRSRAGKESRAESEIWTSELSTDATLSSFSSVLVGGADRLKGM TDPLEVLIRGQQASEVTLSGPRYSQRTEVFENILNFISDNEKQPDDSLLDLVEKDRL JR316_0001794 MKSAAFWALLPSLLSASLVYAVVPISSVKGKSNLPFVPNKFIIE VDTLSDIPTKRSFTRSLDAVYASLQNRAIKFDVTKEFDSNGVFVGAAVVLNDVAAIAS TPGVKAIRPVVRFAQPNPVKSHVVTGPTDSQLPVDSESTHVLTGVDKLHAQGISGAGI KIGIIDTGTDYTHPSLGGGIGPGHKVIGGFDFVGDAYDGTNTPVPDPDPLDQCNGHGT HVAGIIGANPGNAFNISGVAFSASISSYRVFGCTGSVTDDILVEALLQGVKDGQDILT LSLGGADGWTEGTATVVASRIAGAGKIVTIAAGNDGAFGSWFTSGPGNGINVISAASL DNTVIPLQNATVHGVDHPPITYFDTFPLPVPGNLPIFATSNDTTVVDDACNPLPDSTP DLSKFVVVVRRGTCTFVQKLTNIAAKGGQVSLIYDNGNGFADITVGNFTAVLIQAADG EFLVQQFAAGVPVTISFPQTGGSFNFPDPAGGLISSFTSYGPTNDFFFKPAVAAPGGN ILSTFPVPLGSFAVLSGTSMATPFLAGSSALLLSVKGKSAAVATSARTLFETTAQMIG SSKTDGAPLQTLTQQGAGLVNVFNAIHTTTVVSPGELILNDTANFNPVHQFTVKNTGT SAKTFKLSHIPAGTALTVQAGTIFASDGPVPLVPAGASVTLAPPTFTLRPGQTQTITA IFHPPPQSAFDTSTFPVYSGFIQIAGPSALDILHVSYLGLLGSLREKQVVDDTDVFFG VPLPALLDSQGDVQDSPTNYTFVGDDVPTLLWRLAFGTPAFRVDLVNANINFKPTITS RALGGFGGLGPFFSFPSPHGGGTFAQVKIVGALAEFDFVSRNDDDTSATGDGFSSFVL DTPTFANGTTIPNGAYRVLVRALRVTGNPAREEDWESWLSPVVGVFA JR316_0001795 MATVYEATASAPVNIAVIKYWGKRDTKLILPTNSSLSVTLDQDH LRSTTTSRADPSFTEDRLWLNGKVDEITPGSRLATCIAEMKRLRKETVEDKDPNAPKL STYKVHIASYNNFPTAAGLASSASGFAALVASLAQLYALPASPSTLSLIARQGSGSAC RSLFGGFVAWEMGALPSGEDSLAVEIAPREHWPQMHALICVVSDDKKGTSSTSGMQRT VDTSPLLQHRIAHVVPQRMAAISDAIRARDFDTFARITMADSNQFHAVALDTEPPIFY MNDVSRAIIALIVEYNRLALAQGKGYKAAYTYDAGPNAVIYALEENIKEIAQLVTAYF PQRAGEFKDVLGLYGDAAKDINSEAKVPEGFNEAVAKRFEVGAVKGLIHTRVGDGPRR LPASESLLAPSGLPKTLA JR316_0001796 MSTLTDSDLEDRFQSLGIRKRTCSIVDLPAEILICILLNLEWRD VLRLRQMSKALKNATHDKAVWLHLYETSFPGIPKQLDYPYGLYTVAELETTVVRGLKA NVSWRSGDGDGRVEWVQRDIPGEEEMDRFWLSDSGRWLVVLTSVGSVVYHDLDNAGVP GRTLISRPSAAGQGSARRHMTTRMAADVCPRASNSKLEFNLALYLSQSPEESHFEVWK VRLQLENEGELPVLVAEKLASLERESEALVCHISLLGDDLAYSQTPWTNESAVVTVFN WKTASTHSEGYKYHKQEMYPAESGALYIPVHLLPGSRIFVMTSYSIQLFHYGDAHGQP CLELWHHRHDYVKEFTLSKPYICPETNSIRIIVSEMQRVLGCIIPYSLSKPSTTSTDT DTDANAWPSSSALRMPSGFVLLYDHGQTFERKRWRAAFGYRTGIVHCESAEMPPSSSI DDFRAILRSAKKIIILSGAGLSAPSGIQTYRGSGDKSLWSNPNAVKYSKHTTFEEDPS GSWQFYHHRRLECLKANPNKGHLALAALNIPSVASRVIPSATSVPLHVTQNLDELAVR ALRVLPESSNEGQNRLIQIHGSLFRTRCRSCKHEEQNYKPYLRSSMKKLVRDEIADIA IGDLPRCGGDEWVGSNRYGRCGGLLRPDVVWFGEVPPRMGEIGREMTACDLLIVVGTS SIVQPAAGFASQVQGHSGKVAVFNLDRSNNDDKADFLFLGSCDTTLPEVLDVGGFEHR LANAMYYPQQSPGIQHKLSNHHDTNPWRLPMLVQQPGQQSSVGPPPPSPGYALYNNGA IQHHPGHHPLQHPPLQHHHQSSMSHYPSPPNQHTHQQQHLIAAQGSPASTTPVATPQW QQQLMKCEMVRASRSPHHRARASAMASRTVAKSAIPITNPNLIKPPPTPEQSNGGMGD GSPDGSPVNGNGVVNSQRPSTPGLTTEISRPTAIKPPENTWTSLDMGGVNIKNLPPTS GLFSFTFLINLYLNHNALSSVPLEISRLRHLELLDLSGNNLSTLPPELGMLSQLKEFY LFDNQLTTIPPQFGSLHQLQTLGIEGNPLDPLLKTMVQKDGTRALITYLRDNCPVETD PPERVWKHLIPPQDQDVLAKDPNVESVSIMCYNILCERCATEKVYGYTPSWALAWSYR KGRILDEILKHDVDIICLQEMDIAQYEDYFTANLSQHGYEGVFWPKSRYKTMKESDRR LVDGCATFYKSDKYKLVEKHLLEFSALAMQRQDFKKTDDMFNRVLGKDHLAVICLLEN KHTGSRLIVANTHIHWDAAYRDVKLVQVALLVEEVEKIAHHFAKYPPPPPGFNGIMPP SSEASASSGVNGIDDSSDAASTSASAADESSTRPSPTPAPVYRPPPIYTDGSKIPTIV CGDFNSLLTSGVYEFLNTGSVPPTHEDFMSHTYGRYTSEGIRHRLGLKSAYSAPGAPA EALITNHTPTFKEHIDYVWYSAANMGVNKVLGEIDPAYLDKVVGFPNPHFPSDHVAIA AEFRIKPPRETAPSRQPSTPTSS JR316_0001797 MFTALRSLVALSSVLVAVTAQQIGTNTAEVNPPLLWEECTLPGL CRKVNGSLTLDANWRWTHNVGGYTNCRNGNDWDPTICPDPLTCAENCAVEGVDYSSSG VFTSGNAVTLTLNTVTNSGPRIYLLAPDQKTYQMFKLNQQEFAFDVDLSQVACGMNAA LYFSEMEAHGGNAPSNKAGAKFGTGYCDAQCPSGDNFFNGTANMANNGICCNEMDIWE ANRISNAFTTHGCMSNGPYTCSGAGCQGQCDGNGCAFNAFHQGHPNFYGPGLAVDTRK KFTVVTQFLTQNGRPSGPLSEIRRLYVQNGRVIQTPPTNVTGMPPFNSVSTQYCDAQR KIMGASNLFDETGGMKPLSKAFDTGMVLVFSLWDDPSGGMTWLDGPGAGSCPGGLDTS GGPSVNVTFSNIKFGSIGSTFQPFLL JR316_0001798 MEHLDLERLNSNLPHRNLQPKLPLPEELASLILDDNRIRTEDNS FRRVEELWRAAAIRKLGVKNGLLSWDRLRQSHSTRASPTPFLSEQSNDVSSSVRFHIQ PKLYNPAENTIHVTQKELLLNLKMTVLGISSQYHIWEPTTERFVQIGGGGSDEDRKRD FVLIDGEDEVVSHSFIKGFLKIGTLLRRLETFLSTLRSRSAKEGPTIHSLSHSLSTIL DYLREVLSRCQPSNDQIMADNKIYPLLNIWSHYEIYEEILHALSQLFGRNYQLAPKDY PPFNLDPVPLLSHIYDHVNQHFERQSSSLIRAILSYMLTITSQEYLQEVARSVGFGGR LPESRLKSARKLKDDHNFELDDDDNDEEEDIFDLLDKIETSFPNFFPQRMLNLLPASQ KSLVLLQIAKPDHFLLTSSAKAFSVRWFWSAKEILASWHRTPLSRRPSITHSPPNIFP STSPSKPNIPDFRIFDLEPGTTTTPNPSIQVKNESFTVLSRFIQSFPEELPSLVPTFS ELTSLVFRDLTEHSAKLSSSLLSLIIDEPGNLNFHSHLVILRSYLLVAAPSFKSRLLN ALFSDAGEYELGGSSAHSISVRHHSRKTSKNAGQQPWAVGLSPNLLEREMWPPVGADL SFFLRTVIVDSLDKGKETEDGKMEREQVVEEAGWRLGFAIRDLPTGSGRDKWLDPLSI EALDFLYMDYKPPHLMEILISPDILAKYQRMFTFIMRIFRVESALKSLFRMSTHRNIA ITLFPTLSQSRKLLLHFRFVSQAFVSSLSGYVFDTAIGGNFDPFLAQLSGQHLETELS HDADPAVQGAKFNDVFELSQRHSALLDDVLSACLLRSGQRGVGDLLRHCLELVLEFTI VVGELHRGRIEEYQAAPMIEELFKKYCTKMTMLTKVLKGLVDKGSTSSSLPFATYLHG SHAPTGGLEALYHLLVRIDLTSWWSNHNNLSARR JR316_0001799 MTIFVLCTDGSSKLTTPLVQHLNTLFLNLLIVTNIIQLSKGFGT DLNHWDEHGSEAGEHAQIWYIYNDESAKVDAAVIDGCNRGIDVLLVFSYQQMLPSPSD TTNALLLTLISDLRSSSLNISDTNISIPTPPGSNSPSASQIRWVNGLWFAALSFSLSA ALVSMLAKQWIQPIPNVSGSPRYRARRRQQRYLQLQNWHVFFVINALPMLLHIALLLF FAGIIMLLWSGDLGIVIATFTIVALAYIFYAGSMWMSLTNPDCPYQHPISEELRRWVT RYDGPTKLLDLERTAILPIRAARTVIGHITPSSDDDMDAHCLVWLLQQCTNDDIIAAT LKSIGGLPYDFAAFHVLREAGAIPMVLQHFNNCFRRDISFDSQWHVADAESAEGYCRA WIRLTHGTSHTWPQDLRRPLHTLRDGSTDLHINAIATCTWALDSPESRSSHLSLLSHL SQLAIGDQKYSELSQRWLLETFLECTLSWELHKAVVNDITAKSVPILLQLLQRATDQS GSQNHTTIAAILHSLTVGEVNAVSLWDEKKRYFNFHKIAIPSLAAIIRDPCRFGVEGE LLDFTVTEFSRLAAPAFMSEYFPTHVKDIARQGLSKLYLEGRVGVGVVPDSVLADILQ ILYPPVKITEDQHPLFVKTLVQTLANSNDVNIAISSIRLLERLLTNCPSSVVRSFAEE NGVPALLRSAHAGETDSRRLQIECIRTLCIFIHSSASSSTVGTLCPSHPPAIEKQFDA IFQSDFFIILIAVIDARRWWLEEIAEIWVPSLLLLCQARPHERIWKSVETVLRKFAEL NDGEHGSERLIADLDKMKDIF JR316_0001800 MERAKKGLKGIVDGVSKMELNDAKRKGKRFRERLAKQQATGESL PHAKAGLIVSEQLDNALKQCVAEVESIIQRCRATNRKFRDIEFDLEKDQEQCRFGLPG EDEIIVPRPRVAVKRVTEIFDNPVFFGPNGPKASAVRQGSLGDCWFLAALSAIATVDS GALLRKLCVAHNEEVGVYGFIFFRDCYWHFLLWSMPKFDELKEEEKRLYHYNRENYHN VVEKSGQGLLYARSGTVGETWVPLIEKAYAKLHGDYASLDGGFLADAIEDLTGGVATS FQTADLLSQDKFWRNELQHNELHMRLFGVSLDLDETHSQRSDLQIQGLLPGHAYSVMR VHECRGKRFVVVRNPWGESEWTGAWGDGSKEWTNEWLSALPELGHSFGNDGQFLMEYK DFLTVFSQIDRATIFDPSWSMSWYWISISPQPLTRLWLYGDIQFHLSVDRDTPAVLVL SQIDTRYFRNMQDTEWHLDFIVHRIENSALSKPLATSGISLGSRRSVSCELTLEEGEY VILPRIEAAYSFITRKRNRVLKEMSMSWARVLPQSDDEEEKMEEDVAETDLPTITRQP SFFSSETPEDEENSENNEDEKQDEEVQESKSEGGDAPPATQGYIQVTTPPLFLGMRVY TQNGAVAKVFGRAMDY JR316_0001801 MVFIKSLIVAVLAVVAVKANVLQQSSRTPAACAYVLPNIPGGFS QRRFADFSSNTPSDNPATVLGTYNIGISNYGPVPSTPLTHTFVPENVAFGNGYLGLKT SAYASGSVQSGEIVTNDVFMYASVRTVLKSTATPGIVEGNFFYLDVATGAQEIDFEIL TTTIFSSNNPLVPPGIWATNYNNTGSRINTVSTIPFTFDPRTDYHEYRIDSFFQWFGT TTNFYIDGVRVAQHAEPSTTPTVPGHWIWNSWSSGDVNWSMGPPTADGIYQIRSIDLY TGYTSTC JR316_0001802 MAHEHCNHLGGVATFKLFGMYLRVPQPDYPLRLLLNPGPYNREV RKVIMHGKYLSEPELSSLHDTGKLAKSVTG JR316_0001803 MSFKAMMSPWRGPHPSLAPVTLKGPSVPSTPVRAISLSTRNNVE ITQPFLKRSVFARQDDDFDDSGADDGEDDSGDDDGFDDGSNDDEDDGEDDSGDDSLDQ SGGDDGFDDGDNSGEDAGLDDGTDNGDDNDTGLDTTDDGDDSSDSLDSGDGGADNGDD GTGDADNGLDETDGLDGTDDGTDGADDGTDDTDDGSDTATDGSDAEDDGTDDTADTTD GTDDTTDAGDLTGASNSTDTSDATGSTDDSTDSDDSTDDSSATNSTSTATPSAAASNT QSTAAAAPPASNANSDANANANCAAGATAPNAATISLIKQFEGFVPSPKPDPIGLPTA GFGHKCVKANCAEVPFSFPLSQDTATQLLESDASKFVNCLHGLIGKNVVLNDNQFGAL TSFAFNLGCGTVQTSTLLKRLNNGEDPNTVAAAEIPRFNKAGGKVSSGLARRRAAEVS LFQTPSGTTAHPLC JR316_0001804 MSSRAFCAIWAVAVHLVAVAGLDFTGAQVIWFPDRDADGVTYPA GNATFRRDYYPPAGQTPLSAEILVSVDDDFTLFVNGAQIGTGSLIAYRFCVPLVPDCN VFAIQAENRPLPAPAKSNTGNKAGVIAAIKVRYSNGFTDTIVTDNQWHAILGAPAGFA QVAFDDSAWPAPFIEGAPMAPGAVPWTMTLPAENQDPGPDLTAASWIWSLDETKVATA PVGGVIFRKVVTLPPGQYADTITMDVGCDDQYTMYINGQVVGSGTDYQTTKRYQVNFM PSNTITIAFYAANAGGPGWLIASGQIKGCACGCGNTARVNTDSTWKFSSATPFPTSFI NPGFDDSSWAQAISQGTYTSATLWNKPAPPTKNSPQGGPVPGAPNAPPATVVV JR316_0001805 MKSFTFILLSIILAQAAPITIVNPSLTEKTLGNTFGALDLRAGI IKRVIFPRQDLSANLSNEPDSGDDLSAGLSSEPDSDGDLSAGLSNEPNDDGNLSAGLS NEPDDDGDLSAGLSNEPDDDGDLSAGLSNEPDDDGDLSAGLSNEPDDDGDLSAGLSNE PDDDGDLSAGLSSEPDDDGDLSANLSNEPDDSDDLGAALGVGDDDENDGTSLAGFDRG LEVLKML JR316_0001806 MLSRRPDIARHVRRLVVRPHASKPHMTILENIEVATAVRNIASG MCLDALVRFHWDADELPMLDDMWFALRMGCPQLRFLGISVGATIPQPKSHLYDFKDLL GFSLTLKHGFFDNQIDMFVDEEHAVLRKFWDMLIHRCPNLEELGIDGYSSVPADVHLI LEGRWPSLRKLTLGDVCVDWFNRSISPGEKRPFITFLEAHPSLKSLSLSRHTIQAIHF NTLEPGSLPNVTRFSGTHQQLQALPHLHRMIEYVTFRDPVETRDVSAPTVASLLRDLP SLMSLKIAFTLHSMYDSGNLLRSLIQSCPMLRHLELTCAHKPSFQLDTFAKTIRGFPK LRSLHLTIVKYPGDETLVSGATRIAQSNPRLQTFSLTFIPPVYPVPLPFSFALPNRTL IPFALPVRATGVFEVACDVHGLPLTLTGTEYCRFVWPLGLGVSTRTRKYSTDLRPMGY PGRHRKTGVLGVLSLLVEGSSAGEEIRVLVFCAFLTVLAAFGIAVNGSSGGSRSRTLA QAGASVAAAANGVQE JR316_0001807 MSMPKPVPLPSSVSTPAPIRASWTAVLKDARNDWPYILVLCQGF IMCLGTFVPPTYLQLYAETHGTSKQASFYALAVLNFSGVFGRIVPNYLADKFGALGFA MLGAGTPQGVFPFAIFYGFFFGGTNGMYLPLINSLSTGSADHLKRCGISLIPPAVAAL IGLPITGAILGPDFIWWRGILFASMSMLIATILVIIAKILFDRRNAAAKQSEKLTNSP NPILHIKDEVQ JR316_0001808 MASSSGPKPLSTPEAFVLGGLAACCAVTVSNPAEVAKTRLQLQG ELVKDNSKRVYKNTLDVLVKTTRNEGIRGVQRGLAPAYVYQILVNGARLGFYEPFRQT TNSFIGLSPTEQNTATSVLAGATSGAVGAALGNPLFLIKSRMQAYSPSLPVGTQRQYR NSFHALSSVVREEGLRGLLRGTNAAVLRTAMGSSVQVPSYMWTKRQLVNRGILPAESF WTYLISSSVSGLFVLAIMQPTDTVLTRMYNQPTKTLPNGKIVGSLYRNPIDALWKIAK IEGVLGWYKGTAAHFLRITPHTSVISFSFPRIP JR316_0001809 MLNLTKILGRRLSSRAVSTTAPKYSSSIASCDAMLSRHPVNAAV RYMTLTPPASATSRRVIIPSLVTTHHPHVRGMKTLDFGGTMESVYERGDWPVAKLQRY FEHDTLAVIGYGSQGHGQALNARDHGLNVVVGVREDGVSWKQAQDEGWVPGETLFSIE EAISRGTIIMLLLSDAAQSQLWPQISPLITKGKTLYFSHGFSITYSEDTGVVPPKDID VILVAPKGTGKSVRLLFKEGRGVNSSIAVYQDVTGNAKEKAIALGVAIGSGYLYETTF QKEVYSDLFGERGILMGALMGIFLAQYKVLRANGHTPSEAFNETVEEATQTLYPLIGE YGMEYMANACSTTARRGALDWAPIFEKTTMPVFERLYESVRNGTETRNALKFNGRSTY REDLAKELREVDEQEIWRVGKVVRSLRPESRR JR316_0001810 MSPGKIPVPTTELLGTFLEALGYGVYLVVFPQCLRIMLRRNLSS VITAVFVASIVINFLLITLHIVVDLTRAFTAFTANLSVGGAAEAYYANVNTHLNITKN AAYCSATLLADALLVYRTFIVWGRNYLVVILPVLLFILDFAMSVWFTWSVNEAHPGSS VLVSTVFARSKYFFVATLALNLLCTVLIAYKIWKVQSQVVPYVSGPSRGANALSIILE SAAIYSAALICLIGTSIAGSSVMFLWLNSGSVFSYIILRSSTDIKRFNTTLSIGTNAM MFATQATDKTGATARTQGYKHQSAYLGPRPGLQSDVEGVHVRLQQMVHRDDTDMDSAK VSDSKIDASISGSEA JR316_0001811 MRRLERDRPSINPSDKFSFWEVFRSLSSPQVIFMFIIFFMLGTT LYGLALFLPSIVNQLGFSPNKSQLLSVGPFAAGFFITFLVAYLSDKYNSRGIPSAIVS MLAVIGFAVYLRTDHKFTAYGSLYLTVPGVYACAPMLCAWMANNSEPYYRRATSVAIG FVATNAGGILSTWRFPTKEGPRFRKTTIMDLTFSVLIVVFCLINMLYLNWRNQQKKKQ RTALLAPYADEKRSDGGERAWIELGDQHPDFIYTL JR316_0001812 MSPSTVNAGPSTKPQTDVPDALDMTSRDYYADSYAHFGIHEEML KDSVRTGSYRSAIVNNAHLFKGKTVLDVGCGTGILSMFAAKAGAKHVVGIDMSNIIDQ AQKIIEANGFKDTITLVKGKLEETELPIKEFDIIISEWMGYFLLYESMLDTVLDARDK YLKKGGLIFPDTATLYLAAIEDQEYKEEKINFWDNVYGFDYSCIKDIALREPLVDTVE LKAVVTNPCLVKHIDLLTAKKEDLTFETDFTLTCTRDDYVHAFLAWFDISFECTHKKV KFSTGPQAQYTHWKQTVFYTPSSMTVHSGDEIKGRLQCAPNARNNRDLDITIAYKAEG DEEEKVIQYKMSVR JR316_0001813 MKNPDKAQTPTENPPSYDIATESGSGSSYDGENAPLLNPPDAKT TMNQPLLQPNMYPGPSYTTQPQNIPQPTVYNYVNPMTGEQVVSLLPPGHPEMICLQAG GHVPETHYGLLGILAAVFWFPLGIGLCLLDRRIRCKRCGVIIDDGICG JR316_0001814 MTGPRITGGIQELQTESLIASFPAEVLTSIFIEVKATDEIEHWD GKQLEWIKITHVCRYWRAVGIRARCLWTNIPMYSKGWALEMLKRSKDMSIVATVDFTG SSSVRNYPSYKALGGLLENFSRIQELNLIKAYHMEATPFLDLTLPAGQVPRLHTLRFG GQMYFDDYSDILCFRDSTFFQYLDLSSLRRLSLTDCHLNWTESSQLLRNLTHIRVISD HQNNLLDKGVEPFFDVLRNVPHLESLDFEYFVGPSELPEIHPLPAHFQRVALPNLKIL RLGVQMCQLELILQGLDIPSDAFIKLYCYELSTSFDRFSPVLSAYASHLARTGKHIRT FELSNYTPAEFKIAIWPTVLLLETLHAIDTPPIMETIFCWDHEDAYHPPEMIYSHVLN VLKLEHLESLKLHDYNVVKANTWKTTFGTLPKLASIDLCTTNSRGLWTVLESHVDNND TESKGDNKSLPFSSLRDVAISFKNITCPTGMRVYQGVIYHAVKLRSTIGAGLKRLIIF DAPVLSGEVINQIREIVPVIEKSRTSDKDSYSILKVNAGRCGLNDLDDTDDLMGVWR JR316_0001815 MLKNSVIFPTVHAYNTHISPSRSRSAAKSKSKSKSDPTSASTPL VTYSTQHTSHHYNYNHSSTTTTNGVGITTTSSASVIQIAETNPRPVLIQLAPPPPPRT RRKRVDAFAAEPGPGTSMPIRERSRTDVPRSVSISVSGTTTTSRFGDPSVRYSSEGDR IGLGDALDGLDAYSYSNPNSYTYQHQQHPGYDETTFNDVQNSAAAYNARRISQPITLT YEPPVMSGGLSMLETTETEGEAHEDEEDEDFEDVMEEDDMAGHEDGGIRVGMYMEDLE GDLALEDEMQKALSRSSSMATSMSGLSTGRRRGHARTHSMTKRHVAATGGTSGTKAAS NKPKSSTKSKPKSKSSKAKKAAAEDPGMYIRKRGSMPIPPSATKRLFGFEFGWEHHAQ GSANSLGAAVGRRGRRQSAGVVKKGDDVGEKGVERGQESSFMDLQGTGIGANASTAVA VAVGVTTRKLKRHSTPAGSIYARSVIGGGVKRKQEKWEEVFGIGTPQAPSVVAPTMVK MEKENGVIAAGMKKLKKKRSQSVTRPDHTDDVNPSARAGILPDLPVAKTSNSRSSALP NGMSSNSNFKIPASPSGPSTCDSGYGDHRSSRHANRASLGSGSSIRRGLQLKRSFKLS VGRTLGPGLTLASAGVGGGEEWEEHRRKADRERGDAERRERQSDETIPTDEDADVDVD GEIRSIAAARLVSASIARATPAATIVTAPSSAGLSTPSPPPSAYQAKPRSSPIDIAYP TLSSMQQGAGAARPVLPAIVTSPITIPIPTPSAIPFPLSAPASRRQGNGSSPDAQSPS RQQPHTSASTWMPHPFADAIEQPMPNVSSSKSYMTSASDGRSGASVDDDVVQLEREME EIRGRRGNGANAMDSPTTQLREFAEMAAAQAGESMSICAPTPSPGLSSSMTPSVTSMF ALAHAQAQNAAPAPPAQTQPMDKEKEKAKMKLFGGGHFQKRLALSSPPVSKSDWGPQS SGAGNTGSSGGFLYRFPMIFPNTFAGSPPDSRPAVNGGGNAQTNHPVPRPSTPRGGST LRLSAMETVRSTFAIVGARMSNATSRMSVTSSTGASLRNGGGHGFGEMVLEDIDGDFM DLRDPFASPPPASNIATVAIPSSLQGRKHSGKVPRGDGDVDRNVLEEADALKRKMSTW GRLPMPASTSAAGNGSSTVSGTAHKRHSFVNGRPVVRVVGKPSSGHHRKHKKEKRSRK VTLPSMSSAMGPVKVGSPEDADFGLEEALLSQRLLNRLDSGDWESRV JR316_0001816 MVASVVPGLLLAASISASITRTTATLSSNWDQRRSTNITVNPNT ALPGWTFVGCFTDANGAAPTLQEHSLIDETNMTPALCMEFCGNFSTPLNFAGTELGNQ CFCDFNIQGAPLQVNDTLCNVACAGDSTLTCGGPLLVSIYQNNNEGVGPLPTNKATVG AFEFAGCLKDADGTTVRTLSTPLTVDISDGVTAESCTVTCLENGFTKAGLEFGHECWC DSKFNVALGLIVAPLEECSRACDADPTELNAIDTHQPVGNRRMSGYLYQQWKQCLCIY YQLTYD JR316_0001817 MPLVSLERLLVLVAFISGTITAARPSEWNKRQSDTTSANPNDAL PGWTFVGCFSDVNHGTVLIGQSFADETNMSPALCTAFCGGNSSTPFNFAGIEHGDQCF CDFSIQGIPIQVNETICNLPCTGDSTLVCGGELLLSVYQNTNEGVGPLPTHKATIGEF VFAGCLRDTDGTDIRTLSTPLSMEDLPDGVTAELCTSTCLERGFTMAGMEIGRECWCS NDIDVPLGLIIAPIEECSLACDADPTELCGAPKRLSMYTVPLPPPGEATQLTPVSPAA TGVCVSVSSSASASTSVIAISSVSAATTAATTSSESSAPASSLSTGTTTVDTSSPTST SASASASSATSIAPGTPTSGVPPHRPNFTSISSITTS JR316_0001818 MLRCTRTPLPNRLLQSLPRRHLSSTPTPKAKAKAKSSPPKTTPP TPTNSKPKPNSQPKPKAKSRPGPTPVPPPTAPTGPHSQLQTPLSNLANRAFVIESRVP FWQAKFRAPQSTPVVLCIVGFAVSSLYFSSTAIPPPPPPPNSSQSTEDPPSSSLTSTL TSPIRFILRPIFASPSERDAAQDNWRYYAGIATGGAALVLALCTHMVGARYVRYMAVV PPKPGMGAVGSAGKVGAGKKGTGTASIEIYSAFRRHPISCPISQTTLISPLLDPSSPS PSSSSSSSSSLTSTPKPTTTTTGRPTLRTIRIQGPPSSPRRLGWGLCMYTGGARVNGA VLTQYETVELLERVWVDNGGRIDVGRE JR316_0001819 MAPTSFVNPSSLSFAPQSFTPASPAPSSSYTHDEDDHDDIDPTH SAGPARKRARTSTTTAGSSSTTKDTSSLSLSEQRKEARAHRNRIAAQNSRDRRKAQFS YLERRVSELEEENRRLKAGMGAPAPAALPASIPVAPAQPVFAPPAGTFAPALSSAVEE HIRAEREKERERENEELKERIRTLERGWDAVVKALAAQGVAAGLFGAAQASPSSPSSS TPASSTSNTTTTTAIITPSTTPTATTPSTINSNSTTVNSSTSTKLEVPTATATTFTAF PSPAPSHSSLDFESSSPLPDFYTHTTASPLSLSLQQPSTTTTTTTTPQIQQQHRHQPT PVPALSLTLSPTPSSSPSHTNEPTRHLARVATAGGPSLVRSASLQRVGYSEGVWRDSV LDSVQVSVPVQVAQVQVPTEMEAVSVSLASPPRTVQAYVPPYIRAMRLKAQQLQQQRQ QLRQPLQQVQAQQQQWAAQAQLAMGERMIRLWRPSFARSSRRHGRRMRVCRK JR316_0001820 MRSTLLSIVLAAVSITQIIATPETTNTATSGSAQTIDDATVLNF ALTLEHLEKEFYAGALAKFDQNAFLAAGLPPWARGRFSQIADHEKTHVDFLSKALGDK AVQACTYNFPYNDPRSFAALSQILEGVGVSAYTGAAHLINNKDYLTAAASILATESRH AAFVSSAVNRMSAWNGAFDIPLSLNEVYTLAANFIVSCPSTNAALPVKAFPALSIQLT APGETAAVSAPSAVSPPTYIVFFSGLDKIFVKIMDGKVSIPKDLAETVYAVATTSDSA ATDDTIVAGPAILMYPKDSNNSLMAKKA JR316_0001821 MRQDFLSFALTLVSITGRLVIGTPVMRDAVIDDSVVLNYALTLE HLENAFYSGALAKFDEAAFKRAGLPAYARGRFQEIAAHEQAHVNLLSEALGSLATSPC TYNFSYTDVKSFAAFSELLEGVGVSAYIGAAQSITNKAYLTVAASILSTEARHASWVA AAVNKFGGWSGAFDVPLDFNQVYSIAASVIAACPSTNPVLPVRAFPNLTLSNIKPGGN ADIKIENLVANGSSVDPTFVVFLTGLEKIFVPVHDGKVIVPAGLSGQVYVVATNSSTD ANDSTILAGPAILEFERDSHGHMAN JR316_0001822 MIRLVRPISLLHLHIARPSPAKQITSSQFSFYRKMSSEAPAAGT HKDPVTGEMISKQELKRREKQRAKEAAKASKAPPASAKPKAAEAGPAEADLNPNQYYE MRCRQIQALKKSQDPAPYPHKFHVTMSLTSYIKTYGPEGKIAPGDKLPVVESLAGRIH NIRSSGPKLIFYDLHGEGTKVQILATQQDAKDPESFIATHEHFKRGDIVGVTGNPSRT KKGELSITPHTMQLLAPNLHQLPSGHFGLKDQETRYRKRYLDLILNNSTRDLFITRSR ILNYVRRFLDDLGFMEVETPMMSMLAGGATAKPFITHHNDLDLDLYLRIAPELYLKEL VVGGLDRVYEIGRVFRNEGIDLTHNPEFTICEFYMAYADMTDLMEITEAMLEGMVKAL TGGKTTITYHPDGNKDQPDSRKVVLDFKRPWKRYDMITTLEEKLNVKFPPGDQLHTEE TNKFLRELCVKHNVDCSEPRTNARMLDKLVGEFIEPLCVSPAFIVGHPQVMSPLAKWH RSRPGLCERFEGFMCGKEFCNAYTELNDPFEQRLRFEEQARQKDQGDDEAQGVDETFI DALEHGLPPTGGWGLGIDRLVMFLTDSTNIKEVLLFPAMKPQDTVPPAATTGGHPLGQ SGQI JR316_0001823 MSVPLPSAPRVTLAPNVFLQPPLSRRGIGPGLVLFLPDISFSPG VTKPLDPEPILKWAEEGFAVVGIVNSEGLNVEKALTQGVKALSELSQVDIKDKFAVIV YEPTLIPIVSDVVSMDSRVVALVGYGSFPSSSCKTPALLHLASTSSKPRDKNVPTAGS ITQYSYSTDSPNFVLPQSPSYTPGNASVAHSRTLSFLRKHLGGPFFDLEAIWDEHTLY EFGERSVEKTMSTMVAEPYVNDIPNMTGGIGRKALTDFYQNHFIHQNPADSTLEVVTR TVGSDRIIDEFVCHLTHDQTIDWLLPGVPPTGKKLSIPMISVVNVRGDRLYNEHIWWD QATVLRQAGILPTYVPFPLLDDSDAPPPLGEGHRQLLRLPVAGAECAAKLVNETKEKS NGMFGSKWGLQTHPRN JR316_0001824 MEECIELLNKKVPLVLPYDLERDIFELVALAFPGHAFKLCTLSK YVQEWMEAIMYETVVLDLPLTTVDLFLRTFYSRPASFFAKTVKRLYITSAIDFPESLR IISACSGAITMSCWTDRLGSKDNVIERLSTQRVERLSVKLYSLWGNTTMPRQFTKALF PNLTHLEIVNPPSQSCLSFQVDWEGLRELPKLTHLALGHLFQTVHLYTLPFLQTVFED CPNLRLLLLLSSDKQFMASLEETGITRDPRVVLREQFNSPKSLVEYWDCVRHGGPDFW TSAEELVAERTSKK JR316_0001825 MAFVNNYRPPTPPKLDLSLNKDKPYSINCNIDLPKVLETDRVQL VPFIPSLHAESVYETISSNPQIERFLPISWPTLESFLTFIETFIRQQYDTALFTIIDK TRTPASGPNNHVTNGRIAGLIGWLHASPQNLSVEIGPVIVFPEFQRTFVSANAIGLLL RFFLDLPAQGGQGFRRVVWCASPANEASIAAAEKMQFVKEGVTRWLWILPEGKEGGRP VDGTRGAGPGRDSVVLSLCWDDWEAHARDEVTKRMERV JR316_0001826 MVQPDKRLLWHPRYDDKFVVGGNSQITLYEWAAEYPEIRHVTSQ HDLHFMKCFAWSPDPSFDDLIAVGLSTGKVDLIRLEAGKQAQRKNVLSSGPSVTLPVR NSRTCNALAFNPVDPNYLAVGLDKVRGDASLILWDISTSALSLTLPVHNQSGEVSSVL NTMSPLPSRPLPLLPRLESQARIDPRIIQQYAPTEIVSSLSFLPDSSNLLLAGISLRW LRLFDLRSPAATVVNVASKVHGIATDPFDQHRLACFSDTAITVWDARRLAQPLLTFSE KDAFADGARVRTGNGIAYANIEFSNTRRECLATLERDADYVRFWDLRESRVQALDGGA GASGAGGSSDGETRTSRDSSRATRRSWAANLAWGSERSTQSQSSLKERDRSRQSGPGQ LMHVLADTRRTKSFPRPLSSFALVPMSRALANSGETHYPLASNVMVVNKDGDLELYAI HDTTKQVAWSARGDLAIGAGVGMRVIEGYKEEEINNVEATQGNTDSGGINEFGYRTGD VGRDRTRERGKGKMSRSRSHPSRDGSRVRGRSVNHEGSRVGAHPTLSASAAPIHTGSN ASGGPPALFGRGDEEGFPALTPSPSLAGVGSNSSTGTGNGVSTETTTSSSSTTFASTA APVMGGITSFMATTNPSSGHTVSAHTGPTGLAASRPGKTRTYSPASIRKYRSGERGEP RALAKRSLSRGDTVLIDDETGGNGIGTRGSGAGRQTDEMAQSSRKEQESMGLHSVRIS RERPTNMRANKAREKEARKQGIIHVVQDDISMIMRRRAKAGYGLSQPHHNISVTQDDH EPSDGTTQHLSELWAWIYHSQDVLCVPTPRLHGYDFSYHGLLGIWEGIPPTGSSNIPQ PSDDRDVQRSLLLDLPGQQQGQGHHHTHTNSTGSSSEYTRQQHRSHTHGRSTQRSRSP ADDWYGNWGTALNIIAARRGVDQLSWRPPVTTTKLVQRQVALQLCGWSLREEELNLAI KRWEKEGKLSRAACWLVFSGQYSKAVDLLMRSDDETHQMMSGTVAALAPLTSSGSGRG LELREHYGRLIIRLHDPYFRVMLTHLALGDWTEVLEEEVIPFRERIAIAFQFLDDKAL SSYLKRTIEHARLHGDIDALIITGLTPTGMNILQAYLDRTGDVQSAAILSSYVCPQRF RDKRAEKWLEAYRDLLDGFKLHHLRVGLDIERGQILHDAIQNGDMPPEEWAPRQILIR CHYCNKPVSTPAVGAGMGLVQSLKGRPTACSNCSRELPRCSVCLMTLSIVHDAAREID LGYTHHSDTFDDAIVICQTCRHGGHAVHILEWFTGEDGQRTHDICPVADCECRCADEF JR316_0001827 MINGLQSVTEWEKFFNFPAGGKLGLFNAIQNIGSLCAYPFAPYI ADGLGRRSTIWMGAAIMVIATVIQTASQNFGMFIGARFLIGFGLTFGASSAPLLITEI AYPTQRAQLTSVYNSLWYEPHQSHQSITESSFNLQGILEASLPESPRWLVGRGRESEA LETLAYYHADGDRNDPLVQWEFEEIKAALAFDREVAANVGWLSLFSTPGNRRRMRIIV ALAFFSQWSGNGLGCIVAVSSGFLCERVGRRPLFLSSCLGLLLTWTLETICFAVYSET GDKAAGNAVVAMMSFCYFFVVETKNRSLEETAALFDGTEAVLMVTEKAAENAGLKKRL ANEESKKESSEKIEQAMIEQI JR316_0001828 MERPPGGHRPNPYQTYPQPRSANPQSALPSRGQNPQPALPTRGP NPQTALPPRGPNPQSVVPPRGANPQQNLPNRVQNPQAAVPRPPNPQSAPPRAPIPPPL PIPRPPNPQSAPPRVPNPPNTTARPTNGRPATPHPAHPQPQHPQIVHPHPTYPNPNNP YPHNPYPTPNPHTTHSRPAPSNTLNTHSVPINGHTTRPPPQNHYQDDLDDDGDDLTDV PHQQDVDRRARRLYLQKIAHTTVEAINNGIVDVSKTMRRTRLYTPDSPELIDWQYPPR HSWYAPNRNPANILVLGMSTLQGVQYFYSGPSLLATSSSEPMGTATGTGTTTNVNTNT NGDGEGVARIGVLNFASATQPGGGFLEGARAQEESIARSSTLYVSLTTPAARPFYAAH AKDSGGGFYSHAMIYSPNVHIFRDDNGGWVKPHQVDVLTSPAVNAAHVRRASRFRHIP PAQLEANIEEVMRERMARVLALFERQGARNLVLGSFGTGVFKNDVRKVARVWRELLVS QGARFSRSFENVAFMIPDDETRAVYESVLNPRRRGRNSSF JR316_0001830 MDTEMNASSESQSPNHTQPTPTPDDISGLKPRYPVPDPEPIPTP VPSPHPHNPTTQAAFVPDDSAQAHPLHQDEQQAPREPTVDPQQSAADVDPAGQEPEPE PTHDDPHRPHTGRYQPSHPAYRLSRFQLRKIASTTLRRIELGYYIPPSSPTRDTVGTN GNGEAEAGGSDAVKGNGKGKGKENSQVDDTPEEDRKPIRRRYDLAVKVDWTNAHTTYW APDAPELGGPWDVEEWSGADLDLDEEMDAFVQPESYSQLLSTFDGNNEESQMDMDDGV KRKTRILVKEYSTLVGARKLHNYLREHPPASGNTTIGVLNFASAKRPGGGFIQGAQAQ EESIARASTLYPSLISEPAKPFYAHYTENPSDAFYTHAMVYSPRVVIFRNDRGAWMRP VEVDVLTCAAVNAGEVRRLEKEARVEMERAQQDRIEWEEQMGKLRDRVRKAEAARELR RREEEEAGENGTEIRVRIVSRKLRPSGHVMDRPTTPEGDIETTSASEPTFSSRPSSPA ATAFSSPRTTELELQPETELESEPEPESKPELIDLTATSPTPPPAPAPEPEPEPTPLR TAQETERLIASTMYTRIARILALFKHANAQHLVLGSFGTGVFQNHIPVVAHAFWDLLC RDGAPFAGAFESVVFAILGSGTVRVFREVFGVAAGSGEGGGGGDDEVDSDGLEEGDVG TADIGVDNQCERQDGADGSTTVQGADAPSASEESSLPEKLVDMVNVNGA JR316_0001831 MAARAAYPGIFFCFAAMVLLIFVSVSVPTWNKVYFLRVGPLVNQ LRYGVFGFTGSSTNIGYNFTNDRLNSTILHNLTKTLILHPIAAGLSGIAFFFGLCGAA NFHRSGAIMMTLFSCLALLTTFVAWVIDMILFGIARQRYRDAGEESQYGNANWLTLGA LVALLLGFCTAACGSFGRYRSRRDPVVY JR316_0001832 MSNEDAHKELFDAGIVIRRKVMGDDYVDNQLRAGVSEFMKPMQE LVTEAAWGTIWTRPGLELKQRSLIVIALLASQGKEAELTGHIRGAVNNGATEIEIRET LLHVAGYCGAPSGMWAFRVGDAAIKKLKEEGKLPQ JR316_0001834 MESVQATHEYPKRLGWIGLGAMGFPMALRIAQCVEEEGFTLSIY DIDTNAMDRFVESVDNARRGEHAGPGVEIRHMGCAREVAENSDCIITIVPEGAHVKAV FLTPDTGLLAAIDISGKIFIDCSTIDIATSQLINESISAVYTSNLQSKEQVSPPQPPH FFDAPVSGGTARALSGTLTIMLGASSSSPLLPIITKLLAPLTSHPGSLQALGGPTLGL AAKLSNNYLSGLIALATSEAMNLGMRMGVDPVVLQRCFKGSSGASWVNEAVNPVPGVC PDAVTSKGYEGGFKIQLMKKDMGLAVAAAKQVGANLVLADAGLAAYSAAADDPRCRDR DSRNYPSVRVTLKAIPSTGAIWGFYEHLSNSETKDELGSLNLLTPSRILRASQNEIKL GRVCSLNWEVHKPHPAGYARKGLDHRVFRMTAVGATTVVDDEVSMNTQCGSQWDGLKH WSYYKTRQFYNGLPAEEVLDENGNGTANAFDKPMRNGIHAFQGKIVGRGVLLDYYSFA IEKGISFAPYKHNFVTADDLDECAKAQNVTFEQGDILFIRMGFVHWYENATDEERKVA LKPPTNAIGVKQGLKEVEWLWDHHFAAVASDTPAFEARPNKLEWNLHDYLIALWGTPM GELFDLEELSNTCKELGRYSFFVTSSPLNVVNGIASPPKYGMFSSCLGIQRNLLRNSA LAIF JR316_0001835 MSGVLPGNLSQSTVDQQILINNYLHVAAITCLFYDYSVTFGTEV TFIWEPPKSLGAYSFLVNRYFAIIANIIIVIPGIGENAHSAQVRSIYILQVQLSLRVY ALYKRSLRLLMFMVMVASTLVGITCWTLFHQMTAIDDTELDCKLGISHRTPYSSISPQ LMSVRVRSATRLAAAWECLIAYDTMLFGFLVYKACRYRRRWPFDGHLMPLHHALLQDG IAYYLYYFTDVLALHLQDTDTISV JR316_0001836 MVYPAQKHVLKTFEHLVKLLPEAERTKPQILLLAGGAVEFRNDT DRELVFRQESNFHYLTGCDVPSSFVIAAYQPGTSLSTTPSLSLFIPKAELEDLMWSVP PPSLAEAQQAYDVTTVEHPSAIPAAIDTLLKALPGALFHTLPRNSPLFPILPAQYTQK VLDADGAVTDLYLLSALQTARLIKDSDEIAAIKKANEISSRAHEVIMRVLGKAVKGKI EAGAGAGVDRPLLPGEWLIEKEAEAEAIFVASCRREGSVHQAYLPIVAASTRASTLHY CCNDREFAWGPVKPHDHHNKNELAHGKTKELNPQVLLIDAGCEWNCYASDITRTMPVG NGGKFTAEARAIYELVLEMQQESFNIIKAGLHWDAVQLICHRTLVKGFQKLGIFKTPE SPGSGSWNSEEAILADGVSSAFFPHGVGHSLGMDVHDVPSASKPAVNPTIGGVTLGHE SFYTYLRLRLPLETGMVVTVEPGIYFSPHLLAAVRESKHINHDVLKRVSTCYQI JR316_0001837 MPESICTGQTNILPGTSNDKPVTEDQVEMPDEAMENSPQEPPLT ERVLLRPLTSLKWPYVPDESAFPDPLKRDDPKMLQLPQYEAIVKVLLATSPAIRKILS EHKNLPELLTSIDKLRGHDREHALQKALGITAPEIDDQLRPPDLSEDVLALRELAEAI EAAVRGGNQTALGLNWGE JR316_0001838 MSEKGNANDSVVGSVDGTPPSKHHERLPEPGSTERILAERKLVR KLDMRLLPTIFLIFIMNYIDRNGVTTARLKGMQQDLHINDVQYATVIAILFVSYCPAQ IPSNMILNKVSRPSLYIGICTVLWGLTSLLTGVTKNFAGIIACRVFIGLPEAAFYPGA IFLLSRWYTKKELAFRSAFLYAGLLISNAFGTLMAAGILANMEGKRGIRAWRWLFFIE GAITICVGLNSIWLLPDYPHNTRWMSPFESRLAQARLSEDAGEADLDNESDSQLQGLI LAIKDPKVLIFAIMTISQLLGLSFVQFFPTLTATLGFSTTATLLLAAPPWIVASVICC LNAWHADKTGERFFHIAGWWWGVIVGFIIALSTMSIAARYISLFFMACGYVGFAMTLV WVSNAVPRPPAKRAAAIGIVNGCGNIGNVMGSYTWKAAWSPKYHQSMAISLGALVIST LLSLVIRQMLVRENKKLDADEKAFMLGTDRTRVEEAAKLEGLTFEQAMERRKGFRYLY JR316_0001839 MPRFAQLFKDTSPPLVYSNGWQGGSSANDPLLDKYAQATYTFTK TPGATLNFRFNGTFVGIYGAKRPGYGAYSVKVDDHVFPTLNANSDVPLFNQTLFNTTL HDGLHNVTLTNLGNTTFDIDYLYFEGNSGKTNEPLLTATFQDNDPSFTYFPASSWRQS PRPGTFSGSTGTITNDPNASLQYKFKGDVILLYGTVTPTSVSSYLVSVDNGPFFPFSA RKASVRPHQVLYYASNLGRGTHTLKLKFAGTNGTTGDFAVDFANLYSTPSLGAHEQNS FAAEIQSPPLSLAEASHDIPKSVIAGLTVTSSIAFLAIMAVIYLLWSQRRQKKEGKFV KF JR316_0001840 MLVERASIKDLVSPLLKRATVAPRRRTLFLPVPVQCQRARMSDS PEIIRARDLPETVETTLYNAPFRVGEEDRTLSLDAPEARRTSQNMLKNIDYTLYLVTG RDLLPPGKDFYQTLEESIQGGVTIVQLREKDLETSAFVELAVKSKVICDKYNVPLLIN DRVDVALAAKADGVHLGQSDMAVKRARELLPHTAIIGLSCNTVEHVKGAIKQKVDYIG IGAVWDTKTKKLTNPVIGIHGVADMLAELEGTSIEAVAIGGINSVNLLRTLHGCVTVK NRSLDGVAVVSDIMASADPRCASKKLKEILVNFQRHHKFYCLRHRGRPDIVTVDSVVN GVAELMDEVKKERPLVHQITNTVVSTQSANVTLAIGASPIMATESKEMEDLSRICGGL LVNIGTMRAENLDGMMIAGTSANVLKKPIVFDPVGVGASNFRKNTVKGLLNKWQASVI KGNAGELAALAGTDEVESKGVDSVGSGFKNPAEFVRNLAKRERCVVVLTGPVDYISDG ISTVCLENGHELLERITGSGCILGSIIASYCAASSQTDTNTDNGGMFRGDMFTAAIAG VLVLTVAAELAAKRHDVKGPGSFLPALIDELSTLTPEQVREFSKVTVKHL JR316_0001841 MLAFSPTDWRAGVSGDSQLDHQSSYLLTQTDGATISLDFYGSSV HIYGSKRSYHGPYKVQLDKNPYQTFNGLSYTELFQQSLFSANMTLGDHEIRISNQNIT FTDVDYIEFQTSVGNVNETLIVNTFQDGHSSFRYAPPTSWRIPDKAGDAVALYGPVGP NSCTAYSVKVDNGNPTVFSANRQFYRPQQILFYAFNLGVGQHMLQIQLPGSSTSGEFA IDFATVYTTPSLGGSFASGDPGVNAPDPTSTGGPATNADKRDLFIGIAISAGVAALAI VACIILFLRRSRTTLIPNPNDKEIQRNSAISPFTSLPSAQPTSLNSAESQVSSQPLTL QPAQREQHSKFSLSPAPVRTQGQVPIHGAANHYRAATSTSGSESVVQAIQQVVEVPVP QEHMDISISPPQYTVSPGTPRDNGHGRT JR316_0001842 MDDFSTNRHAESALRPDASSSLPTRHPDLWFSDGNIVLVAGDFY FNVHRGLLCRHSTVLEEAINALDAEDRHPCLIEGNSVLELDEQPKDLCRFLMALYDGV SGIKHDHLDFENVSALLRLSTKYAVEHIRNDILRGMSAIWPKTLSAWEFREADATDVT GIYKPRAVYPHPILVINLAKETGATELLPSAFYDLSRCAASEIATGYLPPGGSDRSEL QILSDEDLMNALRGREHASRFLSTFVVNELEGRDASAGCVHRNDPDPFRKRICQATFE ATTFEILRDVNGVVFHRSSDPLFAIMDADLMQTRSDSTNGSGRLSLLHRACEPCRLEF TAAVDAAREDMWHKIPAWFGIEIANWP JR316_0001843 MPEAVAQRIVPGAPPPAPLSKTQKKKRKAKAKSENGDAPAVIDT ATAPLIEKAPEHAEIQDGVVAPELVARTESQGTPLPEEDVLLKPSPIVELVNKRLKAT TKKISRITIYAATDPEKLNDDQKRTLKTLPTLEAIQKELGEVKKAIEVHEADLVQELT VKRLEAEKAEKARLAQAVSSAESALVSKAGAILDLLRFRATLHDGLSNATLSDSPEIL ALLAHADALVGNDEETKQATLKTLLFGEDFADITSSKIFEIVDLAFHPPRAPTPPQPE EEQPAVAQSTTEPEPDVAVAGIQPPTMSSSFRFIQDSEIETPSFDEAEWVEKSDAAGH VEEPTVNGHVPEEAAPAAAADPTNGPIDWAADDEGGLPSIAGLHAEFGTSGSATPAEA VDATVPQPDATPAPAANGDTEQPEDDGFTQAGRGRPRGGRGGHRGRGRGHHDGFRGGE RGGFRGGERGAFRGGDRGGYRGGDRGERGERPERGERSDRGGNFRGGRGFSGRGGSGG EWRGDGRGRGRGRGDRGGAPGTPTTPAPAPAA JR316_0001844 MPEYLSQEQIDKETTRYLVIPNFLDKEEAHTLLARSKQLLDEFD IHDHPLTKFTTSDDNHVGDDYFLNSGDKIRYFLEEDAVDSNGKLTREKQKSVNKIGHA LHELDPAFRKVTLENERLRSLVRDLKFHKDPVALQSMVITKQTHIGGEVPEHNDSTFL YTDPPSALGFWIALEQCTATNGALSFLPGSHKTHPVTKRFVRLGERKGTGFEPLVSSE EEQRLAEAAKGGYILETCNPGSVQFSARSNSYVNALALLGDLVLIHGTVLHKSERNTS PHTRFAYTFHMIESPPHAKYDEKNWLQPTKEMPFSRILPAGEVNA JR316_0001845 MAINDFEVAEMGMPCTRESNGTQILIVSAMFPLAKSKHSKEDYA IWLKNFLTPITTDIYMYTTPDLVETVKEFRGDLPITINSAYSSPFDIPPLQGRERVYA RMNEIDQEKWHHSPELYAIWNAKPFLLNSAVQALKEKGKVYDYAFWNDGGSFRANHRY THWPDPDRVQQVWEEGSKLTGTKKEDLLFFPIFETMSENVRDWQEGMGPIANPGGQVS EGSFFGGSPSTIAWFSKTFYAYHDYYISQWMFVGIDQDVFNSLFLLFPERIFTIWVKD QKAPKSPVHHGMAPSKVIPGLHLGYYSGECGDPWFYYQFWLSDRRTRDEMRMIWIEEA QWKRLRWWTERRLCQMTKPRSMMDILKSSFGADWNPPQRNVDIPRLFQ JR316_0001846 MKTLSSLSAISGAESSHQGASREITTSQLPYELQREIFEIAATL NGISAFRLTEVSSFVSNWVRPILYQRIVLRNSRAGSCFLRAIGSKPTHFYNKLVKSLT LTEDVSMACLKPVLAELRNIISFTLFGVAYSQEMYNILNLIRSPHLRRMTLVYRHNSE RTLTSHQAHEIPPKILTSLTHLTIVTDHPDRFLNSWDNLDSALSGNAATSVPNHLALF QHLTHFAVSFCRYTVVHRIMQAAPNLRYFVILLPANFIHAWKDGLLNFARLQLGVSVV ILKNLGDTASWNVNDGFGPSNFWRWVERLVEDGYISDNGDIKGVQRHTWL JR316_0001847 MSSDELLRLAKSVIQSIDPKCSFLSDETLELLNSLFPEKLIIAA LDLIDRKNVIHYVTSWGHTEYEVIASTDTSENTIGTSTSSYSVLLDIQASPMQYSCSC LAFLHSVLRLETHLMCKHILAALIARQMNLTIERPTNPDELAVLFMRQFPILKGEDIS DSNASQSHNLDEAQNL JR316_0001848 MAPLAFILPSLLLSGLAVSADPVHVPLARRSQRRLDVNAEALKL KFKYGLLDRNAALPLSARYMKRANSAAIPIVNQDGDSSYFGSITIGTPPQTFNVILDT GSSDLWVPIAPYMPLFQPSQSSSFKASNSMNDQIEIDYGSGAVKGTLGSDSVTMGGFT MSQTFLTKLTTNLLEGTVSGIMGLAFSSIASTRATPFWQALSSAGQLSSPELSFFLTR ADPNSQSLDNPGGVFTLGGTNSSLFTGNIEFNNMPANSQASFWLQSLSAVTVNGKSVS ITAGDSAISAIDTGTTLIGGPSADVAAIWAAVPGSSPSLENPGFFGFPCTTDVAVTVS FGGKAWPISSQDMNTGPDQPGSSTCLGAIFDLTMGTNIPASSGNPSWVFGDTFLKNVY TVFRASSPPAVGFAQLSSLAGGTGATTSAPASASSPSLTGLSSEPIIPPTAPSVIPPT VIVTTGVPSSSDGSSPPGSNDSTNTASRPISISRIALALFLIPLVTALL JR316_0001849 MSFWLQRDESQSQPQSDETQGGVLTLGGRNSSLFVGDIDFNDIP VNTPTYWLQAVSAVTVNGQSIAITEATSLAAIDTGTTLLAGPPADVAAIWAAVPGSSP AGDKAPGFYFFPCTTDVSVSFSFGGQSWPIAVQDMIHGTVDPAGTLCVGSIYDLSQGT NQLPPPGSPNWIVGDTFLKNVYTIFRMTPPSVGFAQLSALAGGSDTVSSTDSKTTHSN SDVTTIHAVTKSLMLLLLVQMCWSIFGLSVF JR316_0001850 MGLAFDTIASTGAIPFWQTLATNNQLSSQEMAFWLQRADPNGPA QGTSAGGVFTLGGTNSSLFTGEIDFQNMPSNTKPSFWLQTLSAVTVNGQSIPVTPGDS AISAIDTGTTLIGGPSADVAAIWAAVPGSAPATGENIGSYTFPCKTQVSVTLSFGGKT WPINPQDMNRGQLSQGSPLCVGSIFDLSAGTNIPPNSGNPSWVVGDTFLKNVYSVYRM NPPSVGFAQLSDAAGGSGSAPGIDGTSGTPGKKSSASMISPTSMAVLASSLVVFIASL L JR316_0001851 MLFVPLFVSYLLAAPLGAFASPLVESRANGIDQTTYDNLVRYTK YSSAVYQWLCPKPLGNKLIDQFDVSGTQGFVARDDSRKEIVIAFRGTGELKDAFVDLQ IIMKPLQITGLTTSVSGAQAHTGFQYAYNVAASTVLKTVKAQAAAYPTYTIVVTGHSL GGAVAALAAVSIKAAVNPKAGLKLYTYGQPRTGNAAFATYVENTIGVNNIFRAVHTYD GVPTILFKELGYRHFATEYWQYQESPSPANVKKCSGGDDPSCSDSIPSTFINPAHIVY FGQAMALNPLLCI JR316_0001852 MSVHEQEPGVFQRLQLRSATPGPNEKVLSFLEGIAQSGEELDMT PPRSMVDPDEYRRPESVHSGGGPPPVIYSPAPRSKASDTLINPGLAGLAYSPRNNGAN AKLNGHLLEVENGRENEDVESNVQDGVRSELGEPVREPGPNDPPGPKYESYDSGPSFG NFGPLPQEGGNPYARGTWNPVWTDLVNKEAAGGLTSANPGSPRQSAAAAVELPSSPKS TTNNNNNRPWSPMSARSKLTRATEKGTVYPPLPESRFGDFDTQFDGPSSPTSKSRARS KAPSISPSDSPTAQGQRVQLFASPKQQLQSFANGSTNGNGNGSRSPPPGSPMAGHQSR PFSPYRHAPTQEDLLNAAVRGRALVIPQEPPLEKEPSVVSSKAPSKAPSKAPSSKIQS PQSRAMSQMEGGRPPSSVGSRVSRASRAEQQLQDRNQTPTPRSPVRTHSPDGFDPLDP EEARIVNEALARTPRTSVYAAPLEEDVAGHYHDMELCVLLHQENDPNQHEFVKKALRK AVRQRIRKLGMKYDHESIKQYRKSYHDHDPSVHLRQEYASDDTPPQWAADLKREMVLM QQRIESLGPKIENLKLSERERSYIGANGSRFAYEPDESTRTPMTQTVNIQTQPTGTLA DSMYQAPETELEHEDHEVLLDEDGNVLQHHRHHGYEEEDERDDRTETETQRRYHHHHH PHTDGETRSAPRSMYGMSEVGRDDSPGQQYLEEELYKLTQKKQGSQLSHKSWEVAQHD IDDGDVYDEQDEGVGVPTIPDMNGETEGEGAYGGERSSSPPLPDLPPEAIRDMEVRAQ GPWNAVDYSGVGDTTQQTQQNQLAPWQRIHARLLNWAIIWPTSELEHALNSTTRGQQV DEVALSIWSTQAYKRYVRAKLTDSPTGSGSAVVDRLFVPPNMADAISNAVFNGRHGEA SSMLERLWTPFGFTGSPRLIVVLAKHRSDPNHWVVHRFSLPDGALTTYDSYPERTLPD GRPLGWWFAIRVAWPNANYPSPDHLVQKMVRLHRPLQLPIDNSVAAAGIWRNVLMGSR AERSVDLERLRDLINTEVKNLKQRKVLGKLSVGAARPAWEDMS JR316_0001853 MAQGKRTKPTNKKSTATARQATNAKHALKKKHLSANKSRIEDLR EQLDRDALSVHSELRALVDPRLPPTVQLAPAATMDVSQSLSDLTTTLNNL JR316_0001854 MADTPTLSVTMLGAGQEVGRSCCVLQYRGKTIVCDAGVHPAYSG MASLPFIDELDWSEVDALLITHFHLDHAAALTYITEKTNFRDGKGKVYMTHPTKALHK FMMQDYVRMGSSSSDALFSPLDMTMSLADIIPVSVHQLITVCPGVSFTPYHAGHVLGA CMFLIDIYGLKILYTGDYSREEDRHLVKAELPPVRPDVLIVESTFGVHTLEAREEKEL RFTNLVHSIIRRNGHVLLPTFALGRAQELLLILEDYWKKHPDLHNVPIYYASSLARKC MAVYQTYIHTMNSSIRSRFAKRDNPFVFKHISNVPHTRGWEKKIAEGPPCVVLASPGF MQTGPSRELFELWAPDSRNGLIITGYSIEGTLARDIMTEPEEFTSLKGVSIPRKASVN DISFSAHVDYSQNSEFIEQVKAQHVILVHGEEGAMKRLRAAMTSRFKERDEDVKIHSP RNLETLNLSFRGERIAKAIGTLADKPPQANQVLSGLLVAKDYSYTLLDPRDLRDFAGL TTCTLTQRQKIVLGVGWALVKWHLEGMYGSVDEGVDKDGVPTMRVMGVVDVKHTGEHE LTLEWESSSSNDMIADSTLALITGIDKSPASVKLTSQGHSHSHSHSDANAPHSHSHPH ADPEPETSSALRIQRLAMFLEAHFGDVELHMPDASEVDAEEEAEAEGEEEDGHVRETD RARAREPSFLVTLDDAQARIGLITLAVESSSEMLRKRVEAVLDMAITTVSSLSESFVS GGPIVAEDSTAAAASSPTATMTDGAGENEKQATPPTGESGNGDAVEPVAGQSEV JR316_0001855 MDDSRMLNAGSFSPPEIELRVRSTVGPNTLVDILELHSDGDWTV KEKLGSRRFAEYCQGIRKLEGKVPTVRLILRLGALPAELDGPLLDHWVSTNVPSTSAV TDNHYGEDGFFQISDRLNTSDWKLCQVWFSQNLNLNATSNYVMHGVPLATQRYIMDWA KAPIRCKELLLPLAIPGVVVSQFVVSLFTEYVAIDNETHRYETRSFSSNWKTNEELDV KRLLSQSKTIKMILAYAERCRRTCEYLNASLEKNADLFTPAEAGYWGLEKAKESLAGA CSRIDDLTSAATTLDERIKYLIQLAYNEISQANNQVNLDIAKLTASIAIAAQHDNSSM ITMAAVTMFFLPGTFVATLFGMNFFDKTNQGSLMLASEGWVFLITTIPLTMIVFLIWY FWKRWRTTKAMKGLAMSSITGPEVEVLTNPSPTPIVLPHSKLWPLVFYKIKTFLRSLG MLPPIVETDVEKGSFWHPS JR316_0001856 MGSFPQQFFSVTITSLCISGIGMVFGGLATDLSPIVWLIPLVIA LTFIYNTYILLTGSSESYNSPRLYSALTVGVAYFLTFLWTISLAASITMTCLLLTNII NTPDENIKIWMPIVSGVSLLQSVLLGFMAVRSHQEMKQIRYKNKWKWRIDIQGVNNSQ WRLVVAISLILLVLTRSAQ JR316_0001857 MSADDTILRTGEHGQGRTVLAFSQDGEYTFTGGGDVVVSIWKTD EGKEGEPSFAMDGDYPITALAAADDCWLAGDSEGIVRRYTKNSNQVVGLLMTVTLPVR CLAFDPNGRKVAITHDDKWVRLLDIEDTTVTVDLKEGYTSGVRSATWHPSGSLLSTCT HDGKIVIWNMSSDKPKLEKIIEGIIPVVKDTDSPEFMHDVSVIWHTSGEYFFVATKGH EIVSISRSDWTKTATFSDSNAIGTVNALALSPNGVYLASASGSKVNIWSTQTRRLIAS EKAHPESTICQLLFSPRKNLIAWTDTDGKFNRWARPIPSGMPDPIRSSISTKGSATIS VKPHTGLDLFGDDTQEDSIAVDKEGADDDVDLDDDMADIDDGWIVDDLDGAHAPTADA EGSSKEKKVMRMVNITEAQEAFQPGSTPMENRKRYLAYNMIGVIDVTDQDTHNIVNVE FHDRSQRSGYYITDNYKYDLGYLGERGAVFACPPDGDHRAEVLFKPYGNTKANDWTYK LSKKGVKCLGIAAGALPYSSRNTPENELEGFGHVVVATSENDLTFLSGTGRERRIMAL GGEFVTMVASAEWVFVVHRAGSTTFDGSQNLSYSIINFEDFSVRQRDVLPIPKKHTLK WVGITDQGAPAIFDSTGYVHILTKYRIPHHASWARVMDTNTLERRQGKDESYWPVGIA ENNLMCLILKGRQEYPSFPRPLIQDLPMQMPFRQSTAQEESIEREMLRVELELDSLDE ELTTNDIVSREKAMDKELIMLIQAACKAGNVPRAIELTKLLHHTGVLDAAMQVADFYH LPGLKEKMRIIKDKREDQEDRLIAAREKRRRWLKPDAPLRQIAESSSISLSSRYDPLA DFRPPPVIERPGMSRVTQPKIERTVFSSHNTPSVHSTQERPGWDESNFPDSPPSETKR KRAEIEDSIPSSDISMPPPKQKSNPFARKTNETNNKNLFARKAESNKPVQKSESFFEK VDAAESEAAPRKRPNAAKGKDKAGKEGGSKQATLFGMMGKAADKGLKPKKKTEVDTKA VPYANGEETQADSQMTDLTMSESMVVESQELEETQPAEEWDDIAEPPVSDAITSQA JR316_0001858 MATVQFSRTLWQYSRCARLDLERSMVSSSSFLQRRSTFATVSSS HLNDIQSEASQSSYSDEHSELTEGVDFPKQRKRRVNADLGADVLSASEKQKRKETLKE LVNAIHARAAADVSGSLSPSEIADLSKEPASLHSVEGSELLAGIEIRQRRKRRTKAEM LAAAAEQEIEIRQRRKRRTKAEMLAAAAEQEALNPKPRRRRRSGETEETPTLGRPPGK GYRKPLPRPQRKSSSGTQLINMETDNPSGEIPFLNLPPMAQWKEVFSHVRESKRPTLR NPETALMLADKIIPEGSKDKVIIEAFSVHPTLHFIMQMGSGVDGELVGAQLLRTVPDR QWLFKFGRMPMNFILPDRMWERFKAPEAVTKLGQARCKVSVMAQAVAHLSQTVPYDAL QPYGEHFHPERYNTFEEKTFNLEKRRAGSPRVAGGFLPMAQPVILPGDLDYWDYVVRK LFVVKATPLERSISALGPGAYNMMKYLTNPEDPSKPFDVTKSPRSFTIQDWNAVVQAF IKWPFRPTDLGIEQMFSKKM JR316_0001859 MPATITINWRAVKRITLFLVCVTLPVLYYLYYTFKPPVALYAEL FDAELKASKTVAETRGKDRYVKFKQLQGAGFNNQAQEILLYHHLALQTSRTYVYQPLI WRPRGEKATVPLSAFLLGVTENSISEAVFEQVCPPGEVVHVNLRVDYADQWFHAKDIL NRKDRCVVVDDWLFNWNFLASTGLRVVWSSFQTYLADHFKWSEHVLDIVNRTEANLGL RPNSSASADGEPYMAIHLRRGDFEDHCSYLAETRQGFTTWATLPLIQQTIYSPTLDTY NATSVMAHCYPSLYRILDVISDQARKKPHLRRLHVLHDGSWDHPLVYLQFYKLAEALK SAEWAKRHGWEGGPMLRVTQSSDAPIYAGERDWTVCVDVELARRAEVFIGNGYSSLST QVIALRLADGGNIQDITLV JR316_0001860 MQTRSTVLGKRGHQDSTPVPSVKACEQLQTPDRTPNPKRARTNG NVLDDDGNKENIPPLKVSPGSVDSSPRAARALRRNATETAVTPTRNRPAIRRNASLSS MPPTTPSVEILNLAISTPPPTPPTNLLPVHARARALLRATYNNAQHEIAGRVTERKAI VDFLAPFIENRPTDDAENASSMFISGSPGSGKTALVNAIIRQLSSENNAAVNVVFINC VALKGVDALWERMIEDLNAGIKRKPAAKKAKGREGVMSILNTLSSKCILILDELDHIT PNAHCLASIFTLTDALSSQLRLIAIANTHTLTSSSTNGLVFGSNVRTIHFAPYTPNQL QEILQSRLAPLSDEDSSPESLAAAKKLLPAPTLMLLTKKIASLTGDVRSLFEVLRGAI DLGVAASKKSLSEENILSTPSIAVTPQHVLAALKAYTPASRTTQASGASSATSMTSSN SETVTKIRNLGLQARLVLLAILLASKRLEAGLPLLPSASPPRKSSASPMKRTTSLPNP SPVSPGVETSALHAYYTTVLSRTESGIFDAVSRSEFGDLLGILEGVGIATLSSSLGTS SVTSSPTKGRKGFSRSASFGAGLSRNGAGTVGEVRLAEGLWSDEVLRGLGVTGAIDAD AREEEVRGIWEREKARLARDVKAMASASTNAHLNTFAGAFEDC JR316_0001861 MHLPFNLPDPSAPFQKTLDLAKLNEKARKEKEEPIPPGVALFPP GFFLPLEKVEPLYPPTPPPVVFIGNVIKAKIDEEVREHQARVEAKARETDGQADQLEP LFVMPLPEEKTTRKKSKREKEEDEKKAKEKPKKVLPEYPMVQYMDRDDHPTYNGRFLK LQKRCGNIRVVGPEYCFGLAERNFDVDYEDPRRPPPPTSRPLTTNWPVNPLDGPPPKK SKPRPFVPKFKPDIYVANVTKWPEIPRSFGNQHEDAVVLPTEYKPYPTLLRAQLVPPA PASEERDVEKREQLAKHLDSEVSSHQVDHLASDSSAGSTSADSFHTATLSSNTTERTS SSTPYFLHRTPKARDRNANEHGQLQKPFTPFATPAPQDTCMPSEIKFSPPAAWLQSST PDKHAQESPSSPQNWESAGSKTTKVFNIGAVDASKLKLGSDARLKDGPENRRAVVQRA PSTSSRVVENSETVTPPRVARPPKATHTRQGSRQAIYFTDEQIAGVALASSPSPPTPP PKDTPYLAQIPPYKPKESEIQSKPNPPAFQKRSSSPTPGPNHGTTLPLRIHKRNPSNP LAKDPRDVFDYASVTTSRAEPQAKPQGPPPVLRHHGHVRIVRPPSRNESLPINVKSNA RPSTSTTKFRTPLDHEIRANSHNRSASEKTNKPQSHGIEEPRPEYNRSTSALGHHRRN HSVAQPRPIADVVRPDHSRSSSALGHYHEQLRTPAVHHRPEMSRSQSPSMSTPNYGTR AYMSSTTSGRSKALPGSGHVRNVSEFGNIQYSASFSHQTPPHLSNTATVSQRRPRWA JR316_0001862 MTTQHSSRRSRKLRRRFSSTGSAWSAGSPKSMASAPSPIRTQSR KTSLSPATSIREPARHLYQRPHFTDGSDFEDYLSVDINGRRTRGRSISPTRSIFEQRV PPTAYASLPPTPIQPSRPFSPSTPISPLPDSHTYEAPPSAPETYNVPFSLWDYLREEL LATDFDSHQELKWERVSNFLQMPVAMEKIIGFGFILCFDSFLYTFTILPIRFVLALFP FITNTWRRSARPLPPSQKADLLRALLLVIALFILNPLTDASKIYHTIRGQDTIKLYVI FNALEIGDRLCASIGQDILDCLFSRSTLEPLSRRIPVSTHTFRPFLFFFLALAYTVAH ALVMVYQLISLNVAINSYDHALLTLLVSNQFVEIKGSVFKKFEKDSLFQITCADIVER FTLTLMLFIVAFRNLIELSGTEFDFTGGFVLPKSFGWVRGNNVLWTISYPVVTVLASE MVVDWLKHAFITKFNHIRPSVYERYIDVLCRDLSSMSGLGRRSARKHSYVDQSPLVAR RLGFASLPLAVLAILVGSQSIGLMFSSSSDFASPWTWTIRSLSNAEIMHYATWAVMGL LFWICFVGIKLIMGANLVSYANRRRAGMEEREAGDVVNDFGRDPIGEGKEEQLYNKKL KTLLDNDRDDVPHTSEMGENAPGEHGKKKGRVKLEDLTRYTMVKRIW JR316_0001863 MSSSPVFHPGLTFATLVVQFAATKGSVIPSFVPRHKGEGENEHS TASGGSSASKPTSSSTPPTNSTLTGSHSSTAASSSSSSSISSFSSSSNTATPTPTPLT LPRPVVRSDITTAGIVGVVVGSVATIGLLIMLVSKCIRRYRKNRGRMKGPRFFRVGSK SPARPQTPVTEMAQTQSSPIITSAVTAGFTTHPFLSRYSQSISTNTTTSLSPLFPAAS LERTRSDAGASEGGASSSHTDTLSYVSMSSVPVGNGGSPVLSLLPIISAAAAANAPPP AAHLPEASILHRSLTLHQKSLEEDTKGRDVGRMDTDDIEKEDDGANDPPPSYDA JR316_0001864 MRTSYGTESPDIDIFMDSSSDGFELDFELEPENSFQFPSSNPVD VDLEVLNYPSDYVEELLVVDDDDDADYTELKIQGEEHEEDLSDDCSDIESSPVIARIE DMVLSFLNQLAFPGKRKDDDELSDRSDPTEKTLSRSDYKITIPLVDRTKSQVDGIPVM KRIQFPKRNKQGSSQALAQLFRVLDLAHEATLKGVPVTKRDIYYQDVSLFKKQKTVDT LVDDLAATFELARSDLNIRSSSKGLVCGSGLRITLITGEVIVCHDSEGSLIPHGEDIE TFGLDEDVTWILVVEKEAIFQTLCRLQLPSHESMPGKGIIITGKGYPDVATRHLVKSL ADALSKRIPILALMDADPFGLDILSVYKFGSRTMQHENGKLAAKRTRWLGIWASELQS LNIDKEHLLPITRHDEKKGI JR316_0001865 MAPARSSTRRGHPAQVPPAPVQNTETNDPNVNKELFLDPMLGTP LAIYIEKDVEDKDAISQLIIKHGGLVSAGYSGVPYILVDPHKESGQNLWRQYAGKKGK IVLNATWVQECVKMNQLQTFQSNWAGCKVTGTETVYQSSTSRGLDEAASSSTREIQSI HPHALQATMPPVDPNIHSYPFQVYAPPLQPTPPRGMPAAGPSQWQVSIAPAQTHLHGQ PAPSQPPTTHPHMIHRPPTQPLAQPPSQHYREDAWDGYNTPDEMAPQQATYDYRYRDD QSGWVPGPTPYYEPSYDHNYGQPTYMEESAGQSSGSNSVTGQPAQMPGSSVQPSAEAA EKTRGRKRTRTQATSAASLVVNRNPPARSPTPPTRVIKSTYGGNLFTADDVIYLKKYI DYCQDQCLVLSLREICERIAVKAPHHTFYSWRRYCNKHQIRLGGYAMNNERSESPSVG DDLNMEMEAESTPRAQSGSGAIRLDMNAANAAAASAPHRNRSPTPPRALYRSTTGKGV AFTQEDVNFLVKFMEYRKNQGAIDMVSFWKEVAAKAPHHSRASWMKFWRRHKHELAHT EGDEPLPAPPDKKMRYSKDDDILLARYFYNKPDGTSDKIFQAFGRLHPHHPWKGWQEH HRIHKTKIEHLMQLIASGRVLTTQYDET JR316_0001866 MASGAGRKKSIVSTTGLQIDTNANTLLNKSASQSTSLYQQCSAL RTRLLRIRGFAQYFNNTSSDSRQSTDPVTQLWDLFSTGTPLCYIFDQLPEEAGFKKLN NSTFDQEKFEANPDRAKKHAIALFAMQIRADKVMQEIPGCELFTVTDLWDRNSTDGLV KVINTVTAIVDHLPPDAFEESPPSPHSLQENGSTESLNDTLVSSVINTPKGSAQTNII REMVETERKYVQDLEIMQKYSNALSQANLMDQDTIHLLFPNLNKLLNFQRKFLIRLES TAEMPWQEQRWGQHFLESENEFIVYEPYCANYTNATELMLANEQNLVPLNHLINVKGE LPGLLIKPIQRICKYPLLLDSLIKACSPSTYDHYDELKRGSEAAKRITDKINEAQRRA ENEQTVKSLQSRIDDWKGHHLENFGELLLDDVFVVTKSDIDREYHVFLFERIILCCKE ASPNQPTKGGKGINKNGSILKKPVPLALPGGNGTPQKNTPLLLKGRIFLGNVTQAIPV PARASPTIGVATQYPLAVWWKGDDDLEYFTLRCRHEDQMRQWEATINRLIKEAAQRRA SERAGSGMSRIVTANSTNPAHARMVNSHYSSTSTAPVSVYSQSQPQSSQPSIRSRPSY TSYDYDDQTAFSSNTNSTYGGGPKGYPPHDGFDFEPDEDELEDYPPASIASSGRGTPV GSRRTPNAFSMPPERESTAGYDRTVRAQTEGTDGPNMAQWRANGLPPLPSASVVSPNG HIRPITPRVPSSSNMSANSYASDASFGTGVPRSSTGRPPLRSQFSSSRLKSGYESPNS VNGMPTRGRAVTPTLNVNNVNNVAPAPAAPPMTRSRSASQPSAYNPPPKSMPPPPLPA GAAKPMSHWSQSQEHQRPLNGLPTNVNVPKGKRGSGSSHSTGESSDYSPNSSSPITPF GSSESSLTGDGGMPRSHGFDHQSQAYDQSPPVKVKVHFHEDIFVIQVPRVTEYEELVE KVGRKIRLCGPRREDGPLRVKYRDEDGDMVSLGSTEDVQMAFEQYRPGGQVVTLFVT JR316_0001867 MIIAHVLTSILKHKEMFQMIKVMAYLPAEGHNTDGFDCSTTDLT IQNRDPSTRTLSSLATPSLKSLIDMTTAVSDQALRIKTKALATNSTVTNITYSVGSAV JR316_0001868 MINGNGHKFDGGGPFYWDGQGINGGTQKPDPMMKIKISGTFENV KVVNSPGRVFSVSNPAALTMTGLNIDNSQGDAPNSKSGGKAAGHNTDGFDVSSNDLTI QNSQIHNQDDCLAINKGTNIVFKGNSCTGGHGISIKLPKGSIDSDVTVSGVIISGNTI TNNDQALRIKTKSASTGSTVTNVTYSGNTATGIRQFGVIIDQSYPDTLGTPGTGVIIS NINFGSPATSISVNSGAQKVAVNCGKGSCTGTWNWSNLKVSGGVSGKITNAQISGFSE JR316_0001869 MTRHSVGQLVIDALATRLGIRLTSDRGGYSGQGNVLIGETRVNL ALYKSKSLMNISGPSIVKAYRQTVNSPTSMIVLADSLSHRVEAVSMKLGGSANGHNGV KSIISALGGEMGFYRLRLGIGRDESDAAEYVMRQLSSYERRYWVNEGLDLVLHEIEKV ALKIR JR316_0001870 MAFSFYGSSVTVIGAKRGNHGDYQVTMDGSNSPQFSGKDSNNQF NATLFSSSNEKLGHHNLTITNLEDSFFDVDYVFFDTSVGTDNEELIVNTYQDNHPSFT YSPSSAWHLPSNVGFFVGGTGQCVEEIINHKNIWDAVALYGPVGPNSTNLYSVTVDNQ TSSIFSPNKLFYRPQQVLYFAAGLGGGQHVLEIQAIGQTGEFAIDYATVYSAPSLGGS FLERTANSGQTSTNRVPPGAIAGLIFTTLIAVFACMLSGFLLWRQRHTLSRKYKNSKE PKQPFDIQAKPYDQPYTIPYPSHLPNPHSLSRRSSMVSQASQVLTQLTIPATMSNVGT LSMAGMTYSDFYTSGRRTTLPEEPLSSTLNTFSGSVSSGMNARSLPYPPEKNAIAMRN PTSAHQQVFDEPNAQGNYMGIRLPGDDPTSPPPMTAPPEYSQRMTMNASVAQIGQQML LGEPGGQRLHVSNI JR316_0001871 MTSAAATSSSTTASSSTENIAEVVKPIKKSTFMMLSPEVASYFV AGGCAGAASRTVVSPLERLKIIQQVQPRGSDAQYRGVWRSLLRMWKEEGFQGFMRGNG INCLRIVPYSAVQFTTYEQLKKWFTKHGSKELDTPKRLASGALAGITSVCSTYPLDLV RSRLSIATASISLAAAPLATKTAPANAVAGQQVKLVSAYHTSSAVASGLKNAATSTYT KADLTIWGMTLKIMREEGGVRALYRGLVTTAVGVAPYVGINFAAYEFLRGIVTPPGKS SVWRKLSCGALAGSISQTLTYPFDVLRRKMQVTGIQGGAIKYNGAIHALTSIVRSEGI AGLYRGLWPNLLKVAPSIATSFFTYELVKEFLIPP JR316_0001872 MPSFTTVLQDTSPMLNYSPEGTWRAGLSSDTSMDQYSEASFFVS QTKGATMSFSFYGTSLAVIGAKRGNHGPYHATIDGIASETFSGVSNPDQFNQTLFSSE SPLGNHALAFLNDDNTFIDVDYVVFQSSIGEDGENLIVNTFQDTHPAFNYAPSSSWGT PSNVGTFSGGSGQVRARDAIALYGPVGPASSGFSVKIDSGVPLSLNANKQFYRSQQIL FFAANLGKGEHTLTLNLNSATSSGEFAIDYANVYTTPSLGGT JR316_0001873 MDNIFAIGFGLGLRFVVDTVSNQDFKLTGTLVGLWEGVILLHFL KKTPKSSDPYIAFGVRIFVDLVFTESVARMVLVLIWTALGMVLADITPAIWEDVGLKR VWKSFRRDLYTISRMIPTVAFFPPTRTVRFSPSREPSVIVDDATEVLSPSMVTEQTPI DPVPSAIPRTVAKRRVPGHFPMDFSDTDTDTSSLRAANFVPNGRTSRRLSVYPEIHDY DDSISDLSGSQNDLDEGNLSSEATPTGEPPLTLPDSSEIPEIEEEPLLVNVSALPVKL EDGETTPTQRHQPYMPPTPSDSAARWGINRDVEEPLPVRPPSELLPQIPDFLEEPVSE DWEKIQHADYSDDKPPTPPAKDKPSGLKIPKVPDVPPPVPPVAQQPPQPTTPPDDDMK GWDTLESQIFTRDMNPNNDTDNDINATTTNDDTNRQSLPPPYHDYETHEDIYDDPPHD PALGIPTGDLLGDDTGVPPVEQDTLTDPQPSLLPPIPMVDSLDPWTSGGADDFEEQYK RDLEAEELKAKQEAEEAERKAAEEAQRKAEEEAEEEKKRKKAQEKAEREKKEADDALA RKKKREEEEAAEKAKKEEAEKKKAEELAAQKKKEAEEAQARRDAAAEEKRKKKEAKEE EKRKKAEEAARKEAERLEAERLKAEEEAARAEAERLQAEEEAARLKVEEAEKEAARLK AEEEEKAKAEEKKLKAEEEKEAARLKAEQDEKEAAERIAEEAKAQEQARLQQELEDAE KLREQLEVTAAEETTTADALQVEKDAQQNANLAATTEEQATAEGTAEDVKTPVVRPIE DNAELPEHPLSPVEFHHPAPSEADTASEASAAPPLKAYERVERMLSLRAQIVEIEAKL RTLVATDSASPEIKVMEKILRKMNRQAERRYTAGISYHQYHDDDSNSDTVTLNTLNDS RSQEKIAEKIEQLLTPSSRSLYLTIQFGKKANDSRKQKAVVGRITDAFENRTKGRTID VSEEQFSEWLTGYRKLSTREKTDEFTSVWG JR316_0001874 MQKMRTIALSFVLTSCLFISDVHSQPSPNVQKRISQAIAAASKQ TNLDYTAFVNPFIGTDNFGDVCPGASIPFGMAKFSTDMTGYAPAGYVTDPTQFVRGLS PLHDSGTGSSLGTYGNFEIMPLLCPGGFNTCTTTLAARQRLRKNNTDDASPGYFSLTL DNNIKMEATATRRAGLERFTFPSGSKPYFVLDLANDLPASFNGGNMTIDPEKGRVTIG GSWGSSFGPGAFHYKAFACYDLLDGGKQKLSEYGVWTGDTVGLDAKGLGQTHLNLSVN LIGGVYQSGALFSFDNKPQTVNIRVGVSFRSEDQACANAESEVGDSTFEEIQAAAKAL WQEKLSKIEIDVPNTPANVTEMLYSSLYRASLTPNNATGETQGVFADTTSFYFDSLYC SWDTFRTFYPLMALHSPVEFAQIVDNYIDGWRKNGWMPECRANNLPGWTQGGSSADNI VSHFAINYHNEATQLGIDLNELYAAMVTDAEVNPPEWNIEGRQSNVYNQYGYVPFAVL DVSSTGRQTREGSRTLEYSFEDFGIRQVAQLLGKTSDVAKYTNRSLSYRNVWDPSVVS DGFKGFSQKRYPNGTFAFIDPVDCSPNDKNTSRSCSLQGNNINGFYESSSWEYSWYAP HDTAELINLMGGNATFVKRLDHFFTAGYYDASNEPSFQTPIGYHYANQPAKSVDRVRN VVFSNFDITPAGLPGNDDQAAMASLLSFHLLGLYPVPSSSQLLVLSPFTPKYTIHNSF LNVSTTVTTINFDPKSVQKTVPKNTAAYVKSVTINGKPSDSRCHIDFYDTFRTGGDIV ITLTSDKNSANDCLGSVPDSISTGGFSKAR JR316_0001875 MEVDPISILPKSNNKSTVSAEAASSTMSQSSKLIFVTGASGFLA SHIIQELITQGYRVRAKNKVGPLKALYESVPVEIVEIADIAHDQFEDALTGVDAVIHT ASPLPGREDIESMFKSAVDGSLNVLRQAEKVGVKKFIVTSSIVTALFDPSAKGGAYRL NHWLPFTKENATPENGNMAIYAIAKKYAELAVWEWADAHPHVDVTTINPPYFYGPFAP LHLPIAPGDFNALSTGLMIYSLLSPSGNFPTGAGYADVRDIARAHVGALRPSTVEGRK RIIFSSPHELHFKEVLDAIRKARPEVEGRLIKAPVPDYPFYKFDVDFSLIEKVTGLKA EDFHPVIETLLDTVNAILDLEKSWVDSGFVMPEVIPKMDS JR316_0001876 MSDSPKLIFVTGASGFLASHVIHELTKQGYRVRASARKNKVEPL RELYKSVPSIEIVEIADIAHGEFEELLQGVDAVIHTASPLPGRQDVDSMLKSAIDGSL NVLKQAEKVGIKKFVVTGSTISVRDDPRTVGVSFRADHWNPVTKEQAKSGNKSVIYAV AKKYAELAIWEWAEANPHVDVTTINPPFLYGPFAPLHLPVAPGDFSALSSDIMVYSLL IPTGVYPPGPGYADFRDVAKAHVGALRTPPTVEGRKRIIFSTPDGLDFNTVFATLKKA RPELEGRLINQPPPVYPFDRYDIDFDRVEEVTGMKKSDFHTTEETFVDTVNDILDLEK VWIENGYVIPKTLPSIL JR316_0001877 MSTTTVTTTRTSTSTSSISVVATGNTASFANEGLRMRRTRRRLS APSSNQPSLKVCRSLSYSLEALDLSSASPSQTLASLRFLVLSYLADLERRLSEFESPS FEAWMLQGELTIEEATQWARTALDMLKGIREDVCSHLPELPFADLSSMEAFVKSHLPD MPDVPNFTEMRAHLPEMPHLPDMAEMRAHLPDMPHLPDMAEMRSHLPDMPSLPDMTEM RSHMPSIPHMDEVRSHLSDMCNKLDDVRTRFHEIDFQRPFSYIPTLSDHLENLHSHLS SLDMPSGIPTPSFTPNTVLADLLQSLLNSDLVKDILNSTPEIILEGEDMLERAAHEVA VAVKRSFEGVRLIKYSDLPYPWRNNPFVAHGYRFIPIEKWPLILMSVFTCHNETLNIH THLVPFLLWGVNLLPLIWNTHEYDTPELLFMAFALLCLASSAIWHTMSGCADHRSMEF CARVDYVGIGWLISASVGTIVHYGFSDCHPNVGRAFLGLCLTTGIAGNIFPFMNWFNQ HKYRFYRIGFFLTLSFSAIGPMVALSILHSRAEMLAFVSPVFPSLASYLIGLAFYAAH FPERVIPPNIQRKLDTIGGGSHAIWHCFIVLAVSQHKTAMDHMKNGVQCMISNASA JR316_0001878 MHSLGLFALISLLPYLVVAQRASTFAGATTTAVFPPPNAGIAAT DTNFPDGSKVGFPGPTRTGDEAAAIETAPVAAKVDSFFPLINGGAEDSTPMDPFDVLV HLGNLSPFQSVPSSAFGLPGASPLIPEGCDIVQAHLLHRHGARYPTADSGPPGFAAKV NAAANSGSGFSAKGDLSFLNTWTYKLGGDILTPFGRSQLFNLGVGFRVKYGQLLKGFK NLPVFRTTSEARMLDSALHFATGFFGVQKYQDSYHQLITIEHGGKQNNTLAPYESCTN GLNDVAAFGDIQSQKWAQIYLAPAVKRLNANLRGLQLNVTDLFAMQQLCAFETVALGY SSFCDLFTEEEWRGFEYQSDLQFWYSFGPGNPASSAMGIGYVQELVSRLTKTRITTFD TTVNASIVTSDILFPLDQPIYVDATHDTILTAIFAAMNLTTLAANGPLPTDHIPKGQT FFANQLAPFAANVVGQVLSCPASSKPTHIRWIINDGVVPLTGIKGCKPDKNGMCEINT FIAGMKQRMQEIDFNFDCFANYTVPIPDDIVNGQYPQNLKPKKK JR316_0001879 MASAARKVQERLFGKSPQSSVPDTPSRDTTPLSIHIPKPAQSPT PPPPRKSAPAKPSDSPKPDPDTTLKALDGLGISDPSSNTPDDDNRARTPEPLPPYRER LAKKLGPDYIGTEKYRLQQDDARERHWKRWGPYLSDRQWATVREDYSADGDAWSHFPH AHARSRAYRWGEDGIAGISDNHQRLCFGLSLWNGEDPILKERLFGVTGHQGNHGEDVK ELYYYLDSTPTHSYMKFLYKYPQRRFPYEELVDENQRRGRDVAEFEILDTDAFDEDRY WDVFVEYAKDEDEPDNLYIRITTYNRGPDPATLHVIPQLWFPNTWSWPLEKPPMPSLT GSVRGVDEIRCITARHPTLGKTHLYALPSPPPVGPTTDFEVDDSADVVQPALLFTDNN TNFSRLYGGTNETPYVKDAFHDHIIPAHRPPASASQPEDFFAPRIRSRTASLFGNERP EVVEEGPCTPFPATPGSFVNPEMRGTKAAAQYVFADVPGHGGCAVARLKLTPSRLSAD PSLEDETIFDDTLEERRVEADEFYSSFVFGPISEDLKQIMRQAFGGMLWTKQYYRFVQ KEWMEGDPAQPPPPPERKWIRNREWRHMHVEDVLSMPDKWEYPFFAAWDTAFHCIPLA VVDPSFAKKQLDLLTREWYMKPDGQIPAYEWNFSDVNPPVHAWATFRVFKIERKLHGG KEDLGFLERVFQKLLLNFTWWVNRKDKGGNGVFEGGFLGLDNIGAFNRSEPLPTGGEL RQADGTAWMAFYCLNMLSIALELAKHNSVYEDIASKFFEHFIFIADAMTYSSSTTDES RSLWNETDGMYYDAIVFGPGHSIQLPVRSLVGLIPLYATLVLEPAVLNRFPGFKKRME WFVDNRPGAAGRNMANMKVGGKEQRRLLALASKERLVKILEKMLDENEFLSEHGVRSL SKLHQEHPWGIDVHGQRYEVGYWPGDSQSGMFGGNSNWRGPIWLATNFLLIESLQRFY QYYGDDLQVECPTGSGEYMNLVAVAEEIQHRIIHIFGRDAEGRRATNGGNGKLDYDPH FRDYVWFYEFFHADSGKGLGASHQTGWSGLVAYHILQSGVSCRLPKTPRTPRSVLRHY FDDTIDSRSEFGDDAKSASGFSAVGSNFENWELNTLGDISPDAL JR316_0001880 MTDSTPRAHVSPQTLYHSSAPTPRSSSLPFPYLMGLAHSKQHQQ QQQQQQQPQTKGINLKRAFAARRKKESPAQVAALPPTPPSKDTDNNRHSVIPLSPGIS SAVNYIALYDQSPSPSEPPTQKDSWRKSDSTNSHRTVRNPTRSSRPVSWAESFQSAHT VVPSGSARPLSALIADADFGVAEELDEQDERAERPTEPRTGAETHSDGDDNSKSQTLS YPTQPTPTTPTPTQTNPSSTTAPPSSFATTPTTTSHRRSLSLDHPPPTHTQSHSHPQG PNRLPSHSISEGVPRPMFPHTHTQPTAVSLHNARIFPVLPVSLSPTPSGSGQQQKQQT PLQPLRPSHQLHGHGQGHTSSASASSTSTTSTTSTSTSTSASASASTSSASSAYGSAY ASASGSASSLSSPGPGPGPGTHARPLPSHPHHGHGNAHGGSKPPPSAFPSMSSPSSSS GAQLHPQPHQLHPHQHQHQRTQTTSISGLNPAILAKRAVEGMSGGLGVLKMKFGMGSS SASGSGGASPGGAGAGSGSEYERERERDREWEREREVEGGYSSSSSSAAVRPAGPSAF TFGKTKSASSASASAQYGYPLGRTSSGSSAGHGHTQGQQGHTYSKSHSHFLSSSSSPP SHASSHQQQQQGQGHRPAPSISSLSSHTHYDNPIQNEGPNLGRLLRGPLVNTSSGKRG TAGMVFKRDLGRCVYETGVWVGSAGVGAGVGRKGVEGDSASVSSSSSAARSLSTSAIG SGVNAKDPASSSKTTSSSNPANSSQPPSSSKPNQQPDQPESQTQTQTRHRLALIRTLA DPSKRRLPALVVRCAQHLLVWGVCEEGLFRVSGMPQHVAKLRAEFDAGCDYDMTECTP GDLDPHAVASVFKAYLRELPEPILTQKLQPLFDAAVSKETSLNTSTAAAAAATHMPVR MSVIPGRGLPANPKSGLPNKPSSSSTSTSTTSSSSASNNNNNSNSPLTMSLPLPNSLR KPPSLSTLAAPSFTGIPPPSLQLTRAIQALVALLPRENRDILRTVVDLIRATAERSRE TKMPLSNLMLVFCPSLGMTPPLMKVLCEAEGIWGSEDEDEDEKDEGDGVEEGEEEEEE EEEDDVMDIRRRTVVPADASAVAAALDHDHDNDVPPPTPAKDDLPLNILTRRAPRGGD LETRSVAGSDYHASAEGDDDASASASMSSSFASMPIPAPPPPIPPLAQGRQTQLSTTP SVRIRRRRQVVSPVSNTNTNARASEVPTVSTVYLDSRSVVSSVSSFGGEAGSEESDGE EEDEDEDEEDEDGEEDEHHPPPPLSASAESIATRTSSGNPSFSQLETSAAAGILAADA KEKGAHGHGGLRLEIADVAGVPLEKGMIGGNAGFAANPNAAGGVMKGVNPSMLSLASS VVSKSSAGAGKAIQFPSRDGAQSPYHFFPPPASGTGTSASTTTTGGDATKSKRRSIPV LSLPNFSPSIAPLLPNLSSSNASSPAGSPSPHSAGSFSSGSGNGNGNGGGFITGAVGQ EKQEKSLLRSKKPSLRLLFSKRSASSLTGNRDRDREKEKERISGPIMVVQSSNSTSSL NLSAGPNQSQQMLALQQLQHQIQSQHQSQSQSLGLGQNQGQGQTPQPRTPRSASDSSV STPLSAVTAPQSRSSLMSGGHESSGGSSTSTGGSGSGTGTMMFPPVLDTPIEGGALGL DYGFGGLKEEEESENESLSLSANKNTTQSALQPPQPQPQIESPLLTTATRRAVIGRGT MKTAQQKSAAAASASAGSSSNAPSPSATPLYQLGLQASTSSSNLSVTSTASSHHLSLF EDEEDDMEDWTQSVLLAAADVDANWDFSKSSTLA JR316_0001881 MPTLSTTIEDTSPVIIYSGNGGDWVAGSSTNDSLASLYSQGSFT LTSRNNANASFSFYGTGVQIFGAKRNNHGPYQVTIDSTTYAQVSGQAADPGSFQTSLF STVALTNGFHKVTIVNQGSTFLDIDFITWQTPVGSSDEPLLSSTIQDSESAFVYTPES AWNGSPPNVGMFSGGTGHTSTTAGSSVEFTFTGKFPCQLHGSAVEIFGPVGPSGAVFS AQLDSSNPTNFTTNKPYYRPQQLLFQAGNLGNGKHTVKFIQQSWDNSALSFSIDFAQV FTTPSLQQRLSVGAKTGIAIGVVAILAAAIAALIIFLPSKYLKFFRLKGRSVEKGTIS GPFLYQRVSGDLSAGIPPQSAPYGRPTHSAQPSQSLSNYTPPSSGFPSSGGTYAPSSD TLIQGPNSQVISIPFTADGKYRPDLPSTPQLLPPPGTRRDPTPSSSRSHLSVLPPGAA QPGVISDDELGMITQETRQGTYMTPLRRPTTASLAWTEPPQYQR JR316_0001882 MPSFTTLLEDTSPLLVYSQANWQAGTSNGDALFDKSVNCLNFVN IYNSTTVNCANPIPLFVIRYSDASFTLSQTKGSTMTFGFNGTSISVYGAKRGNHGQYN VTVDNFEPTTGSGASDTETFQQLLYSSGNLRSDYHNLTLLNVDGRFLDVDFVSGFSMP FLLLLNYTLDKITFTTSVGRDDEDMIINTFQNGHPAFSYNPSSSWKTPSQVERFMGGS GQCVKSSS JR316_0001883 MHQNSRPAFTRGFSSAQALETPTESRTPTVPHTVPRPPKAMHVK RVTLTSKKNWWMVEMDEEEETQPSHSVNSFSSSEETAVEKPSVYDQYNHGVDEHQQRH PNLHHHVSDHKRSESPPPSPIYNPPRHPTSIFSFPTPTAYSAPPNPTASLQPFAHGSA SELFRCVVKKPDVVQLEINGEMQSNASDVTVQFLAELRVYTTVARHRNICAFLGSLEN VGMVLAYIDGRTLYDVIIERPKLTTKQKIDYHNQLLDGLSHLHSYRLSHGDLSLLNIQ VTKRTNTIKLLDFGRSVSADSVFKSPDDDPVDPFPYIARKQSTSTPKPPSVKYEQIHP GTRPFSAPEILRGECKDPLLADAYSFGMIMVCLDRCESVDVKPWDQRKDKLPADLYDG CELFQERAKEYLRRCDAGRRRLSKSDMIQLEELEP JR316_0001884 MGLLPSSSLLLISLLSTAVGVLGGSATTLPAPGQPTRTGTIGGF ELIGNSLVSAQQIFLATPDKVFFVDKVEGNPTQINGHPAWASEWALSSNNQRPMDALT NTFCAGGNVLGNGTWLNVGGNQAITYGGQPAASQTGGGAYDDPDGRQSETLEDGSAII LGGCRNGGYVNDARQDNPTYEFFPPKGDPILSPILQNTLPANLFPLTWLLPSGLLLIQ SNWATVTLDYKSNTETPLDDIPDAVRVYPASAGNIMLPLTPANNYTATILFCGGSNVQ PSQWTQKSFIVPSYPASASCVKITPDISGSYVQDDDLPIARSMANFIALPDGKILNLN GASMGTAGYGNDSWAIGHSYATSPVLTPAIYDPEAANGTRWSSDGLQASTVPRMYHSS ATLLPDGSVMVSGSNPNPDYTVGPDVEYPTEYRTEIFYPPYYNKRRPQPKGLLSQYSY GGSSFDVVLDSDDLFGDVQNLNKTKVVIIRTGFSTHAMNMGQRYVELASSYTGFAANN TGVLHVSQLPPNPAIIAPGPAFIFVVVNGVPSVGQQVMLGSGSIGKQKKLTVADLPPT NIVQGSSAAGSSNQDSKNSAVSAYVTSGWLYALCCGVAILMASGL JR316_0001885 MSSIDNLPNGIICTPEQYAISTSLSSIHTTLHCLTRGESIGLTI VSQAGIISLVAVVYVWTIIIFSLFAADVLQAIGAVMDVKWVHDGRVQIGRFCDAQGIV QQLGETGVAIATLTIAAYTFVGVWLGKGVRSLRVATVVVSTTWLFVVLIVILGNTVNR GAGKSRFQAPTPYWCWISKDYLQLRIWGEYFWFWVTLLFSIVVYVPLYLWSRGNITFD DHSWWKLHWHRADENTDPALTGIRRRSLIMLLYPLVYCMLILPLSVVRWIGFVQERGG GENKISATATLAVTAIYGLSGASNVVLLLTTRPESVLFGKHPYVSVMRAPSPVLSTNH DERSESGYESGRQRASKDRSREAGEEEVEEVELGRLPSR JR316_0001886 MFKDTLVLFAFTAVVLAQVSPYGQCGGQGWTGGTTCTSGWQCVY SNPYYSQCIQSTAGGSTTTASAPNPTLTGIAATAPTTPPSTLTGKLPALGWNSWNAYG CNINEAKFIAAANQFVSLGLKDAGYQYVNIDDCWANTSRDSSTNRIVPDPSKFPDGIS GLASQVHNLGLKIGIYSDAGTKTCAGYPGSLGNEVLDATTFSEWGIDYLKYDNCNVPG NWSDSATPQGNDWYNSNSAIRYRQMGAALATVSRPIQFELCIWGDANVWTWGSRVGHS WRMSGDATATWSYISNIININAAHLSSVNFFSHNDMDMMEIGNGALTIQEQRTHFAAW AFLKSPILLGTDLSKLNSTQLTIIKNPELLAFHQDATIGTPAMPFTAFSSMPTTSPPE YYSGKSSKGVHVFIINTSSSTATKQFTFSNVPGLSASGTYKVHDMWAGTDLSGTHSGS SSFSVSVAPHDTVAYLISNA JR316_0001887 MFSPTTILFALAFFVNSFVAASPPPSNEAQALKYYGYRQENRFN CSMGLQQRREWRTLSNPEKADYISAVKCLQAHPSRDPAIPEARTRFDEFQAHHIMIAD KVHNLGQFLPWHRHYLRSYEKALRNECGYNGSFPYWDWTQDVGPNKTFADSPVYDPVH GFGGNGAIGTYTLPQANDTDNRIFPEAFRGCVVDGPFANLTLSVGPGKLVTTHCLTRG DNEDAARKYLNAAAEANVTRSANFEVFRVEIEGEPVTSDHRMHDGGHDAIGGEMSNFY SSPGEPLFYLHHANLDRIWWKWQSSAPSRVYEISGPSSKEDPSEQVTLDFILLMGSLG PSVTIRDVMDIHAEPNCYTYV JR316_0001888 MLSTTSIIFAIVLFVNSFCTPALARRYPCDDILVRKEWRNMFND EKAEYIKAVQCLQDQPAVDPAYPEAESRFDEFQAHYMKQADTVHNLGQFLPWHRHFIR TFENALRNECGYKGATPYWDWSQDADSNSSISASPIFDSVTGFGGDGKSGTYTLPAND MKDKEIRPSAFKGCVDNGPFADYTLHVGPGKLRTSHCLTRSISDGAKKFMSSSAVSTL SKSSTFEVFRTQLEAVSDDGHVMIGGEMSSPYSSPGDPLFYLHHANIDRIWWNWQQLA PATRFFTVTGPATKTPPVHEVGVDYVLNMGSLGLSVPIRDTLDLLSKPNCYTYL JR316_0001889 MTVTQRKPQHLPDEIQTLNEPGVQYQCDSCKCDLTHNIRIKCAD PICTPGEGVDLCPSCFCEGKEVGKHLRSHAYRVIEMNSYPIFTEDWGADEELLLLTGI STHGIGNWKKIAEHVGTRTKEEVHEHYRKVYVDSEQWPLPRMDLEFDIEPEVFHERKR RRITAMNNQEMPVHTSPPTSLPAIHEISTFLPGRLEFEHEIDNEAEDLVKDLEFGVVT DYGGDQIIEDENDIDVLARARLEKEKRLGIFTGYHNPPAHAGKAPPPANGMVNGHHVN GDIKKVKTEDISNGEDEIEEPVQPPPFETKDSLAFKLTLLEMYFQRIDKRLETKAVIF ERGLLEYKKMQAVEKKRTREEREFLHRLRPFARLQTAEDYEAFSTDMLYEALLRKRIQ ELQAYRRLGLSTPADIDKYEVDLAKRTQTKVAPLRDYASDRLQYRNTGRQSSGPDPRR SSLVSYGESEDRKSRESTPRLGSAPGSSATAVRRPPAPLNLANSPSLHLLTPAEQTLC SQLRIQPKPYLVIKETLVREYARRGGKLRRREARDLVKIDVNKTSRVWDFLVQSGYLK ITNEPSSAAATAPPMTPSVSQSQDNNPLQTPSANGSPQKDARAPISPRPPIPPAISSA SSSQNGSLYSSSLQSIPQSWTTTT JR316_0001890 MRFLILGATGPSGVLLIRKTLEVYPDSTIIVFARSPNKIPEDLR NNGSLTIVKGTFDDLDELESAVQLGIDVVLSALGPVVSPSALTTHSPTTPIATFYGHL IDLLYKYNVKRFISLSTASVTDPHDKPSLKYAAIVRGVKTVAYPAYADIVAMGEVIRS KGQDLDYTLVRVPFLTNGDTEGVYAGYIGDGKIGVTLSRKGFAAFSVGEVANRKWVKA APMISNA JR316_0001891 MPSRYLFHYAQVHNEFRLPELQSIAELYGIPYTLPQNPEDRDST RPFMIVELDEEEHARMLARRCILIKAVYEYYGHGSTYDELHEVNRKNESLWARYVQDT SFRFLVTSSKHKIPQSRQREVIENFAYMGFLGKIDMKSPEIILTCFEEYEERHGTTRV KHEGDGEFRQVFFGRLIEEGSARQLVGKFDVKKRSYYGNTSMEAEISLLMANQTLASP GKLIYDPFMGTGSMAYPTGYFGALVFGSDIDGRQMRGKQKTPGVIRAATQYGIASRIV DLATFDVTHNPWRCGELFDSIVTDPPYGVRAGAKRLGRKRELSERQKELCLQHSLAPR PDDQPYIPPTKPYELSHLATDLVLLARYLLKPGGRLVFFLPTVTDEYEEVDIHTMMCE GMELVANSLQDFGSWGRRLVTIRKVTKERYPPPTFDPMLREANEETHIPAHKDFREKY FQGFKSKADETKG JR316_0001892 MVIINDTVVFLAISRYLANIAHEHEYLLSTKSLKTYVLGTDLPH FSRTFLQDGQLYYLTTIFFSFATTVVLNINSIPLAYRAVAGVPNAVLMNIMACRVYRN TRLGFYKEDTTQVLNTISTETTPPVFVESPFIEKSSPVSLSGRDILHDEMDITQFFKE DEHRKIGEVAVHAQSCLRQRNFKFALLLPILGVIWEYILHPYLNIAVHERKIHPVNNE YCKKVPQLAACEKIILHQQSGLLYLACSNPLSRVQWTPAVGRLNAAGASRDDFVAIYD PKRSTVTRLKIQGFESARGLSLHGMDVVESTSKPSELFVYLVNHRAPLGGLLAKDVGA DSVIEIFKTTLGGDTLTHIKTVQDPIICTPNDIVGSPDGKSFYFTNDFGSKVGIIRHL DMLGRKSTSVGYCHIENGCHYAIQNMHGNNGIARAPNGTFYVANCKKGGLTILEENTS DNTLSIVDFVPADFAMDNLAVDSKGFVWAAAFPDVVKLVKKHFSDPTFPAPSAALRFS VHPDHNANTTQSISRYQVETVFEDDGNVASGITSAAYDADRNLLFLAGLASPNLVICK L JR316_0001893 MGKLTTAVLNLSVLFLAVFIGFYQLYLKPLFITYGVFPFEREIK PLGNQNCKAVPELQACEKLVLHQDTGVIYLACSTQSSRAHWIPAMNQLNATGASHEDY VATYDPSTSQIIRLRPSADFNHGRGLSLHGMDVVTSSSDPDVLYVYLVNHRAPLADGN TPVNAEQTGADSVIEIFKTTIGGKTLEHVKTIEHPVIITPNDVVGSSDGNSFYFTNDH GVRVGYSRYLEYVGHRNASVGYCHLDQGCKFARTRTHSSNGIARANNGTLYIADSTFG DITVLEAQVDNTLVVVDSIPTELVVDNLALDADGLLWGAEDLRQISINTGVNAFYGEK YRVEKVFEDDGNLVSGITTAVHDSQRKKLFLHGIASPQLVVCDT JR316_0001894 MASKLPSVLSATEEEIQLLLAAQCHIGTKNCDKQMEPYVWKRRA DGIHILNIGKTWEKLVLAARVIAAVENPNDVCVISARPYGHRAVLKFAANTGAQAIAG RFTPGSFTNYITRSFKEPRLIIVTDPRVDHQAIREASYVNIPVIALCDTDAPLKFVDV AIPTNNKTRHSIGLIWWLLAREVLRLRGTISRSTSWDVMVDMFFYRDPEEVEKQQQEE AQAKLALQQGDVEAAAPEWDVSSGAPGAVNPALVGEGGALDWSADPQPGNTDWSTEPA AGGWGAEAPATGTGGWE JR316_0001895 MDTSSDVPVLSFPAILRNPGLSNRFAHLHGPSVTGNNAKQQANS RVSVKKTRRENEGKRWVRRKDNSRFVGNPHVVAASKRDYNVQLPQVQSTFPEPLPAYL PRIVKLPTTPTLPTTDPGSANAGRFSLSLKGMRRDLRRAGGKAEALVKDVESEMVQWL TLGGVVLSPDHPTSAVGVGEAQSVGTPVGVTGAITEVSRTPLQLVWRITDDAFARYVV HCCARYHEVVSYSKGTGDNRMTYLLRPNVTRPDRRAPASLETPPVTDIDYSSNPDTDI DSDFISDRDVESDMELDSRPRAHHLTAIDESPASVTAQLPSLDEEDSWSQVDEDGESD FDGFDSTSEFGSASIEFLHPRLEALSINDCTRNQDLTHELPEQSIDADKTVTEIDPQV SQAIDPSRYTRDRLPSGRSPSSPSRSPARSRIPRRRARGKKRIMTVGIGSGRTFYEYL FM JR316_0001896 MGNTNSSVIPTPTKTSFDDKDEMLELADPLSAMHIAQPLSSDGS LTLGHIASWEASSSTDPKIRLARTIFSQSDIRSVLSSRSARIADQHIFNHVLDFKTGP ITNQKSSGRCWLFATTNVIRYGIMKRLKLKDFQLSQSYLFFWDKLNKSNYYLELMIEH AELPIDDRLITHLSGDLISDGGQWDMVVNLIETYGLVPQSAYPESTHSSLSGPLNALL KTKLREHALILRTLADTLRSAHVREETVMATLRAKKEELMKEVYNIMTATLGVPPNPN KRFVWEYIDAEEKVGRWEGSPKDFFEQFATKPFSPVDSFSLINDPRNEYSKLYTVDKL GNIWGGRPILYVNTEIENMKATVVRMIKAGQPVFFGCDVGKFSDRDAGVMDTAFFEYE NAFDIKLGLNKADRLQICESAMTHAMVISGVHLDPSGKPVRYKVENSWGETAGKDGYF VMTDAWFEQYVYQVVVPKALAPKDLVAVFESGNKIVLPAWDPMGALA JR316_0001897 MPYQTRSDNANGGAGLSFFGMVGGAMALLKLKTKYDQYKEASTD DEEGRVALTSATPFTDSEHDALGESGQGRIALPDAEFPSTTGRRTKKRACCMCCGMDC SLFWKAIGIVLGLYTLYYGFKAFKWALTDPPTGLENMPAFSTSLGCADAPYIYNKTGV SQVIPLGQQDDHSLDIRGGAVGTITIVDGDADSTHIKYEMTIRTDKEELLEDVRFILP DIASDGTVTRGRYIIETSRIPSVNTAHCMRFDINLIIPPTLKRLGVSSHVDTHVSFAR GSRAASIEHLFVRLFQSISNGPVNNIIKTNSEVVPKKATYEVYRGWIVGEASVVNDIE IATQRGDGVANVKITPSIPQDPSKNEPATILTVTGVGRSDFTVVSQKAFKRQIKSTHS SSGNGDMYLNYAEADFNGKISLHSKSYTVTGATPLRKPLGGDDDDDDDDETRWTHFHG DKSGGDEIHVVSRAWTRLSL JR316_0001898 MDDVSPPGRSVDIELGGQEVITIELDNLDPNPDDVLDLLKDGQC TVYVWTKLAGEYWRRGYLEAAERIATTAIEWSLPPIYALLANLQIAYARKAPKLILEE AQQDIMTSEKPKDDYYREAALYLNTGEKVGSESGEGVSGTLAFLTRGIQQLATRSMDD AMRSFEGVLAEKPTNLVALLGKARILYARRNYKEALRLFQDVLRYNPTCIPDPRIGIG LCFWAMDQKSHAKAAWERSLELNPSEGAAQLLLGIESINASKNPKLPESQKAKSFVAG TKLIEKSFQSNNRSAAAANALCELFLRKGNLPRALKLAERTIQFADTLTILTEGYLRA GRVSHASGSLSRATKFYNAAIEGQPNHIIGAIGMAQMQILNDEMAAAIHTLDTLIQPP NPQRSLEATVMLASLRAYPRPGVSSADLAQEKTRARELFDRVSKTLELEDAGANGALL SKTSRGIAEDIEMHAEIARLWQDENLDRMGKALKEALRISQSSEDIDPRLVNNIGVLH HLEGQLVEAQRMYENALTTAASMNSDAAEGLSTTVLYNLARVYEDSGQDKLATDAYEK LLSRHPEYIDAKIRQAQMLTNINRVNDAHELIKQALVSQSNNLNLRAYYTYFLIHSNS LKTAKDFIFSTLRDQDKHDVYSFCAVAWIHYNQARENRDTTTKGIEERKKNFQRSAEF YEKALQYDPTCAFAAQGLAIITAEDALGSMGGALARVSAYDEAQQRLQNAREALDVFA KVRESINDGSVYLNIGHCYYARDEFDRAIESYETASTRFYNGQNVPTLLCLCRSWYAK AMKDQSYVAMKTALKYAEAALHIHPNDKANVYNVAMIQQKSAELLFSINVAKRTLKDL QKAIDSATHAQKIFASLAADKSAAVPYDRDIADNRRKYGDGMLRKAEEHLKNQRQHEM ETRSKIEAARQRRQEEKERQDALERERAEKLRIEAEKLAEERRLAREQALEWTREVRM ESDEERERKVTKKKKPKAEIISGDEAEPKAPKKRRGKLKKASSDHDHEGDVDQTMFSE DEDIEKPAKKRIAKKRVVRDEDEEDDASRPKKQFKSKAMISDSDDEMS JR316_0001899 MTMPSSGSTELEKSGSKHSEEVSARVVALAGVERKSTGSLSQEL TADQVVESLESDAFPGTYRLYRRRFVGLVALVFLNLIAAMSWPWFGPISNSVASDFNI TLDEVNWLGNIVAVVYLPTALLIPVIISRYGIRRCCEIGAVALILSAWIRYSGTARSL SPRSSYSLLILGQFFVSIAQPVYQVIGPKYSETWFNLQGRTTATMIVAISNPVGGALG QLISPLIGDSRRSILILGIMSTAVAPLVFLIHKAPPSPPTYAAAQKPMSLLSLIRAML GLRVEAAAYMTRRERIDFAIIIANFAVLVAASNGFAILSAQILEPVGYSDDESGLMGA CLLLAGMVAAIISAPLFDRVFVHHLAITAKFMIPFAAIGWFSLIWAVRPDNGGALFAI MGIIGICSVPMLAVGMELACEITRNAEASSAILWFAGNLFAVVFILVAGALRAGPEAS PPLNMRRYLVFMGVIILTACSSIFFLHGAQKRKALDQEKAAEVQAHQELIQRTDL JR316_0001900 MSEYWVSKKKYLCKYCDIYIADDAPSRQHHENGLRHKGNVERFI RGIYKAGEKQKKDLEEEKREMRRVEQAAAAAFAEDVGAGRAKAPPAPVASTSTAPKKP STKPSNPYANYSTAESLGYKDPDAERLAAEAEIRRSQGIAGEWQILAPTEPRVGTKVE GDGADGTNGTAPGDAGVKREAEAPPDDEDTRAFKLRKKTLTRGLGEIYDPGVIPIKIK KKEETATISPEPQPNTSIPPEPETLKWKPTQWKRTGGNSQQTEDSGSQRTPDIKQEGD TSLTTIESNTSKWAKPQWSQPLPDFKQEEMKTIFGNQEVVEGANDRDKPLDPVVKTES DIIKEEPQTTGLSEAAPASTALFKKRKAPSGAGRGRREI JR316_0001901 MNSVLDLWNFKLNGGHHKKYLKSPVPYLLKGNDAPTDEEIFLIH TALRKTESKIMTLEPKDGYIRDRYNNYFQSHLNLLSPLRRIPTEILVEIFYHVLEVPA DPLYNSPPWKLGHICRLWRRIALDTPWLWAALPPVRLHGNFNVVESRSKLDCLSVLLK RSSTQKISFYSAMQQALPSIGKAILSLLIEHSNRWERISLDISDFNWAHLSSIKGRLE SLRSLKLKLLPFSGRLMGDLDTFKLAPALRESFAGEIPGSDFNNALSMYPKIEKLSLI PLDCPRIHYASGIPKPLTSLKLLVIQRLPNQMPERDLNLNSLYLPALEELIINYPRQE SYGQDIVRMISVSQCRLRKLVLGFSELEPQVILDILIICPSLVDFDIVISNDAFLHTL PNRAIFDAIKNLAQSRCDMVETDFPNQANANSKGHCRLKTLKVDTRPDAFVFDGEEAA SYYFGLETALESVDQTTHKIARQLTAIKFADSDTAMLRRGRSERVLKLIEEVLLCMEK LSKMALDIHKILLTVHIYTESKISFSRRLDAIYSRWTLILEQVSHRLRWGWTADGCLL YLSPVDASCRKQVHFGFEGDFYTKQPQSGEYAFYWPSS JR316_0001902 MSSPLAWPRVFAFLIFILTLIPYAYSQEESIFTSSVTYCNPPET LLIQRFELAYFPGNRSVSFNVSAASVQANVNVSANVFLNVYGMKPVNITLDLCGLLGG ALCPLPMYNFTGADSITLPSSLEVLQKIPGIAYKIPDLEGFAQLTLTEPKTGNVRACV QATLSNGRSAHQPAVEWTTGGVTLAALSVALWQTIVSPQSIIPFRLLELMYLFQTISS SAFLSLNYPSVYRAYALNFAWAMGLLTSGPNSGFQNSINNMRRLTGGNLADEIEGSAV GFVNRKLSPYNQPLSSREIINAYSNLSSNFTVDLSSLSSSEHRSNAFETIVNGVVQTV TSATSNVLQAGIPIYVNTKHIATANAFMTVFLVSLIMFVIAFGVFAIGYGIRFLLERK QVNSRVASIFMNFDYISFAVSWFLRLGLVAVFPLFIFIFYQWTLKDSWLSIFLSVLTL IAISALILYPSFLTLRLARQESPVALYTPGTQSLSRNGPLFAQYRSPRYYFFVPLLIA FVLRAIFISFAKSSAEAQIALLLVVEFGLVCAHFALKPAKTRGGDVFNTYLSITRFVC TGLMIAFLEKLQVKAIPRVVIGIVVALAWSVAVLIVIGNITWHTVLAFAQLLGLKKRT QPSILDSPVNSEGSMLEKGIRGTQSGSTRSNLNEKNLRVAATSNGVGGDNDSGVSLPY VVADGEDIEEIARGRPMNPTPENNGAFEPYLLTSFPISPTTTVTTMEPPSLYSRDSGT ITVGSLLPRRWSFHMSQPGSPAGSSFGHGYPSTQMSPSPIPPSSPSESSHGGAVSRNT SVRAQQQSHQQRHSDIEEEEEGLPTSPTVKPT JR316_0001903 MLLASAARTVSAAPGLGRRAASAIAPKYSKAVFGAALAKSPATL TKVHADLAAFSAALKKDAEVANFVNNPTLSSQERAKGLQAVFSKLEGAGAKKDAFSDI SKNLLTVLSENGRLVETESVIEGFNELFAEYKGELTVTVTSATPLPKDVLNRLETSLK QSQTAQAAKVLKITNKVNPSILGGLIVDFGDKTVDLSVQSRVTKLNNVLTQGSLAPER ACDDNLEIVRWDSWQTVADEISWYVSSLNFPPEHFASHSFQTQPEPSFQWVETPRNTY YSGLSGANPATRYCTAFTQIHRSHELAKRT JR316_0001904 MTHFYERLEEEVGKIIDQLMEEFQEPLPEDETERYKRRKAVVER GLDLTEGCLVVVYGELGISEVEARERFGHIKPKFERVLLIIVNIIDHHPRIVRTILFA VATWILPIRVVRIILRAFGLGPAIRGRRIATRWLQKFLFDEVMEAEIWMKMLWAAKGK ARWSIKIILAAVLRFVKDLGFRVLEALLNNDNVAL JR316_0001905 MDVALSILAGLGLRIFLVPTNTGPTNKLTTAILGLWEGIVLHQV SGRSTSPNLDHVLAYGLRIVMDLLISKDLQRMVMVLLWSALGSVASEAFVPRASLRAA LKKDRERVKERRHRHSRSIPTAVPILSTPLPPRIRAYRAPDPDQSSINPLLPENPPPP FSSSISPLERPPTPPSFFLQEDSILSPSPKPVLLQVQQSTEHFVQEALPVRPRSGLAS LLDHSPDSGSPLPVPNHLPTPPDTAIPSDGMNDLSNNDSYLDCHKPRFENQLYTIPEL SSPEDNTAHPENGDQTSNVSPRSGDQHQNRNNITQQPLPNGPDPIDMPIPVPNYELRS SPNSVVVDWLTSQSSHVNAESLFPNQFATTSAEVQGALPVLLRHQDPLWHIHTPTASN HIIIQENIDERMDCVGNTKVNLQANYESEVDELLTPGARDKLAMETDNEHDADPLLTP KQARLDELEGQLSPLSLNVRSALGSDHAAAATEPAPNVTEDDFQDELQMPGSLSQNPL LQPPLPPSGPLFRRTTSPPPESPPPPSPSTILSDPSDASILSTRIPTRLYQRADQLRQ KAREEESVRAQLEEQRKRAESEGRVMDALALKIKVRELDAEAYKLHEKAARRYFVARN TLTKSNEIDVHGLRPREAFDRVERAIIKANEEKRTTVRVIVGKGKHSIDQRPTLKPAV QREMQRLGIKCEVDARNQGVLIVSLPQPRL JR316_0001906 MASRRKPVPRVQSPVNEPMLPPMPLQSDPVDPQIKRYSLSDGPA TYTQISDAVEELYPDNAVTSIQSDPFHDGGSSAGGHSSNESNAYSQTSTSEFRRYQPG QYLAPIITGETLQIEPELPAPSMAHSDPFYDPTTPLSSGPVPLIVQPDQTYNQPQELY ESSTYQSYGSTYDHNALPAGSEIDHFNYDTEAYASGNKSRASYRPRRSRSPTPAPDDE DYLIVGDESIHYTGYPGYPEEQYGYAAEKEAKESPYYKEQGYLPNGHAVIYDPEPETP TSTLYSLPPDQQQETRHFGPAPVGRILRRHKTKRRVQLTNGNLVVDLDVPPKLRLPRK GEPETMKTRYTAVTCDPDDFEKKGFFLRQNESGRRTELFIVITMYNEDEILFCRTLYG VMRNIAHLCTRKNSQTWGPNAWEKVVVCIVADGRKKVHPRVLDCLTLLGVYQPGDHMK NKVNEKEVTAHLFEYTTSFALDPNLHFKYPDKGIVPTQIIFCMKEKNQKKINSHRWFF NAFAPMLQPNVCVLLDVGTRPGKSSIYRLWKVFDLDSTVAGACGEIAAYKGKNWSLLL NPLVAAQNFEYKISCILDKPTESMFGYISVLPGAFSAYRYIALQNNEHGVGPLASYFK GEVLHGRDTDIFTSNMYLAEDRILCFELIAKANCNWILKYVKGAVGETDVPDALPEFI SQRRRWLNGSFFAATYAIAHVGQILRSGHSFTRKTMFMVETVYNIINLIFSWFSIGNF YLFFVVLTTSLENASFGLKGIKYFNTLYIMASLIVATFLFSMGNKPAAAKFKYKLTSI IMGILMLYMIIASIICAVQAAHQGGSANSVMLFSIVITYGLYVFSSLLAFDPWHIVTS FLPYMLLSPMYINILNIYAFSNLDDISWGTKQDSVPDSDLGAVVQDSHSHVDVEMLTE VADVNSIYEESLVNLRDRVPIDNGKGKKGPASIAERENAAKDYYANVRTNVLLAWVLS NGLLLVAILSGMGDMDVFGNDTGITKVKAYMVFILAFTAITNMVRFTGSTLYLLARLI TG JR316_0001907 MSLKLSVNLSRWTTAIHRCRCPNKDILPIRRHRHAAPEAKHGNP YPYPTHRNPTPHQLFHLPKNASKSDIKARYYDLVRIYHPDKAIDSVPPEVAHTRFQAI TAAYDVLRGKIPPDADATYAKASDLEARHRTTAAYRAARQRRQELYSSGAVDDSRKDK IIVAGVVLTIFIVIAHTATTRREALAEAMARSRSMSANAQRTNSQRQRIEEERLSLDA PTNKQEHISPES JR316_0001908 MPPQVKQVDKLTIIFLVDNCIEWMTKLPPGFSHELPQHLQQTSH GVFDKEKGVPVLDFDNFCCGAHGFSALIETSVTGEESSRLTLFDTGPDSLSLVRNIKA MEVPVHRIERVITSHWHSDHTGGLLSFLALKKDIDGGLHKRPCIVDVHPSRPIARGIA PGPGYDKVICALPLDPTIESIQTAGGIVEPHSEGHAVADGAIWVSGEIPRVTDFETGI LGGHRWMKESTDNGHGGKWVNEPHIMDERYAAIDVAGKGLVIFSACSHAGIVNVVKDA VETFNRPIYMIIGGLHLAGPEFSPRIPKTVNFLSNSLRPSPMYILPMHCSGFQSKIAL EKSFGEGCVPGGVGLKVEVVGDREHDGRLFPPTIV JR316_0001909 MSVDSKRSSELKKEDLEEKRDLESPIGAHYDPEFVRKTLRLVDW RMLPLLGFLYSVALIDRTNLGIARAAGMEIELKLYIGERYSIASMIYFIPYIILQIPG NIVLRWIGARTWLTICVVGWGIAQLGMGFVKTWGWLVFCRVWLGVFEAGFFPALVFII TTWYKRHEVQKRLAIFYLISILLGGFSSLLAFGIAHLSGRGGYLGWSWIFIIEGTVTI VLGILAWLFVPDFPDKSTFVTDEQRKMILDRVEADRGDSIPDKMTTAKLFHHLSDPIV WIMALMFLCSTMPAYALGFFITIILKSMGYSTFKSLVLTCPPYVFAAISTFVFAYISD KSKQRALWLAVQNLICITGLFITAYHKKDPVRYFGLFLVNAGASGCIPGILAYHSNNI TGHTKRSVSTAVIIAAGGVGGIFATMVYRQKDFPKYMPGMWATIGCQFCMFICLGITT AVFWRRNKEYKEGKRGPLESTPGFYYTL JR316_0001910 MNFLDPLDITRSTTPNPNQPEQPSLNEEVNQVIGQLGRFWGGFR KQSQTVFEHAKKDFGDVVVQAQKELTKLTTTDTPATTIDENTNKEEGTSTGAGTTEAS TSSGEASTSASAARETPSSSTSSATLFSRIQAALPPNIVQTVQNNIPESLKHASESID IQQMRANILSELQRVQGVTLAQAEEYAHKSETLLREAMKEAGEVLREAVKVIPPDQVN GNGSNVLWDGADMWMLPEPNLVGKEKEGSNTKSLETQSAVISRAEALLRRLKQDPTII RHDPEAEEGVKEQYNLWKEKEVDTLDGGVEGAEWKSKIETALQDSNDNQSLKQLQETL VPSEMNQTTFWLRFFFRTHQIRSEEEKRKALLQRTIENEDDFSWEDEEDEASSPTTSK HTQPSQSPSNTVAAKKPMTDGLTPPSTSLDTAGTPSPRVSSEGSFDLVSSANISVVDD VKPSMRKETDDDGDSDWE JR316_0001911 MVPIATSSQDTEPYHDYTEGASALDIDQALDNRRRSRRDSQYST YFDTDGDGAVFSGPGHVANPSSVSRMSHMELGRRSSDAWLTRRKSIESRPSHRKRRDS KSSQVSRQSVEGAERPPPDDDDLSLHSDDDDIASTGRRRRQRRSPSPPSRAGVFNNLA HLFGRTGTSEDRRPSVSQRSSTSRISRRSRSRDAVSENAVDTDDNEEERWGYSSGEEY SDSESGQSADVIHDNASITASMQYDSEPSSPLEASQNLPLLNIDPVFGGEARIDMDTT FTLLEPPPPGPPSRQTIYIADEDSTVRFVGYETITLRVWLWRTCCVLTCGILGLLGHW FPYLWLRCVAREKAFKDAHNGFLVVESAYKAIVLIPIQLLEYPYRISTVFLESVPTIP GTSSLVLDEQYNDPNAILKHLLIVDYRHTRFAIDPRTGLFEMVRDWRDPSWSNVQAAH RGIDQETKEQRKIVFGKNEIDIEGKSTVSLLVDEVIHPFYVFQIASIILWSLDDYYYY AFCIGLISVISITTTLIETKKTVARMREMSKLICTMDVLKNGFWTETDSSELVPGDIV NLSTSHLSLIPADFFLLSGDAIVNESMLTGESVPVSKTPVRDEDIIRWRDEKTENAKT FLYCGTKVVRIRGTFTNEGQGRPALAVVARTGFNTTKGALIRSMLFPKPIGFKFYRDS VRFIGVLAGIAGLGFCFSAIEFVRIGLPWQTILVRALDLITVVVPPALPATLSIGTSF AVGRLRKLGIYCISPSRVNVAGKINVCCFDKTGTLTEDGLDILGVRSLDKNEERLFGE LCEDVHDLPLGKEKATFLHALATCHSLKMVDGEVIGDPLDVKMFGFTKWTLEEGRVAG TGNIKAKGTVVDQAALVQTVVRPPGSAQFRLEDALKGSARHAHFLELGVIRAFDFVSS LRRMSVIVKRLKSSSMEIYVKGAPEVMADICDKSSFPTDYDDLLSYYTKRGYRVIAIA GKSIEGLSWLKAQRMKREQAESGLKFLGLVIFENRLKPGTAPAIQALRSAHLACRMIT GDNPLTAVSVARECSLINQAAHVFAPIFSRGNASIPASKLVWSSMDDPLWKLDSYSLK PMPPPPHLAVEGDEINYQDYSLVITGDVFRWMLNYAPLETTTELIDILAATYEQMLVK TQIFARMSPDEKNEVVERLQTLGYTVLMCGDGANDCAALKAADVGISLSEAEASVAAP FTTSTPDIGCVLEVIKEGRAALVTSFSCFKYMALYSMIQFTSVTLLYSFASSLGDFQF LYIDLFIIIPIAVTMGRTLPYPRIYPKRPTASLVSKKVLASIIGQIVITSTVQFWAYF WVRRQEWYTPPPTTLPSEGGDNKLESTNFENSVLFYISCFQYILIAAVFSIGPPYRKS MWTNGWLMFSMCLLSAFNVLVLLAPPTIFVKLLELMSFPSGARYTLLLAASINVVVCL GYEAWGTQGVSSVLGAGMRWWQRSRRRVRDGKTYKVVEGGMR JR316_0001912 MFPSLRPGQLAFLVVFHSLLFFSLQANAAPVNATGKPTQVTTVH TTDTALGLMTETCVIVLTPIKDAQGNDAVQEVRTCTVTMDSTTTTSSTGSASSTTVAS SSSSSISIASTTSASSSVSTASTSTAATATSASASSSSGIVVIGISTVSGSQTSSIAA SSTILETSATPTASATSSGIVVIGISSVSGSPTTSATVPPTVTGSVPIVVVSSTASDT ATATSPATTDANPTPTASPDSASSATSAAASGSAAAASASPSQDTFQLPGKKLSVLPI GLGVFAGISVIALIVVGLVTYERTKYRKAFRQRKLAESGANMGYGGNAA JR316_0001913 MENVKSGQGGTSEPRAGQIRTGPDNDTPVEERNWGIVNRQNVAE RRVRTGAQQVLYYTASLGDPTTA JR316_0001914 MGSEPRPGSLIFSLPRRSHLYSHTLVTNALRLLWVVLVIWGDLG AFFWSLSDCSWPEVKTGNVRQSPQTTHVLLVADPQVQHTLLLSPGSWWANPLRRAMFE LNMQKSWHVTMRLRPHVVIFLGDMLANGKAARSLEEYREAANYFKSVFATDPRTKVYY VPGNNDVGLGSVSAVASNLRSYYTDSFGPLNQNIVISNHTFIALDAPALVDEDYHRHG QGTSFDKWKPIEGGAVEFVKEMAVYDPGNVILLSHIPLARPETADCGPFREKGGIRRS AGPGYQSALGRQTTRFLLKNLEPLVVFSADNRDYCEYTHVLPGTRVDGHNHINAIREV TVKSFSMSVHIRRPGFQLLSLVDPATLANPDFHSFADTPCLLPDQNRLYTGFYLPCLI ITLLVLIVLNFSRHRSRGKPHALSVTPSPRSSGRNSPNPGFGPASPSWNGPWSPFSPA MPVSPRTTLPSYLRTPHAQSGSATRLVASLPGTPAPSSPSFLTVPMPFSDKADDDFDD DTMYPAQYAIRREGTGLLFRHREDDDEWSHVGQGSERDDHELLFHGDQEAGAGTTPQL QSKFISAPDNNSRLLATKKRKYHWSYTFVFKGRRRRISIGLPSWNSLHNFLDFFGFEN LSNFGTGTHRGSGVAAVLVDILSVFWPAVVTWLIINWTIL JR316_0001915 MPNNQRDHSEDSPDDIVFDPDQSADVKRALRQDYRSLVKKVQEQ QGNLNDVTPEDLLAKVQQADELFVKVRGPQEATLDAQLLLMATNLGAQKARAMKSGSG VFDADNFVSKLVTYMGGKSFEAMSEDSDSDDGHGRPPLDWDRIGRKAMAKSHRVPLTG FMLGPLSIEQKKRAVTKRSKLEKNKSDEQKPQELKEEDISRSKNETSTNVNLLGSILD HFDFENPVNIFEFVINPNDFAQSVENVFYLSFLIRDGQAAFETRDGVPVVYGCGKPEQ ADYDQGLKKRQLVLEFDMETWKRAIEIFDIKKPMIPQRAPSLTKLGDKWYG JR316_0001916 MGSPYSLYVTKHPAVVEAVSWATRLHDAKTFLASICPNPVLSQI MGDRYPEIKLALDGVQQFGSSVTGSYNRTATTTETRPLFSSDTGPAIPRNTAIVHRHI KFSRSSNWTKAKTPVKMLQTFYLNTDTIRIDTMDSSLSTSSRKSYDLPKPETGLAEWT NKIKALQKEVDADEEAEQRRLEEEIAAARQARLRRSRGNSASSRVNTPDIPTDNDTSV NDPLRSTAERVNNQETALRKLMGRNEVYNPDKLPASNRSITGKSEPVSLAAFIGGTAT GPKLNRHAPQQDAHDPTQFIQPDLSAPHPVFGRGGIAMPGMISKGIKISASDVGSENS ERYRPSTLSSKRSTSSLTGVQLDKAESGTKKEFADVPQRSLTTGPKFAASLSAQKQVG KDELFQGKSNEIPRNHYTLGASPRPLSSAKSASYLTRTEEPIAQDAISKSARPADTFG SSQSSLSSPSKPAASSFVSSTARTRAASPTKSTDPWLPASNISFESKVSAPSTWSTPM KEDSEPRGASALPIKSSDPYNLIGKQNDIGSPPKPISSSSTSSSYIPKKETTFVRNVS PSKSVDTLRSNTSTPSKPLPSYLGQNASKLGLSSGGKVVSPSGDTPLRRLMETNNVYN PDKLVALDTPRKSVAERAQAVSLAAFMGGNGAGIRLNKHAPQQDAHDPTQFVQYDTSA PHPIFGKGGIAMPGMTNRNIPKSSDTPGSESSERYRPSTTRPTWPPVSSSGAGKAEER PISPQKTGNRERTTSAPSAQVPNTFSSSSEWSSSNKMTSRQSPTKEVLSTPARDRTIS GPSYINNRKSSIPASPSYSSSASSASLSRHIEPIPKSSPLSPFISTTLNASPAFQKPP VQKDLTPSISRLQGRGFVQNMVKANSQQEALPTPSPSAEKVRPLSASGRKGSVLDRWQ PNIQSSSPTKPSFPPYPSSNRKSTTYESNENIHDTPQATKPPIAHTIKPVASLPFLPK ANTTTSVPLAQEPAEASDLYPRSRTPGLGSATTMVLIKPTKSSSDLTQLPHVDELGVK RNSWRNPVPDSDQNASAEALTSSKKPLIHPTKGRARKPRKYGEPRNEPTDEPAVSNFI QETKGHDDHEITEQKQTRPYHDNSSLLQEQRDRSSHSNKGVSLHNEQSRNYDLFITPI SNVEKNHHVDNGPAERQLVLELSSPKAPEFSIPSKSFVPSSPSSPAKHTRIPSTGSRA TVMEVAQALLDHPTLNPMPSNVEFDSSLSKPILELEQMVTEVPKPRNLSQIQAEKRKS SYEKYSAIVLPSLKEEATPAPSPAGTLTRAQVKIDHEPDVEFVAVPEVKIDREDVKCV TVPDVSPLDMRSTSPTPKSPTLLTPYGKYNVLDIIDLSDVFMHGPEHDEIPLPRIDFA KFTKPATRTPALKPDEQKVSLEILALTGATATALTRSLDIFYETEILVIIHRTKSKSS GLASTSVWCWLGRKSILGDREEKKLQELATRYGTTAKIIQQLAEPPEFIEILGGQLAV RQGTRTHWTSDNTTMHLVRSCGGNIVIDEHDLNVHNLCSAFSYCFTLLGSIYVWHGRG STQPERDAALKYVETFSKDLVLPIVLLEGEDDNDEMFWMILGDNDFAKADYWQWRTTS AIIDPSVWRLDTNGKGCPLIAVPFISMEQELQKSIYIVNCIWEFYLVVGKEARSDRRS IKFALDLVMKLSMEAASSRPYVPTVHVLVLPSQIPLDLRLGIRDLDEAWMNDGEIPDH MNVIHSSKAAYHILNPLEKQTSMRSLLATYITVACSLVFDVVLGALIPAHYQNVARRK ESPRGCFPALDFKMPKHTPHDLKGWWCDAATEYAFVGFSYEVTACQSAEQLKKEFKDI RHHFNSRYVRLYGACDREGFYDDIVDAAWENGLGVHALIWFGFNGDDIWMGRRDVLLA NLHSNPRAKYVTRVLQFGSEPLYDNVLPHDQLAEQVQLAKANLSSLSIPVTVSELAYG YQERGGAQDVLDAIDSINIHMLPFFQQTASTANESWPLVLNDMDWFITHGNGKKMYFD ENGWPSVTSPSVQPNSPLAVADVENEQQYYILLDNHCEDLKEVVGGGVGWFAHIYSDN QEPGYGIYDSDGNLKFPFHPRTSC JR316_0001917 MAFISSLPLKAKTAPQKKAVNAWCKQACTKILSSYTSAECIPYA FQKLNELSAVSPTTDGRLGGETLTKEQVTKVFEYAIKWRDLEIWKSMAQSPSCTLANG TLLADACATFSFENVRAIYETLISQSTSLEDWINFIYLVPPKAILEDQHTVHTWSANQ SNQVLSSYISLKVEEAPTFVSVIHTITMPFVLPLPGFYTYNGKLLLLGKHSALPQITP IRAQSASAIVQRLINECLQAAAEQWNTGPTVNYPGHYFSIYMPNPRLLSHVDRILDIV ETAIQVSGLDVCTPLFEQTFKGEHSMASKFDDLYLPLLPRLRTLLEKKGQDLSWPPFS RFMRLLIAIYLAKILRQKSNFPNVNLRKLGCGCLECKKLDDFFSEPLQSIEFQLAQPR RTHLKHQLAHASDLCTLQTIKTRVPHTLRVTKLPSVIEPSKWAHKQKKAREFLASIGP DHIISKIMGGQYPEVDLALKGVKLFCLAATSSANNRIQHGASKVPAAAGVQLSSNQNV SGKSSISVPLTQPVAGQKRKLP JR316_0001918 MADPPSPYDGFMTMSLEDRFALLFRAQQVRFDADKKVDDRLSAI ESKLERLTASLPKPPAAPTPSARAPRARRTSRKERPAAPTSTPTTGPPHSQSIRSTTT KAALKKIVATLSIADEQAGHVIGRAGTGLRQIHDISHAKISVSPVVTSGLRAVTIRGT AREVGDALSAIGKRIARRRIRNPRSKKPKQPPAPTAAPPTLVVEPPSPTPTSSSTPTT RTPRSGTASPHSPTPTAVDTRSSPSSSLAPGLPMEVDALRAPQQHSDGYSRPGPVQPR EGIQTARRGGGPPRVFGANRPR JR316_0001919 MEPQPQGADLRDELWKATNEANTAFEGNFYHASTLANALNPCMS ISGLGLVGLPLTNRDAGAIIANCSAPALSGKGKRNVAPQAVRDTWEIDSRLVSFGNTG WKNYVDNTLSREVCKSLGVKIGAHPPKMELQKLLLFDSGSTTACFTPGQDTQRVIGAF ASATILLPSFHSGGENWKDKKYQEGSDNDMMACILHHQYDMETLKRGMDSLRGVDRSK ATQILPVAEEMGFKLILAKLNHTKSGTAERIYGGCDYYRRKRQRQRFYDFDFYGYEDK DEDDEDEDGDDDVTMGAVSGTTTRLTEVVSLDGVPLLSIGTVNLGDDFVIPKKSFENI APDENNYDSSAETDKVESVLYKAGGPSYGLRKLMESPSITPTIEDLRWVDILVNDQRP FSKQQTILLLKRAFKWKDLKILEKIVQHKPCALEVVGADFLHQIWDTFSFKNVCTMYV YSRHS JR316_0001920 MMLRKTSIFMTVAILGTSAVSAGSVLDFLSSSCKNTLQTLLSSP SAGCLNLGTLTLNALAGNKTIPEVANSWLTGLCASGSCTNSSLSDVVSNITTGCSEDL NKYGASSAGFTPDVIQGLVLQYYPTVRQIACLKDDNANELCVPESLFALQSIVGTINK GDLDLPTLLGKVKTLADSDYKNIACTGCIKQAYIIAAKVFPNANLLNLAQTPITDTCG ASFVDGQDVPGISETAQSGEFVATKPNSSGALSFKGTGMTGPVFAGLSAALAFLL JR316_0001921 MIRSIAFAALVSYVSAQSIPSISSGCQSAITGVAANPDAAACLS PGSLVALAATSSSSSIIDPINQWLTSLCAAPACSSATISAVVQNVTTGCASDLAPLGF SASLTPSITGIIQQYYPTVRKVVCLKDGNTNCITQTLTNVEKASGSPITLENITTIAA TANLTDPAITCTNCIKAAYNVIKTDLPSLVSDADADLNQQCGASFTDGQTPAGILQSA NSATATPGSQNSNNNSGALGFASSRGALAGLGASAAIIISSFFAILA JR316_0001922 MVDFRTILKVEDHSRSQRSLKDIFTGLVEEQMCIWIEALERPRS ILNMELQQRLALTLLERSIPLHISRRQDLFAGNWNPLKVKMTEYMRILALVMRKMISL HMEEGLARGSRSGPGISLLPNGPTVATPLTCDSRIYLSLCGEIIAYDLNSEPSNPQVV IELLRATKSERGNYMIAGAFYRRMGHPQSAKAVLLSMLEEFSGTIDSEFLRPAYLLLS GCELDIARVNKAANTDASVQYAAAQTWLQKVFGKSRSRDVSSGQKENRQELGAARSAA DNRMCTCGGEYKNPKFRGQINIMEREIQCLRDRNTNQQKQLSNLRSLKRKLEDDVFYE RDMRRKYQRQLEDLERIYPREREAHVLELASGHVDRA JR316_0001923 MATTLPKDISKLGSEVKLFGKWDTQDVEVKDISLTDYIQVRHAV YLPHTAGRYAKKQFKKAQMPIVERLVDSLMMKGRNNGKKLLAVRIVAHAFEIIHLLTD QNPIQVLVDAIVNTGPREDSTRIGSQGTVRRQAVDVSPLRRVNQSISLLTTGTRESAF RNVKSIAECLADELINAAKGSSNSYAIKKKDELERVAKSNR JR316_0001924 MPDDSHPSSSSSSARLRKRRWTRDQLHKALQMIGDLGTPLPSTL PPSPPPSRAASPVVGFKRKIDSSTDSDPVKRPRTNPLPSRTSTQRHPPSTSPTESANI SLYQSSSQTQQPQPPSISHRQSNFGPLSASARSEPEDGEVREEEPIVIPVPRGSTIDV PIRRPKKGRPSFRHFDDLYDKYHQAGRILKYSGDARFWSTYPPGHKEHRPLLDPPHPS SPYHKHGGLIARLELLDALVCFTYSIWNKDYGRRTCIVQTWSTIEPFVSWCKQKWQIE EGTSDAERAFLGLVYMIEAFIRGRNMYHSVHNSLDADMDKVLEGAEKKIASAAAAAVE ADPVFAASLGLLNGKAPPMLPSPSSSNSTPANRDEGTPSNNTANAATRATQAANHAAS RESQYTGSVPFKLLPQYIRESTTPIPPHVMTAMSNVGEPINSTLVQSLKDLTTNYNHT VWCTQASQQTLNLPILRRCFPQTWARMMYSTLSPTEEHEPDFEDEEGELYWPDQCVNG EGIGWVCLMGKAMITEFGKAYGYKGLEGAVPKPKPGDAAVNPPPPSYTQATHSQRQGP PPTYTSQAPSQRSVGNPSGAPR JR316_0001925 MLTLSLAATGGQRADKESSGTFIPTGEDVRKEVDDFCPFPKRVM RLSSTWPRFDRDSLFIHSPQLPAIQAAPQDSSSTQYLFPRHISTSQYLSTTTTTQCST PDTHYTHPLHLRTQSSPMYDYPHPATPDIDTSWKSRWAYSVSDARSEPIPIERPARQI PPPSLPSPPPQSTPPPLTEIEPGVWIKDGNTLYASNWPPVQQYLNEVREQRYLVDPPS EIIFALAALDVLKTPSDSAHWIFFSDARRRETLHNVNKIALHQPRLIKPSFPWLGIAE MKHTLVPAQLKFEYTFIRRQWYPIEGVVVETKKRALRSSGDVPEVQTPEEYFNLLKPE EIPRKKSAKRNANAKAKPKTTASPVAGPSAPSAPVAGPSAIPAPVEEQPSPTTSLTEE VLPVAEQITDASAYVSPPRSSTRARRKVTPTSRSPRTLSMPTEKSSSVITASPVEESA TPIPSPTLTMTSSSSTHSRSASQSSMKTLVDSKRSVSVLSSDTVVETSSRKRKSEDDL EKVVEEVEQEQEECASTGTERMVTRGRTSKKVRTVDIEKDSASASPTNSPPLEPAAKI QRRRTGAKKSGT JR316_0001926 MPQQPESAARTRICKVDEGMISRKIRVAGRITSYNADTGLAVLL DGKFGLLVDTELALDESSGEWATERLCTVMVIGHVERTEQSFAAAAAPPRLPAHASTV QIDMKLVLRAILIVRSPDLDLKLWNSVLEEAEKCRGESEDVQ JR316_0001927 MASGRNPQSRQNAGFDQTRIGLQAPIIGEPMTFATGQFAGRTIR VELEELQKAESGRKYAKVDRRPLDPPPAVLLRLFETDPQSGEWERELLYEDVYNVGLM CTVDLFPVPESFYDARSATSQSPEPSSSHDRDSSGRHHLYQPLTYFPLHPYMSMEASG SSQTPMMPFQIPRRQPMLANVHSSDPPNDVAIRIGNHLVTESSKLTPALVGEKFAEPT LIDHRGKKCLVFVFGDLAVRREGVFILRYRAFDIFSGINGSPPSPVLAELYGGPFKVY STREFPGLEPSTELTKNLSKYGVRVTLRDAERKSKKRSKSDE JR316_0001928 MTKRSLSPAHLPPAKRVHTSGKTKHHPGNVLSFENSLYDELILC IFSHLTWVDLCVTQSTSKNWARLAADNELWREQYLKVYGRTRLRGARGFIGRLDGRDV KPLPGRAVVDPNQNRDWKWMFRISSNWRNGRCLVEKSSTNVSEHPNDPPKIYPEGTHE QTHIILAGTMIIFASSEPTSHPSVILSSQTTSRYVIPYYDQQDSHRLPVCITALALDQ SPPTTGHLSLACFVSTGEFTVFDFNPSSPSHTVSKKFVYQPARRTARTMNIMQAAYYH PLLVTVSTIFSLSIYDLSSGVVRHTQTLSTFTSYPPVSLVLSSPSPGNFKLVITYSVP VYPRHWSVGATELIISNHSETASASGRTTPTVFSSPSFREDYKYPLTSTMSVITSRTI RAFDMPSSWVNDSTLRAMREQWGRKLLNVADAQTDGKWVVLAPGTSVYPIYGHQRIPS FHSSLDSSSSASSASALHSPTSLQLYRLVLPIQSNSIAASPPKLNFVRTLHGQTSPVS SLALSDGRCVSLGQNGSIWVWDLEAGTGAEVAGADEAAAQLMLPLTKGTVSFDDRRIV TAHKGKLVIRRHETSGHLYDIYLGCTCFSCILMY JR316_0001929 MAPSRGIKPLARSLHTTLENHKGAVNVARYSKGSASYILTGGQD RAVRLWNANLGTEIKAFTAHGYEILSISVSQDNAKFASSGGDRSVFLWDVAAGVTTRR LAGHMGKINVVEFNEDASVVASGSFDSTVRLWDLRAQTRQAIQVLEEARDAVQTIHVG PTFIITGSVDGHVRTYDLRMGELRSDFIGHPVTSVIPTQDNQTYLVSTLDSHIRLMDC STGKMLNDFTGHVNESYRCRACFGHGEATVICGDEKGQVWAWDLVDAKIMQPNPPPKV HSKLITWIEHHPTEAGEMITASADGTVKVWRYPDNA JR316_0001930 MLIFSVFKTLTDQQVTVELKNDLSITGVLKSVDQFLNIRLDNIK VADENRHPHMMAVKNCFIRGSVVRYVQLPPEHVDTQLLEDATRREQKTVDVDLSLYFF IARMYIIFTSRQMIYLHKQLSNLYGQVDASEVGFLDE JR316_0001931 MNTLRGASYAGLAFSTWEILITLQDEVDLMWMHPRRWTIITLLY FFVRYFALAVSVSNTILATILEARYPVSREICRLWLSYQALSVYLLLGAVDVILMIRV YAFYNRRRWIGRLMLALVFLRISLSSASAVMTVPDQEFNETCLNKDVPSVVMYFFIMG EFLIQSVILGLTVWKHLVALRAGWASTPLVALLCRDGATTFTVIIGVLIGTLVYARLE YMHDSAHAVFPSFISILSCVGCRLIIGMQKLAANVMVPEAALPTDSHEFTTIIESLWT RTPSIIPGTSLARTSSIQTGLGSQARSHSIAS JR316_0001932 MGISRSSRHKRSATGGQRSHYRKKRKFELGRQPASTKLGAKRVH TVRTRGGNVKYRALRLESGNFAWGSEHTTRKTRIISVVYNASNNELVRTNTLVKNAII QVDAAPFRQWYESHYAQPVTKKGKSTTTEAAAEPVKLSNHAQRNLDERKKEAKIDPLL ESQFAAGRLYAAISSRPGQSGRADGYILEGKELEFYLRKLRTRKEKHAHA JR316_0001933 MPLFKQPISRLRRRPAKYFPELPSSDVAKPMSDELDRLVSTVRE SIPRKLFIAELLPFLALYRRYRSELSEGPALDWQQVKPLEGSQMIPYDRLSQKPESPT PTPLDKLAVLKVNGGLGTSMGMNGAKSALEVQNKLTFLDLVVQQIEHLNATYHTEVPL ILMTSFNTEDDTLRIVKKYANRKVKITTFNQSRYPRILKETLLPFAKSVLEGKSAWYP PGHGDLYNSLHRSGVLDNLLAQGKEYLFVSNSDNLGAVVDPVILHHMMDTKSEFVMEV TEKTKVDTKGGILINYDGNIRLLETTQIPEEHAEEFNQSRSFRMFNTNNLWINLKALK RLLEAGDMTLDIICSSKSLDDGRCVLQLETAAGSAIRHFQNAHGVLVPRARFLPVKDC SDLLLVQSDVFSLQHGRLIMNESRMFGTPPVIKLGGHFRRIQQFQKRFKQIPHLVELD HLTVAGDVYFGRDVTLRGTSLQMKASV JR316_0001934 MSSSLMPRSDSFNARVRGTSHIDFKTATTGVAAKSMRNEISRLV STVEDPATKKAFDTEMQSFFYLFTRYLAERAKSVDLDWDRIKSPADDQIIPYANLPAP KNSSNLNKLAVLKVNGGLGTSMGMTGAKSALEVKDDMTFLDLTVRQIEHLNTTHRVDV PLILMTSFNTHDDTLRIIKKYANQQLRITTFNQSRYPRIFKETLLPCPKRADDDKKHW YPPGHGDLYNALLHSGVLDQLIAEGKEYLFVSNSDNLGAVVDESILQHMIDTNAEFIM EVTDKTKADIKGGTLIDYDGSVRLLEIAQVPSEHVEDFKSIRKFKIFNTNNLWINLKA LKRIMDNEGLELEIIINPKTTDDGHAVIQLETAAGAAIKHFKNAHGINVPRKRFLPVK SCSDLLLIKSDIYSLQHGQLVINEQRMFETTPVIKLGDHFKKIQQFQKRFKKIPKILE LDHLTVTGDVYFGRNVTLRGTVIVVANEGQRIDIPDGCILENRLLSGNLNMIEL JR316_0001935 MSMATPPPYSSQRRLSVDVGRPAAPSPDPPVYSTQPHEDEETVA STPRVGSITPQGTFIRQWGQATLILKDQENGARLPTYSRNGRITGEIELKNTDKIIRV TAKLQGHMSLSSADTGSMAASLVSESQVLWKQQPADGTTTDTANDQRCPSILPLYIQF PHFYEVEGKNWRLPPSFEATFLGIPALFVRCMYTLSITITRTRSYHLASWTTNKTYMT MVNFRPRTRPSRPIILSDSVFSSIKPDPEEWLQVVATMGVRPKANIKPIECHLFIPSV QTFALTDTIPFHLQLCSSLASFRELLPQSSPHLRITSPTLSKIVGDPFAPDCTIRVSI ARQVVIEINGKRRFRTFTIGMGKMWSIPPQATAVSFGDHRGSDSTSEDPDADISLDWQ GELKCWGEVTSGGFSVSNLIVKRGTGHRFRFPENMAPLNEELGEALDIERKMDVS JR316_0001936 MAAFSRGRTVVNSLRVFWVLVILWYEVWTWDASVRSCKWPDSAL LADNLGVEASHSKPTHVLLVADPQIIDRHSYPSRGLVLSYITQFLVDLNLRKNWRTAL YKKPDVVVFLGDMMDSGRFVMTDEEYSEYFSRFNKIFTLNVDIPVYFIPGNHDVGLGA KITQFSDVARARYIEHFGQPNQEISVANHTLVLFDAPSYADEDSQRHGQKKSFERWDP VPYGALDFMKKFPSRPHPDPVILLSHIPLFRSDGRSCGPLREKGTIRPGVGLGYQNTL EKQSSLRLLEFLTPTVVYSGDDHDYCEYTHVYQHGGVEKMVREVTVKSLSMVMNVRRP GFQLLSLMPTNIRASDKPTYADAPCLLPDQLGIYLVVYIPLLAISLVIVLASNMGQQA PRRYRQSFSNDDVEAEGRRDTYPPTSSGQGPSPGWFVIQDHRRQQNTSISNNWPGKLK DLLGLLYSGNSRFASYRRTWPGIVIADVRDIAIFPLLTFVLTTWWVTSH JR316_0001937 MKESEKQKREISEPPRITYDAATRTFDRLFKEDSLDAMKTVVRK KLGLSGSAPVFLSQWREGKAVDLEDDDDFEAFSAAARSSSSVVVKVILGESQTRTLPS ADENETPSITKTKRKRKSRHSVTPPVTERPPEESITPDSGPELVPAKKRRVSFAADAP ELGQIMEEKLKKKGKEKSASPPRDITEHEQSEKEGESGKKKKRKKNKRKDKEGENLEE DNTKATAEKLAVGHESGKKTTADSTISKIPSNDVALAAVTEFGQPTPQPKKSKKRSRS GDAQDESIPTQQPVPTSAADEHERPTKKAKKKKATEIGAADIPITDVTVDTTTITKTT SETVSSTVHPSANNGAEEAKKQRKKKEKVTADLEDNSISETVDPGIVSKNPDDAVSEK PKKSAKSKSANIAPSSAAAELTRTDEPLPTSNSITATSAPAADVSDKAPVTKKSKKKD KEKTAATKQTETALDTTDTLSRPDTSMSTVTHLHDCYVDSNIRAQAESKKNKDTETDS ENSATAVTKDGVESSEVTNAKSTKKTGKQKKTETINTEQTSSTKGQSTEQANAAIETA AVMKEATRLAVENVLKKAAEKAASSSSGQANATYSPTATTDVTSAANHPTKAPSSSES SKSIEKSRVSLAKSAKESDTCPICETSPFHARSRCPVIKSGIRAMRKKVAELEQDTSK DGADSRASIILELQNIIDRRTKKPRAPDAQKPGGTEAESESPGKESEVVATVDVTCPV PTSVPEPSIEAEAAPTAVVPPASVPRISGTPSGKPISAREILNQKAQQTLSSRASSSQ KPVASVPTVLPAMSKPTHIAEVALSMELSRFGDMSQFTDKDLDALIRGPRLSMKNALP TSDTEDESEQEEEDVVLEEDVTVEDRAARNASRVEYPSSSDEAEDEEEDEIPSGGPSN VDHPSTAAIIEEAEEDEEEAKDAEKSQGNLSFHDINALGSSVEPDRTGNNAVHAAVGA DLASINEPEASKSNGAKDSDNDSDKSDSDSEDSSPSGPKVSPENVIEDEDADPIEPSD PPTSTQPRPVEDLISSGEEDVPLSQSTPKSEVVGRTRSQRTTAAIKRSTITSQPPESQ SKDPASKDAPVEETPKPRTRGMKKLTELPVPPNPAVRVIRQAAVATPKTRRQLAQEKQ REETPEESEVTVRTRSAAAAAAKAKVPAKAPPKTPAKTPARAKTTAKPLSKQGKSTVS NAVPTNQDHGPTPIPPSSPGKRAAGSSWAVLQENVHSQVDTDNGGQVDELLSSPTIEP RTTRDPGGKGENSMSVNGSQQDPLFIPAESQQSFPYSQYPLDVPHTSQAIASPNDSDD ENEVALAVVKTSSAPQKQAYRSLTDIASQSKLFAPRPSQILKQITEPQDEDLFGRNGQ ESEESDSGSDSDDDNKKRISSHIPATRRAGVAVPKKK JR316_0001938 MFVRYIIRTSAPQLSRGLATHAGPAVAVPATLHLKSGQSFHGKS FGAPRSIYGETVFSTSITSYTESMTDPSYRGQILVFTTPMIGNYGVPHNVAPYDSQDV GVILESKKIEAAAVVVSDVAEKFSHYKAVESLADWCKRHNVPAITGVDTRAITRLLRD QGTTLGRLAVGDDAALPSPQPEEYWDPSKENLVDQVSTKVPYELNPTGSIKIAVLDFG AKANILRALVRRDAAITVLPWNYDFNSIRDQYDGLFLTNGPGDPGHCMEAALKLRRTL QEWNKPVFGICMGHQIIGMAAGLDAYRMTFGNRGHNQPVLALASAGSIKAGRVYVTSQ NHQYALKLQDPFPQGWEPFFINCNDSSVEGIKSTTESGKKVWGVQFHPESAGGPLDTI EMFTDFVTECKLHKAQMAGTADSIVEEIPVAPRVAVAASA JR316_0001939 MAPKIYTSPYPSQPLYNHSVFSHLFFSDPKYPNKVGGYPGSSPA FIDAPTGTSISRSQLKNFALSLAYGIKKTFSAKRGDTILVFSQNSLTWPVVVFGAVAA GLRCTLANSAYNARELAFQYTDSGANLIFASEEGVATARETLKSLGLSADDANKRIVV MATGLGWAGGPSTPITPASKGLLTVSDLLGLGSIREEEKFEGKLAHETVYLCYSSGTT GKPKGVETTHRNICSVLECVRPVFPEIGSNDTMLGVLPFYHIYGAVKLLHFPFQCNTP VAVMPRFDPEQFCANVERYNATVALIVPPVLVVLARHPAVDKYDMSSMQTLLSGAAPL GAALTKQVQSRLITKRKGKGPVYILQGYGLTETSPTTHIVPIEGAETKLGSIGVLLPG LEARLVVDGDGNGDIDAAEGQAGEIWIRGPTVMKGYLNNPAATKEAITPDGWFKTGDI ATRDADGYYRVVDRRKELIKYKGFQVPPAELESVLLTHPEIADVAVIGVDSAKEATEL PRAYVVHARPEEMKTEAQRIAFSEDVKQWIQSKVARHKYLRGGVVVIDIIPKSAAGKI LRRELRDKAKEELAGRDPADNNIKAKL JR316_0001940 MSTSEQTPAPSQQQQQQQRVRKPPFADWPTIKILTPPQGRFSPK TDEWCFTYCSQSVSGRFHGKEPSCRSVCLRRVFPHEVRNILSFHRHNEVGPDGKAKYP LPVEGQPSNLPRILGGTPKNDSDDQPSSTSASPIKHWDEGWYVWTGKGKWTVLQKTEE MMLDFQRQRQLEQIRQKRKDMWLEQQDQMKQNGGELVGEQRPRGPPWWTPLVPPKSVE DTSSLSLLVPLPPDFPPLLDKIKKFLEPSQKVLNIFYESISSGEQKQFALRVWEKAKT DEPFILAQRTCSHVYEQWKKHSVAEEDDGKKGSP JR316_0001943 MGVHGLTTYLREKRRTLSTTTIVSGSTNSLVPVVVDGWSFIYDL YQSSRLPWVYGGEYIEFVRLVQIVVQAWIKAGLEVHFVFDGACPDLKFATIVSRLGQS HVLPAQLFFRTSSASRSSGRFLGENRILPPLTYSACLYALEVVRRTTPALEIHFADEE GDPYAVELAGRLEGYVVGNDSDFVILNSEGYRGYIPLDELVWQLPPSEATTPTNEVND DFQTVKTSKAKKKIPQYLQHAAGLLPPDNTDGLSLSFTSYSPQSLAKHLNIPVTLLPL FGALVGNDFSRESESSSRKIQGMFFERHLTLSQRIDKVANIMKAVVSPGTTPRGKAKH QVGSVMDLIDRTVNCLLDRQTAVMGSGEIESIIDKIVNATLQYAIPKHEGDVIGRKSL WPTSVCALHMPESCPILPIISHNVVRQAELSDQADPNLLEARGQLLDAYRNGMLSPKT MDILNTASSWPRLFLENPDLETVARSLGRPIREWIYAILQDSLGLPFTEAPDGSTGDN SSAPQESDDDDELIDVVESDDEGQIPDYLAPLRGELDRLHSDDDATEPPASIISHRKL ANTPPTVTEYVRRGSRIASEEIVVKSISDLLSSIDLVEFAEADAPPLVLRSDEDRLTI LLRILNSDIPSVRSLTPDVITSVLALRWVVNTLHSRWQETGSKDREKERWSKNEARCL LSSFTSLNSVSLGDVGDSAMPPIEDRNVQLTAQILMALESIEQLSQSLLLLDRVPGNA YQLSGKLFHGLLTGTAPMLSTLLHSSVWAAVEEGLSDCFQDERAKRPKKPKAAKVQTT SIATNGARANTKNKGLFSMLGDLED JR316_0001944 MPSNQLNSNSNKIIVGNPLVKKGVASSSIPTDLITFQLDQTCVL VKPTQHYQDALDIAQKEFFYELSNVPRERLAFSIIENGNGDRRKLRISEGAWSTLVSK LPQGSIIHITIRPDPEAKAPPPQYLEVPNGPQTTLGLRHSRSDSGISSHPHSTKNNGM FSWLGSGK JR316_0001945 MLAVFRRSLVHKHLFRARLAPKRTPTHTRTVLPRTFTTSIPPQS TPAGGQIQNRPKNILIVNKLRTQPVIHAIAAFLDHVHETYPDVRVFHEDRDDIPHGAE VWKPTSPEKIDLVVTLGGDGTILHASSLFSAGAVPPVLSFSMGTLGFLLPFHIDDFDR ALESVFSGRATVLHRMRLACTLYNKDLSKKSKDGDDWQVMNEIALHRGSSPHLNTIDI FVDGQHLTEAVSDGLIVSTPTGSTAYSLSAGGPIVHPSLSALVLTPICPRSLSFRPLV FPSSSIITLRIGDRSRAPAGISMDGRSSHVLHPGESIVVQASPFPVPCINRSSIISTS DVEQMRHSEGAGPGKEDDWVRDINNLLQYNATFRSKALLRYSRT JR316_0001946 MANATIFPEKRTRSQISLPDAILQIPHGSPMKDARSALRNHTTA TIDTTTSSEPKQTTDDTEDELLLSPGKQASLMRAASNSKRSASPPLQDEYTPPSGSSP EGRLLKRAKRELGPLDGSEGGVKDIHSLSQLHKPSNTESPRKLIDTSPTTSRRSARKR STTNKKVQPTLSASPPPSTFSPILSTSKQPRAQSVPLFSTSHDIPHIDFRNPPHSPRR SRSRSKSPSKTAEPRLRPLFVTFPVIEETPSAYEDGGTPMELDDEAKGVAKKASEFQS LSAPEQPLEPQSNTAVTARTSSSPPISPSPSATYVLGGAPATPASHSLDTSIPMSPLT PLPETPLPVRLTNADSRYSSNLGWGLPLITETTESSGNLELQIAVESSTIQSRLPRPA VSMPPPPLPPSRLTRPGITGSSRPVSNKAGPPTKVATATSSKNPNATVTKKDAFALMM KKSREDKERIEREKNRQPPANIFTPITTAKRPGSRESLKMKMKDKMRPKMKSKQDPAT QPPLNFDDEEQEEEEEIEKESGSKYYASTQTIVESGPSTQSEIAPVIIPDPPISPTRI ALDSLRSSSLPEESNMEIVEDSEGEGQALMHPAVAAAYPGHVSANPGEGQTISELGVD TSLVEQPLAEVMQPVPTIAVEALKEISTKIEGPEHEVSPTDDNVASQSAENVSEQQQE EEAQTEIRPPSRTRVSRLPLGKKRQPAVVIPGGRVTRSASNNKKNGDEAEASTSQVPS KPSNPSGIVTTKRPVSKVMKKSAEAVGSSKTTEEEVGQEKMVLPPGSPMKVSSPSKTL RPITPKKTPGRFTFSNKPISSPSPTKVVRSSSLTTLKSSSFAGRAFPMAPSQVAMGAN SSLSTLSNALEKLRMPPPARPSTSMGFNRDAPGDSDDEKPATMTKDDVGVGRTSFGLG RPQGLKRASTLEEDSFGPTAKNAAASSSKSAGSTGKKLVQKPLSMFMQNKATTSKNGT SHLLRNTGKPSLLNGRPLFVVGGGVRRTISKKSTLPVVIGSPVKGGAQMMHEDDEVLV EDAPKEDGDNGESHLSAAPQNSSSLSLDELSTTAGTEKGKGKERDHDKTSKHARRVSM ASHALSLSLSALPPPQPLPQRGLMGPPPTPPHGIKSPQRSTSSSYPSTSAGGSSPSQP AHPTGTRSSARIAKIAPAVQKAKTDGMKKAVSEPTPPPNPNIEALKVLKDCVIFVDVK TDTGDEAGSLFVEMLEGVGARVLTRVGQTCTHIVYKNGLMSTLSRYKLLRDPKPLVVG IAWVVECVEQRKHVDETDFLVDIESTNIAGIHKRRRSVLPKLLSSAEFETPSSEADKE EDPDRSMEGSTSSLTADDDLTPLERARRRKSLMIGLHP JR316_0001947 MAPSFRRPRPTPLLIVITLIILTVLYTISKHQYQLKNLLSYSTR PLWDSNSGPQEVITHFYGDGLKIDEHICDIHGWSTRPSTESVKVLDAVLMSNELDLLE IRMHELDSVVDYFLILESNATFTGLPKETFFANNRARFSKFEDKIVYNFLPGAALRPG QSPWDVEAHTRNTMTMLIRSHLSTTGLQNTPNMVIMSDLDEIPARHTVDLLKSCEFGQ SIHLQLRDFLYSFEWFIGFTSWRASVHMWNDNSYYRHSKSGERILADAGWHCSYCFRT IPEYAIKMKGFSHADRIAGRVNLLDPKRIQDTICRGKDIFGMLPEAYSYVDLLSQMSL KPGKSAVGLPRYLIEKSENFRFLLPGGCIREQGSPS JR316_0001948 MGKKNNKNNKAKGKPQSPSVKIPPTPISATQNTPVTSNGKKKTF ADSQSNLGSPYHTPLTSPSTPTADLPSNDLVVQKSSDSAETQKHDASDRLPDPDTEAE QDHSQEQSNGEQSLGGISGPESNLEPGPKQETASDTGQAVFSPNDANQHQEDPIPPTL GDTEELLTEGKQEPSQQSHGDDVPREQVSAVLPKPDPDPEETLKWDSLNVKRVESPSG PGNSLNEHADTIEAPGLIEEQGMPEGQTEGRDNTYDSQTGYIEAFGPSPEVAGQTEVQ VEAETLDTKSHSATTEDQTQAQHEAEGIRTGEELASVNTEAEDYRDANIQPEAVVESK AEVELQTGEDANVDPANAMAEASTNAQTEADPGINAKPDLVDEVKLVTEAQVDIEGQE NHRTEDANSGSEFLTETGLQDVQCQSDNREDLVIGAADGRGEADGEAFKKAEVGAMIV ASDLGAGLEDHAEILAGSEAKTEVVGETEEEEAGITTEGYPDVDTIDPKPQAEENGQD VSPEVPDAAGLANTAVGFQSETQPVSVTEISVDMKDTVEIEETLSAVGFDEHHQPMDE KKFEADRMEGELTESQGITAMRELEAENEVVADEELSSQETAVEGHAEEVVEHEDEVE MEVEADIEGGYEMRFTEDDEETENAINTDVHAAVKGQEVVEERAEAQFQANAAVEETH DEEDSDIQIEIEEAPSEECVADEVAASEALMGERVETPAHIVQNPPLPSSTDQSQEPS PTLSLSVDDVASKDILAIANVLANMKSALMSMSEAFGRLGDQVEGMVSLSVDIKAADQ IRQLQVMFGNKIAKHKADIESLRIFLKSKIKEVVEEKIRSHLYELVKDSIQHRIEEKV RDQCYLREARHHNSLQTVPGPNARLRPLLRPLPTPEQSPIIHISRSSSLETSTLATPT TAFPQVPAPTPIKRVTSSSLRSALMPETVPPTPSVHFPRDLSALFALSQDETRRLLRE YGLDSATSSPVRETVRPRGLSIVSEESTNAGHNNKFDLEEGSEAHAKDMNKLMSHFGV RSFYASYLLIGLSELKVPFLMIPGPKEKIDQAAPLSSRSRRKLLTPLIIK JR316_0001949 MSSPSSPTAASSTVAEKSTAAPPHTKVTFPISPVPKNPLGDGAY IRTAAALIIGDEILNGKTLDRNSHHFAQYCFEHGINLKRIEVIADSEEEIIEASRRLV EKYDFVITSGGIGPTHDDITYESLGKAFGQKLVHHEETITRLNEMSKHRSWVGTQNAE QLAATHRMALFPENAEVIFVAEDIWVPVVRLAGKLCIFPGIPALFQKMLHNLTFFLPL PPKSERPLRIQIFTERPESIIAPYLTSLQERLKPHGIQVGSYPVLYKGVFVSLIGRDF QPKDAGKGTAEGNMCLTEIAREVEVEIGGKIASEEEIAAQKSRSASPEIPDMAVQKKE NVSAGVESLGPSATGYGEKAKY JR316_0001950 MGYQNTPYPRPLVPNNPDCAPVDFRAFYPYTPNEVKHRKRTTSA QLKVLESVFKNDTKPNAALRSELALQLDMTPRGVQVWFQNRRAKEKVKGGKGALSKVS IEDFKDDDYSSLPGKDDVSDSLQRQGSSHDEIDAYSLSPNATTPAPISPPSLRLVTDS NNFPWQNSPVDPAPDSATLPIRPPTNTFVSSNSDSSSSSSGNNPDLHIHRRGSLPLNA FPQPNSSMDSPNTEFDPFLRRCSVDASLARLASNPFASLARAKNSALYGPGVGVVMPG ANNGTRHQHQLNRMPYGYHPPPHQRRGMLSGFASMPQQASLRRLSMDSRSTRFPSITR THQSQSPSPVTTPYNAAIRVSLPDQRLYALSQRPLASPIPGPLPSPGFSFGAANTPPM ASPSSGDSERNSPDSLRSFSFRGDDEDSAMSPSYDAYSRFGSIASIATSDSSITSSYY GDIGGPAVDHRLAEERRDSCASGHFLGMMSGLDVGHGAESMHVPTYTPQEDYQYSNVT DGVELTATSNVQHQHHHQQQQVQPNSDPNYPSPTSTITPRDSPHAQDTTVVTGTPPIS ISTSSELAFALDSKSNQKANGQEQYLTYGSEPQVASEQDGASYYPAQNQDAHTQDSQQ EITFPTSNYSESYSGDASQQQHQQQQQVDMFNVFDPSGHYADPLPATMPAANIGNGMN AFVAYT JR316_0001951 MLVSTPTKPYVNPCDSGVPVSPKYNRRHCSPTPASSCDSPMLPS SPLRFRQRPLAPIDTNTPSPATIPPYRDNDSLQSPYNPTALAQTYNPFFATRPQPITA DEEDGSIFLSSTGSPSASFTPFFTTSSSQQPLLTPVKQGHRSGTKPAMPARPSSNPLF GSTPAAPPAELVSSSRVGVGTKRKSTPLATPLCTNTLTPLKITQSRRSDSSVGFECLA PLPAPKFVDRTPNTKAETEAYLKRQTASLTRLRLSDQNSFAVNDENAFPSAPSDSGCE MDEDEPGDALFLDKPRFGATNNEQHPRSLAFLPSVSKGKGKEEVVEAISPGGHITKRR ARARPLSAELREHAFKVPKSPTRQSGIPTRVGANRPRHSGPVAFPSTAHRGRASPSSA SSSSDAGSPRPRRRISSGSIFARPQPVNHPMYPPRPPLSRIESVSAASLFFGPAIPVT APAATPSRTGSTVPSPAENFASMLRTDNVAQRPNLANRHSYAGPESGVSDRMWNAFQT RNLSPSPGSSPYKPGNEGARMLVMDMDDDEDAFFGGDEPSFAVPIADMTRSSPSPKPR TSLQMKFKPRESVQGSDEDEMTSTGSSAAGDIMPKASTSVSSICSDEGLVTPGVTPED SSGWPNSRVFVNGDDTHPYNPEGIDVDAFIVKTLAAASKGSAMPKKAPGTPVKKVRIS YFGNDRPWQSAVASKVAMPDAFQFANARGAPRKSMPSAFPKADALIGRTRSNTAKLNG ERQTYNTDTEEEEEYSPSIRRNSYKGLGMGLPPPTAKGAVNAIPRARWLMRRSSSGAF SSGSESTSLTSTPTRTTKAIDWQLPNPRRPIRLSPSSDSLNKASPRSASGSSSSSIAT FNSNSPTNGKRSVVAPERAAHHLAPRPSMTTRRLSDSYDDEQQPGRFERDFEEIEEIG CGEFGKVIKVQCKSGDGLEVFAIKKSKRFEGAKHRLRLREEVDILKHLSQAALTQYVD GRHPNVLAYIDSWEEDEALFIRTELCESGNLARFLWEYGRVFPRLDEARVWKIIADLS NGLRFIHDSGVIHLDLKPSNVFVTKEGRFKIGDFGMASLWPRPMKNSSSDTACGSEER GNGCSGSANGGGFEREGDKLYLAPEVLQGRYGKAADVFRGDGWQRLRREDLSQVDLDE YSTEMIDIIRNTMRTDPDKRLTMEEVCGHPVVRRAREAMEVLRRQLTEEGGDVWKASP LAGVRSGFLEEILGRDERVMDTDA JR316_0001952 MLGRVDAKSRACMCATSCTAQGSSDWNPTSLSFESTSMGGIRSQ ALTLVSAILLLGTIYSVFQETYLDTSNPLLTFLPHKLSETHYFASKANPLNVYFIKRS WGWTTAVFLFSYLTSPPHLRTSARFFQYCTLTICWLLFTSWFFGPAIIDRITVYSGAE CVYQLPTGEINSVPLDLCYEKTVIAPETHPALFGSDFVAPAGLSFKPRLRRGHDVSGH VFLLTMSILFLAEQLKTSFSIRGPWPRLHSWAVASNIALLCIWLLGCYTTSVYFHTPF EKLTGYLLGISSFGVSLLLRNLFFKSPQPLPQQQVNRNVD JR316_0001953 MPQHTVTLQSPWKRIRLVPPTASDDEIVSICRTHPTTRQFLQFL PEHMTAEEAGNRRETRAEDKRIMDFHVHFTENGLTRFAGMTGYFNLDEGNESCEVGML IMPDLHGKNLATEVFYTILQYIFEVRNLHRVTFETAADNLAMRSWFEKIAGARLEAER REVWKYPSGNFVDVKGYAILEWEWRNHIKSRLEERLKGISKPNNMDAA JR316_0001954 MPKLRFLITSAVTGTAKGKYLNPSAMSNVLRPYLAAVRSTLTAA LTLENFGSQVVERHNKPEVESASSKEVLLNPLTISRNENERVLIEPSVNSIRLSIKIK QADEIERILCNKFTRFMMQRAESFIVLRRKPVAGYDISFLITNTHTETMLKHKIVDFI IQFMEEVDKEISEMKLSLNARARIVAESYLTAIQG JR316_0001955 MSVVLGLWHLTLEGGKEKTFQTPANVQITNAAFGEEIAEETRTV VKLTFDTLNTSFESDDEDEEEEKPSDTTTTVLCSLIPGKVEQANINLTLDSEGVYTFQ ATGKNTVYLTGNYIRQLGDDEEPSDDEDFSDEEGYDLRDVSSDVEMHPDDLEDLESDA SRFEEVVEEPVKASKRPRDSDAKEPEASKKASKKLKAEGGKAVEAEAPKEEKKEKKQK EKKEKKDEKPTEVKEKKEKFAKKTIAGGVVIQDAKVGTGPMAKKGNTVRMRYVGKLTN GKEFDKNVSGKPFTFHLGKGEVIKGWDEGIVGMQVGGERILTIPPAMGYGSKKQDVIP ANSTLIFEVKLLEIK JR316_0001956 MHGTHLLVFSFVAVYVCRKLLNLWKAGQSVHNFPGKRLILNPLF NNFVPKIRGISDGQNSLFNAKHTYFDYVGWDAYTSISLWPNTRTMVVLADAAAIKEIT LSRARFPKPVHHYKTLSFYGKNIVASEGEEWKKYRKISAPAFSDRNNKLVWDSSIKIV EGLFNDVWGKRDVIEVDHCVDITLPIALFVIGAAGFGRSISWKEDAVIPPGHSMTFKD ALHVVTVEIFLKIILPDWAMGLTKRLRRARLAFEELRSYMVEMIRDRQKAEKAERHDL FSSLLAANDHTLDASTLTESELIGNIYIFLVAGHETTAHTLCFTFALLALYPDEQEKL VEHIRSILPNGQSPTYEDMPLLTYSMAVFYETLRMFPPVTGIPKEAAEDTTITVGNKK GEQTTIPIPKGADLTLSTVGLHYNPRYWHDPDSFKPSRFLGDWPRDAFIPFSSGARAC IGRKFFETEGIAILTMLVSHYKIAIKEEPQFASESFEQRKARVLSCRAGLTVTPIRVP LTFTRRI JR316_0001957 MLGTLGSILFSCIALYVINSLVKFWSALRSIGHLSGYRVAFSQH SLVSLLGKIRGITPGGNHFFEDKHDTFQEWDINSVVSAFPEPLITLTVADADAIKEMT SSRARFPKPVFQYKVLTFFGRNIVASEGEEWKKYRKISAPAFSDRNNKLVWDETILIV NSLFNDVWKDKDIISLDHCVDITLPIALAVISAAGFGKRSSWFDDDEIPNGHSMTFKQ SLHIASTDIFFKFFFSGTILRYGTARMRNVQIAFDELSLYISEMIKERQLSEKVERYD LFSSLLEANSDDGEEVRLTESELRGNIFIYLIAGHETTAHTLCFTFALLAFHEDEQEK LYQHIKSILLNGQNPSYEDMPRLTYSMAVFYETLRLFPPVVNIPKVAGEDTVLTVGNV HGEKRSFPIAKGTRVAINTPGLHFNPRYWDDPTSFKPSRFLKSDWNRDAFLPFSAGAR ACLGRRFFETEGIAVLTMLVSRYKISIKQEPQFAGETIEQCKERVFRTSNMLTLTPVR VPLTFTRRT JR316_0001958 MDNTKARTLKNTQEQNTVAAARIKQIQSQLDTAPRTGKLKDKVC IITGVGSLLGIGRAAALTFAHEGARHLYLLDFDPTNLPDLKSTIEKKYPDVKVTTLQA DAADEKAISGLCEQALREEGRLDVFFANAGWASKDSLQDTSVETYMKSMRINALSCFL AVKYGSAAMQKTNASRGKHASRGSIIMTASTAGLRSGAGSVDYSASKAAVNSMAKNSA CQLQRTDIRVNSICPGLIETGMTDFVFEFARQRGTTGKIGQLNPLGRYGVAQEIANAA LFLASGE JR316_0001959 MTDATANKDVIVETIASSSTIGDGATTSGTSTIHDENSKDVTMV DESEDPSNFRSPERFLQDIIISRAPEDVRSRYRPTDPATPDQIEEYRQKVLNHPRTKH RLVFQQWPRDPQGPVITHDNSAMGKVAGNGLQIWGETLFDFFSVSRVPGVTGLVTFTS GSKMVNWVNQHKETFAQDLVEQQKSEAEPTTVEPCDIGKLIQKNETMHINAKWSLQKS WSKDKEKDRYELPQPWPPASPFDPSAYPSPWPIPPFSQQHMTRIPTLQQYIPQCLLPE RLVVHDPWKLLAAKVVEVDRDSEDFRAEKLVKWNEKEDITHIYRLQHSETNHIRRMKD MEKLESEYEERCRVYDDFIADPHSRNKLPDGFMINEVQDTSVDEPGPIKPPIYVVFPL RPIREQPEEAHLYIAPAGTIGEGNHSFVYKTELELPRNFLVNEDLCQECVLEDMESIL REQDGPNGERRDPKWDEKSGRLVLKTTEVLPAVAKMEDPIDGKMAPYIIKPGKYEERL EYEGPFRVIQSRVQFPDLSKGPYCAHIRGHERAIHPLTSKVYVAAKLSKQGDAHLANE AENYQRFPHHFFEHWTGYNIVRPMHDPVPVEALVPQFYGYYVVETKSPKLSEPEGEDE TESSAYLSPLLLLEDCGQPIVPRNLSVDDINQSGSLIYRLHEEGWLHGSVARRNILRQ PGPLSAWPSERAANAAKRDGLGEKWSFRLIDFGRSKLSEIEDEHLTRPQILERGHITA WIGGWATEEIGYI JR316_0001960 MSSTNNFALLTRAHSLVAQKKRAKRDQLKEVIFDDAARREFLTG FHKRKLAKAEAARAKAKEREKQERLESRREQRQALREQAAQNAAQVEKAYGGVVEDEE WTGISVSDQDGKEHADEYEDEEVLATVTVVEDFDPDTIIHGPAKSDVPIPSSKPIPSQ AKSSRLPPAKESPHAPSKKKSKPREKKIRYETKDARRREQIKQRRRRTEKAELAGGKA SRKSKTPGGKRHTSKR JR316_0001961 MADAEPPSFSYLPNQLHLFPQNPYPPYTVPAPIAHKVWILDCKS CSSFLTNRGMKAVLLLRPNVSLFSSDAQPTGCSPYSSSTEALRPLAAHKPSASPSRTC ECLTQSLCCHTCGSTVGYMIVVPCTRCTYSIGATNRATNGHRFVFHSNEVVGTERHYV QGEPGVVPFDPPMFIPPPPPAMPTPHHASYPSPPFSPSPYYGHSSSHPRAVSPPVFRS DYLPTPPLEFATPAYAHSDSRHQSPDLDATPDYSRFYLPPASLRSPPPIIPVTTHYYP LSPRSPSDDFDSFSSASPPPLASPTFFPADHKEVPSQPPPSLKPGDVIFWHHLSRSGE IPGVHNDERARHPIAAKASREIFFNR JR316_0001962 MSNIELINPKAESVRRAAALQVNTNGAMGLAGVVKGNLGPRGTL KMLVDGSGQIKMTKDGKVLLSEMQIQNPTAAMIARTAVAQDDQVGDGTTSVVLLVGEL LKQADRYISEGVHPTVIAEGFDLAKKESLAFLDTFKVKQKMDRATLINIANTSLATKL NTALAKQLAADVVDAVLAIRQPAPPPDAKEQWREPIDLHMIEIMKMQHRTATETQLVR GLVMDHGARHPDMPKRVENAFVLTLNVSLEYEKTEVNSGFFYSSAEQREKLVESERKF LDAKLQKIVNLKNLVCDQAVDSKEKRKNFVIINQKGIDPMSLDVLAKNGILALRRAKR RNMERLQLTCGGVAQNSVDDLNPSVLGWAGLVYEHTLGEEKYTFLEEVKDPKSVTLLI KGPNPYTIQQIQDALRDGLRAVKNALEDECLIPGAGAFEVACSAHLSGEVKKNAKGRV KMGVQAFADALLVIPKTLAQNGGFDVQDVVVALQDEQAEGNIVGIDLQSGEPFDPTVE GIWDNYRVKRQMLHSCSVIAVNLLSTDEILRAGRSSLKADGPQ JR316_0001963 MRNSYDGEIGRGRRTGNDSSSSRESSLSAKAPKRQFSPVGSDSG EPQTPVESAPVTHSPPPDRPIIRQAVAFATDKPPQNIETYEATPPPVEAVRFLPGQEV PPPTKKKSKRVRKGDLFSSQTSKFRIDTYDPTPSTQPPIAHGNGPYSSLYRGTPAPPK PPRKASPTPTATSSTYATTRSATSSNPPSVYAPPYPISSRPLQPLLPSIGSGSPSVPS TRPGARHGEMDGRPPHQNNYYASHPLPPGPNAPGSQGPSPYYRHDYEKGTWNSDPSRS SRHHHKKDPGRSHSNSEPAPRSKHPLRMVTLLIQDLRSGTIDHQLAEVKVPLRLADDK GTSYWADAQDIAEQLQRGPSRIDGPAKVYTPRGKYRQFILRVSRDNEDDFISANVAVA GDKTIDLVVEISEIPKNDQLLPPGRPPPPPQIPSGLLDSPNPYEEYEQERRTEYWPSK PQHDQIQDARKRANSPSFDDYDGVDSPSPSKFTRHSYPSESVRHDSHRQRSLEGTASS VVSSGVTDISKENHQSASIPATPPLPSSPRPSAEAEFMRILKDQVREYQPRGFLDFFR SNAGTTLVDTLSQYEYFTNICRKFIGMKIDQFPNPVEEKHILKLLKVDSDLTFAQDCA DTLRLMPLYGVPQASRHDPKIPQMINAKFAGTREQARKDLLALLHRSDNIWNNRSPDE PRPPENKSPVSSADSNTVAPQSEPNPTVARVNKSMFVD JR316_0001964 MAPRKFPKDLPKLPLSVFTPPNTGTAESFPVPPSPNSLVPTSVI DANVVSTDVNYTQWQKEAGKDLVDKLRGIVLALPGAELQSALKSSSDNSKVISYIIPF NLEQPDPAVESLVSSAAVSTTLSTVYTGDAPGAVDGLRWALQRGRPVDIDIQATLSDS LLEGFEDLIAKASVDLETVPPIVLSNILPPPHNLDLPIVKLMNHPTYLAFQSQVAALS LIPQVYVKFLPPAWDAPTPQTPFPGSPIEAADTQQIKEWKRRIKMYLAPVMEAFGYQR IIFGSSPSSSSKSPSSVADWYEIARESLAELGIEQDFVDAVFAGNAEKVYGKKQ JR316_0001965 MPIDNKPLAPDALLYEAEAASLPYLDIIAQAREATLSPSPLMRI LHDPSLIPSGSVENLKKGHKRPSRSPRRREHRAPVVAAIAIAEEERHAKHLKGLLRAS SDQLERETRRADDAMVRAEFAERGERDARIRAEVAEAARNALEDERMRLENDARDYQM QMEASQRVARQLEEDLANARREIEELEYSERKAQEALRRYQVILHDMEQQITLRAAEA QKMIDECYEDGREEGYEDGYQDGLESGRKEGWKKGKKEGQRQGREQGRRAERRNALEA FDKFLVEETNDGDEEHRRERTRRWAESIYKAERVQNRGTGRDGGEFECA JR316_0001966 MRSAAILSSIILLALKTAFAIPTNLQGRSVTALSSSELSGFAPF TQFARAAYCPTSKLQNWSCGDACKANSDFQPTLVGGDGNAVQIFFVGFSPSQNSIIVA HEGTDPTQLLSDLTDIDILMGNLDSTLFPGVSSSVQVHEGFRDEHAKTATTILAEVKR LMSVKNTKNVAIVGHSLGGALSELDSLFLTLNLPSSTSIKAITYGTPRVGNPDFAQLI DSKVPNFKRINNEDDIVPIVPGRFLGFAHPHGEVHILSPGNAVSCPGDDDATDPQCQI QSVPNVLVGNILNHLGPYEGINIGTIFCT JR316_0001967 MSSYTYLGSGGKVGQVKHNCTFLINPAQRLLGFVSLLVTTNHRA SSSSHQRLLKTLRQMASKPWMKGVSIHLLHIKEHAVGSPNLYLNLARLFASTDWVLIM PGEPEGYIPPKVSDIMSPAPHPSEYVLVSGNHSYPFPDLSPLLIHKTNDFWCSERVLA TDSRTKDWSECLWQLNLETAGTLKTLNMSLTVPTPKEDERGSISEVCVIALSKVCVLS VIG JR316_0001968 MDDSDDYVFDDIVLDAQALALLDQEEQKYLQRPDTASQNLIAIP IAPEGHVNKRHKTSTGWAPGIGANVSSDNAYDDLPEISLRGDGTYGIGGRMSGQVTRG QILDNGNRQDGPVQVNTSNSRGSNAHASGSRLNASRQSNVQQKRPLPSENRFQGQSNY VPSNQDINRIHVQMVELQKKYDEMREENSKMQMTLKAAIDVKLAKEGEVSILRRNIEK ASQNHAAQLSQLRAEKEKVEQKQFQVQKELKDELERLRSQMVFKQQELDSSMRKVPGS VRAKKVTRDIPSTPLQVTASMNIWHGGASQVQGSKKIDETPIRAPRFAVTSKFSPSKQ SRRPPEKGRNLPGFQNAFETSTPIFSPSKKMDKGKGKAEDDFAIFGNEDLPNIFPSQP MFGSTNHTPRPKLKTTDSQVLKQDVDRIPLFMGSDKYAFSQTTNRYMDAAPTDSDEDA LMDVAENFEAVNWKSELCRIILTHCHPSSEMSTFQQILGFTERTKNPGDYAASCSRIL EVISNSKSLRESQQLHYLAILMNLMHRLVLSIPMFHSVLLSSHISSSGDEITIVNLIS RVILENLEPTKNHLNKDQIATETISLLQLLCFQADPEFINGIQSFVQNNTALLLMLHT AQPNWLLEKSALVLVLLSTHHTLLTAILGMSPSTDKKPTDGDSIKNSFIDKLCSLLVD TNRPPTENFKIYILMFLAQILVAHPTTHSILVGSNVLIPSLVLYISHLCIPLFEESEQ LVTSTEATSSYVKALNQAIFLLYHLIFGHDPFLDLRQKLQQAPHRAFNNINDIFIVSF GRLAYCDSFNWMEPDTRQEVEYLVDISRDIFEVMVEGPEGESVWAAFQLEPDDAMDEE QMEASLIGGNG JR316_0001969 MESQATGVHGAQKLDEEKARHAKRVKLKQLNTTPIVQETARLDS SLKRHTALIKRIRQSMANENRDQIMKDIESLTLEKYVDELAGGVIEGLSRCKTEKDVW ASVEIISALHRRFPKTFTPALVSHLSAAISAPSRASLAALAPEHREKEDSSRVARQRP IIRVCSELALVGIIKDAPDRSGAEWVMKAIKELLSNDPSLSSLPLLTTFLKAYSRPFL GIVPPAPSKQIGSDTEPGSLSNQVQEESYYGQFPALSKEEEGELVEQDIRDRFKRMCE GYFENVCKKLVIEHKRLQEQDKRNHEAYIRSGEIFEDRQQAYEKMTKNYEKLLTSCQS LSELLYLPLPSLPTASQKSDSILIGSNSTHLNGDAEEIMTTGGKWEDEEERRFFEDIQ DLKDFVPSSVLGVEEDIEEDNKEMEQERVEREKEEVRKLEEELERLGDTENHTESDRT TYEESHEDDIPTPTPGTPKANSPPLSPQLAPQGPSQLLTALLVRLPDATNRALIDQAA IDFAFLNSKAARKRLTRFMTQVPKSRTDLLPHYSRLIATLNKYMPDIGADIVAFLDEE FRYLQRKKNVVKELAEVRLKNIIFLSNLTKFRVVPPHVILHMFKVCLDDFSGINVENI ALLLEGCGRFLLRSPDTSERFSTMLELMRRKQNMQHFDQRQLLLLENAYYQCNPPERA PRQEKIRTPIELFIRHLIYDVLAKKTIDKVLKLLRKLDWDNDDVKRYLHKVFTKPWKI KYSNIGLLAMLTYDLQRYRPAFAIAVVDQVLEDVRRGLEQNVYSTNQRRLATMKYLGE LYIYRLLSSGIIFDTLWSLVTFGHPDGRPLPNQAVPLDMPDDFFRVRLVCVLLDTCGM CFDRGTQKKKLDNFIIFLQYYIHCKEPLPMDVDFMLSDSLEAVRPKLEIAKTIEQAAI AVDEMFNSAYQTTVADDSGDDSDDEEGERRDDNGLDDEEQEEFGEPDSPVDERAPSPE QDIVLSASNSLQENLGPSEEADAEFAKELAKLVTDTSAESRKVDKKTALALWESAVLP PTSRKKRTDDRDEDHDEKEANEPTTMAFTVITKKGNKQQARQISVPAASALAVHTRSA QLQDKVEQQHLKRLVLDYEQREEAEELKALEARNRAGAIKIRYVG JR316_0001970 MHSTSQGPEDEDDSDALSYSQLPLFDPEDLPRITTEVVKYNSSG SNGGRTYNQYLRGEKIGKGKHGDVYVCRDQEIAGYELAMKVVRKSNNRDRMKLLRRTY QQENPDGQPAMNSTLNSIRKEIALMQKLRHANVVRLVEVLDSPSDEKIYIVMEYLSGG PVEWCNDEHKAILTLQQTRRIIRDTILGLEYLHYEGIIHRDIKPANILYTPDRRSVKI IDFGVAHYTPPSTLLSKTTKGKLPPQHETNTYHIDSSLFPESDIRKRAGTPSFLAPEV VWFSDDDSNMPPSPSYDTIAGRSNDRALVNSATTDFQKPKTRPQVTKAIDIWSLGVTF YCLLFGHTPFTVPSSSNENMQRSEFVLYNIICTKDWPVDETMGSDAITTGGRRPSRSD SEGYWVVNLLDRMLQKDPQHRASLQEIKENPWILKGIENPGEWVRITSPSREYDEVKS PSSRIARPSPKASTGSSTVAQSRLNRRNNAKQSAAAKRNALVSATRIFNGVDGAPRIV AVIPLTEDVSAKSTVQSLADVLDMSADDCPDDGIWKMKAERFRTSLQFRTVPYRNFYS ALDACKVADYVVFVLSSSVEVDPWGDTLLRTLQAQGLPEVVSVISPDASIDVKSRTGI LKSLLSFVQYFVPTQTRVFDLHASSDRLNALRSLSEGKPSDVRWKEGRTWILGETTEW EDGTLKLTGVARGSPLSANRLVHIPNFGDFQVSKILSAPLPRTHKGSNGPAMDVEPAL LAEADPTSADSLVSSNDPEDLQNEQTWPTEEEMKGGVDDVQTDIPDAVVGTTPKAVRR IPKGMSEYQAAWIIDEDDDEEGDETNQSTDEVDMETQGEEEEEMQDMPMDDAATEKDV RFEDLDMEEEEEQLNKWRNRQREEEDDQSFPDEIDTPKEIPARTRFQRYRGMRSFRTS PWDAYENLPRDYARIFQFEDFKRTERSVRRRAEQELNVVEPGARVTLYIKDVPQAATS VSPIILFSVLQHEHKVTVLNFTVQRNTEYDGSVRSKDPLILCVGPRRLAVNPIYSQHT RGGGKGANNVHKFERYLRHGVTSVATTYGPVIYGRQPCVLLRETPDAEAPQLVAMGTF MNPDTTRIIAKRIILTGHPFKVHKKTATIRYMFFNPDDVHYFKPIQLHTKHGRTGHIR ESLGTHGYFKAYFDGPINQMDTVCMSLYKRVFPKWARLWTQSRIHEVSNGAEKATSDA MEE JR316_0001971 MFELTGALTYILPTMIVLLVTKAVGDFLGTNGIADEMIRFNGFP FLEKEDHVYNVTVSTVMRKDLNTLSETGMRVKDVESLLSSTNFKGFPIVSSDGSLTLV GYIDRSEIRYVLGANLNLANQFLGLNMSLVNTSERARRNRGRLANTPCLFTARHQEPD DIDLAPEVHEDDEPEEYFAPTTAGEGIQFWPWVNKTPMTVSPELPLEIVMQIFKRMGP RTILVEANGVLSGLVTVKDVLKFIATEKPDQRPSWDERGGLDGLLEEVWTWGSDILSR SVQWSPISVLQIPIMPTTSAHPQSKTELYSQQLAIYTLRQFSAARSSLDQQHSAAMSR LPAAYSRVARADKLAAMLGQPFLEYHLHPSEFTRLIP JR316_0001972 MDPDELEDEELEEIRRYEDFTTIDWIQDSVLERKRRLRYARHSL LPRRSRGGLGALTQIWAQARKIMTAGQSWFVLSIIGVCIGLNAAIVSIVSEWLSDIKM GYCSDGWWLNQQFCCWEIEGEEVDGCPSWHTWSHVTLARWLIFVLFATLINNLINVAP QMSFSFVASHLVRSFAKYAAGSGISEIKCILAGFVMQGFLGFATFFIKSITLVRPPTS RIDSDDILEFFA JR316_0001973 MPISGRRRNKVKGVQFTIMVVGASGTGRTTFVNTLCETDVLQHK EPEPAETAHFESGIKIKPANVELEEDGIRIALTVVDTPGFGDNIDNEHAFQEIMNYLE RQYDDILAEQSRIRRNPKFQDNRVHALLYFIPPTGHALREMDIELMRRLSPRVNVIPV IGKADSLTPKELKAFKKRIMEDINYYEIPIYNFPYDVEEDDEETIADNMELRALLPFA VVGSEEEIEIDGEPVRVRTYPWGIVEVDNPHHSDFVRLRGAMLGSHLNDLKSITEDIL YETYRTEKLSRGPESNIRDSQILPSELATQSVRIKEEQLRREEEKLRDIEMRVQREIN EKRQELLAKEAALRNLESRITSQAGGHSF JR316_0001974 MSHIQDDQLFSYSERAKGKVVVITGAANGIGKETALRFASYGAR VVIGDLDLVGAKNVVAEIEKAGGHAACIKCDVTKFEQQVELFELAIHNFGSVDIVIPN AGVSEMGSFQQLQMKDGKPVKPSMLTMEVNLYGVLYSAHLAIHYLLLNQKEGDLKSLI FIGSVASWLGIPRGSMYTGSKHAVLGVMRSLYPTLEMKGIRTGCIHPFFADTAIVPTP VKLVLAGIPLTPVPRVAGAIFYAATNPEPATNGSAWLLLDDGPLFMVPKEEFKMGVYK MIDDRANAARAALTGMRAWARFFRDVWRITGRSIVFAALSAGLAKVAWDYFL JR316_0001975 MYHLLKGLHEHLTRKEEFSVIIIGLDGAGKTTFLEKIKTLYNEV PGLSPDKIGPTVGQNTGTIVLPSTILQFWDLGGQRGIRNIWHRYYGDCHAVVYVIDAE DRERLSEGWEVFDSVLSNPQILGLPLLLVANKQDSPQSLSVDEIRHDYEDWHQRKLES ARRTRYGEADHNSSDAMRRERIASLDVMGVSALEGTGIRAAVDWLFIRVQNSRRDVKS TS JR316_0001976 MGYYSSYYSYGLPTFIIIFIALYLLFTGLVVRLYALKCSGEAFN VGRFLEESSPYAWATTGIGLCIGMSVLGAGWGIFITGSSLLGGGVRAPRITTKNLISI IFCEVVAIYGVIIGIVYSAKLTAIPSELLFTPENYFTGFAIFWGGLTVGACNMLCGIC VGITGATAALADAADPDLFVKILIVEVFGSILGLFGLIVGLIMVGSTGDFKAAPGVAA AAQAVVSPFVH JR316_0001977 MTTVLRPRHAWEYALILDQPQRGYLEFFIGELIDAMEQLHMELD VSTNHVLYDTSPIARLPDELLELIFLHNTFKELKEDKTSLVHDPYRTTITTLLVCKRW YTVALSYPPLWSRIIDYRRHSPQWIAELLSRSKHSLIDVGQDSVFELVRLRDARGKTI LKHIFDHASTLRTLSLEITFAPWEFICKEFLQYPAPELEYLNIITSCPFPDCLYPGPL FADCAPKLRRFHLQRCLVDFTSPMLCNLTELSVMDILSPFIGLMRKLDHPLKVAPTPG GWLSILQHIPSLKYLTLNNAISHPTDNEAMPDITLPNLLFLTVGAKFHDGTAFLHHLS IPTSCGIRLRFSHDKSSTSADAGRLLSFLSTQLSFWPDDTPERYLQAKILSGDRIHFG NSRRVGHIWDMTEADVIAEHAASTCDPLLWLVLSFDTSDDTLHFFNSLLSLYEPTYAT TTTLDLWIDEEFMAAANDNNAAGNGSALTNLGPPFPSLDILHSFTSVRTLNLLERSPL YLLPHLQTASLPDHTLFPSLRSLRLTRTNFEGEQRTAYFTIIAFLIWRTQVHKPLGDL QIVESRMSRETEEAIARAGNVRVMKASGSSAFVRDGGDGLGGR JR316_0001978 MALPEMDKQLRILVESAIEEAQFDHAIAIFDTCRSPHHRPSPIL LLHTLYISLHHNARPSRIDDPTQPVHDPAELLRQSPRKMMRHHKSDIVLHPSAVRAAH RLLRAFVRTNPAAAFAPALPFYPESKVPQLASFEYIDSPIHPQAIALKNARSVWDVLR SDWIVKTYRASLAAEQKAMAKQRRSSTIARESSFAGSFTDWTVEDDSLVVGDNAWHTL EWFVDLFEADAEQSPEREYRHSSLLLRQLPPPTIGSHKRWDASEPLKIIFFAFKQSDL RRQRTGLRLFNLLLELTQSGHLDLIPFASTVLSQISLAASASPSHTHRSILTDLLTRL SSTSPAAISFKLVLCKKILALLEPEPEYDTRMLPIDAPPPMSGGSATTTSADVTATPG RGSVNSMTFPPGSPVATRPRIRPRPKPAARIAAAAVAAAENGLTTPEKKKENDKVVPN GTGAMGPPPVVAPQTPKRAAPPAPATPVLAPTPAPASAPAAPTPAPPLPQMRIQLPPL MEIAHLIQLNPPAPKPAAAVPSTPRRTTENPVDRQTRRTSGRLAAGPTTPTRGSRANG RTTTPTSKTTARVNVKAKTMAKGKARAKAQVKAKGKGKAKATHEEEEEDTEREDEDSV PRGSAEPHVAAHTPWTTPRALLLRIRFELVRAWIGARAVRECDVRALAGAGGMGADVN GNGEDGVGKREEKEREDADVKRIIDEVFGPPKVSVKQGEAMDVEDGTSNSKVNLDPDP DAELDEQDRRDKTFYWEVLGMLLRAAAGSGR JR316_0001979 MTLNALPEAAPVTMASPPTQPPSPPRDTVVDPRVVALRAMFPDY DDLILQSVLESASGNQDRAVDILLGMTDPEFKSEAPQQPPPQPLSQTELDEQFARQLV MQEQQQETQHWLAQQQQQRPPAIRRSSSRSAASPQHQEGQDRPSELQEQFTKIAETGK KTFGNIFSKVKAKLQELETGRPANTQPAPSASQPYQTYHPHSQAHTQTPQQQAPYFDP SSDRAVQAMPTPATSTSPPSSSPPPSQQLQPQAQPQLTTNAVPPPPATSQGAPIDGGK LGLMPKRPVSLIREPNAQPAAGSGSGSQQQRSLLDDDDDDGLEYAENPFDDHTATGKK JR316_0001980 MPSPEMQAFFSWFQSNDGYIDTAAMDVMDFPASEGGRGAIALVD IPENHTVFSIPRKLLLTPRTSALPAKMGERAWKKTFELHRGWAGLILCMMWEAAQGAQ SKWCSYFEMMPTTFDTPMFWSEDELAELKGTSVVDKLGKDDAEKTYAEKVLPAIQSRP DLFAQEDIPTLYSLDVFHMMGTRIQSRSFTVEKDESELADAGQDQDVGNASMGSAMDV DEPEATGHEHEDGAVDEHNEDDDEGEDEDGDEADEDDNTEIAMVPLADILNARYKSEN VRLFYEPECLKMVSTRVIKAGEQIWNTYGDPPNAELLRAYGHVDWLPLPFTSKSESGN AQEQEYGNPGDVLEIRADLVVQCVLDELNANANVGGGNSPMTMDDMEPRIDWWMEDVG EDVFVLEHPLADTDASQSSTADPKQRLVQTLTPSLLAFIRLLSLPAADFARAQQKDKM PKPGADARALRVARAVLVRRAGMYVGGGVLEDKAALGALDAVPVSVGDVGKRRRRHAI MVRLGEKRVLEALLGVVDEAAGWVEKEEGAGAKDEKKNKRKAAKDEDAEGGRKKRR JR316_0001981 MEILKRLQKNRDALLEQYHTNLEDIYSLQDTLIRDILPSVTDEL ELSVESQEWAKEWLSDTCTLHVSPFQRNKFTRSFSLEAVQKTLLWRLDNLWPIEPPKS IPNLHCLPADIRDPLGRPILVVEMSAVDESLDSQKRSIIQAFEQLRLHLRKLYDSSDD DARPPLQYVVLLDLSQLSLHSINIDLFTWAVREVIPRFSGLVAAVFMLNYSWAHAGLW NVFKRLLPESALSRVFFPSKNELVEYFSESALPQVWWKYAHLGTDGGSNAPSFTYPRN TTKHHTTDGRFAPGIRIIVDFSLMDFPNLTFKSLLRVLCISNLDGWFPNFSSRSSTKT RSCSDITVSFLVALAETYHLRLVPINCLGPPKD JR316_0001982 MAPFDLDACIQQLLRKQLLHEVLLREICEKTKEVLMRESNVVHV SAPVTVVGDIHGQFYDLIEIFRIGGYAPNTNYLFLGDYVDRGLFSVETISLLTCLKLR YPDRVQLIRGNHESRAVTQTYGFYTECVRKYGSSHVWTYFTDMFDFLTLSVVIDDRIF CVHGGLSPSIHSIDQIKVVDRFREIPHEGPMADLVWSDPDPEKEDFAISPRGAGYTFG SGVVYKFLDQNNMSHILRAHQLCMEGYSSLFDKHLSTVWSAPNYCYRCGNSASILEVG PGGSMYFNVFDAAPENDRDGPNQQAAQNAAGKLPEYFL JR316_0001983 MYQRDPRSFAYDDQGAAYSSGHNSADYPPNGYPHPQSSGHYYAP PGHGVGGAHEGVNSGPYFRSMTPSLVAANRYPRDPRYYNQQGFGGSSSSTSQSLYASQ NQPYPPQYLPTSDQRSVPLSPTPNPPAHFIPTPSEIAHNYSSYSHHLVTPHSPTSPED QYHSSADYSSPPHIVPSSHGSQSHRLSRIATGRPQSANDFLARSVAKLSRGPTTESAT MKLNILLAQYSTYVLTVQKSLAETTRLKDT JR316_0001984 MASAEAVNVPFFKKKGKGRPTTTRKRSASPDSSKPQAAFASPSS SKSEVVLPTKKTANNLLSAGTKRTVAQRDGFDDIDAPEKDGPDVKWTAAGSHTNAALE ILAGDEAEELLAKRRRKEKADAGVEDEIPDDGQYHGQSAYKAHIKKSTEVPKAMRVGP QRSTSTIRTVTIVDYQPDVCKDYKETGYCGFGDTCKFLHDRGTYLAGWQLDKLAENAS KQVEDESDSDDSDEDVPFACLICRKHYTDPVVTRCGHYYCSACAIKRYAKTPKCLACG TPTGGIFNRADKIIDKINKKKEAKSAKEDEELGNNDAPVQIEGLQTAGGNSSEGSGSE SESE JR316_0001985 MPSANLQGLNIVHPPHEPSDAHDLLLGQAYPDLTAQLDLWTNLA FDSEEPIATRHDDHKKAHLDDDEEESRAAEKTAHNLPAPHIQQGIPAPHANLFDLNTF LAGFGIDSYTAHPSQLQQQPNAIAPSLAQLLALHPSNPAYPQTVPQFSVPAAPSAYTR PTIPEAESYPPSKRSRARKMSINSAESPDFREDSLPPTTTALSPAEDKRRRNTAASAR FRLKKKEREAALEGKAKELETKVAELERECEGLRRENGWLKGLVVGVTGAAQGPNSTQ NLNVSPPPSSTTVSAGTKRRRDETTA JR316_0001986 MSYDKSDDLAYNPFAHEDDHRPPSASSSIQGYYSSAFFPKVSPS KPTLRRRKSLADKSFKLEQHPRNHARIPTEIQITPPYSAGLHPLKSALAATNNGSILH DLDVTRPYLSRIVNEGNLVDAPLLVDGTVADKIPPSQVSDLEKDVIVHQVSSKDSLAG VSLKYGISLPNLRRANQLWTSDSIHLRDVLYIPIDQASRAREYVPEPKLISLTPDTQD SPNDPFDDASTASSPFKTEPTNSLPSSSPVSVRRIPAKQLTYFPPSSSKATRLKENED RDATSVYLHSPGNSKTSPGPNRYSPTPANNSLASILTALPIAASTRDEIITRLSFDSA SSSFSDRSRFESDEEIGHELGNVSRQNSTQSYHANTTDNLDELDEVAMPTPKASQQPH RILPARSQTMSTTSSSLPKASYIHPTSSTAIPPRFYISHIHEASIRTSQLEPSPAMEL PNFRSNTVGRSVGRVYQNHSEDEPVS JR316_0001987 MAPYLETVKSFADVPITDAGVDTVTFLEAADGLVGLFDLLGSTA FSVVQSDLKGNIAKVRARYQATPTLSGTLESLVENEKGEKKRTATEGLLWLLRGLSFT CKALQNAQSNKSEELAAAFTKSYENTLKKFHNFVVKGIFSVAMKACPYRADFYAKLAA DPDGGAPATQEKLNEELDKWLAALSNIVARVEAFYEKGNYGKGF JR316_0001988 MPHADSSLVPDSITTKAQFWEHIYIQLEGLLDGQRHWVSNTANA SSLIYNSLLAFPRFFGKADDKAVNWCGFYIDSNLFPSPRFPKPVFMNDANKLLLGPFC GKPACQFIQTAPGKAKGVCADAYLKRETLVVPEVDLYPGHIACDGETKSEIVVPLIHT AEGITTVLGVLDLDCLAVNGFDDDDKFGLERIANLIVKSCNW JR316_0001989 MSVQQPPWSIPAKETEEPVLRLYNSLTRTKTEFVPRNGRLVKWY NCGPTVYDASHMGHARNYVTQDILRRIMTDYFGYDVQFVMNVTDIDDKIIERARQNHI LETFRSQTSTLTPSLLDKIRNSWTSYLRNRVNKGVPENEKIIEGREEESWRTICASYQ NSAWKQECLKRDEKFDMYYSAARRTLEAIDIAKSHLENGSVSCNHAHQLIDSSKDVLV LSLDAEFKSTVTDPAISRKLASFWEGKFFDDMARLRVRDPDIVTRVTEYVPEIVSFVE RIISNGYAYESEGSVYFNTPAFDKSENHNYAKLEPWSTGNRDLLEEGEGSLASKTGRR SAADFALWKASKPGEPSWPSPWGPGRPGWHIECSVMASAIFGDNMDIHSGGIDLAFPH HDNEMAQSEAYHDCKAWVNYFLHTGHLHIEGLKMSKSLKNFITIDEILEKFTARQLRL AFLTQLWNSKVDFSEALMTGEVRNLEITLNNFFTTVKALVYQANAEEVASDGRHHFEL PEQELLSTLYQSQMTFREHLCDSFNTPGAVDVLRDLVSKTNVYINNRGKALNVKLVEN IANWVGKMLKMFGLGEGEKSEIGWGQLDEAGGNANREEILMPSLRILSSFRDNVRKLA MAKGDNALKDILALCDKLRDNDLVSVGVALDDQEDGKALVKLVHPAELMKAREEKRAL MEAKAAKKAASVEAERQKRLQKIEKGRVPPHEMFKPPNVQEGLYSSWNDEGLPLTDGE GKELTKNQAKKVQKEYTNQAKLHEDFLAWQKTNAN JR316_0001990 MQPPTCVGIRVRSPLDAITIIHAVHLGILPLVHRRLDTDERRAI QSGSVYVWEERGPNAEATGLGIERWTDSISWGPSRVRDEFLFYHERKPAQPEPDNSTD SSDTNFQPRYYYRKPLVKQTYSVFVRTHTGRRKWHLIAYFTQDTVDQLRSIEDHPQLR GLNVPDGLYVCARSAKGRPRHSSSGSIGNYPIPTDPILTYPALYPPRVPLRAINPTER LAPLAYLKRVPPPRRHAVDQMALMSFSGLLTSDESIRKIDIDSEDYYPWRKYESDSDF RVPFRR JR316_0001991 MQQPTCTNVRIRSTADAHKIFAAVQQGILHMVTRRLDADERIAL RSGCVYAWEERGPHSELTGLGIERFTEGRRWSPSRVRDEFLFYYEKYSPPAEANQIGN ASDRMPPRDWDPLVKQTYSVWVETEKGKRKWHLTAYFTQATIDQLGTIDENPLVRDLP VPDGMFKSTRVGKSRNKTDDGSRTDAARAATTIPRTYAPFPTPYQYQAQNGSPSMTPV LMHEPYRTTRVEEPSPVLEPSPSPDSFQDQGNYGLHQRSYSSLNNPPASTSTPPSYAN RVYSPVPLAVSQPIDPHSHLPIYPAPGVRVVDRQSRTMPVSSPSWDEGNTSYYPRSRY PDDQRHEIASQYPMSSPASYMTSVDYQSNLVTSPQTYMPPGTSLPQLSTSLGNNMYSP SMSSSLHSALILPTQNNDANESYTLSPLQIPGRLHANVYSPSRSLEMQVHSEDTPSED IDHEGSLAPLDVLRRPSRFRRDPMDEKTLRLLREKRSSS JR316_0001993 MSNKIVPTIYRAVIDDVIRAIKPEFDEYGVSEDVLAQLQRKWED KVIASHVAEFETPAPPPSAPSATHPAIVAAAAAQQQPQIHHVYPTHPLMIPHYGQQHN PYAPIATPQPTVKAEPVDNRYVLNPPPYTLPPLPGPNIATRHLPAPATVSPNPGGQTG VINFPRNGQTQSSVSRPYAIPAQTSTTQTPRLPQVDGPSESSGDEDSSSPPPGQFAPR TSHPSLPQPPAPSGPSNQDSEAINSDLDDSDTENEDEDEDGGAGETDIVFCTYDKVAR VKNKWKCVLKDGMIHINGKDYLFAKCTGRSSSSSTDRHNGGKGNSFLGMGRNNRLDDD PSIQGAREKVSAAEDAEKEADRALIEARQRVKLAREHVQMLEREALEEAKRAKAKQAQ AKIVSKSAKGLGRHGM JR316_0001994 MYLYQLPTTSAVAFSDFCVDHSRDRIYTHLIPEATQARANLRGA LKECKRSDHDEKDFLGLVKAIEEYLPYIRALIECVAHDDIGLKNEPKFGWRTTLSANL FNAPKLDFPGLYADYSFTLLTYAFALSNLAHSIVASVGLYELDRAISDLERKSKEDKL NVAVDFICRASGVFTFISDSVLPEWESSRGSPPGFHRPPDLSREVISALSKMSLADAQ TLAIRRLLSKSAYDSNVAPGPPLPKSHPPPALLAKLHIECTSLYSSARLLAKTPGASK NASSGHSVKDVASDLRRYLSNQASLHSALSHKWLGVDAGEKGGTDRGGEAVAFLQWAK KELEDIKDGGRLVSLGNGEKEKEELRKSDINNELTNVNVFFKYYKKMNDTLHFQPVPT QKDLQSRIPGGRIALPSKPFTPPIPAFGPGSLEHACRQTEQLQTLDHDLQDGRERSPE AELPKSTGNYAGAGSYF JR316_0001995 MQLPYPVTSTRIGKHVGELPRLIEQHDEAVIQLEEVVAKYTNGG KPSSHRPTIIIGGFCGLGGTRVDAIKYYTSKVRRAEGAVQQYRAQLDPKRTENYGFAT IATIPLAHAAARELKGEHPKGLTFKLAPNPRDIIWSNIGLSKPSKQFRRTTGFLLMMF FSALSLFPLFPIASLANLDAVSLAASGYIPFLRTWSHSSPISYALVSGLAPPIIAAFF NYFLPRLMRWLSKYMGAPTHTNLARIVIARYFAFLIVSQLIIFTILGVIFHSALEIVE AVQRQGANFKTIIENLDTIGLQNQFKSLQLVASIPPLVILLLFKFYLNRKFANNFQYH LPEHQEFSRAVARSQQSSTSYNLEDRYCHPALKAVLFTPMIHSHALPILRRHYEGKGN ENEKILTRGVSKKSQNVKIKGVNNDPIVDGVVFNVVPKYSLEYDPEQYKHDEDNAYYD YDHGAVPSGSRSALELRQPSSRHGNETSSRGVRLYDPGLTVRPIGMLSGDHHESTNRM YDIQAMNLSPALSYQPSDVPSHDVQPSTYQQRHRTRRNDEFTHDGISPGDKSLPPVPP GS JR316_0001996 MASGDSVIYIIAGANRPRGIGYGMTSYILASNKEAFVYAGARDP DQAIALQELKSKYPNRLAIIKCVAGDVAGNHEAMKEIDKRHGRVDTVIACMGVANAFG KVNEVTLSDMENHFLVNAMGPIALFQAAYSLLRKSLNPRFVSLGSSGGCISGGFIESP VGSVCYGTSKAALHWATRKIHFENEWLVAFPLSPGAVGTDMVDNIVEADKTGNFQRMI ESMPQLSAGNVVESLVKIIDGSTRERNGGEFMHVDGTKLPYW JR316_0001997 MTVEDSSPLITYLPAGSWTDTPSNDPLASSYSGGSYHTSAVQGA TATITFTGTGLSIFGGRRSNYGTYSLSVDGQTVSNGNAQSNDPSTQQLLGTVSRLPYG QHTAVLTNTGSNPIDIDWVDFEAQIGTSGTVIKKTFDDNDSAIQYLPSPSDWQTNQNS AFADGTLHFSSTAGASASITFSGDAVAVYGTVSPDHANIRMTLDGQSQLMPGGSGGMA SVVHPQWYKSDLGPGQHTLVLSGDVQSNTGPFIDLDSINVFDTTSSATTPSVTTTESS VGPNSSATAVAAALPSSSTTAPASDSSKSGMSTGATVGIAFGTLIAVIFLVGLLGFLL KRRRNNAKTIEKSMIAVSPVLPMQRDPRAMEAGMMKSLENPVFPLPPPRASLRHSIAP SYYSDPQFSGHSRDASMRSDKSTTPLVPPIPTIVVSEPQQQSRFASRKPPPTNSGLNV NGSPARPNKRPPTLDFVIMEN JR316_0001998 MGNLIWHEYSRLVAVTSSVYAVWSGFFGLFYRKFFWDFVGGTLR DPGGLQAPPSAALFITLIIKVPVIPIFAMLLGIIILCIELPLPAIKSMSLYRSLAIRP VLLLFQFFLCILYYQGTNAAIWSLIAAGCYTRAIMLGETMKEAKENRGRRESLEIEHY DILSKLQSSFDNALKTGDLLFFPSTVERHTEADVEYQIRLCPALQNKPALPTPHFDQK EKVKFDPFAPPYNANLLVGELTDEESKEEFIILLNKYAVIPRHFLLVTKEFKSQSSPL MPPELVQSYLLLVAAQKQGHKFFGFYNCKSPIGECLTLLPLRYLSIQVAITAEPVNSG EDGPPIELLARRTRLEHQDRPFSLTQLPYASHTYRFPSHLPTYAPEHLESLLADAFLQ LLDLTISTIRHDPDYPTGSPSYNVILTLEHLHLIPRKLENYVLAESGDKLSVNALGYA GMLLVKSAEEFEVVKRETVGKILRGVGLASVHELQVEGTAQEAPLAGL JR316_0001999 MSRMRQRLLVLPRVRSNSLSTLTAQPPTSTSRPVLTTPPRLPSE APKQSQHSQLALTQPAPANQPPLTNPAPLSPPLLPALVHLPEQPPPTHAAAAAAASPR AHKRQRLRYQLDVGAYGIPKHRPHTRAYHSSASPSTSQYPHTALSVQVGEDSYFVCDN AMGIADGVGGWSRSQTPNPAHPTPSALFSRRLMHFCAAEIEALDASAHDQTPFRPDPT ARPPTTHFSFTHHLKPRTSFGPASYTPFAVPAPPSTPGPFSFSDLQDSLTSSLEELEE GIDILRILERAYDSTVKVHHLPPASSSIETPIPLTTGSSTALLAVLDHPPPPGSGPLP HHPPAPEKNYALGSVYSHSPPALSPSSTASPSLSGSETSSTSSSPSPSSAIPQETEER TDAGRSQDQEAYDAVLRIAHLGDCMGMLVRGDAIAWRSDEMWWGYNHPLQLGPPRPSP STSSSTSSKSTMHPSSQSSSSSSTSTTPTAHALPTQPHTCTLPVRSGDILILASDGLS DNLWDEDVLDEVVKVRAGCLSGSGGVSCALGSDLNGVRTGKLEPTEMPESSTTTLRRR AFAGMLAEALCSRAKRVSETRPPSHVMSKRSNKIKMKERGGRFGVDVIPEERECEIDA AKAGARTRAVREQESDEASEEEEEDEVPFARRARLAGRSFRGGKSDDISVVVALISPT SLSSTSTSSLSSNPNSTSTKAARL JR316_0002000 MSPSLSSTFSSLHNAARVVVARAVSSSEAASLGGKKAKTPIIAG SICGGVLFLAWVIGFAIYFRKRINRKKRNRLIAQGKATPREKDLDIPTEKIVIPPDPA VLLGRAKPGENVFPERQHSKDGHHHHLPWSTPSRHGSHSTTNGSTPNRTPGLVESSIM SNKLVSSPRPQDDDIVDTMTVPSNV JR316_0002001 MSSEGEVQIHSITRPASGSPVLNRVSCQITQNKIRGGAQAMLYA VGLDENDMNKPQIGISPVWWEGNPCNSHLLDLAKHVKEGCKQEDLVGLIFNTIGVSDA ITMGTDGMRYSLPSRDIIADSIEAVVMAQHYDGNISLPGCDKNMPGCFMAAVRHNRPT IIVYGGTIQAGVRHLDCPSMGKEKGGTVNISDAFESYGAFAVGKITDEQRFDVVRHAC PGAGACGGMYTANTMSSALEVLGMSLPYSSSIPAAYPEKVQECIRAAKYLKNLLALDI KPRDILTRNSFLNAIVIITVLGGSTNAVLHLLAMARAAEIDLTIDDFQMIADKTPFLA DLMPSGRYYMEDIHRIGGIPAILKYLLNHTDLIDGSQLTVTGKTLAENLEDVAELNFD KQDVFRPLSNPIKPTGHLTILRGNLAPGTAVAKLTGKEGLRFEGTAKCFDSLEGFYTL LEKGEITAGMVLIFRYQGPKGAPGMPEMLGPTGAIAGAGLANSTALITDGRFSGASRG FIIGHVVPEARLGGPIALAKDGDRIVIDSATRQIDWFVDEEEQKRRREEWLASDKGKL NVKRGILLRYARDVAPASQGAYCD JR316_0002002 MAPVVSRESQEAPDVRVLSERKEIEAKGEEQEQGVVPIQSLISG SECAAPSNPLSQVLKHTEGDRSLQQLHQLPGSSSSIPANEQDLALARQFFEGSSHGLV PGFSMQHPADLARMSEANARSGMGQAWAMEQQQQQMRAFEDSAKAAWATEFSNAPQTN SSAAPLQQGIPSRPEYQQRPSYMQPMYGNSMPVGLGMYGMNSPPMQYGLNPNMNVSDQ GKGKAREVDFEAAFAQIAESFGPKEAQTSRIEEVDDTVASLEEALKNATLNGENEELG TDFQKVWDHLQNSELPPPKEDMAKWEAEFSQLMNAQRDELEDYGANMQKAWEGGLGNF EDTFDQGMKFDGEGIPLLGDYTFEPNNKYLDPSSSRSLLGEAKALLENNGSLSEAALM LEAAIQQGELGEGGYETWVLLGETRNMDEREDAGMRALLQGVRKAEENGTPGAGMLSL AISFTNESYDRASHTMLMRWFRARYPDVQIPEETIRAISTNSSWDTHGRITELFLDLA RTQHGKDQMDPELQIALGVLFYTNAEYERAQDCFVAALNARPKDYLLWNRLGSSLSNG NKPEEALGAYREALQLRPTYTRAIYNVGVACLNIGADHEAAEHFLSALSLQESTSGDT SDQLWFTLRRAFLSMKRNDLADLAKPEAKSSLDIFRREGFDF JR316_0002003 MASRKSPLRDRLLSNSPDPIKSSILRESGMGWNTPTSNGSIQPS GSTGSITSPLRIAKRDSPSGRVRGPAVARRTSSSYRHVHNNNLVSKSPFKSLIPTPST PSSRPPPISFPTRKVSGEKRPRPSSMHELGETENDRPFALKRDRKQSKTFQGLIDKEP VTKSPFRVQQKQPVSQPPKPPLAPIQNEQSTLSSTPPAPSHISPPVSTPNNGPSPGRS ALVSRRMHGPRLSGGSRRERRKTVTFHERCDVLEFDREDTEDEVFESSDEESRDETPH DLQNEDESMTDSSRPNNDPHDVMEDDASYESVGLSDSGHNPPVPSLLADPDASINGIV DEMFFETNAANLLADISMISGTSTPPRHADIPTDLETEDGVPFGRSHHVERFMQSEHS LPSQPPPHFSPHSSPAHQASYNQSPSYLNSFNLPTHASPLGPPATPPRRSPGVRYSTP PLGRSTHVERIRKAREEERVDQAEGDDVAKLPLSPSPMKKPSTADLSHDDSLIPKFDL NAGSSAETEVNSSTNINLDPFEHRIQDESTLPGLDEKDISEIHEHERPLSPGSISIGN SEVNLSALVSERDCEEVLQSLNSSFHSEGRSRREGSVPRTSPPPSDYFPPVSRHMTPP VHSGSPLLAAVQSTHSLGKVASRERISREEVQRRLMIQRSPGSPMPDKVATQSPRPTI FVDPAGDIATPSKRSMSSSLEVLDPETNRLSVLTTQTDFSTETAIVDTAEKRNLGMTM LSASHPAGDNEFGLLGPSQRLQFDFGSKFSVGGLGISSKDVDSRHNEGMRQNHELAVE PAPSTMSIQSTSTSGMKMGDVDVDMDMKSALDRLMEDVAGAGARAEDSIMSDEYDESY DHSRSHEDHDRVDFTDIHPKIMERAATDSALLQQSEVDGIHSRTASGSSTLTAPPPVP PKDNIRQREQIILEKRREARRIEEEGSSGFKPSRGKDQEHLGVGRPSRRRSMSTGDAE VLGGGARKRGNALLDIANPDTGDDPLADSIEKELQKLVEPAKKSKYHVRERETTIYAS SSDEKVSHMAGPGDVDTGRAWRTVRRPSDMNEYAKQIKEYRAQDKGKAYGKVFVKVLG IRGMHLPLPHEATAFTCTLNNGIHFVTTPECQLLSDCRIEQEFELIEHSKLEFTLTLK VRRDPHIIAQFKALAPSAPAPAPPPVMRPPVVAQTASKSSGMRSFFSSSPKKSSKDKI VVQPPPVQQQPLQPQRLVENLARHLKPDGTLARAFISFKDIAARCDTRLFETSYPLIG QRVEVGGKFSPLEVGEIVLQLFRLPPLPGIPQDQLPQSLEECHRGLRHINWHKVTYFQ GTLTQIGGDCSTWRRRQLRVIGANLVAFNDVTKKATATIDLKKAIAVEDDQEARNNAQ SPGGTSRYADEYDGLYGVERSFRLIFPQSQEIIFFADTDEEKSKWLDVLRALVGRIPP HPLWAELLWQRQEEISKRMNAAQQTVPQTSPIDSRRPQH JR316_0002004 MSALLFWSRFDGGVPLYSPYSSADIARGAQAVNRSSVAALTFLV WDVLITMDDEACSNESLVKLIWPRSWTYTKYVYFLARYLPIMTQISILFIGTELSAYF HFTTHDCYIWQIYQGVAASVIVGAVDTILILRVHALYHGNQIIRRVVAVFYAMEIIGM AIGLALALPGVTYDNLCLVLSVPHSLIIYGGATIVFQFFLFSLTLYKFIEAARSGWGD VPLIVLLMRDGTWAFFLLFFIYVGQLSLYALPNTSYAGVLYGWLLTIFSFCGYRILHN INRLADRVSGPENHMSNTRPTDTNIQFSTQIFNTERQLTSYAPDESFPLSQISRGESS QFGKKTYASTQISTLSLGS JR316_0002005 MSPISKLSITSTIRLATGYDMPMIGFGVYQNYNASVSTLEAFRA GYRLIDTAQVYRNEKDVGQAVRESGIERSQVFVVTKCVSKTHGYESTKKGIEESLKVL GIGYIDLFLIHDPFSGKERRLETYKALLEGKSEGKIRSVGVSNFNIKHIEELREAGYE LPAVNQIELHPFCQQKPIVKYCRENNIVVQAYCPIVRGKMDHPVINELATKYSRDPAQ ILIRWSMQHGYVPLPKSEKTERILSNIDVFGFELDETDMHALDGLDRGKEGAVSWNPV DVD JR316_0002006 MANRTFQYNSFASTDIAAGAQAVNRSSVAALTFFVWDILITLDD EIDLIWPPIHDRPLLLVGSELTPYFHFTPRDCYIWQVYQGVAMLVVVALTDIILLLRV NAMYNQQIVVRRTVTFLFLLEIVGMSTGLALALPGIQYDLLCVDTHVPLTIASYG JR316_0002007 MLTFSLTDWRAGVSGDSQLDQYSQSSYLLTQTDGAMISLEFYGN SVQIFGSKRSYHGLYMVQLDKNPFQIFNGISDTELFQQSLFSAYITLGDHEIRISNQN NTFTDVNYIEFQTSVGAVDETLIVNTFQDGHSSFNYSPPTSWRTPQNEALDSEFKLVD IVNPTSINLTNRSATTDPLASANFTFTGKRP JR316_0002008 MPRIGFGLFENYDARRSTLHAFKAGYRLVDSAQIYGNEKQLGAA IHSSGLDRGELFIVTKCVSNTESYDATLKGIDESLENLQTSYIDLFLIHDPVAGKRRR LEIYKALLDCKATGKIRSVGVSNFNVKHIEEIKEAGYELPSINQIELHPFCQQKAIVE YCRANNIVIQAYCPILRGNLVHPAIIGVANKHVRDPAKILLRWSLQHGFVPLPKSVTP SRIQSNIDLYDFVLDADDMHALDVLDRGSKGAISWNPIDAE JR316_0002009 MVDELVVECVYSGEGCGYTCERQRMVGHLKEECEYAEVECALAG CGEVMRRRDVLEHVEKMHKSVEEEDGQDVEDNEENARSREDKGKGKEEERCPHGEMGC AFKGEGVEAHLETCVYEQLKGFLSANTARVAALTEQNVMLRHRVEMLEGTVERTRREV SGVKNVVGGLSGGTLEGTLEGLREEVLGLGVLVEEVRRRNEMALTNETLRLSEEMMSI RGQMHGLRMQMHGMMMERDAYTRPMTGSITKL JR316_0002010 MPVTVKWGRDRFSFDLPAPDTLLSAVRHSIAAYTQLPYSAFQII HDGAVCADDNAPIGAYHLRPNSTIAIVANQDMPPPPKNSEHAQIAAIHAELAAARADL APAQAALLRDLADRPRAALAKEHSRIAELLLQALLRLDAINPEHDWLIARADRKAAVK ELQALLDELDAEWAAAQ JR316_0002011 MSDNLPKRNLTLRQPAPASTPIPPSLQAKMAAFANRPPAQTQGS IPALQHPALNQAASFPPPQKPQKPQSMAARRARPNFSLRDIDSSFVIPDGPSAAGLGA GRPSHFHDPPRKPPPNFASPFSNFSKIVDPSGALNFNGKAVLHAAGVNFSNGASFAIN MDQLQLDEELGKGNYGTVKKVLHKPTNVAMAMKEIRLELEDAKLNAIIMELDVLHRAV APEIVEFYGAFFIESCVYYCMEYMDAGSLDKLQGAGVPEDVLARIASSMVRGLKFLKD DLNIIHRDVKPTNVLVNRKGEIKLCDFGISGELDKSLAKTNIGCQSYMAPERIKGESQ NNLGTYTVSSDVWSLGLSMIEIGLGRYPYPPETYSNVFAQLTAIVHGDPPELDETKYS EVAREWVAMCLRKDPERRASYRELLEHPFLKKDETSDVNMVEWVEKALAHKATAALLA SKAQLPQSV JR316_0002012 MSFPAHDISTPFDFPREEEKVIAFWREIDAFQTSLKLSEGRPEY SFYDGPPFATGLPHYGHLLAGTIKDIVTRHAHVSGFHVPRRFGWDTHGLPVEHEIDKR LGITGKEDVMAMGIDKYNDECRKIVMRYSSEWRQTVERMGRWIDFDNDYKTLNVSFME SVWWAFSELFKKGMVYRGLRVMPYSTGCTTPLSNFEAGQAYKDVNDPAVTVSFPLVDD PKTSLLAWTTTPWTLPSNLALCVHPDFTYIKIHDTEKDQNFIIHEKLLSTLYKDPKKA KYKKIAQFQGSDMKGWRYVPMFEYFTDQFEDKAFRVLVDTYVTDKDGTGIVHQAPAFG EDDYRIAIAHGVLRPDEMPPCPIDDAGIFTKEVPDFAGLHVKAADSPIQKILKAKGRL IVQSTFHHSYPFCWRSGTPLIYRAIPAWFVKVTPIVDELVANNEGTRWVPQNVGDNRF GNWLMNARDWNISRNRYWGTPLPLWASEDMEEIVCIGSVAELEKLSGVTGITDLHRDK IDHITIPSKQGKGVLKRVEEVFDCWFESGSMPYAQQHYPFENKELFERTFPADFVSEG IDQTRGWFYTLLVLSTHLFGKAPWKNLIVTGLVLAADGKKMSKSLKNYPDPNIIIDQY GADATRMFLVNSPIVRGDNLRFREEGVREVISRVLLPWLNSFRFFLGHVALLKKSTGI DFKYNAQAPLPNNVMDRWILARCQSLIRLVREEMAAYRLYTIIPRLLALVDELTNWYI RFNRKRLKGEDGKEDTISALNTLFETLFTLCRTMSSYTPFMTENLYQSLRKYIPEDPA AGDTRSVHFLSFPDVKEEYFDADIERQVQRMQAVIELSRNIRERNNLSLKVPLKELLV FHPDPQYLADIKPLQRYIESELNVRDIVFTSDETLSGVKYKAVADWAVLGRKLRKDLG RVRNALPNVSSDDIKSYVSSGKIIVDGIELIEGDLAVQRYLELPPSSEGQFATNTDND VVIRLDIQIHADLQGEWLARELTNRVQKLRKKAGLQATDDVHVFYRFEEGSGADILSA IKENTEIIKKTVGNLPVDVKEKAAGATLLIEEEQEIDDVKFTMYLARP JR316_0002013 MADKGGAYGTKAADTDFRKKWDKEEYAERAKKKDQEERERMQEN EERMKKGKRPLKGRKDDLPKPTQLMQQREAPLELDKNLGKTMVVQNPGGKGPGQPGFY CETCNRTYKDSVGYLDHINSRAHLRALGQTTKIERSTLAQVQARIAYLREKTKEAASA KAFDFDQRLAEVREKEREIRENKKAERKAEKEKARLAMIQDDGDSEMAALMGFGGFGS TKK JR316_0002014 MPSNDNEIQYHLSFPLPYRALLLIGLGIFGWASNLHGLDILGVD AVSAMDLRTDTYSSNSVMPVHHSTSFRHTKAAILYHSVYRIFFSYATACFFSWTIYRF VTQGDPLRVDAYGYIPGITAIALLLILLCPYNIMFLHERAKFTMAIRRCLFPAGSGAI YFSDVIFADIGTSFARVFGDIWLSLWMLKPGNSILVPPVEDYWSRWFFPLVMSFPFFL RFRQCLIEYNLPSNDSRKPLYNALKYATSFPVIFLSAAQRTLSTDMSKESENKISGGS WQGPHPLFRLWLLAAAVNSLYSFWWDVTNDWGLDLLKIERTKAVDRHIPRPLILPRLH SGTPLVNSRTSLDSQSSEDHPPVRIELSDPPKYRHRQSCFGLRTILFYPRAIYPVLIF VNLLLRMIWSVKLSTHMQSSRDGSVAFFWLEVAELVRRWLWVFVRVEWELIKKTHDKV PTSLSDERSGDEGEYEMIPNTPDVMGR JR316_0002015 MKVVLAILYEGGQAAKEEPRLLGTVENKLGIAEWLTSQGHELIG KVSSSKEGPDSDFQKHIVDAEVLITTPFHPGYLTRDLIEKAKNLKLCITAGVGSDHID LNAAVDHRIQVLEVSGSNVVSVAEHVVMSILLLVRNFVPAHEMIERGDWQVSDIARNA FDLEGKVVGTIGAGRIGYRVLQRLVPFDTKELLYYDYAPLPAAAAEAVKARRVEDLKA YLQCDVVTVNCPLHEGTRGLVNEELLKHFKKGAWLVNTARGAICDKDAVAAALKSGQL SGYSGNIIGDVWNVQPAPKDHVWRTVKNPLGGGNGMVPHYSGTTLDAQARYANGAKSI LENYLLNKPQDPQNIIVGIGKYESKAYGQR JR316_0002016 MKVGYPSCHSFEKLDTSLLALKIARHSPCSRCIKCPGLHPPPGI DVVVDGQSDSSLGDLGQYGSDDEDEPPSSYLSSCACGHGVKEHNADEKELGRVEFNRK ARVAIRLDELLQDSGRLPDFDYTDDDISSLRKQMAHTISSVSLAATNSSPDHRIENVP PRSPTSSILSDPPQPATKKRRVSFSSLSDADHDEDDDEDDDEEDRPLAARITMGSRSV PGKRSGKQAPGKKTKKSHTLAGGAVPASSIEEANANAPTFNGKHNGINGHEPRIKQED KMDEGQLNRLTAGIPVDSVGRSSAGHIYRHLLQPPVRTEKPSAIELRKGVIQITAVEN DRQARSLIILTGLKTLFQKQLPKMPREYIARLVFDINSKSLAIIKRGYKVVGGICYRP FIQRGFAEIVFFATNSADQEKGYGGMLMDHFKAHIRKTYPDMMHFLTYADNYAVGYFE KQGFSKDISLDRSVWAGYIKDYEGGTIMQCTMLPKIDYLDKQSIFKQQTEAILAKIRE KSTSHIVYPGLPQFQPGAPPGIKVDPKTVPGLRETGWTPEMAALLARGPVKPSTRTTM EKILAELIEEPRSWAFRDPVDPADVADYFDVIKHPMGTRFMMLFVYTDYIYPLYLIFS JR316_0002017 MFGRVSLAFVVVSVVAFFCAQSAEAAKGPKITNIVYFDIKHGDK DLGRITMGLYGGTVPKTVENFRALATGKNKNGEELGYGYKGSKFHRVIKDFMIQGGDF TRGDGTGGKSIYGDRFADENFKLRHTGPGTLSMANAGKDTNENVPKGGGDRPKEDVII ADSGELPVEKTKDADGNEDIPGGTPEALKTPVNAKIETEAANASTPVSTEATSASETA IIPPGTQFADLFFVLIIVGVVVSAFVWIGGLRYVSRFLPSGMRARYSQVANDDLVK JR316_0002018 MDDDLVLNIATDDSVSIKAGLGKKGGRWTERLKEKRTLKRKSRT EEKSTPSVLVSKSSENDRPSKKARIESAQPKSTAQVPTVQPNSSKQARAAQPVQPSRP TQIISSLFSYNPKVDAPAPRPIEPSKITAPSNAPLDSSTFSGLGLNPLLISHLSSKMD IHKPTAIQRASLPALLSTLPEKSSRDVFLQSQTGSGKTLSYLLPIIQDLLPLSSLSYI DRSIGTLAIIIAPTRELAKQISDVLEAILKMRLRAEDSSTTSPDTSTQLTRWLVSGLL VGGATRTHEKARLRKGLPILVSTPGRLLDHLQNTSSFNVGKCRWLVLDEADQLMELGF EETITGIIQGLDGRRRMAKQAMEEGKTNEVGGWDWERRRRTILCSATIREDVQKLAGT ALSNPLMVKATEFDKDENVNTTSSNPEKSLVIGSNPETFTPPSQLSQKYVTVPLKLRL VSLIALLRKLVAETQVRRGAKIIVFLSCTDSVDFHWNLLAGSSMAGPEPHSLAGKDDD ESDESSEDGDVKSTSKVYPDKVEAQCSLLPDVTVFRLHGSLPNNLRLAALKGFTGSQG KKNKPAQENSSILFCTSVAARGLDLPLVRAVVQYDLPTEGGVTEYVHRVGRTARAGKG GEAWAFVAPSEVEWVKWAENKMQGEETGDSSKVSLIGVAVEDLLRSGFGGRGSEYEQR STEVQLAFERWVLQNKENALMARKAFLSHMRAYATHPSSEKHIFHIRNLHMGHLAKAF ALRDAPKSVKVAHAPSQKKNDAQTKTHRASNKAKTEGVKDWEKSHSGEAEKRMQAIVR AQGRLIKQGGVMASMGASEFQISGGYDLEKLVGR JR316_0002019 MVSQAPTPVDGSPPPITPGGTYTIQTVTVGCKIYIRRPGPTGET EERLAEILSIRDKPSNPYAKNNKVPEADGTPKPEDQWEYFVHWESFNKRLDEWVSGSR LVLSRDLEWPRPKVPVVPKKATPAKKPGKAPRTQNLLKKATSNAALAASPTPAPSTPM VMDDYPPSPSPAPSSLKRKTMHDDEEEEEEEEEEAEEDAEGDDDAEGDMEVDGDGEVE TFDLSLSEPAMEAPPLSAFSKEKEIEKLRTSGSMTQSISEIARVKNLNRLQIGRHEVD AWYFSPYPKEYAHLPVLYICEFCLAFFPSPMMFSRHRQRCTMMHPPGNEIYRHEDISF YEIDGKRQLTWCRNLSLLSKCFLDHKTLYYDVTPFMYYVMAKRDSHGCHVIGYFSKEK ESAENYNVACILTLPQHQRHGYGKLLIEFSYELSKKEGKLGSPEKPLSDLGLLGYRAY WAETIIDLLLNTPDSELSIDDIAQKTSITHADVMNTCSTLQLFKHYKGQHIICLNNSV LEKHQKTMAKRRRRIHPEHLKWKPPVFTRDQLRFGF JR316_0002020 MNVTFYTSEFTSQVSQIPILTIPGTIWAYYLEYLWFYEPDSWVA TIAYSCRVLAVLISLPVIILGLLDIASYGIARTLGVVDDVKASTSDKATVHLQTPSIM LNGAISPASDSAFSDSDSGVDHNLHNKMRSPLSDSISEANDGLSSQPPAVFYAGESSL KLSGVGVFSPAASLPPSPTLSRRDLTDLGSESLRHRKQHAVQMEDVPEDGSKD JR316_0002021 MNDNSAHSPVASRPTLSELSRWDHPDHIHSRDKKMSLDFQQTTA QPPHSIYPPSDLDQPFMHSSENQSSSPTHPHMNGHIQHHSYDQAEAMDGPDHPSYGLF PESNSPTSFTSQRYRTNASSSSSLGHGFGMNSEGIYSHGSFSDSVPSFNGSNGNPYDI ITNLSSGKVSPLTPSDSVSNLHHPGGFPPSVAGKDYPPPGYADIHERRLPGIGSNGYH SEYPDSDYAIGVNNGLPFGPSAMQHFNDRLGRFPPDRYTHGSGPVPSHMSNGHGSELM RGVAPHATNSFRESPVSPYDDMHYLSNSHSEMRMHAVDETLARMKLQAHPMMGSNDLQ TFIRPFLDQYVRTPNRLAFGERTVIVMSSKVAQKSYGTEKRFLCPPPTAIMIGNSWWT DVIRRGEEPKLCPPRVVVSISGEPAPQEGTIEWTGSSGKSFDVSDPPAGTTYIGRCVG KQLFISDVDEKKKKVEALVKITAPASEDEPERVIGVFPSRPIKVISKPSKKRQSAKNL ELCINHGSTISLFHRLRSQTVSTKYLCVSGSGSSFKGSDGAPLMGLDQRSRSTTPSFI ARTASWDPFVMYIVDVNKPAGAGLDAPPPPPPQADYPSPPPNAIPFTNNGSQIPIYYN QTVVLQCLTSGVVSPVLIIRKVDHQTTVVGGGLQEGAKGIADHYCSPGEVCGDPVSQL HKIAFEVYDPSKGMPEPGTPGVTGAFLSCMGEKVNTYRPIDGRQWNTANGAGATSPTM PGSPISPTAGPSSANEYFSHPGKVDSAPSSPSATEFMTSNDGGRVMKKKRSNSSAGGI TKSVGKGRRRPSSAGSVSSRRGSSSDSGASSGALWQVDIGETSVWTIVGTDQIRYNFY VPPILFDNQHAPQTGSFPIPSKPVTPFPGVVKYLPPDRAAEAPKSNCASSRAVLSKPN PHASKMLTVYGENFSKTDPVSVFFGSEPSPYVEVRCTEVLGCLPPESQIVKRRPIILI RSDGVVFPSNTTYP JR316_0002022 MSGPSYRLQAPRPRRPPSPLNFDNSFKPTSVVSTFHDSQTLLYD LPSTVSMSPPPTSPRSGGRVISPTSPSFPGRSSRGPRNGRPTPPPSSRNRSATPLGVA PSELESFAEYCRAWYYCQDDNSGRLMTQTLATLPPSQRAPFSRLQASIRSAYHRSVNA RRHAEFQAHLSATTPGGSLMPHARADPRSKAAQKERYERAERFMRNWCNTGMPGTKPF FEALWAVMRLQVIPENLGGAGKFRIEWEFDDAVFKEAAGKDFMLEAIDFLKGVLAFEE TPSIKISNTSYGHRKDLSLPALHSRAQSHPSPSHQKAIDPASTVQPKRARAPSDPFLD APQSRTLGPASHSPNAESILTSAGTDGEEPPSPVAADGDTATSPFLQEEDTFDNADEQ YLRIWTSPDLTNPELLQLLELFPSFVSRRPLPRFPVSPTRHVDVEEGEDDGLEGRQIR FGTGSMWVSSKERGDSWEGGWWTKFIMWWRRIFC JR316_0002023 MNNNYESTPICPGHNLPARLWWTKKQPPRQFYRCSKPIGEQCNF FEWVSPEENTLSQPNMLPPTPNSSMPFSQTLPESPSTPTPASRNVSAMNQDSPRDNIF HSDVDLGSSISRIPTTPAKPASSIHSIYGSLKPMGLAGSQVSQFNDTDASVPNHGNNS RSDDVPDLSFEVVSDMIRQLRALPTYLKKLEKQKSDADSSGRAYNTAIISLQEQVDKL EAEVTRRLLKQSLVPWPLMKKCPIHDLALQTRHMAKPPFRRFHQCPESENNSCNYTDW IDPEPTGSQPQAASSSQSTPFEPLSQEANAPSTPIGSQTKSWDQWYQNVDAPSTPTPK RTFSTISSREEKLASSQKRLKIIQETLASPSPNESVMTTTSSQNSKFGPPIAVANIKY DNPAPGGAACGLSSDPVASDSNEDPFQDTSTVPGRDAVARSSNGLSTTQMTPEIGQEE SSDVAMNAVSGMIRRLETLPEHIRNFEARKTAAEKSRDAKNTKLVHLQQRIKYLEDEV RRLTEREKQLEEVIEAYEQQS JR316_0002024 MVSWPNCTHPIERELVGGTYAANTLQLPLYCKVRELLIETDVYR EFFRCSKPIGEQCSFFQWVDSEPRTPEIRTSTLPPTPTSGLCSFGQTNPGSPPSPALS IKRNIQDLEDEHAHDCKRPRDSKAFGSQESLRLTIHFAMHITILTKAIIGISIIKTPT PVRVIGVLPGLKCHLEELVGILWLDKIAMISSSSQETLGDESGPSTDMSLGTIRDVVH KLNVIPDYVQKLDRKRIAAEKSRDTKKSKIESLTTRVQSLEEEVKKLKAREKELEEVI AAYEQ JR316_0002025 MSRAGFTTKVQVKVLDTSDPSLPPLVLQITQMVDTYMLWIGAAD SNTNIGNGTNAVLNGNLCKDWACAMPPRVSGAPSAATSLFRSSNSDVALPMAQRLAKR FQKQIFLSVDTPTDFRTMGQGHRLVFEAERGIVTALKEIEKLEQISE JR316_0002026 MSEPELYMPDTNESFDLPRWQTQVDPLSSSAQAAHTAQASYLYS GPPPPAPSSQRMHSVHQGQTRQQTRISQLLEQEHLTPALSAYTTGGQSQLSRSASLGG SASGNLSSSSRIRRHHQSDDLEGAFNADNQGIAGPRQQPQMSHNSFYPTSVGYQSQSL TGTASVNPANSQNDQYTDMYYNTPSHPPKRLQPGQHDVSPASANRGGRSPLRMPNTPI SASPLEQYQSQYSPTTATYSYGNTVDQRTHPTTYQTHSRNHSQVKPESVTPPNNTAYS SQSSNSASYTSGMSINSYPTSYTMDTSSPHPPISSHLNTQNMAMKTSLSNPPTPLSYM HSNTSQSSQYYPQDQSMAIDPPPKRRAPGFRRVRTAHDLQPRLDVTPTGRRMGSDGTY LSPLRQLTTNILDTYRICNPQFRYESTHNPRRVLTKPSKPAHNDGYDNDDYDYILYVN DWLGSDDGHKYLILDILGQGTFGQVVKCQNMKTHEIVAVKVVKNKPAYFNQSMMELNK TCDPNDEHHILRLRDSFIHRSHLCLVFELLSSNLYELIKQNQFQGLSTQLVKVFMAQL LDAMTVLKDARLIHCDLKPENILLKSLQSPQIKVIDFGSACHERQTVYTYIQSRFYRS PEVLLAVELFLGLPLFPGTSEYNQLTRIIEMLGMPPLSMLNTGKQTTQFFDTFEVWNP VLNQNEKKYRLKSIEQYSREHNTNEQPGKQYFKATSLPEIINTAPMPNSKSSRQGHEL EKELNNRAAFIDFCQGLLNLNPVTRWTPQQARLHPFITGEKFSKPFVPDGLSVTAHTS ASTGSTDPKRPYGGLVPSQPKGTRAYTDAASYNQHLAQHQAYTAQAQSQAANTFRNPY ITSQNSQQQHASPSTYNPSSEPSYQPQQQQQQPPPQQPQQHTQYVPNPPPTGHRGLTH QNSTGQLATGGTSTQFASHGSGPNMGSAHLSTNIPANPYIPNSRARANTINQMDTVPP ALARLQHMNQDIIGGRNALTPVLNRDDAMREWERRQAGKAAAAQPYPQLELLQQQAEM AASSGLSNWSGGSGPQQRYPPPPSKLSHAYQPQTILVDDDNNTRREAVMSNVRTSGRS EGQNLAYGGSNVISSPPQAYTGNMSTTGNRYPAGFSQNQASSNFDSVDRRGNIADMYV PMQPEQYSSYSGAPPPASATRHAIPPAQTVPPSFYNSSVMQSGPMSATQTRNPFQLGD GSQATSNGSKDVRRGNGGIENWQR JR316_0002027 MASPKRQLVVFDFDWSMSDQDTDRWIFEVLAPDLRRKMKTLKDQ VQWTDLVGQSLREAFARGITKEQIIHTLQIMPFHPAMVRAVTELKNRGETTFLCLSNA NSVFIKTILESKGLSNLFHEIITNPAEWDPSGLLKVSRRVDPSGPQHSCKVGCSPNMC KGEELEAFLSRQGIEYDHIAYVGDGTNDFCPILRLRSQDTIFCRTGRGLQKRIEKEGE QEGLKCNIQYWGGAWEIEEKFSKL JR316_0002028 MPTTVCTAKASCKKLPGLLELTDTHLQWTQDGKKAPSIRVAYAE ASSLFCSKEGAAQVKLKLSLVGDDTGHNFTFTSPPAVAIAEREKFKQELTTIISRNRS ASDPFSRPVIPPINASIPLSTAQTPTTQTPRPLPTPSRQPPSRAVSVASDRRATPVIV GNDPASEFRLRKKVLLANPELGQLHRDLVVSGHITEAEFWDGREHLILAQAAAEGQKK GKSGQLVDPRPEAVEGGEIKIRITPQLVHDIFDEYPIVQKAYSENVTSKLTEEQFWKR YFQSKLFNAHRASIRSSAAQHVVKDDPIFDKYLERDDDELEPRRPREEHVEVFVDLAA TLEDHEETGNEKDVTMRAGRQKAALPLIRKFNEHSERLLNSALGEIPPAKRRRLDPGE DIYSQIDIDDLHDPEATNGIILEMQDRQRYFEARMSEANQDGSLQKLDPAFVLAKARA SVDQWDVKLTQLKIERKQGDAALLAMTQNVANRLDVRSKKNDIPDGLFRQMTSCQTAA NEFLRQFWLATYPPAGELQTVAVATPQQRAAKQAKMIGYISKTPERVNALVQVAKQYG IDANRVEIALKPVVQASEHALTFWRNRKPPKPQQ JR316_0002029 MASVETNFAAALTTWKDVNLTELQKTLDKQGVELVDNQKESIVG RKALAEKTKEFKKMPEEEKLNAFKGLLKAYQTEIDSLTKRSKASDNAFLHVYKVLAEA PDPYPLLEAAVDQAVKVAEAMEHESELQRLREENVELKKKVSDFASVENAKKKLEAKV EQLDQRMDALIQEKVSQKANELTATYDEKIMNYEDREQDLQRQLSLTKNQLRDLRVSN ENNQAKLFDHSQRQDQEVVSKLAEVDMIIADLDRANSRIVALEHRNEILRAEIETTRS GTETSERVQTLESQVADLEAETETLSQSIETQKLRALEIETAAQRKIEELSKEVQKKT TETEQLRSKLKMLGDYDEIKRELEIMKYVEFSGFDANDDDGTLTNGNDDDDVYLPSPG AQKTSSRVGKSLETLLATKNKRLQEELTKLRILHGELEDSLSTVKQELESATFDLEKQ RELNEKLENDLLSINKQGASTDIETDVLASLDLSKRTETPVRATPIPFTPSADTSILP IVTSQRDRFRQRNAELEEELRKQFQIISELRNEIKTLQSDNLKLYEKVRYMQSYREDS GARPVTQLDPLPAPSSARGDDMSKYQARYEEAMNPFEAFRGREATRAYQNLNPVERAV LVLTRSILGNRRARAFFVCYALALHALVMYSTYECTASPGAQLQSQPNPHRP JR316_0002030 MNFLKPRLLRQATRFTVAQSQPCHRLYATAAPRSEHSDGFSPQL ERTKPIPQSPSFYTTRAVYYDQIMFLEKAIGSTETFLRQNHLLPLPDFARASLPPVQP VWKDQEEMALELKTKMTTTRYRKVTKLLNQLIDFERIAATGGCAELSRQLREILELYE SSKKEAFLARGKRKVVKLDMYGRSYTVGKRKTSSARVWMIPVQLPATPTEQAEGEPTD AKAAETSAGSPKGSKPAKPVHVTTTTILVNNMPLNQYFAIPADRERITRPFKVAGVLG KYNVFAIVRGGGISGQAGALAHGIAKAIVAHEPDREVMFRRAKLTYRDPRMVERKKTG RRGARSAYTWVKR JR316_0002031 MESRKRPLVDEEDPIVTKKRILTGANGSPLVNGAPEQEENSFGE KLELYRKEAIYRRMKHYSKEHERSKVIIQQLERRKTTCEAGLAAISACWAQLVDTIRL VVKPNDLPEVNIQSDEIFNLTAHIQSEPSSQLVTALGETANATRALITKFVQIGEEKQ SQLLQNQSFVECQRAQNECAVLRSEINILKSKLEDSETQKEHYHNALVVAENRFERSQ SASVREMESRTPRNKGQESGPESKEEVQRPSPAPSPIAVGSPVHTNGIHDASEFDILR EQIKLRDAKIVELEKETAILRERKSMMEVEFKAPSLEFISESPYYKILLGHASQLEAT VNEKTEQINRLLEEVTQLQAIRTDMEEGLTNTSNQALSELKAMLAKRDSENVRLREQR EQQGAELNERRQKDSIRSSSLQEYKLLVESNSERINILQSELSRCKAQLAAHANSEEL MSFFLAGNIDQVRYFEAMREAKSQAEGRVAALEQTIEQYKIDQPDVVQHMKSEANALQ QLSKLKAELDKYQRTYGTLSTLPPDVSELAKELRAKETELEKLRLLETQRKESESSLF VELEKLSALWEALDRQLKSKVFDLSSMEERLSKSAIEKAKSDNKYFAAMRDKEAIENE RKNLVRTLERQGKAVDRLTDSEKHLRIQITVHDKEILALKKCCEALKDKLHRFEKENP ELHLLIEGHKKRIHEMNLQLGERESHRVALREQLRIQEDDFMRAKKDMEKQIAQLKRD VKPDLSNVKSDPETLELKRLRSLATCTTCKETYRSTIITKCMHTFCKGCVDARLSTRQ RKCPACSLPFGQSDVHTFFFQ JR316_0002032 MSAIEQINLNDFEANFSSSTVTELSSVALGGRIVAVSDEFFAEA FHLLLVEPAPSLKGQFGPNGALYSGWETRRHNPDHDWCIIQLGTTGSISGFDVDTSNF NGNEAPAVSVHALYDADLKDPTPDDPRWREILPRSNLGPNSRHLFKVTPTPCYNYVIL RMYPDGGIARFRVYGKVLPVHPPPDHLFDLAHVFAGGRVLRVSDQHFGVGANLILPGR GVNMGDGWETKRSRTKGHNDWVVIQLGVPGELTEVELDTHHFLGNFPESCEIYALSTP DGDNWSDSLADHSKWTMILPRTKLGPHRQHFFELENVVNKTYTHVKVIIFPDGGLKRI RIMGRRVDQPIASTSQITPSPAIPTPSLTPMSDPQSQIFVIPVVPLTAEEFAPFGQVI QAYRRNHPTRAAIKVTPANGGTAEKFHKQSLLVSTYPPEAGASTGISVYRCEPLTDIS DGITVLRTLERHPYTNQAFIPMGAGSSGGLEDPANAYLVVVAHNGSNDKPDMKTLRAF VATTSQGISYNAGIWHQPMTVLGKPLDLACIESQIGDGSQMDCEILDLDLTTTYILKF SQ JR316_0002033 MHARSAPRFDNLNTMSLPTSAGSHEPIKPNHTLLPTTNSMDRRS QADGRSISLSSLPMELLQEIFLWCVPPRPSQSEFLYQSIYMVGFASQVAPLLLCQVCN LWRHISLTLPRLWTSLDVFVSMGKSRPCLPLANIWLARSGELPLSLALYQQNESNDNR IAAGEILDLYRLYIHRWSNIHFDLTGPRYCRLLTSQQRSAPMLKQFRMQTCYRIYEAE DKDLFGIFDFVPHLSHLQVSRIPDLDIFGETSVRIPWSQLVTLSLDYLPSVGTSLRIL ENCPKLIDCSFKIDALSGPLPETPINRELHSLEINIGHEQVATFLEKVTLPALKQMTI HVRGPLDQYGWPQSKFGAFLKRSRCRPSHFEIHDTGMRFDEFADCLCNPYLQSLESIT VQDRRDWTWDPFVTDLAVNLLTCSPFIHESTSVMPTMSEALQNQVSAQACRLPNLESL TFRGSCLWTADGMVADMVESRWRYHCRQVRRLKRVELELLSSHVEDFRRLKEFCVEGL ELDVMLR JR316_0002034 MFSRLLATLSSALGTPQALRELRWMQAAIDSRASQLSLADMVAR RLQHEPLQYILGTQPFGPLNLLVRPPVLIPRPETEHWATVLAESLSPTAQKPISLLDL GTGSGCIPLLLCHLWPPGSVKAHAVDISPHALTLAQDNAALCGIPSQLDGSKKPQNTF TVFNSDFLAEDFPSPALRANSPFDVITSNPPYIPWKEYIELPPSVLDYEDRRALLAGS SGLDFYHAIARLVSHKNLLAPSAIVALEVGHNQACAVETIMRDTGCFRCTEIWTDPWG KQRTIIARK JR316_0002035 MAAVYAVAPRPAPSALSAAGPSNPLKKNTSLSTVSSATAAASKR KRNESPEDVSGDSAASQPQRKPREGPKKKKANRACYHCQKAHLTCDDSRPCQRCVKRG FANNCTEGHRKKAKYLLDEEELEQLKQGKSAPENLTSTSTPVDPPPIPIAEPFPQNDT MLASSFDPNFSFGSEAANLEYSILSAILGNPSPPETSTTPPPAPPPPQYSSWPSDPID FAASPRLASTSANSFSTPYGENQMPPQQSESNLSTSPANTAHYLTYPYPHAQRSEELT ELSYPTTSYSTSQPSTALHPLQPRYPLDTRPRSPPTVFLHNPSSKDTASRGLLSPPPS TSSPSSTSSVPTGVADIVRPPSCGSKLQTITDRVTAPYDYTEGYHFLMKHLPTRFEKN DILRIVRALAIFRPSLIALQMPLSLDDEIFVERCFQRTLLELDKLMSYSGTPTVVWRR TGEICLVAPEFCMLTEWPLEDLVGNGRKKYIYELFENQSVVEYWENFASHAFENTTQS VYSHCVLLKPSGAPVPSTFCFSIRRDLFDLPSIVIGQWLPLL JR316_0002036 MEAILKVNDALKSLRETKLSGLRPVSEFFDVQRISKPADLNQAT SRISYNTRYFSGNYLLIVALLAVYSVITNYELLLSLIFLVGGFALINKFAPEATQVGE HTITQKHLYTILFVIGIPLFWWAGPFATVFSILIGSAILILGHAALLEPGVESDYAAI QDTV JR316_0002037 MALPMLVGGGAECGPSNPLQNLSKRFEQDRGIQQDHFGAGRAGS SREVFRSQPGNTSAHDQDAARFFAANPSHAPQLVADAGFDLSSMRAALPMHPVPQMQM QAPQNAATASWASDFMAQSTTPIAMQSLNLGQPMQTAAKGMNMDVQVDHQLHPMHAAS STVLPPQAGGMQWNPTLSNFRMSNSMPAFMPQMPMQHLAHQPQPAVTNKRISWDREFS AQELQHTVSTPVVTQIDEPVQEQIQRPGGEADELARTAGMLLENVRHEQNPKFQKSQF MGLMKQLRDGEVIVEGNQMVESEGRTSSQANIDLKGKGRAVPIVARSMNPSANETVFQ SSLNQASSNQEQQQVRDQAQEDANDAYFRQENAEFARYWSDTQVKQQPAATAETQAWG KLQADWDQFEATTSGIKVITNYRFQENNPYLLGDSSRTRAHLLHTQGRQSVLESVLEL EACVLRNMQDASAWYELGVKQQENEREHKALQALRRAVELDPTHLPAWLALGISSTND NDRQGTYDAINEWVSRNEQYQDAVAQFRAQASNPQDLTLAEKYSQLIQCLITMARSNT SGEIDADIQIALAVLLNTNEEYGKAQDCFRTALAVRPDDWLLYNRVGATMANSGRAEE ALDYYYRALELNPGYIRARFNLGISCINLRRYEEAAQHILDALALQDSDGVRDDSESH ENRGVVSSALWDSLKTTCLHMQRADLATLCDLKDLEGFRNRFHHT JR316_0002038 MASDFPQQLPLQSIPTEGPASHPDGPPTRDYSYEQPQPLFDHHN HSSSPSPMSSELPFNQVAASASAPVPPPAPPQLFPQQTTTTTTTTPIPDHQQQPPPPP PVASGPGANHYPSSSGVPRLPPILQVEKQQVTTSATQLASASRRRNEAHFVCPVPGCG STFTRRFNLRGHLRSHTEERPYVCDWPGCKKGFARQHDCKRHQALHAAKSQSNICQGC KKTFSRLDALNRHLRSDGGADCRASNPKFAPAPEENHSNPQGVSRDHALQ JR316_0002039 MYSIRNLDKLLGLNAPEPLARLYSPSYYRATWIVTGLDAGFATA MSIRPKWLRDIASIVFSFYYIIYAQEADEKLRRFRAVPTIEMLRTTWEKTTNPYIRLV TRLPRVGVRRKILLPRPKSSSYDRPITAYLYFGPAESELCKATELILDFPGGGFVAMS PEHHEERLRMWAVSTGRPILAIDYGKAPEYPYPFARDEAFDVYRLMVESYGKLIGMSG KKLSIIISGDSAGGTLALNVVIRTLELRQLASSSHQPIHLPLPTAVVLNYAALDFNFT SWMSADNLRVLRSEQSSGNIPGLKEVAEQKDHLKHVSPLSMVRDKKPTRKRIRRRSSW KDALRNYVSGGEEETSSTLKVPSKSAPGRSTSHQGFGDEGSLADGESEEEEDFDKIKE EDRPISARIVYKYWKGGGDVIRSGSDMERQQHELSIAVAEADTKAIRAVSGKSPEPGS SGSGKEPVGTRLTMTSRTGYFQDRIISPSMMRAMAILYIGPHRNPDFATDYHISPILV PNELLAQFPPLLMQCGEKDPFVDDTVIFAGRVREAKRARKVELDLLLSGKSARFGETL RMSAVDGHGDSKISAELKKERDKLARQAESDWVQMVLFSDWSHGYLQMPTLMSEAKAV IEELAEWIDHAFERYPATVTESRGGLLAPKAQGGPSPLTSETETEDTGITFVTRRHSE SPRRAATPPESVGEGKRSTGQTISEAELMRRRRLLDSHIFE JR316_0002040 MAPLISIKSSDEKLEIVNQLLLPHKTEFIEINSVEDAHDAIKTM KLTSPKKIRGAPAIASLAALSLSQHVRRSLAASPPPAFLESRDALKTHIDGILAFLFT ARPTAVNLGAATKRLGKTLDAGIAAGKDPRTIAQELIAEGNAVADEDVGRNKEMAKWA GEWLLQRVREQAPGTPAGDLNVLTVCNTGSLATSGYGTALGMITYLHETGKLQKAYYT QTTPYHQGSRLTALELQTLKIPSVMICDTMVGSLFQHHNIHAVVVGADRIARNGDTAN KIGTYNAAVLAARHKIPFIVVAPISTVDLDVADGSQIPIEQRPALEACLVRGILYPNE GKSEQVQVMITPSGLEGVYNPSFDVTPAELITAIVTEKGVAVKAEGATTFDLTPIV JR316_0002041 MRPGSIAMSGGVPVTEDISAGVAHQNQQPHTRPPVRGASSLDMV ERAIAVLEDDEHLNAGYGSNLTLDGTVECDAAIMGARDASFGSVGAVSGVRNPIRLAR SILEHARVPDKLGRVPPLTLTSSGAYKFAASRGIDVVPPETLKTAGAEAQWGKWKARL AEQEAGVGLGDIQDTVGAVAYNSEEGMAAGVSSGGLLLKWPGRVGEAAIFGAGCWANR IMACSVSGTGEDIIREHIARKISEMYNEEADPHKVLDTVLRDFWGTGV JR316_0002042 MDYSHLAVVLDLSPGQWEGCSEELGLPSFLSQLLAFLNAHIALR DENTLAVFAALPGKSTMLYSSIDPPEQPSDMDPNAYPPFRQLDRAVVASIAGELDAPS EEPVALVGAMSKALCYMNRLALGQTALAEPRMLVLSVSPDQPADYIPIMNSIFSAQKL KATIDACQIYGPHTVFLQQAAHLTGGSYLHLDRRDALLQYLIMAFLPPPSIRRILAVP TQDKIDFRAACFCHKNIIDVGFVCSVCLSIFCQPVPVCSTCRTKFPIKTLKRLNAARP LPPASSPGPAPLSRPPGSANGLKH JR316_0002043 MSRSPPDQPLPHLGISYDSDDDMHVDDDNDNDGSARAHDIDADG ESVDDDSQPDYHPAAYPSVPQQHVSHRRSHDSGYEDRDDDDDDDHDDDHDDDDGAYAD EEYVSKKKPSAKKKRARTSSAGPVRAKAPVRQPSSSDSDSDYGSRTHKKKKKLRTSAE EVRVSSRGTKVPNYLDDVQDFEKFEEQDDDSQGYYVDPNIQYQEEDEIEAVLGHSREE GREDDPEDIWFENVRFHIKWKNFSHLHNTDETYEFLKRFRGLKRVDNYIKSYKLWKAR LESPGLSREDIEALHLDKEREKEELETFRNVERIVSHRESANGEMEYFCKWQGLNYDH CTWELQTDVNPIAKEQIAAYRRREAEAKFPYKSVSYAKTSRPAFQRMLKDPDYISATG GQLKDFQLTGLNWLAYLWSQGQNGILADEMGLGKTVQTVSFLSYLFHELQQYGPFLVI VPLSTITAWQTQFAHWAPDLNVITYIGNAPAREVIRNYEFGPSNKKIKMNVLLTTYEL TLRDCKELGDIKWQALAVDEAHRLKNSESQLYEALRAFHAASKLLITGTPLQNNVKEL LSLMHFLMPEKFALTNEFDLSDADHETKIKQLHAQLESLMLRRLKKDVLTSLPTKSER ILRVEMSAMQTHFYKNILTKNFAALVKSANGNSNISLLNIAMELKKAANHPYLFDGAE THADSKDETLKGIVMNSGKLVLLDKLLVRLKHDGHRVLIFSQMVRMLDILSDYMHLRG YAHQRLDGMVASEARKKSIAHFNAPGSPDFAFLLSTRAGGLGINLETADTVIIFDSDW NPQNDLQAMARAHRIGQKSHVNVYRFVSKDTMEEDVLERAKKKMVLEYAIINQMDTSQ AHLSSKATTKDPHKPDNLSKDELTAVLKFDKDDTQQSKKLDEMDLDDILNRAEDHETT QDGEGVGASLGGEHFLAQFAAVSDVKNDMSWEDIIPLEERQRFEADEEERRAAEESVS NESRKRTHAQVSYEGMDVDQPTSTSAPKKPKAPGPQRKTASQKAMELKERDVRVLIRS LQRWGDIRQRYDVIVAEAKLQDKNKGMMFDVAEEIIDICEQAVKDSEEQKRLRIASGE TLTNAQKSKAVLVTCRGVGNINAETVLSRHRDLSILYNILSELDDPYKWSIPIDNIRP TLNWSGRWGPQDDSMLLVGAYLYGFGNWEAMAKDPKLGLEGKFFLEEGKKGEDAASRP IPNAIHLVRRGDFLLSILREHDEKLRSYESSLRHKGQLKVSASPPPTAMASTSSHSSS LKRRAESEAMASIDDSSSKKRKRRPTPTFTDSESSDECPSMDEGATKEELRPVKKQLK QLKLSGEDMPRDDKVAILKDSLAAIGRRIENVLNTKEAAGEDRDRWRRHLWTFVTLFW PKKVKASKLEEIHAKMVMKEAAPRQQADNNALKKPRVND JR316_0002044 MPIATAPALLIGLGARILLDYFSRSDGPFVKDFILIGTWQGVAL HYAAKTSKTSGFGIIVAFGIAAKLFIEFNFASDVTRCITTILGVALGVLFTDFLSQYF DKPPQGSDRRKKKVPASKSSKRHLEKVAPTPSSVLGGSVETLADITDGGTLATSSHLF SDITSVESHSDRNGPTSFASALEREAHVLRTRASLADSERRRFKEERKWAISQGNLAR ASQMKWEVKRYTALMQTFIREAEMKEMEAAASSSNGNGYKPTDHDPPRPIASSSKEKT TRSSRDSPSSSRGNGNPTSARKSTQLRRPTPSKTPAARDANG JR316_0002045 MSQPSISIAPEPFRIDITQEKLDWIVQRVQNARIVPDVEHPEGK EWADGVPNSVMTDLVEYWRTTYDWRKVEAKLNSKYNMYTLDIPEGDEVIKLHFVHHRS ERTDAIPLLFAHGWPGNFTEVESLLSLTSPTDPTQQAFHIIAPTIPGFVFSSPPKASG FSVPRIGSVYHQLMLALGYPKYIAQGGDWGSLIIRSMAQTYPESCLGILLNFIISLPP SPLKNPLTLLWLVTRWFTPDQKKRLARMQWWMKEESGYSRIQGTKPQTLSYGLTDSPI GMLAWIREKLDSLVEPGYEWDKETIITWTMFYLFSESSWHARIYKEAIPALRNQVLDK RVPAKVAFGATCFPYDVGYIPIWWAKATLAENIVFWKEHAKGGHFPSVECGDVLKEDI FEFVGNLSKETREALCSKL JR316_0002046 MDIEQKAGYQAIDRICDYYYSLQNSTVMSKVEPGYLRQHIPLEA PEEGEDFQIIADDYQKFIVPGLTHWQHPSFFAYFPTACTFEGILGDLYASSTCNPGFN WLASPACTELEAIVMDWAANLLGLSSAFKNSSGIGGGAIQTTASDSVLITVVAARSMY QRNHPDVKMEDLVIYTTTQTHSLGAKAGIVLGLQVRSIEVLAEEKYALRGQALRDALE EDRKLGRKPFILIATVGSTSSGAVDNLMEIHQISKEQPDLWVHVDAAWAGVALSCPET RKNLYLEDINAFVHSFCTNFHKWGLVNFDCSALWVRDRKYLTDALDITPAFLRTKQGD AGTVIDYRNWHLGLGRRFRSLKMWFVLRGFGAEGFRMYIRRCIDLNQKFAQLVRDSEE LSLVTDPSLALTVFRVVPKLQSEDQPPLSTETLNEINSIFYGRVSSRSDIMLTQTNLN GIFCIRLAVGAARTTEQHIQDAFTIIEKEAKAAIEAWKTINGTITE JR316_0002047 MDAHNVKAAVTALIHDQPYASAVLLALGSACVLRVVYQTLSVLL QTFILPGASLKRYGAKKGAWAVVTGATDGIGKEFALQLGKAGFNVLLVARNKELLSNT AAEIESKYKVAAATYSIDFSKNDEVAFNGFASACEGRDIGVLVNNVGKSHAMPAYYVD TPKDEIEDIVSINITATLRVTYAVLPGMVQRKRGLILNIGSFAGFVPSPMLATYSGSK AFLATFTSALAEEVKKDNITVEHVNTYFVVSKLSKIRHSSMLIPKPGPYVRSVLSKIG LACGADYSGRPNTSTPFWSHSLLEYLISFIGIPSLFISYTHGLHKSIRKRALKKAERE AKVQ JR316_0002048 MKRQPSRAPSPTPTAFSGISNYRTDSYRPVRDAKGAPAVPTIDY RLVSKTHYGELGRYLANYLASAAPNSRSNARSKLTRLTIQQFHELSTDVYDELVRRKN EQEVPFLPVREEFHPKRNQARQKLATLPTTRFEDLSSDVYFELARRYPEFKEDPSGRG SNASNYDDYPAPDFPSNSAPRNAGGSRTSGRTSTDRDRPSDSGYGGSVSSRRPSQDRR RPSETDFNVGRRSEDAYRRPDDAYAARPPDDGLSAALASRRKPSQDITRRSEDRGRDF GRRPSQATSMTSDSTAMGSTAPSQSTTATSAVIIPNKSTMEEEYIDIPYGREGRESGV TTIDDRERAGDIGRLGNGADTEPDSASDYPSPMSAVTPPAGLGGLSARLQGVEDEDDI GPGNRSGDELYDKYGRSSVDSSRSAGQNVIGSRMMTRMSTSEDTEKMRREYEYKIATM QNQITTLQRDLGDSNEAERKRKESEARVSQLEEELVGFRQRAEEQSTAMRLMQKELEE LKEIRQREARQAQEDREELVIFRDRCNKLEEEREHRHGSVDSEGVEQLRSDMEGLLEE INELARRNDELMTAKESDNVLIRDLDNQLKEYKRKYEQAKTELRGIKATSQLFLQAPR FDKGEDQLPMASDGGVQDIHVTAFLSAIDSLLTAGRSNAPTRVLTPMKAVVNAVTNII EDVKTFERRSAKDRGDVELDTLQSLRDRAEATLSNLVAATKTHASSSGMSPVSLLDAA ASHVSVTITEIGRTISIRKATKAEQEQASYNSYAGSSSGGFSPSLRTVDETRSSHQRK ASQASSSGRGGRFSESGSPPSQRYMGRRPPSENSSSEQTNSPPPIFDTHSHSGGVVSD DSAQADGSEDAWAELKPYLEAQTESIVYAIQSVLSGVRSPTPSPALNENLTQIITIVS SIVAVCNDNLPPASAQQGKEILKELAEQANTLSEMQALPEVTKESRQIMAKSSFAIAN AMKGLMKL JR316_0002049 MSIYLIPPEILSDIFRRTLSSDHDDNPISSNTGPMLLIQVCRTW RHVAVSDSSLWSSLRINVSHATGMNHPCIVELWLKRSAFQPLTITVWIDPFSHLNREA REIVQTILHMLSNVSERWVRLSMTLPASNRLFAEFCSSQAPNLELLSFKIGNWSMEEA QSINTLLRHAPALRTLQWSNRCSWGSWDAPFDSGMQHLRVSWANLTDILLDTWITLKT ALEILQRCDNLVNLDLRHFSYNPELLFEPNNSISSQQGPALHDRLPYTHLPYLESLTI YQLKLDEGLSLLMERIFVPKLIHFNFTCGFIDHVKWPQASFHNLITRSASHLQSLMLE YTGISQDQLIQCLQDCSTSLRRLEVYDARGDICVGDTLLEMLRAQTLPDPFTYHATLC NNLTTLILHRVVECTDGALAQTLESRTSISLSDQKQQRCAPLRHADIIFSKCFFKTNQ LDLHYLESSFPKSAE JR316_0002050 MVSSQSSSASSRSGSPDTRGTTPSTSSESLHLRETYAAISISQC TPGIVQLVFDKHASCNRLGLLVGENPFSREESSSVHHLPDGSTYAISCTYQRFTCPKP VVSTDPVEAFRHTLFSSISLRSIFSTHVSDEITLYILERPPFVYPPLRHTIASSLAVS ADGTSGNIPTLEDWKTLWANWDLITLEMIPPSMLHQKPIDLRHKCLFYIGHIPTFLDM LISKSIGGQPTEPKYFWNIFERGIDPHVDDPDHCHNHSEVPEKDEDWPTLEAIIGFRN GVRARLECLYDDLATGKRTLTRNIARTLVMTYEHEGFHVETLLYMLIQRACSGTLPPP GFTVPLWEELAEQWASLPTPSTSTVVVEPANLILGHNDSEGDDKLPHLSESTVQGHTF GWDNESPARQVHVEAFKAEWRPVTNGEFERFWRGPGKNLVQVPKSWVVDEQGAVQVLT MYGLVTMQVAEHWPVLTSYDDLEAYAKFKGGRLPTEPELRLFLDLYDVGHEGGANVGF RNWHPVPATTGLAEYAGKGSNGGIWEWTSTAFDTHDGLVPTQLFTGYSTDFFDGKHQV ARNAKAID JR316_0002051 MLSDRAKGKQRAVDPLPHVTDDAECQDSSNGFPDAESRNLVIRF TEGTPDLTVRIDKLDSVLDVKQRIRQMRPDLQKNRLRLIHSGRLLPDSTFVYAWLASL DERQQRTNKDDQEPIAGGDTDTMRGDASTAWIHCSVGPVIERGVEEDVQTSQIQPVRG FDRLASVGFSAAEIATIREQFHNQSISNYLDTDFATQEEYDEHARILEEQWMENSTPS GPLGLGDFSLLQGVLTGFFFPFLPFFFWGTEKPAAFWEDGTEQEPTSNTIISTQMSMA IVIGFCANILFGIWFYLLES JR316_0002052 MGTRKVFSVQLGLQLSIVKYKALVRRLARIGLVLSNLTTPLKSA IRLEIPVMLTLHQFTLFMSSVFLLPLLVSSHPVLVNYQSSTPSHETVPKQVANAQITL GVFSNSTNPDLHTLQALYDGNQKFRESADFQADEAEDESPSFMFLSCSDNRWSPNSIF STPAGSIITHTNIANQYSHRDASVNSAIAYAVESVHVQHIIVLGHYGCKGVETAITES SKISRLVRKWVRPISAMYAISRRQEIVILRDSRKPRRGQDDGIKTAPPASDPGFRALV EENVKRGVKELRTNTAITQAHARHIKTAKNEDIDVFVHGFVYDESTGEVHNLNISFGP PGKTIPSVPFKALATARNFHRDHDRPGISKGKTWDFGAH JR316_0002053 MKATQTTVLGKRKATTNDENFVLLLSPSPSTSALPSTSTLPFPE RLDSDSSSKQASDTISDFIIINGKLVPHTKKCYRCTHLGCDKAYTKPSRLEEHERTHT GQTPHALWHARGPAARKDSGRLNIYKFIILGMMAPNRTRWETCLELVVRYVVEAFKPV YRGELL JR316_0002054 MVDKKVSLRVLYTINSSPQYILARSHARVPVDFIPCLEENDDST CPDASKCTPLYASVSLKMCLDTICRSSPELTQDSNRDFSLYVLDPLESNSAPAPVNIS NSSSDSSYSVNNNSSNAEQPRGVAVGLGFMSWALTADDADAATVVGTLVKQANGQQAL EVIFALRETMAMKRPVWTMQPQPSSSSTQENAASVNECRRDVKPSVYQASIFFTHAGN QMQTRSSAIDTTRETLASIQMRTKAKIKPPKPIRQSTIPITESDKFLNAGTYIGPLKK KGRPKTIGSDTKLNSLQNSAVASSSGSGASSHPNDVIVIDGSDSEGTPPTPTQTAVDF FQSSKGKGSAVDRASLPIYTTKPLVANTLGASEIKQSDVQVKQEPQEIPNILDVLAYL TATSSESTAQNAAILAALNTIDSSNGQGKQPEGNRPNPQLISALKQLFSIYASSATQS PNSEHSNAQMTKPHRPSGSHLQEDTVVVRDKENVNPVAHQKRSEDGKAKHSDISLGSS SSPGPSRMTIHNTHPERHPHSLGRSSRSNENMSQRMPVEKIGRKRTLSDFMDEKEKGK NKGKERERVEKRDGRRHSGSQHNTKVAPVVDSLRHYPRVLASNQPRAEQPANYYRVPL ESMTSPPRGRPELDLTKYDANRTGEESSKVAKSRTPSPRPPRVSASSPVRGIQNENRR KYVVPEWARTSTSTQPRLSEDAQRALQEAEEKKKLERAAARKRLPSVQAKLKAKNFSG KGQVKDSETKPFAPPPVPVAPKIDLSRGPIIASSDRPVFPTASVQFPFVSSTRSSSPP PQSNFAPKTPKTPIRERRNITTTPAREDESLFTPMGSGSLFGSARSLRTPLAPSILTS PLGNRKKAKISPMRSTLTGKGFKPISLTIANSSSDLKDTEIDKSSKKMNRELEDALDD LECPPSSLPIASSDPDVDSSYQSVPVENLDLEVPDGEVHVPVKQHWAGLPPSSPPAPS SPMLLTEEDGQTDDEMDDLPIATSDSELDTDMNDCDTDTPSPAVASPYDDNTPADSNN DMSFFPLPDETSAESAHISSSDLFEQFTNLNDHSDTLHGMGNIHLDPEMEALFQNGLE NIDFSEFWATFTPLIAENTQSTQDSHVDAFIGQTESSTSFDEVNHAKLADEMQTLLSG CLM JR316_0002055 MRLIIREDAPAVGDYIANYICKRINDFAPTATRPFVLGLPTGSS PIPTYKALITMVKEKRLSFKHVVTFNMDEYVALPRDHPESYHTFMFREFFSHIDIPPS QVNILDGNAVDLIAECKAYEQRIKQFGGIELFLGGIGEDGHIAFNEPGSSLASRTRIK TLAYDTILANARFFNNDISAVPRMALTVGVATVLDAREVVVVVTGQRKALALSKAIEE GVNHLFTVTCLKWTLSALQLHPWALIVTDEDATAELHVKTVKYFKSIERVQDEVERTQ SELKAKGKVTGEQVGSLE JR316_0002056 MTSTATKWISLLVLLLLIVLLLHRPPADPTSALDYILDELDLSA FTGVANTFNASPTCTREWTLPPSQDWPVLRSKDGCGELYGETPSLEVCRFIAGKRLLF VGPDTTYHLHSVWLQRLESHENRSHHCLGRDYCTFHHICRPSASAVDDLEVFIGRKKK FPSRNTLREQRSSVLQYALSTTLYASYNEEDDAYMVPTVDVETGIRIENSFWLRQARK ADIIVLNRGPLPAPASTYLAAGWAFALELCASVNYLHPTPCNVNLEHTLVNAALHATI NSFFPALLRTLRVISNDLEISKSLILWHGTWFIQPLCAVLGLPKRFPLVRNLYSNSKP PNSIDAWSLYYNSQGMGQTSVAK JR316_0002057 MVPLLGRLSFRDYGALIFGFLFLALESLLHIIIVCLPKPVINWF YRRSRALFNRLSERRKHRSEEKKAGDRILNARDFGELCSIYGYIHEEHVVLTKDGYLL GLHRLPSKMGQKKTNPGSSTGKPVVYLHHGLLMNSEVWVCLTDAERALPFVLAELGYD VWLGNNRGNKYSKKSLHHGPNTAKFWDFSIDDFAWHDIPDSINHILEVTKAEKLSYIG FSQGTAQAFAALSIHPGLNEKVNVFIALAPAMSPPGLSAPIVDGLMKASPTLLFLFFG RKSILSSATMWQSILYPPIFASMIDRSLIWLFNWYSHNISTEQKIAAYAHLYSFASVK SVVHWFQIMRNGCFQMYDDDVLSPVVRTSVSSYRPARFPTRNIVTPIVLLYGDCDSLV HIETMLAQLPDHTVAKALHTYEHLDVLWGKNVHKDVIPEVIEALKYYQCNKGRDDAVV DSKPPWTEIVSDMD JR316_0002058 MSLKAELETWAAALKAYDEEDFEKSLELFMQIADSSKILTNMGL IYATLGEHEAAVERFIEATNLDQYLAVAYFQCGVSNFLLGRYELATKDFEEALLYLRG NQAINYEQLGLKFRLFSAEVLFNKGLSQIYMGRTQDGLADMEEARKDKATEEHNVIDD AIQDRGEGYTVFSIPVGVLYRPSEKKLKNSVTRDYMGKAKLVASSDASDIVTEFSGSA RLKAGISPAGLYLDRPDLLNTPSASSNLLRSATVPPVMPAMKAAEGPRSAGVERSKTT PGPQLGRETSLRQQSPREAPSPAAGVARSNTQITPARPSPNAAIGGPVRGLSVRRGGA SPANPNGNSPAIPAKDGNPRMTEFYDEYIDAYGGDDNAPPVPNQAGGADRVGAWARSN ANPNYIPNSQSLNAPLGRTGSRSAPGSQYAPSSYGGTGSIRRKNTRRNPRAPSRIQST YEEEEEGYVSGEYDDGPFELILIRVKLHYQDDIRGMTLSPELSFSEFMDKVTGKFGKS PNSLGLKFKDEDGGKVTLRDESDYELAIETARESSKGKSEGKLEIWCTDVYCFARHRS LLFDEFSTVLFDNVRRLIGVLTLQPLPSLPAAMGLSGRKVKQRIPNDPRNLTWADDAA RFGSNYLSKFGWDSSKGLGAGGDGMKSHIKVSHKLDMLGIGANHAKDPNGIAWKQNKD FENLLRRLNENLDVEQAGVREERVDVKSDKIDEDAPMEGEKKKKRKHKRGEDEEDYQR IKKKKSQETNDEESSQPVDSMVANSVEVVEEVIVEVQKKPIVPRHRAHRARAIAAKNI SSKSAAHISEILGVAPNPTTTIEGNTQGKLTSVEETDALGVDKITTSTKSVADYFKDK LLARSSQSTSATPPLSNGIKDESDYFGTPRMGLGASRFQMEVHSEVKVEEATQRMGLS KFSSLMSSSFLASASSFSSFVPPKIKEDEGTGHISEVESDSEPSNQKGIEVKKSKKRS KGVSEEQQEKKKEKKKKKKDAKGKNKEVLTDETAIAGEDQDDGELERKKSRKPASFEE VSERHKLEGRNESSFPTKEKRKSKKDKVDEDGKKSRKDKKNHKYSIEES JR316_0002059 MGDDFLRLVSQANPAARQYQPANGYPPGTAGPYSDRSPQLLDPF FDDEDDNIPDSAFGRPAPMQSQESGLPLARSAAPPAGVNPSGSSSGLPQGWNFDDDDF QPGNNTSFPGPPNTTPAKAPSKSKFRSRKWKWPWEKEKVLTGERVVALNNSVANAEFG SNFVSTSKYNVATFIPKFLFEQFSKYANLFFLFTAFIQQIPGVSPTQPYTTIVPLAVV LIASAFKELQEDLKRHQSDNDLNSRKAKVLTPQSTFIEKKWKDIQVGDIIRVENNDFI PADLLLMTSSEPEGLCYIETSNLDGETNLKIKQASPQTAPLTNPKLINSLHGSLRSEQ PNNSLYTYEGTLDLVSDGGVPKQVPLGPDQVLLRGAQLRNTPWVYGLTVFTGHETKLM RNATAAPVKRTAVERQVNAQIVFLFILLLALSLGSTVGSSIRTWFFSSSQWYLFETSS LSGRDILTFIILYNNLIPISLIVTMEVVKYQQAQLINSDLDMYYSRTDTPALCRTSSL VEELGQIEYVFSDKTGTLTCNEMEFRCCSIAGNAYADVVDETKREAEDGRGGWRTFAE MRESLNDVDNPFVDQPLSPSNPQQQEQQIIREFLTLLAVCHTVIPEVVDGKMVYQASS PDEAALVAGAEQLGYQFHTRKPKSVFVNIQGQSQEFEVLKVCEFNSTRKRMSTVVRCP NGKIKLYTKGADTVILERLSRNQPYTEATLSHLEDYATEGLRTLCIAYRDISEAEYHQ WESIYNQAAATINGRGDALDEAAELIEKDLFLLGATAIEDKLQDGVPDTIHTLQMAGI KVWVLTGDRQETAINIGMSCRLISESMNLVIINEENAHDTEDFITKRLSAIKNQRSSG ELEDLALIIDGKSLGYALEKNLSKTFLELAIMCKAVICCRVSPLQKALVVKLVKKNQK AILLAIGDGANDVSMIQAAHVGVGISGVEGLQAARSADIAISQFRYLKKLLLYSFFNN FSGQIAYESWTLTFYNVVFTVLPPLVIGIFDQFVSARFLDRYPQLYTLGQKNEFFTKT AFWLWVGNALYHSLILFGFSVILFWGDLKLVNGLDTGHWFWGTTLYLAVLLTVLGKAA LISDIWTKYTVAAIPGSFVVTMLFLPLYAVVAPAIGFSTEYQGIVPRLWGNIEDGVDF LASYRRTYMPSSYHIVQELQKYNIPDYRPRQEQSAQNAMSRFQKAIKKVRATQRMRRN RGFAFSQTENSGKQDQAKLIRAYDTSKTSARPSGY JR316_0002060 MLQQYRPTQLLSRNPVMLSIKGCHTTVQNNLNSFSGHTFGITSP IPKPRHLTTRTRTMRLRKRAKSSYNDPSHNSESSSTQTDSQSTLGRIDSKERLKTEVE VLKKRSHRPFFATGRFLFPLGIVLGTLLGFAFVEPQDLQDLQAQVVTLVNQYDINLPE FPGFDMSRVEAEWTRLRTSIPEVWKFNNDGREFQVGEAMKARGLSAEYPLVLIPGIVS TGLESWSTAPAYRPFFREKLWGGFNMLSQVTFNKEKWIAAMMLDPVTGLDPPDAKIRA AEGIDAASSLIQGYWIWSKIVENLAVINYDTNNLFLAPYDWRLSYYNLEERDGYFSKL KTTIESLRQRQRKKVVVAAHSMGCSVFLVWTLMLILSKWVESPLHGNGGSDWVEKHIE SHIAIAPTHLGVAKAMAAFLSGEMKDTVQMHPAGAYVLERFFSRKERQALFRSWAGSA SMWMKGGNDVWGNDTHAPDDECDATHSHGQLIAFRANAVESNDKSINMTAVDASTWIL EHTPATFQKMLESNYSYGIEKDEAQLARNNLDHTKWSNPLEISLPYAPSMKIYCVYGH GKDTERSYWYARGQYEQDEAFPDAANAQCEEANINECEHNHPPLDMPLSRTSWIDAAY TNETAFPKVRNGVKMGEGDGTVSLISLGAMCVEGWKRKRWNPAGIKITTVELPHRPVP TIPRGGANTSDHVDVLGSTGLNEIILKVAAGVGAEIQDTFVSNIREYSQKIQWD JR316_0002061 MDLIHRLALRAQESTPVTPSQDTQESVIKILDSVLATEEYPSDH APPLDIIVYALNNILRPAFLPELMSDFLHLFTMVEFYRRRVVEKASLAIEMNTFYSDN SQANVVLLDDEDKRILKSFVDEQKHGRRIFLKVIKECCIQDLERLWLMDSNVDYWVRC HEYLSIRGSPVTFRFGLTDKESEDFERGVILKLKDFMYSTMQTGEQLPRKGWVARFGS LRVF JR316_0002062 MSSAPPSSLPPQVLIVGGGPAGSYAAAALAREGIQPGSAIKFNQ FKREGYTDFVALGHNNNAWNVVRSEFDQMLLNHARSTGASVYEQTKVESISFSSTDPS RPVSVSWTHTPPPAPPSPPASPTSSTFSGFFPSDFSVKNFTASGPSHGKTSFTYLIDA TGRAGILSTRYFKNRHFNVSLKNIAVWGYWQNTGHYGVGSRRQGAPWFEALTDESGWA WFIPLHNGTTSVGVVMNEKMYKAKLQEPLPPSPFAATATVNPSSESALVMRYLSNLSL TPGVVNLITTSGKLINGSVKSASDFSYSAPSYAGPGYRIVGDAGAFIDPFFSSGVHLA MTSALSAAATICASIRGHCLETTAAEWHTRRVSTSYTRFQVVVLSAYKQIRSQSDDIL SDIDEDNYDRAFSFLRPVIQGASDMGARLSETELQRSLDFCVNLFNPTTPEQHERLAH CGDIGKELLDVASPVVDPSVFEDTLCVGRALDNSLDDPANSSDVERATETKMILNKIN ARRVVHPEYAINNLETEPLSGYVVKLERGKLGLIMAPR JR316_0002063 MYVDHDVEEQKRVFIRRGMSLEADAVAQRNGKHISKWVFPLGFV RWKPKFCESRSTLAAVILDYMGLYCESSWGLGWGHIYITIIMSISVTIAMYCLIQLYV TVSSELAEHKPLLKLFSVKAVVFLTFWQATFLSLLSMFGVIKNTKYMTADDVNIGIAA VLETFEMMLFAFLHIKAFSYKPYKYPRLDVFDEFATPQKTPPWRSLAHAMDFRETFRE IWIGIVYMFYKLRGREPITDLKVKREGHYSGAFGMQRPLPGDGKSVSRQLEHRPKLNT ATLPSVQIEVDKAVEVEGERQWLMLEDFDRRGLHPKREKSDSLQEQIDRELERLRCQD TDKHSIQNPIINRVRHQGGQRSWWRNVYYRISQSSPDGDDAEKEPLQQRRQLSPRRLR TFGDAELKDELCNLDDQPPQSVLYPLQSHWPRTVNKEAQFEATGNGRGEQSIDENYKV LHQNHNPETRLLINSPAPSPYRASRSSPDASHLPSSKLQTPINGVPRPLTYDDPYLFN VPIRYPIDPNEGNHPPPSARKQNGPSSRPFGNTLTMPVPLDPQSPLHCKNARYSLSSS SVLTSFNSNPGVSGYAQRKVNFDNPRSSIHRREPAGESQDNVTWHQKHTNPAYSRIRF SRIPSIHSATQTSNAIIFPRTERP JR316_0002064 MRIIAALLTLSACLQTALSQNDAFDSIQNARNIQREQFSRFASL MDSAKKPPGTPAIGSTITFKNPAAKKFFVDGTKLPDGYLVVGSVGAYSTGFSQGTPSI SNDDELGEQLMGFLVQFLEVFSELKGNNLWLTGESYAGFYVPYIANWIYEHPGLDLNL KGIWIADPSLSYGTVQQDIPALRFAQARVLVPFAIANKNLFPFNSSFWAELQQISDSC GYTDYLDKFVTYPPAGQLPLVGTNGSVLGFPRDTQQFIYFNRTDVQDAIHAPHIDWSS CTDNSVYINPVTGGPGSDTSIPSTLSVLPNVIDKSVRTVIVHGLASCSVKETLDRAQQ DFILVAEGTRIAIQYVFDDVLCWNQWLTMIPICRNMTWGGAQGFHNPIEDESFTVKNM GVFGNMHTERKLTCK JR316_0002065 MASQKLPPHLTGQLDVLMIDNFDSFTWNLYQQLCLQGAEVTVIR NDAISPSLFPQLQIKSLVISPGPGHPQTDSGISNAAIRYFQGKVPVLGVCMGLECLVD LYGGKIAYAGEIMHGKVSAVRHDGRGCFRGVPQGIKSIRYHSLSADQTTLPAELAITS ATEESGVIMGVRHRQYTVEAVQYHPESILSEGGNELIRNFLSLRGGTWEENPESRVLD NTLPPFPFEALSKDISSKPGVKAKVPTILEKIYAQRLADVALAQNTPGTTLADLEILL SLNIAPPLISFVSRIKQTVPGQPSLFAEIKRASPSKGPISVSTSPAKQALTYALSGAH TISVLTEPKWFLGSLQDMLHARLSVAHLPNRPAILRKDFILSRYQILEARLWGADTVL LIVSMLPEALLKDLYAFSLELNMEPLVEVNNAKEMEIALALQAKVIGVNNRNLHDFQV DMGTTSRLSDMVKGKDVTLCALSGISTAEDVKRYASEGVGAVLIGESLMRAKDTAAFV RELFSVPPSPPQPPAWRSNPPLVKICGIRSTQEALLVAEAGADMLGLMFVKTSKRAIT VHEAQEVSKAIRNLRFQRFTSEQVEPVDQSNVPWFTTQATRLSSTKYRPLLVGVFQNS SLDDILQTVAAVQLDIVQLHGSEPIDWAHHIPVPVIRVFHVSKSGKGLENITRAGAHQ YILLDSMREDGSGVSGGTGKVVDWDLAKRIVIDGEIVTNISYAKKATLPESQNETPDG GERADSPATPSTSQTSPPSTFPLPIILAGGLTPDNVASAITHVQPWAVDVSGGVESDD GLGKDLQKVKAFICNAKGTSIESAMNGNEQDVAPEET JR316_0002066 MQRHSFTALNSTFVVDSEYQFVKELGQGAYGCVVAARHRRSGEG CAIKKITNINTKRILTKRCLREIRLLHHFRGHKNITCLYDMDIVFQPNGNFDEVYLYE ELMEADLHAIIRSGQPLTDAHFQSFIYQTLCGLKYIHSANVLHRDLKPGNLLVNADCE LKICDFGLARGYTPGGGTSKAAGNQGFMTEYVATRWYRAPEIMLSFANYSTAIDVWSV GCILAELLGGKPIYKGRDYVDQLNQILHYLGTPSEDTLRRVGSPRAQDYIRSLPIKPR VPFSTLFPQANPLAIDLLSQMLCFDPAKRMSCEQALNHPYLQVWHDPADEPICEAKFD FGFEEEDSIDGMKRLIINEVNSFRAEVRAQARAAGQIRRQESLPIPSREEILSSPVQE YGPHHGATSSFTAAHSAPRPPSPIMDDPSEELERELAASVKR JR316_0002067 MAAKFLCCLPLRLGVIVISFCQFILCGALAGLLWFALAIAKEDN DLSAITQSMKTTVIVVGAIYTFAALVGLLGFFGAIFKKNGFVKSFYVLLCVVFGLEVG SSVWYLITFYRTRGQTLDDCINGSSDAGRIAYCKSLDAYKRVPQGAMLASIIVPILVH AYACYVVYQYTKRLERQKFEKSRASKSFSQPQPAYQPVLPHDENVPLTLPSVQYPYTD GPNSFGHVHKHSFDRNNASDKV JR316_0002068 MPKRARIHFVSLRSSLVNLPISIYGPLVERSIRPQHLAVHLTLL PDASSKPPRDHTEIYVGWTGMASASSLAHFNAAQSGDGSFETIEIDPQYAQDVGLVQG DLVEIGLLHDLPHAKSVATEPLTSDDWEIIEIHASHVESTLLSQVRVVKIGQEIDVWV LGRTRVRLRVTGLDPIKGNALLLTTNTEVSIAPKLHKKKNDDIVKSFHQPNGIVGSKP VKNEDSRSSTTPLDTVFELRVLPIRLVHNVMLPEPSVPELLALVSPKVLNQLNSACEL NKFYQGSFTRVNTPPDPTFSSSPSALPEPVARVLNPGTHEEPGLGNETASDAGDIFIG ASEQVPNGHIVFPVLPEGLKEWSLIRASLSVERKAIQPKEPYRRQTNYSPSDSYKFLA GVDTILDRCLKYCTREMLVHSLSTSVCGISGLLVTGRSGAGKTSIVRSVAKSIQEDSR TLAYIHYVDASKLSEQPIPSIRAQLNFWYDTCAWHRPSILVLDNLDKLLGAEVEHADS FRSRHITEMFLNIFSSTSRTSSLDCRGIILLATSDSTASLHPFINSSHIFKEVVHVTP PSKDARRDILSRLVHDRLQMATDIHQDPDMNINYTELSTQTEGFSATDLKDLVARAIH QVAMRAATQPTSTLTLTQADFAKAQVDFVPLSLKDVKLERSDVAWSDIGGLYETRRML RETLEWPTKYGPIFKQSPLRLRSGLLLYGFPGCGKTLLASAVAKECGLNFISVKGPEI LNKYIGASEKSVRDLFERASAAKPCVLFFDEFDSIAPKRGHDSTGVTDRVVNQMLTQM DGAEGLDGVYVLAATSRPDLIDSALLRPGRLDKSLLCNIPDHEERKDILKAVAKKLTL SAAVDLDEIAARTEGFSGADLQALLYNAHLDVIHASIASVPVETLNAGRTEETPIQYV TLGGSAEKPVLSKAEEMAMQRRASTIELDSKSKAYCLLCLVTTNLVKFVVKWDLGQTG QACR JR316_0002069 MASSSAVGKLSREEFRRQKDLDAARKAGTAPAALDEEGRPINPH IPQYISQAPWYLDTGAPSLNHQRRPDYDDSSSKLDNWYDRGAKAGPAAKKFRKGACEN CGAMTHKKRDCLERPRKKGAKYTNKDIQADDVIQEVSAGYDAKRDRWNGYDAAEHKRV YEEYAAVEAARQKLREEEIDNQTTTDLAAVRKVAKAGKSETKVDADFGSSEDEDADED KYADAADAVGQKLDAKTRITVRNLRIREDTAKYLINLDPDSAYYDPKTRSMRDAPLKN VPAEDAKFAGDNFFRYSGEAPEVQDLQLFAWQAAARGNDVHLNANPTQGELLHYEFKQ KKEELKDTTKSSILAKYGGAEYLDSAPKELRLGQTENYVEYSRTGQVIKGREKAKARS KYPEDVYVNNHTAVWGSWYDTSTGTWGYACCHSTLHLSYCAGKAGIEAAYASSAQHLL STSDAPPITEKSEKIPETEAQETQPAKVDQNYSKKRIGEGDIKLDQGKLAQAVLEEKK RKARGGKDDERSNKKIKSSLESGSHEVTEEELEAYRMSRRQTEDPMANYVDEDI JR316_0002070 MCGSSNWPCSLSDHSDCISESILISTAGKKSKLSSSQFQLDHFF SSTKSSATSEANLSASPQFVQGSSNKDIPSRKAVFANETIIDVDAFDLDDPATSSLQP VISTGPKSNASKRQSQSLFESRIEIREWKTNSIGDVKKDTSTPNVKCRNGQRHIDPNE FLPIDVEPIDYSADQQPSDSLDAPYSLLVHAFISLSETRSRIAIINILTNVLRTLIVK HPTSLLPGVYLLSNSLGPPFVALELGLGSSVISKSLKQISGLSGTALKKLYNTSGDPG DVAYAAKSKIRTLVPHPPLSVPYVHQSMLKICLCKGPGAAKDKQKIVEKLLLSAIGEE VRYLTRTLCQNLRVGAVRTSILTALARAFALTPSPASIKGPISDTDAIDSLHVPPTLI AELRSSAVSKGKKWLSSQERLSMMFKRAENLIKQVYVKHPSYDRIIPALLQNGLDTLP EAVPMSVAGVPLLPMLGSPTRSLDEIYEKLGNLPFSAEFKYDGQRAQIHASTKHTNDY EIKIFSRHMEDMTSKYPDILQLIRDMFKQHANLSSFIMDAEVVAIDPNSGELKSFQEL AGRARKDVQLKDVRIAVCHSFRERRKILQDNFSTRRKLGPSPMVAQFDFVKNCESEEG RESIEEFMLSAIENRCEGLMIKILEKPTVTEPAKEKQSKHMTLLSTYEPDVRTLGWLK LKKDYIKGIGDSLDLIPVGAWHGNGRKARWWSPVLLALWNPETGRPVAMCKCMSGFTD AFYTTMMQNYAPKSVSCSTQPQWECDFGAFKPDVYFKPHEVWEIRGADITESPVSIAA QGMVSSARGLSLRFPRFIRARDDKKIETASSPTFLANIWRSQRGKTDTGNDNFELVDM HAESSETEDALEDEERSSGP JR316_0002071 MATHFSILPSEIIRYLTLSLNKENNAATENPLRLLRLPHPRTGL LSLFLPCEWLPTSLHDENGIQSTLLEIQTIHPPDERSWFLEEEVISNGNLLMMTPIDP TFILLPILQLKYVNNGNTSQFRAADDLLEESVKQIVDTAVPPKIQCQDLLDFCSLVCT RKSLKNICDIKEISSDIVVYRFSPQKSLDHIRTKVSHLEKCAALDKSKTIVRSLARYG LMEDGKEELLQLGRTRACCDLVAQYLTPLMRETVMASYNFSKLQKYLDANAQDVVATV ASSSKSKGGLKETAKEKKDTTAVKRKPVGKASRGIENLKKANTINMPKLTSFFGSKP JR316_0002072 MYPLSIPQTPSPPSSPESVVIIGNEPRVSGAFLRRSCSDDDNGW VTWASSPPRPIPALHGPLSLPYARCPSGAEGTVIEGDDLSHKIWGLGTGDTQNNAHTS GKAEIQNQEPKTFAMHTKIPCHDTRQLAFQHPRLSDDVIDLSTIPSAAYSGYQTAPAT FERYLASSRISHPCFQPGLGHRGEHSNSNDSKITTGVLFSQRVANLCTKRYYYDSADI VENSRSGLDLSKPTTDKATSQFVGSTQRRLNRAAPAFIPSMGWSSNESHIMQKENDPS TLNHQFMRRVELSQQCAIELPTPPSTSSPRWSPVFSHPIDIELSRGACGDPKIYSPQP DLDDYSNKLMSMIQQIKQQDLMNSSKRHSLDVARQTREPLVQKGLVASTANKYHQERF QEDPGRTNVSQPPLDIRRNLSQQNPRSIPLTRLIQRRLSSVPEETNGPTQVQTIRPRV SLEPLIYISATEQLTRGTVTSTAPSVQSSTETVDVQPEEQNPNAIVKLPHRRVGLRAA RTLSGPSSKARAGGVSKKEKEEIINGENDKPNSEITPEKGRAGQMKKSKRFVPRQGRW DILEYIG JR316_0002073 MLSTATRAGIPAARRRLVPRSFSRLATTTRASVPALRASTTLLS SLSSIRPMSSTHHGESTLRPEPDKVLQDIADYVHNYKVDSDLAFETARLCLIDTIGCG LEALRFPECTKLLGPVVEGTVVPNGTRVPGTNYELDPIRGAFNIGAAIRWLDFNDCFL AEEWGHPSDNLGAILAVADHLARQGQPLSVHDILEAMIKAHEIQGGIALLNSFNRVGL DHVVLVKVASTAVVSKLLGLTRDQTVDAVSQAWVDGQSLRTYRHAPNTGPRKAWAAGD ACSRAVNLALMVKKGEKGLPSVLTAKTWGFYDVLFKGKPFKFQIPYGSYIMENVLFKI SFPAEFHAQTAVEAAHILHNKLKELGKSSDDIKSVRIRTQEAAIRIIDKQGPLDNFAD RDHAINYMVAYPLIFGELTSESYTDASAADPRIDALRSKIYCVEEKRFSVDYHDPAKR SIGNALLVELNDGTVLDEVEIEYPVGHKRRRAEGTPLLINKFKRHISYHYDDAHQAQI LETVSDAESLSKLPVDKFTDLFVKA JR316_0002074 MSPSPEQPPPVPSTSTQPEGPPVRVKKRRLHGSCDACRKKKIPF LSAGYIRRLEERLEKMERLLEKQGSPETANIDNSADSIQQYSSFSDTKEDGTRAFTPP KKLVGARLEPSSATSDDSSDPDDLAHVALSEHLSSLSIGEAVDDRFFGKSSAFMFVKE ASSVRHETTRGTILPDRTKFRRPIYWDVRPWEASLSSSFQPGYIYPEVGLLQTLVSLY FEKMNTIFPILHQPTFLKALSQNQHHWDPAFGMTVLLVCAIGSRYTNDPRVIVPEDKH GLSSGWPYFSQVQIYRNPLLLNSTIYDLQYFVLAILYLSGTSVPQASWNLIGLGMRQV LEKGIHRRRGSSARPSKDEELLKRTFWVLVVIDRLKSSFLGRPCCIQEEDIDVDYPVE CDDEYWETDDPQNAFQQPDNKPCKISSFIRLIKLTEILSMALRTLYATKKNKRIAGYN GEEWERRVVVELDSSLNNWKDSLPSYLKWDPNISDSTLFHQASLLHATFNYVQILVHR PFLTKKSSMSFPSLAMCTNAARSCIHALDAARIKGMRPTHHLLVCAFSSGIVIILNLW SHRHTTGLITDPAKEADLQMCINVLKDCDKKWHVAGRFCDMLNEVGALSECQPTSIMK QPRNTSIVEDTIGGHSIATMSPYSYSSSSSARVGHIVPEFPNIDKSGETLNGPADIFG KHMSINDLLLFQTGYVTQPQARLFELDNGALRSGAVETSDILHRTTPSTDNSYSTPGS ESFILNDNIPSFWSGIPAAFSTLLLQQNDDWKRCPNAMTDDSIVDSGTTGESQIQKVK KRRLHGACDACRKKKIIERLINILGDSAEMPGKKSEVQQAYIRSLEEKVEKMQRYFEL QHAGEDIDDVVNMAATASRPASFFGATSLADSCDKPDSVKYPIPKHVYTSLPKGKKTE DDSDASDSDDLAHIALAHHLDGLPLNAVEEKYFGPSSSFMFTKHASTVKNQFTGSPNK LDAARYRRPIFWDMRPWETEYALAPETSYIYPENDLLQSLVSLYFEKMNPLLPVIHRP SFMKSLSIGQHLWDQSFGMTVLLVCALGARYSHDPRITVPSESNGWLSSGWEYFSQIP LVHRRIMVYKTTVYDLQYFSLASQYLVGTSISHVSWTILAFGLRYAVEKGLHRRMGPN EKPTPQREMQKRAFWAMVCVDRIMSAFLGRPCTLMDEEIDVELPIECDDEYWETEDPQ KAFQQPFGIPCSVSNFIYYIRICEILGFALRTLYSTKKSKILSGLIGNDWEGRIVAEL DSSLNSWKDSLPKHLRWDAERQDPTFFHQSAVLHSLYYYTQIQVHRPFLSKKSPLSFS SLAMCTNAARSCTHVLEATLSRGARVFPDIIMASFTAGLVIVLNLWGNQRSGLIGNPT QEIENLHKCVNVLRECEKRWHVAGRLCDILNEVGALHEYQPTASKRRRDISSSESSGL LQISPINTFNPLIDGSGPSYSPNSEEQAASTATNNLVPAFDPTMNDWELRDLLFMGMG YLQGNSHFRVGSNYRQQNTAFPNSVAYGENELGQSYQPQRFNERLGTDFSDVSSAEDV FALWADMPAAFSRQV JR316_0002075 MPRQPKKTETQQAYIMVLEERIEKLEAYIRKMHPGEDIDHILSI PPDKIAQVPSIPNLASVSSTETNLSSFAFSDLKYAIPVSISSDTTPPPLVENDSEILE ADDLAHVALAEHLGRLSLSAVDDRFFGQASAFMFAKDAANVKSSITGESSAPDIRNYR RPLFWDMRPWELTFATSPETSYVYPEDDLLQSLISLYFDKVNCVLPVLHQPTFMKSLS IGQHHWDPSFGMIVLLVCAIGSRYSHDHRVTVPNDASGLSSGWHYFCQVPIHRKIMLY KANIHDLQYYCLAPLYLVGTSMPHAAWNILGIGIRYALEKGAHRRKGQNQKPTVEDEL RKRAFWCMICLDRLINSFVGRQCGLPHEAFDVEYPIDCDDEYWETEDPEQAFKQPTGK PSMITGFICFIKLCEILGFALRTLYTTKKSKLLSGFIGSEWEGRMVAELDSAMNGWKD SLPPHLRWDPNTQNPAFFSQSVNLNSTFFYVQIQIHRPFLTKKSPLSFPSLAMCTNAA RSCTHVLEAGMTRGLCILPNTMTAAFTAGIIIALGLWSGQRSGYISDPEKERQSLQKC LNVLEYAEKRDMLREVSAIQEYHPTDISKRRHDSIEGSPESEATTLHQSLEATLPSSV VTEPVNESTLRKLLLTEMGYVFGDPNEILLGTTESCAQSNQDFMSSSSQSPPSQSNEL PSVNDMFALWSDIPTAFSCFEEWDAYLSSVGQS JR316_0002076 MNAGLGYTLESLFGPPSEPININSFLESSTSSQDNPRSQEIANT ELIDECRVEDLKEHLSATWSNPLLSAHLIKDHDHMVTALHALNQENTKTARKIHLPDP EALPVEVTSLQKNLDAVSLSCFRLKTDAVMFMRTPKNSDRNILQSVKTTEPGKIPPDQ EAVITISVYNKITWGPSYVTRSAQHVFLSSQTVRDVYDSLVCVTKNLPLEADISEMQP GSVICIEGVAYGTLTNCNNYATNLLDALAKRNDCRIIVAPTTQEETSLHSLTLRINEP YWLVHHGNCEHFLVVDQIRLLHAQDPRGGYPLTLHIAPSLLDLCRACAKIPALWSILG DVRLGESPCLLCGPCWTSMRESAGEEVKVLPLPDILHV JR316_0002077 MFNVCTRQVSDYTCCPGSAIQMTIPTLNNQVVESLEQYEDAISW INDTLSPPDSNLEDNLVDLNALDHEITQLLTALDIAAEDTSARLERIIDDVSRGIPRL AYDLHFMKDGASTLRNALVGVLQRSRDAVPKETNAALDNLHHLDTIKGRMEASREVLR EAESWSTLEHEVTSLIVEKSYAKAADRLSEANKSMVVFQNTPEYDPRRTLMVNLQNQL EAALSTALVSAINSQDLAACRDYYSIFSTIQREPEFRNYYYASRRSSIISLWQNTPLI DCEPVITVSDNAPAYGFADFLAKFYAQFISVLNMERSSISSIFPDPSTTISQFISSTM TSLQPTVTQRLTSYSSYHGESALIHLISALRVTEEFAAGVDKIMEKIKYAASTPLSPL QPGVTSDKAKIHRRRSSRMSISLRPGQHRTSSSIMGNDKAVSDSVESMEWDQDLFQPF LDFQTDYGSLERRCLENSLFEIISNDTREKLQASDRPRLFRERAIDIFGIAENSMVRC NSFTYGYGSVGLVNALDGFFQSFFDTWTADIRTDSFGSSQIAKTSLTETDFSDLDYTA QDWANIQLSLHLLSSGRTVFDRLCSFEARLRAYLSQVAARFRLSLNDPSNFLVAATKG ESQLLEQSTLNTAELHSLLTSVETDWTPRDTQFSASQRLQTQNAVHLEPLLESARKSL SVFAQACQTVTIKTILSPLQNHLSGYASSSVWISPADPTQTTSSNDLRVPTFSLSHSE TIQRVAEGLLNLPRLFEVYADDDALAFSLETLPFVDPEMLQTLTEQTSDTSGQQSHRR RPSYVKPNAMDAEIVSSAWLISLGHTFLDHLTAEILPSIPSLSVAGAAQLASDLEYLS NIVRALNVEHNNLDKWKTLVSLDANDGARALSESSPGSPLDPVLERVAKLRGWR JR316_0002078 MSTEELTNSVTSILKMKVKCVGGNASRFGRTWCRFKASFLVKVF PHPPLHKYGFSPLCVSRWRFKSCWRLKDNAQRSQGKGLTDEVG JR316_0002079 MSNGSAKSSPRVTFAPITPNNLGTVRKLNAVLFPIKYSEKFYKD ILQPEVEEFCQLVYYNDIPVGTICCRLENKEGQNLLYLMTMGILAPYRSRKLGSQTLE LILAAATAHSKFKIQKIYLHVQISNHDAKKFYERHGFNEVEIHKDYYKKIAPHDAWVL EKIFP JR316_0002080 MGPRSINRTASPMPPPVVLTSDATTLVPRRPAPSRPSLSLSPPS NQSEQPRSVTPTPSLSIPRPKLALPKIKLEINATPGGGPLFESYAGGPGGPALQPDAH PEDKTVRPESMTVIPAQRQAETFDNLRDLVTELEAIRTGLPSSAPTPASIVPEEFSDA IFEELGRLGEGAGGAVHKVRHKPSGVIMARKTITTLEAPRKQLERELSIAATAKHVNI IQWYGAYMSPSSSEVKILLEYGEGGSLEAVGKRIKERGAIVGEKIVGRLAEGIMQGLA YLHTKKIIHRDIKPSNILLSREGVVKLCDFGVSGELVNSLAGTFTGTSFYMAPERICG HEYTIRSDVWSTGISLLELVQNRFPFPPDLPPIELMMYITTGEPPRLEDEPGVQWSDE MKDFIKLTLTVDATTRPTPRDMLDHPWIINIMKHDYNMSRWIRQVWGWPKSSRRSRDE SSLSRPGTSNGEPR JR316_0002081 MSIYGHPIKTLSTNVLSKSKSANFGPANLGQFLAAAAMLEACAA ADLPCLFFLIRRTYLEKIQKTNKIIPTHGSIWNLLGKWTDSTGLRPLDLISPTTQYLP TQYYPAPNVANGPHIVPPPPRPDLSQRKRPKYTRSKTGCLTCRVKKIKCDETKPNCMR CTHGSRECSWPEGVPVRKKSVARRDEDGRPSTAGSSSVSEASTPPERELSPPRRNEVD LSLMPIPSRAPSDNYLHIPSMNSDHESSRRSLDRGSGYSQSHTSANTNLLSMIPETSY PSRYDHGYLSGNNSAHSARQAMPPYRSMGHQSGNHWNHHQEAMDSYYGHHGGLQERVM VGHGSPNDHSHTRYQ JR316_0002082 MGSKGLVASILLTLLLPFAGALEVIDTSSGIGASPQKITLQSRT LPKGTLMQRRALSPTSIPLADFFLGTDLQWFGNLSVGTPPQEITVVFDTGSSTLEFAS TLCTTCPQKKFDSSKSSTFVDGGRTSSITFATGVGVDPVIGANYKLTLRSGKDTVSVG GLSAPGVSLFLITNQTPKFNIDPFSGIQGMGATAQGIFAGLISQGLPSLFSLYLTPQS VGKAELTLGGIDNTKFTGTPIFASLPSGSGSTWQLASPGLSVNGKTNSLLNSPRTIIF DSGTSNILFSTDTANAIYALISPDIQPNPDEAGTYGIACDKIASLPAVIDITFTSQTG THFNLTIPSSELSVGPFTANPSLCQTLINAFDGLELVGGSLLKHYYSIWDVGGKRMGF APAAGVPS JR316_0002083 MASKEQLNFNEPHAPKENAIEAFNLLLPTIKNEILKSRRHWDKH EPRMWARASGLSDAELTSFTIENDLVEVSSSATSYGTIVLGKIRVLTDDEGEGFVHVR IHDPPNRGSEDVIFHSLFTDEIREEVGGSPIGYQAIQTRDKPLEFFNE JR316_0002084 MHTATQIIAQRAPPASRSTKAARWQPYLQSATTTTTTAQLPRSP TIHTPHASVSSPAPHPPIHLVSAESSRTRPSIPPTTAQTPKDSSQSASSSKQKFASSL IDQAVSTLSEIWRPQDIPSVFLPPAKAGGCSFPPSTHPRQSSKQLSSDLQSIASHTLS TQPHTSHPSVSGHNPSPTLALLASGTESDQILPLKSFVHEVLRRSRTSGNVLQTALCY LEAIRPKVPQILQEENIGIRSYYQPESCIQKATPEELAMDAELTALEDAGKINIINSF IDDSMQTVRVADSGPEDLAESCIYPEDSLSNVDVQVSTTPPSTPSLPSPLLCPRRAFL ASLILASKFSQDKCYSNRAWAKLSGLPPREIGRCERALGQALQWRLWVGKCAFGESAV TTTSSRTVIRSHSEPSLMPASTSTPFLSQDESHVSQAISHTTKLSEKVSTTGRPQAGI RRCLSLPLESTLPKSSSVGSVLHSDSETYQQDQVMSRPSVSDHSVSSSPSPPTPTLTY SPLSTDSSGERTIQVTAMDDVYSSSSCSGSQPWVGLVVDTSCDNGNVSFGGGLCRLDG QDFARQLAMLQSQPTPVAVVETDVATYGANYMWSADSRYILEATEVH JR316_0002085 MRLLTSTLALVLTFVLAEASLAHENPAGLAARHNRLAHRDSAVE ARAPSGARRCPNRNQKPTSAPAPNFAAAKNLTTPSSTKKPASTPAPPPPPPPPPPAGV INVVSHCGPIGATQQTTALTGPNGKIDWLMCGFESPGGWQPPYVRVQDLITHSLSSAL QSPNSPFKACGPYLSIFEKYAQQYGIPSIIMASFSMQESGCNPNTVGGGGEQGMMQIT TDKCGGAPGGNCKDPDFNIRTATKFFADTLKAHGGNILSSIGAYNGFYVGLTKEKAFA ARWSACCRCQNNGDYIHQFVNGWLQNINAYGPIKLGKYFNLDVCPAH JR316_0002086 MSDQSTPSPSLAASSPPTSLPPSPELQKLNLSSEVSEQDKQEAL RLKAAANKAFTSHEFNDAARLYSESIQKNPNEPTVWCNRAYARMKLEEYGYALTDASQ AITLDPKYAKAYYRRATCYMQVMKYQAAVADFKKVLALEPNNDTVRGQLVSTQKLIRK IEFEKAIEVEGEKDPVVRCREIIQEGGCEVDSNYTGPKLPQSEDGKFYMTQEFLQEMI EWFKQGKTLPKRYAWEIVMGAHEQFIKEESLVSVDIPDGVTCDVIGDVHGQFYDVLHL FSLTGPPSEKHYLLMNGDLVDRGSWSIEVILLAFSYKWLYPKYMYINRGNHEAKDMNR TYGFEGEAKHKHGEQAYKLFAHVFTTLPLSTLVNATKPPPSKDNAILSPEGFKRFFVV HGGLFSKDGVTLEDIRKIDRVGRQPGQEGIMCELLWTDPQEAPGRGPSKRGVGIAFGP DVTKRWCTLNGVTGVIRSHEVRQNGYEIEHEGLCTTVFSAPNYVDQSGNKGAFIRIDS AGNRKYTQFEASPHPPMKPMAYIQGGLGSLMM JR316_0002087 MTSSGPSNAIRDMQCLFIPDDSTPERPIEEMNIHFPPGKSFGDV VCEVLQCERECPEILVTDEHLAVFNGHDDVLLAWKGTPASSFAVRCS JR316_0002088 MTTNLSHEIPALFIPDDSTPFNPISEVNIPYPPSSQESNAIISQ LLKCPGRVAEPLYSEDLLAVYNGSPSGVPPKQKFYVYDAYMDDTGNSTRPYNTRAAEL LQLPRSYGPILIVKGVCVKDSEIGAVRVIDQEPLSEAEIESRAFRDKRKLFIEKQDAY KKRLFDKYRNDGFTVISS JR316_0002089 MPSVQRTYLYIPDDSSPNQPIEQKTISAPSVEQFTQRVHQLLKC ELAEDETILSPEYLAIFEGHGNGLPTGTEFTIYVATMDENGNATRPLNSRAARLLRRP KSHGPMLIFKAVYKKQRVDAVLRHYEDSVGLERELEGTDFQEKRQDFIQKREAYMARM IEDQRKQGVVVLTL JR316_0002090 MKLSQYTAGIVRKSRREKEQEAADAKKREEEASAAQVYAEYVNA FEGEDIGRRSSGSAFVRAESNTAYAPSMSSSERRISRRSPSPSTTSAPKPKGKRAMDS FLEEIKKEQAEREAKYSRHSHGGHGRSVTAMAAYEGQSGSKDRGDPQTTNLFVANLPQ HVTEPNLGKFFARAGPVGSVKIMWPRADLPPPPGSDSSRRSKGPILNGFVSFMNRRDA EDALREFDGYDWGGYVLRVGWSKAVPIASKPLYVSSNRIRDRGSRSRSRSRSRTPERH SGRNRDMRSRRSRSPSWDRHRSRSPRHRRSYSRSRRYSSSRSRSPRRNYSRSPQRTLP DEGEAVTDTFIRAVAFEVKGHDAKYEETLREREKANPKYNFLLQRDHRRHAYYKGLVE SQEPFKPAFDDEGYNSVYSSDSAEESEREHTRKNALGPAARKRFEAMLRALAGKRGEI ARCMTFCLEHGEAAHEVADIIVSSLLVDGTAVPRKVARLHLICDILHNSAASVPSAWK YRQEFQSRLGIVFDHLANIYHSFPGRITADLFKKQITTVVDIWEDWIVFPPDFTTELR TRLEGAVIPTNDIVDEEECIIQPPAAETFSSRFKTSSFQLAAPTEVVSAQASDGDGEP MDVSSDDDKGASDLDGEPVEDLDIDGVPMDDIDGVPIEVAGDQVEDDLDGQPIRDDVD GVPIDDDIDGLPLNETS JR316_0002091 MALSAADLQRRHELEGAPDPFPSLSQPTPTKPKAPAVVPLDTDS EVAFPTLASASPANPVANSAWGAAAGPRIKANIPRVPVFTDTFTLSAIDLSNSGKDGK PATLGEVIKQVISKYKVKVEASANQKTRQTTFYVKAESQKELDKAKRSLVALLSPVIT LVINAPASTIASIIGPKGATLKQIRDQTSVRVDIPKRDTPIGNGHANGKASHDDDDDE EEPTVPVTLIGPSPLAYEAQELLNQIISSKTSKATQRVRDIPAHILPFVLVRRPNFLE AAQGGNVNLALNATAREITVSGDREAVVRVVETIKKTIEALQSAITSVKISLPKRQHR LLSGQNADAILAKSNCAVTVGKPEETSDEVTVWGKAADLPAGLAAVMEQANSKYIHEL TLPGPIALSKQLATYLTRVQYTKLIKASHPTVDVFLPSADATSSALSIDLVGDKPEVD AVVKQVSELIGKLIGGTRDVTVDWLLHRVITGKNSKKIKQFHDVHNVQAYFPSESLES SHILLVYDPLSTGASLSPDEKKKHLDDVEKELLKLARDAADVKTETIEVDKRWHDAVV GNGGTTLNAIIGEDTTLSIKVGAEAGASTEDIIIVRGVSSDVDRAVKEIQKIVENAKN DEIVNSYSTEFDIDKEYVGRIVGSQGAGINKLRDQLGVKVDVSDDVEEKEKEGSKKKK PVHQKSKVKITGRKENVEEAKKRILAQIDRLADETSEILKIPSQYHSSLIGQSGKYAI RLEEKYSVKITFPRQSPENGESKTREQLKSDEVLIKGGKKGVAGAKSELLDAVEFEKE SNNVLKFTVPTRSVARILGRGGASINEIKDETEAQIDIDKNSDESGLTTSITVRGTKK AVAAAKAAILAISEQVTEETTASVTVDNKFHRSIIGAGGQGLKEIIAKCGGPSDPKLQ AGLLRFPRQGESSDEVRIRGEPKLVAKIKAELEQRVAVLRDTVIIAVDIPSAQHRALI GRGGQHLNEVQDKFSVQIQFPGSRSYNQVGDPENASELEGVEAANIVKVSGSRDACEK AIAELKSNVKAPAVAGPSGTIVVPLKYHHAISQQGQFFRTLRSFGVQVDHSAQPQTSG VPSRPTPTARIDDAETASGDHEWEVVPNYEDAEEGDSTWTLKARDQAGLDKAEKLVKD AIENAKRMSHVGFLTMPDRSTFPRIVGSKGANIARLRNETGADITVSRENSTIVIIGE FPK JR316_0002092 MHRRALKLASLLYAFIWPVSANESFLGETLVRKRPIQNVLDHQP IKHTTCHNSILTGPIETTMCDYETIESVNDELFANLSDLVEKPFFRYFQVDLYRECPF WPDDGSCNDPGCAITTVDESNVPEKWRAKALSELDPASVDKRHSLPGCYYRDSDFCFL DDNTEGEYFDLRLVPERYTGYSGKDAQRVWKSIYEENCFGLSELALMTGKSPAPVSLP DTMIEVLHEDGEESAAQCLEKRVYYKVISGLHASISTHICHEYLNQTTGEWHPNLNCF ITRVASHPERLQYIYFDTILLLRAVSRLGPYLSAFDYCSTGTHEDDMETLQTLTKVLN IAEDAGAFDESILFRGENANILKEEFKTHFRNVTRIMDCVGCDKCRLWGKIQTTGLAT AMKILFELDETALDPRANSDLLQRSEVVALMNTLFRFSESLKAVETFRKLWREMDDAE AEKIVKQTEAITAAHAQAPHKANVNHSKNYFDAACEQSIYVLRHCKHSIEENVTQAMT ALGNAFSAVLSAFRPSEKGKGPSSTYGDL JR316_0002093 MIILDGDSGQPKNDNYPGLTLRHPQAAVTRSSSPLPDYDTSEAQ HWKISETRKPRKIFDSTIWKGALYGFVMYIFLSLVIVIPILVVKARAKRNEVDWGLKP TGSLWAVEDNDLSSPIHLSPAVLTTLDDHNLCNLWNYTIFNVSRASFNLPPAGIISIR SNITYDTFTHDQISGTLSVTKSKDVSRRDVVFSVDMKSSSPEIQNRTSVPAKLAKGDF LDLQIDVVLPQNAPPIDRFFTYLPMISQQFHDFNSSSPTFNHINIEGAVRPITCKLLK ASTIMVKNLASTIEGVFDVTSTISLDSVKGNFSCRAIFSDITLTRPEVAYRPTFLTIE TGDSAINANITLNSLLSSTTVLPNTPSFVAKVSTFNGPLDLNVRYSNGTNPALPLQLQ VQNNLAESSIFLDPIYQGRFSARTKMSQVITAESAEFNITTKTGSRPRTIIYDQKLLD VVNGWVGWGEKPVNNRRVVQSTLDVVSALSPIKLTFG JR316_0002094 MANSPHGGVLKNLIARDEHISDQLKEESLTLPYLILTERQLCDL ELITNGGFSPLEGFLNEADYKNVVDNLRLANGVLFPIPVTLDVSQDDIDRLSIAPGSR VALLDPRDEEALAIITVEDIYTPDRVKEAIQVFGADDPAHPSVAYLRSKVKEFYVGGK LQAIKAPTHFDYVALRYTPTELRAHFKKLAWRKVVAFQTRNPMHRAHRELTVRAARQR QANVLIHPVVGLTKPGDVDHYTRVRVYEAIMQKYPNGMGQLALLPLAMRMAGPREAVW HAIIRKNYGATHFIVGRDHAGPGKNSQGKDFYGPYDAQDLVIKYHDELQIEMVPFQQM TYLPSTDDYQPIDEVPKGVQTLDISGTELRKRLRSGAPIPDWFSYDAVVKVLRESYPP RNKQGFVLFLTGLHNSGKDAIAKALQVTLHQQGGRSVSLLLGDTIRQELGSETGFSAD DRHENIHRIAFVSAELSRAGAAVIAAPTAPQDRTRQLARDTVQQSGGSGGNFFLIHVA TPLEHCEKTDRHGLYSRARRGDIKGFAGVDEPYETPEDADLTVDVTQQSISEIVHSIV LLLETNSLL JR316_0002095 MNNLQHARNSPSSSPGPVEISIREKVIMLTALLQPASITITNDS WQHRHHSAMRDQENNGETHFSVQVVSDAFEKKTTMQRHRIVYSALSDEFARGLHALSL KTKTVAEVAASGDGRS JR316_0002096 MNSPGVPVYKDRHLWHWQSCESAMKITMILPLTVALCQEHLTDQ ANILFFFLILADTYVWVGYSEPNINSHRATSDRESHHLPRFDAQYPTSHNRTESPFLR EAPSTGSSSRSTHGDLPDTYDAHNHYLQPRHRHTVLQPYDYRDSVALHHPYSSTALPR HRSETSNSEPPATWNISTTIPRGNIVEDDERTPVARFGSDIVHNGPNSSEENGGSAKY ECSYCGKGFNRPSSLKIHLNSHTGEKPFVCPVESCGRSFSVLSNMRRHTRVHATVPYS ESHIVDDVSSSSAIPESLSRKWHRRRDSSVSTSSSGRSNSISSTEED JR316_0002097 MATPARRQRPLPSPPAQVTPVHSWQDQYTSAIPNAIYSFHDPYM IPSPYHPHAPYPPSDHYEEPQTTSVPAGTFLHKGFYDLLAMIPTPSPSRLLWKTAAPP PEPVVAGPRYEELDTTPTTRAMPKRGRRVSKDMVSKPTGFVHLVHASDADQLEALLTR WGPDGVGKLGDPHWANPIKNYIRQRNQEKAINEVVSALKPSQGGRLDGPLSGQLHVVN GMSTTSATSSSLTTAARENVIFTSSYPEGLPGGRAGNSTFRLGGLRSHPEVQEVDDQD PNSSLETVQVVKPPRLISPSLATLEKAVAARIYFENLYFPLFRHPSSREQRKLAMEKD MAEMQLTHLQKEQLRARWRQNETDYLRERRQKVDASSFVKLKTIGHGAFGVVSLVREK STGSLYAMKQLRKADMLRKGQEGHVRAERDVLKSASLVHTPGGAEWIVRLYYSFQDRD HLYLVLEYMGGGDLLNLLIERDVFEEDFTRFYVAEMILAIESCHRHGFIHRDIKPDNF LFDPEGHIKLSDFGLATDLHWAHDTSYYEQQRLHLLHKHGIDLEDPIGIADGRKTKRM DPKEVELLMGGGEGEGGIFTWREKNRRKPTLCMYSFIPFLCNGTKLSRALIRCGTNSY MSPEVIRGHGYSYSCDWWSLGVIMFECLYGFPPFVSNSRHVTRQKILNWKQSLRFPSR PKVSLEGVNLMQQLLCEPEDRLGSQTSSSTLRPDSMVVQARRSGFVSQLTSSEGDGAH LIKAHPWFKGIDWANIHRYPAPYRPELASPEDTRHFDDDIPAEPLAPANGAPPDATKD PLLRHKVHGAEILDVRKALAFAGFTHKSPRTLDYTRADKAFDALHDLKPVKGTVRGRP VVRETREVGLGRAISM JR316_0002098 MTSPNNKDRFYTTVGKSRRSSPGDSQNSHGALFQTGQGGRPVLP PLANNSPPTSRLTVPAGYSNAYTQPRSAPNKIGYELNPQVLYGTPYQATSASPQFPTG FGNYEMHDRYSSPQPSYPAFPSRSSTPNMLNPADSRRLPPLSTSTTPPLERWQQTSFM PQQPANFFGASIRSPTASYPSAYVPYSSGNHDVYPYHIQATHDHLSSINPQGHAHGHG HGHGHGHGHGHGHGHGHGHGHAPMFDDPRSTSPYSRGSGSSHPPPPPRNYSPPPVSPT SPVEEPAIKKKRKRADAAQLKVLNETYSRTAFPSTEERIALAKMLDMSARSVQIWFQN KRQSMRQTNRQSSTVGSSSHHPFSMQNQLDPMTEDLVAHPPSGYDTGSGGMGDTMYLT SQEASRSHAHSQHHYHHHSSSSHRTPRD JR316_0002099 MSPVSMPDTSVSSFTPLFDALRTAPTAPDAKAAGDRLAREVAKQ GPQSLDDFHVIKTLHDFATNKKSGFERESAAIAFQSLATILGAPIAPLLLPSLPVIFD LYMDKGEVVRAAAAAATKAILKLFPPEATRTVFRQLESILENGKWRTKVGVLESFKTF VTSARDAVAAELGVTLPKVESAMHDTKAEVSSAAIKCATSLCATLANPDLIPHIPVLV KCMANPDSVPACIKALSNTTFVAEVTSPALAVLVPLLLRALNDRSMEVQRRTVVVVDN LVKLVRDPNVAATYLSPLVDGVQKIATGAAFPEVRAFGDTALKTLLKSGASSSGPPPV HRDIPAEAAVILATLKTVLPQELAEGNPSLPNAPKRPKYAGLEVSLAFQAELVTDVVF KRNFSDKKVWRRSIAVYMSIWIDEARSNDFSDAVLAHFLAIDQSKYAVAQEHDSSEGE LLCDTLFSLAYGALLLLSHTTLRLIRGRRYGILGTNGSGKSTLMRQLRDGKVENFPPQ DQLRCVMVEHSLQGEDGSLSVLDFIAADKALAHVSREKIRDQLTEVGFDDARQADIVG GLSGGWKMKLELARAMLYNADLLLLDEPTNHLDRNSVNWLEAYLKSKTNVTCLIVSHD SGFLDNVTTDIIHYESKKLVYYPGNLSSFVERHPEAKSYYTLAATSVKFSFPPPGSLM GVRSNTRAILKLSNCTFTYPGRSKPSLYNVSCALTLSSRVGVVGPNGAGKSTMIKLLT GETIPQEGTVYKHPALRVGYVSQHATHHIERHLEKTPIGYIQWRFQDGHDRELLEKAT RVLTPEEQAIMEQEWVGKDGSKRKLELIMGRQKLKKSFQYEVKWRGLDHKYNTWVGRE DLIKKGFFKIVQQFDDLESSREGAGSRDTSAHLVRKHLEDIGLDGDIAQYNEISGLSG GQKIKLVIAACLWNNPQICILDEPSNFLDREALGGLAVAIKEWAGAVVIISHNHEFIT SLCPEIWNVDNGHVTHQGKAAVVDDSFLDKTPKGSGANTPARSRLQTPVASAAGTPVA SGDEEGGPKPVVKKKKKMTRNQQKAQEERRRLRKLRWLSEGGPKPEDTDSD JR316_0002100 MPQGTPTPWSYALIRLLFRFVLKIFYGTIVIENADLIPETGRPC IVCANHSNSLTDALLLVTSIPTWKRNMLRLTAKATQFGRPTFTSWLIESAGTVPLQRK KDSPDGTVDNSQVMANLMMALENGDAVCLFPEGLSRYHPTIAPLKTGVARLVSDVLSR NRDNPDFEISVQTCSITYMHRQHFRSDVLVTFHAPMIFTPKDNPELLEPVDFANIRAV TAKLHDKISSGTFDAPSWDLIRNAKLAARMYAPLGTMMTLGDHVRIVRTFIDEFKTLQ NSLASTEDDGSKKLQHDLKIYQDQLAKLSIKDDRIRRPLSRRTILSRMLVRLIWAICL LTISLPGLLLWFPVFGTTFYAVHNFKKTGPIFDTWDEIAQYKLIYGLMSGICVWFTAV AFTWPFALVTFFLVPAFMWMTLRWFEDAVAAFRAFSSLLRLLLVGKSTLKTMRENRKD LHARVMNFAVQKLGLPDDPEFYFSHAGGKEKGRIQGSWDSKARYFSIKRRRKRDWNET LRLYDKVDYPDEE JR316_0002101 MEEALEKIRPHTSSSLPHQKTPANLLIALESTFKDQNTEATSTA YFAALLTTLDGTIQKKDVSLDDGAILPAELYLLALVAPYVPAPVMRSNLNTLLSLTAP LFPSLTQHAPSLRSQLSLYHAIFHSVDRSHLEAQGVRQTFASILQLCIDPRPKVRKKA VDVVKDVLANPPTPLLLHPYAERVAEWALATLSEVNAGPFAKGKSAKQTAAPGAEIAI HVLAFLRPIIPHLPSEALPSITNILLTLPRLGNTYLSQSSYSILTEIFQTSVEDVSTN VGSQLSEVLKVVLSSLPSKSDSTLSASWVQVLGGAMAAHYTLDPDKASKEVGKVWKSV WNFMDSTDVGVRKATSEALCSISSCFSSTLISAAVSDKDGSSTIRKIVAQVSKALDSL QYARAIPEILSVISAFITNLQVRSSKTSPTATELLLMPLIVRIADLRISKGFEHKEAA DSTLSVAMRILGPEVLLGTLPLNLEPGDRKPGSEPRAFLLPLLAQPHSSHLSHFVSYF VPLSERMFDLQQKAETEGRQAEAKMWSVLVGQIWAGLSGYCIGTANLKESLSTGFSQL LSQLLYGQPDLRVSILKALKIMIDSNLVLTEATEEEMVSSTALITQQEAKDNIEFLRT QVESWLAVLFNVYGSVGRDSRGLIGEVIVSWASIAGTQEIHNAFLKVVQLFKTSLPMA QKTLGNISSAGDIGNMTTTSQDILILLLTNLSVTDSQALFEFTLTPEVLSCKDNGVQK RGYKILAKLVDAGDIAVDPEVVLRKLDDLTEGLTAAAKKDRFNLLASLIDLLPSSSLH IIPSLIPEVVLGTKEPSEKARTAAFEAIISMGRKMSVGGVVKRSMVDGMEEDGAEDAV ASIEEFLTMIAGGLAGASPHMISATVTAISRLVFEFKESISPNMHNEILTTLLVFLSS ANREIVKSILGFVKLAIHTLPVDLIRPHLKELVPALLNWSHDHKNHFKVKVRHIFERM LRRFSWDEIYSCAGEEEAAKVLVNIKKRKERAKRKKANKAEADEDDNEPTPAKITTGD AFEDVLYGSESELDDSDDEQAADAAPSSSKKKNNSRGDVRLRLDGDEPIDLLQGAATH LTNANSNRRRQPGKDAARFRTDEDTGKMIIDANSDSEGPPEGPPNEIGATDVAGTAYR ESMVSVDGFTRGPNGRIKFHKDTKKRRREEEAMDVEMADSEEVTGSKPKKSKKKSEVN FGHEFKAKKAGGDVKKGGVDPYAYLSLSQAAKSGRKSGISGKR JR316_0002102 MASDDVRKPSLRIAICGGGIGGLCLAVCLSKFAHLEVKLYEAAG QFKEIGAGVMIWARTWRILQSMGLDREFSKIAHSPPTGAQGVGFNYRRSDQPHEGFRF KLVEMPCEYLPHSCIRFHRAQFLDIFVDHLPSGVAHFGKRLISYSKDMNGPQTTLLFE DGTFATCDVLVGADGIKSTIRSQMFREAAEASQDANLFRFTSPVWTGTIAYRGLIRAQ DIPLTKDGLPHRTIKDPMMYCGKSKHVVSYSISQGDIINVVTFASNPKRHGDLYEGDW VTTCSQDELLGCYSGWEPEVEQLLKCIRNPTRWAIHHILPLPFYYKDNVVLMGDAAHA MSPHQGAGAGQAIEDAYILSNLLATATRKSLPKVLNAYEAVRLPAANHVLLGSYESGM MYEFNSKYGENYEILGPAIQRQWDWIDRPSLEESLEAAIRLSKGIPDSRL JR316_0002103 MFSRCHLASRISKSASTSLLDGAPVKSIPRATKKRTCSVNFNSA RRHSDVATSYRAASPTQNIDIEWPSDSWDDIFNELDKVPLKPRTSSFSPRRHDSLYPS RPAPRHPSPHFLSPQPTQRQTLTKREQDTLSEMVDWILKPEPEPSTTNDTQASESSQV APSSKADDLVSRLRRFSRKARMSISPSTELLDKKKEEMSLCTTDQELLSWAAKEVFGQ SAELEEQASMAIATQSGHIESSHLQSPIYPEMVAHLMQTFRVQFGDPNLALYIFDHTK RLSTLSFVFGCSTNAYNELLETQWLLSRDLNIIYNSIEEMIINGVVPNARTRKLVDTF RRQVSEEISSETLLQKRMECLLKIEHLLSPPKQPKGKAFDEWKSGLGHLEEGEEDFND WTPKKITRDQHSNRNKFRSRILAHN JR316_0002104 MSLAVAAPVSAQKHDTPPQTPPSSATKLVNPGLSRQPSASSNKS KKITTQSSRQSTVKTPTRATFTAQDGDDATWGSNFWVTLVDPQTSTSFFACPATGQVS WDPPVGTFVLPPSADGEWWELTDESRGGLPYYYQTKTGETVWERPSGFVIPLGILQNT AVGRRLSQTTFDHFSKLIPISNNKDASQDNSKSPTKSSSHPGGNVVKSAIRKSSSGDH ASNHYSSTSKRHNVISVQLTPIPGSPNATDESCSPSLASKKSILSQNDDDIGKLTVPK LNDSPGRRSQSNNEHSRSPDSSARTRSKGYTSHRSPPPQSLHAALEILTTPSNSENGA QDGSTNAASSVHGYGSSSDEVPSTPSKRHNRDIPPSPSRPIPGTPKYQPTVGGKGISS PILNHAATMQMSPEHPWQHYNLGTTFPILPHALASDIQQFSESDYAKQYFSTHRTGFI FKRRVPVAQLMTYQKLPLTSPLLVLNRALSKDAVKMFKVIQHIMGDREREKPINVRYN SENQTSLANTINNSTTSLSTHTVSILEEERWLISEGLKHGELRDEIYCQLMKQLTGNP IKESIFKGWQLLCVLVISFPPSKNFEAYLQAFIQKHTTQQEGRVDVMAKHCLRRLASI SKKGPRGKPPSIAEIETASDAAFNPSTFGESLDATIRLQERNYPHQKIPIILPFLADG ILALGGTKSEGIFRVPGDNDSVSALKLRIDRGYYTLEGVDDPHVLASLMKLWLRELCD PLVPDELYNECIQNSKDPEACVQIVERLPTINRRVVLFVISFLQIFLEERTQSVTKMT PANLALVMAPNLLRCNSESMSVVFTNAQYEQIFVYNLLLHLRCDELDSQYKPVHGLGA VANVSSPKKSRNRSRRPEQ JR316_0002105 MSTIALISAGAMGANVGRKFVEAGFTVLTSLEGRSEATRKRAHD AGMIDASWTEIIQRSDIIMSVIPPRDAVSFAQRLLKEFTSVPRASKEPLIFADCNAVN VVTIKSIATLFLRTPITFLDGCIIGGPPSGNYVPTIYASADPARAKELNQFRDAVKQS GIKARILNGDGSGIGDASALKMSYAGISKGLTGLFTTIILAAHANSPATSAALLQELS DSQPELLERITRAVPAMIPKAYRWVGEMEEIAGFVGGGEGNIYHGLSKIYERVEESIE GDQTDVETLKAFVAEAKKRI JR316_0002106 MSADDETSGWQLTESDPGVFTELLKSLGLPLIVDDLYSLDSDSL AALQPLHALIFLFKWIPTSSDSAAPPRAGTDDPDFAGFFAHQVVNNACATLAVLNALG NIPSLQSGPQLAELLQFTAGMDPQTRGLVITSADWLREMHNALSPPSAFSLDGLGLPR KSEDAYHFVVYLPVMGALYELDGLKPHAIRHGGFNESGEGWLKTARDVIEARINTYPV GALEFSLLALRDDPLPSLQSQLIHYQSAGDQQLVNEILAKISNENGKRERWAFENSLR RHNHVGLVQALLLALAKAGQLTAAEEAAKKAMKERIEQRKARGEDMDED JR316_0002107 MDITSDSNAAPLDPKAANRARFELELEFVQALANPFYLHSLAQQ NILEQPAFINFLQYLLYFKEKDYARFIHYPHALHHLELLQHAQFRSQMKKDEFLREYL HQKQFDHWRTWREPTSVNATNPEGMVPEEPEAETKPAL JR316_0002108 MERPHPAENSEQFSSDEPLRYRHSYDDEINENTPGEGVHLASIQ EKKRLWWRNAIINTIFIACWFLFATLLSVYNKWMFSPEHLGFPAPLFVTTIHMFIQFA LAALLRYFWPKTFKPRHDPTREDYAKKAVPTAVATGLDIGLSNLSLKTITLSFYTMCK SSSLIFVLGFAFLFRLEVFSWRLVAVILLIFSGVVLMVATEAHFVLEGLILVLSASAL GGLRWSLTQVLLKSKNMGFDNPAATIFWLAPSMGITLGISSAILEDWSSIFRSKFFEG TIRTLGTLFFLTTPGAIAFCMVLSEFYILQRAGVVPMSIAGIAKEVSTILISAWFFGD KLTLINAIGVAITVCGIGLFTHHKYRKSLDSTVPLDAHGNPIVELDDALLLPDENEGY RPVEESIGLTLTREGNDVDYEGDERSDGLVERPEDNEAEERHPLVAPEFQRDSGYTGE TRRGRNDLEQAIQAEDRKGWDG JR316_0002109 MEDSFQFIIESPQHSQGHKKRPRLVTSCDNCRLKKIKCLQPSPE SKCEACKAAKIACRFRDRERYFAERSRAIAGPNSSVYATELRSEPSSTLDAFSVASGS SSPSLSSSDPRSNSHSPKASGMVSADIEHSGRYPSYAPDSRHIGPSHGHSSSISSFDS LRSNTGVPPYNNFVGHTPPLYPTVSRNSYQGDQRPIQLFDPENPQRPHPNLMTHFIQV FFDRHGQDFTFLTYQDVLADFWEQRLSLILANCIAAMAVKYSNISEFSARDLHNVAEN YIDIAKHLLTSMAHIPSLETLHGLMLLCWLEHNHHRLPGFRTYYGMAGKMANDLGLQD PHNLELYPSEYERSRRRATWNGMVQLHITANSFRS JR316_0002110 MRTTTENYAMDSYQNLLFSIARFQEFTGHFPTKITIVGYEFKRQ RFTELHRKAIKWPRNKFYYVGVDPNHDGGTNAIEGEKKNGYLPYSLDLYGCHSLLINK RRSRNPFARYHPYHTSSPEIASLLDWCPGDAEGGEDTLFEGDLPWAKIQKTISRDT JR316_0002111 MQLYCVRHILKGKNYKPSFRCIIARGRDLSQLNLGAKLGANQPP PLAFAFDIDGVLLRGPDVLPAAKRALDILEGNNPFNKKIPYILLTNGGGVAEHERCKK LSAQLGFPISPSQYCQAHTILRRSAHEYQDKPVLVLGGELDTVRQVAKGYGYQKAYTT LDVLAWNPAVWPFHKLSDIEKKCTEDVDFSNTPISAIFVYHDPRNWALDGDNSVQVAC DVILSSGIIGGPYRDLDKQKEPVKLIFCNPDLLWKSDFDRPRLGQGAFKTAFQAVFKD ITGAEYPHVQYGKPTEATYSFAKELLQERIKDLYGAETPYTPRM JR316_0002112 MRAPALRPPPLLLQEKGRETVIPNRKVVHHRINRTLVSLLMLLT VACLTCFGSAYYLYTTRWDIRIVSPYSTSNAVSEVVVSDMDIDDTDFSKKYLTYLPHS GFHNQRIALENALLLSHLLRRTLLVPPILLGNKPLRYVEYNTLFRHHELSSKEGLGHC PGIPVYISLPVECLHYFETSYVPWTWLVDLSTLSAHQSLFHRPNMSQAWLHARFSITS TDVYVLRDDTPYQFRFLDTLGDSSPPTDKYQEDVYITELAAIPERLLQIGTLFGTSRL RLKNSDNIAYLEMVRRKMIFANLDLVAAADSISQSLHYSYLGVHLRSGDGKFKTAIGT TVKAIWWNILHSMLNFSLAQICDVENKILGDMSACMNSDMKHIVTVNSNAFPPNSSWP LAYKGPCRWSRHREQKYDLLNTPIYVATDLQFPETHPEMLIMRQTFPCLFFLADFKKE ITPLEKLVSPYDGVGLGQFVLPFVDGLVLGKAVNVVGTEGSTFSKFVKEVLWNNYTAS VLD JR316_0002113 MSTIETNAKLSVSTEDVNNTPASGQANGGLSTPLPQSAIEGFDR WIKDFQKYESVLNEMAKVTADPKFKEELATIEHWFKVLNEPERTAALYTLLQSSTQDQ LRFFVAVLQQMIRPEEPKAVPNSRKAPEPAAQVKPKLGKLAFRPPSLNIDDLESPITP TPAHEQTHHSLLAQAALNREAIRQNTPATESWANMVNTPLIPMFQKDVGKEAKPAAGS TNSLPGFSGINPYTLNMLANAGLSAEAQVLAAQLVMSGLVQPTGLAPPSSAKPKKAQG PTNWRTPTSARYPASALRSSGLRPSSGLKSAGLKSSGLGPTPATPLSAVDSPREEEFE PEMLNDIPTWLRSLRLHKYTSAFDGLTWQEMVVLDDATLEAKGVAALGARRRLLRTFE HVRKRMGMDEPTSATPTTSAIPTGAAFAAAPEVDRVPHSALPRSKLSINSPIFTPSWD SSKVPNSATPTVSATEPPATTTESVVVASSSPA JR316_0002114 MPNETDNFDPLSLAIAPPPDETPEERIVRELAEREAVLRSREID AELKAAKVAMKRYKNAIKILVLGQSLSGKSTTIKNFQRKYAQKAWADERATWKAIILF NLVRSVNIMIDALNRAPVYDPQKQAASPIIALGGALLAERHQSIILRLAPLREVEKDL KLFLGAGATEVEAQTYTTPNEQNQVMFEFKKSNTQEFCVRSSSGWRGVIDRIKTSQNG KESQVHRVVSQVVDSCKADILWLWKDPVTQSILHSQRLRLEDSPGFFIDDIDRIVATD YEPSDHDIMRARLRTTGVQEHHFMLDRTNGTKLDWIMYDVAGIRTSRAAWVPYFKEVT GLLFLAPISAFNERLEEDTSIFRLEDTFMLWKTLCGNKLLSRVQLILFLNKTDLLRKK LEQGILVKKYMPEFDKENTYENVATWFRKIFKKYFIQHSEPGRSFISHFTSVIDTEAT SVTLIAVQSAILQHDMAEVGLL JR316_0002115 MTSNGHPSENTRLLSGRRDQDTENQAPTACESNPKVRGVVWGTL TLLFVIAVILLVGFERVFGDAFAPWLGKLPKDPMLAALAILDKAPVIDTPRLQLTEIR HLSYDFEDLPILVRAQYANNASAVDLESEMPGHVDIPRLRKGKVGGFFWSVYVSCPDP DLEGKDFLGGTWRVRDTLEQIDISKILINKYPDTFALALNTEDIRKAIIGGRIASLLG VEGGHQLGNSIAVLRQYHALGVRYVTLTHTCHNAFADSCGFFSGMIPLHGGLSNLGRS LIDEMNRLGVLVDLSHTSDATAKQAISHSKAPVIWSHSSARAVHDVPRNVPDDVLELI GREEGKKDAVVMVNFAPFFVAEPNNATVKAVADHVDHIARVAGKQHVGLGSDFDGIGD VPEGLEDVSKYPALIAELYSRGWNKYELAGLTGGNLLRVYEGAEKVALELQAAGTSAV FDLYDKRTDIPKEHSDL JR316_0002116 MAQVIVVGGGLAGLSAAHTLLERGANVLLLDKQGFMGGNSTKAT SGINGAGTNTQKDLNIPDSAKIFFEDTKKSARDLARDDLIHVLTGRSGDAVNWLQSKF GLDLSKVSRLGGHSQPRTHRGDAQFPGMVITYAQMERLEDLAVSDPERVKIIKKARVT KLVKDESGAVVGVEYQHAGKTESAYGPVILATGGYAADFSEDSLLKKHRPEYYNLPTT NGDHCTGDGQKIAMAIGASAIDLEKVQVHPTGLVDPKDPQAQVKFLAAEALRGVGGLL LDNTGKRFVDELQHRDYVTGKIWENGKYPIRLVLNGSASKEIEWHCKHYVGRGLMKRF DSGEALAKEFGLSPDVLKKTFEDYNQSVRTKKDPFGKKFFQGEWKFDDFFHVAIMTPV LHYTMGGLEIDPESRVIDKSGKPIPGLFAAGEVAGGVHGANRLGGSSLLGCVVFGRVS GDSAAAYQLQTTSAAIKKAGGRLGALAGQLGSSSAAPAAAPAPSAPAAAPAATASGEY TVAEVAKHNKKDDVWVIIDGQVLDVTKFLPDHPGGEKAILLYAGRDATEEFNMLHDPK VIPRYAADSVIGKVKA JR316_0002117 MTRVILIGVGGATCSGKTTLAKHLNRILPDSVIIHQDPQELVPF HPVHNVQDWDAPAGAITWPRLIQFLHKVKQTGQIPPDHRSHDHLNEQKEISVDASVRD KWIAEFEKIKRDIEAADGERIVWGLVDGFLLYWHKEVIEQLDVRIMLRVPHDVLRKRR HERHGYHTAVQSDPEGSLWRDPPGYWEDIVYPAYVEANKDVFEDGDVEHGKPTNKVEG LVLLESLNISMSEAVERCCQVIRAAVAKDES JR316_0002118 MYRTQAIRIARLSLTSTRAYATPPPPPAGQPAPNPMPPKSDNTL MYTALGLAAAGGAYMYFKNPDEVNDLKAKAKQDEEILKHKSKDALEDAKARANESYQR GEAKVDRLKAEGKENIDSFRSSVTDTRGRSIGEDLEAKLNSAKGATQDSLTRARESTE KLYDDARTTTKDGLTRARDSTEHLYNDARATAEQKAADARQEAEKKSEQAKAGWSNWL GWGKSEVEAGANKVENESDRLKREAAQKVANAAGDVKRRAEKHT JR316_0002119 MSKLFRRSLEEGDEDPIALALAPPGNETPLERQERLAAEAEARQ RSEAIDEEINRQRMEKKKGPKCIRILLLGQSESGKSTTLKNFQLMNSPKAFRHERASW RAVVQLNVVRSVRLILDTITEAQAASNPSSPSSAMLAIPRQRTESIESGSVQIRFNPE LLKLKMRLLPLQQVEEALLRKMTPAGSAEFEATHLSPATNLPYSSRAGKFKEIAINST AQWKGAFGRLMATARASMDSAADIDFEDPKDPGVILHACAEDIIQLWNDPTVKELLKA KKIRLESMAGFFLDSIPRITALRYVPTDDDILRARLKTLGVSEHRFKLKSGQFYTIFG KMHIFSNGLYKEICYIMTGEYSMLAAHVPSEVSRLSVLHYALVLNLSVTEAWVPYFDD IDAIIFLAPISGFDEVLLEDPAVNKLEDSLLLWRRIVINPILKNTQLILFLNKTDLLK AKLDSGIQFGHYVTTYGNKPNDYESTSRYMRKKFSNIFTQNSPKSRLFYVHLTSMTDS KSTEKILMNVKDMVIRDMLQESSLL JR316_0002120 MSDVLSNFGCLDELTQVIYQSIYKFVVLSTVTDDKWNIFIGLSG TQGRWWRGCWTEDDIHRIFGSKSSDKLLESFAEKLAEAFIEGEMCISDWSPDKGADIK FTLGPTSRKPMHVSLIEMSPEEAAAHATTIFIEIAVQAQSRKCRLYPSSSTEHTPQTF TPPTTVHNVSGGLSSTSKRKETSPEPRPRQESVERSPPKTSAIKVTKDTKLLKSHVKP PTRPQPGPSTQKPIARAQKGASLANPNKKARTYKPIEFESDDE JR316_0002121 MSFAASLFGTGESSSEAKNVESMFKQIMDVLHQNHRDISELRHE CAELRMANASLERQVREGLQYNMYNSRNGTPGFMTPAPPSPQLRPKLSPFLMHSIPAI TTTSPSPEHNYYRPSPLGDNTLTAFPFVNRDIPGFYVVIPAGGAGTRLWPLSREGHPK FLLDVTLKGRSLIQATWDRLIPLTSAARTTIVAGPAHVKSIRDQLPDLIPDNLFCEPG PKDSMAAIGLAAAILAQRDPDAVIGSFAADHMISGDDAFLSAVAEAVEVARKNYLVTI GIAPSHPSTGFGYIRLGDKLAMKEAPNARLVSSFKEKPDARTAAQYIGTGAYRWNAGM FVTKATVLLDLLEEYKPELADGLRKIAAAWDDESLRETVLSEIWPGLEKIPIDNAVAE PAAAQGRVAVVPATFGWDDVGDFSSLADLLPAEANQPRVLGDHHLVLTEQVAGGIVVP GSGRLIACLGVDDLVIVDMPDTLLVTTRARSQEVKRLVKKARDAGWKQLL JR316_0002122 MATQYPVAGSFNAYSTRFFSPAYGFALSWNYWFNDAVSVASDLT AAQLVLQFWSIENAWIISIVFWLLLVGVNALHVGGYGELEYWLSSLKVATVVLFIMIG TLVNVGVNPERKFIGFDNWRIPGAPFVGGFGGFARVFVTASFAFGGTESLGITAGETK NPSKNMPRVVNLVFWRIMIFYILTIFIIGLNVPWDYPNLSNRATTTSPFTIVFVSAGS SVAASFMNTVILTSVLSAGNHALFAGTRVLYGLAVTSPPQAPPIFARTTSAGTPLPAL LMTSSISALCFLSSFIGNGELWGWLQNIVGVSNQIAWLSIGLSSWRFRKAWLRQGRSF DELKYRASWTWPWGPPFVVGTVSLLILIQGWSSVFPVFSASDFISFYIEIPVMVIMLI AWLLLNRTAPETAQSEAQMAPELSQLLPDSNNNLGIKPMPRLSDLVDVNVVDLYRDEY LEVEGDFEVEDKVTKRLNGRLGHLWRLYYWII JR316_0002123 MALTDDSSSFERELPPPPISTSRQASIQSLHSLSDAPDHSTDYR SSFTRDRSSRESRRSFHNEIELRFQQMHSRLQAEKRRAEVAERRAEDAERKLREITGH LKAINDARLETLRDAGRAHEELKQVLYRVQLDNAQQEIYRAQDIIGVVDRQRYNAEKD AEKQRRKARQLQETVLIQKAREEAFQQGLQEGLARGRELTFGEFQYHGGEEVREHPHR ERVDDNYSPQGSRSSSPERNPPNHAYPSMARSIAPSDHSRAPTRIRASPLPANSPLPI NPPVQPLPHPAGPSIAPPSIHPSEKSRPPSVRNVGLPVHPPETVRPVSIRKAPSINES IRPTSVWNAPSLHGSENIHPIPIRNISHTPRLSNAIIPPDNLIPSMDADNRIRIPPPF EFQSDFQKTPEPSVSELPVMPEASLEPVPIPPRVAQQRKHNSHSRNSSSGSNSSSLSQ LDIVNFNPALRTPMSVIPEVNSVYSGSPQPRSEATAQSVRHQPSLGGNSFKHFPATVN TPGPENQSQFRPRSRSSFAPSMAEHPTQSRRESRISTSSTVPNIDIQAPSNTASIKTD SQWGEGSGRGATPSSQHASLPNIIESEEQGSNASAYSYGEMPGSYVNINSSAMMDPPI IPKNIDFDEEYKDDDAVSSALSADTLTTPPGYHKKL JR316_0002124 MEPSKVSFTIRRPTPVSRAASSGPESDAGSSFKIPKLPPHIHGE PTPIGSPLARNQDSPAPREAHTYDSSDEESTNEDELVTGFDKFGVQRLNGEKKVEKPL IIPALKNKDWRELARKRRAATQYVPPSAAAQTGKDGSVGGLGTRDTINSGPVLSGLQV KQKANLVEDEVASSETEEVKMEIVEEETDDQKALRAILAETSGDSHYDGPMVDIIPTP ISEADALKQDVEELPDAATLEDYARVPVSQFGAALLRGMGWKEGTAATRKPGKGLIEP YLPASRPALLGIGAKEQEVYDDGSKKNSKAKRPERRYVPVIKQERADSALSKGGSRQR DRSRSPRRSATSSRRNSPDRYSSRTETDERRRYDDDRRRDTSRRGEEDERRYDKSRGE DRKRDSDKDRRRDERRRDDDGGRRRGDDRDRSEKRREETYDSSKRRRDC JR316_0002125 MSQARISKALKHLYRLSTQRGQLAITHSIPKKPQALQFQRSIST TIPRHAALATSLPTQPTVVLHSPSPEHIEKEELDIELLPLEQVKLEITDRAAEQLRKI SERENNQNSALRISVESGGCHGYQYKMDLAKERSPDDYQFSHPSIKPSNILVDAVSLS LLNGSTIDFATELIGSSFRVAHNPQAKGSGCGCGVSWELKE JR316_0002126 MKAFNTLLVSVLLTATANAVPMGEIQARSSQVSQRGEATADNAV APQAWSGYNERRTEEASDADNAVAPQAWSGYNERRSNTETSNADNIITPQAWSEYTEK RAEEASESDPQADDAVAPQAWSGYAGRSSEEASDADNAVAPQAWSGYAERRAVEASDA DDAVAPQAWSGYEEKRSEEGTSEADNAVAPQAWSGYEEA JR316_0002127 MSYSKSHVILIAFAIDTPDSLDNVTTKWIEEVRSICGPQVPVIL VGCKADLRPSNDEPSAPDNPRQWVTREQGERVANIIGARAYKECSALKIEGVDDVFET ATRASMLMRDGAPSHLQNSDSSKHHRRRSSGKGTLPQDEDSKSWGCCAIC JR316_0002128 MPASMQLVKIWTIILLGIGYQTAAQSPPPSNLPPATPGVFTAQA SIIIDAPIETAWEVLLDFPSYPEWNPFVRSQVVTNTLFIPLADQTPHESLRLIIQAQI PPLPLPVDADTPPNLLHSQTSYENITTIDASSHRAAWRQIMIPGAVLNAERWQALSPV AGGGTYYEAREVFSGPVGYVVETLFAEGLQAGFEAQAVAFKARVEGLIY JR316_0002129 MSSSKGKQELKVSVLKGQEAENAVLEYLKRMNRPYGAVDVAANL KGAVPKTAVQKILVALAEKGELVQKIYGKTTFFVYNQAKIECLSPERINELKKELAAI DDQIQASSSEIKSYSAELAKIKATPTNNELTSQIEATKSRILKVNSTLQPLRSGAPPI TAEELEFIYADWTKWRAEWIRRRKVFITFWQLATDSLPPQDAQILEEDLGIEKDSAEH VALEQSSLCLPQGNSLKRKRL JR316_0002130 MAFQTRLNGDSTPYRPSFLTAARHLYHEGTITPMASSQAPTSKN LLDLYPILKFYRGFTVTMMGMIPYAGVSFLTWGFLRSHFLAPSTTGRPKATPLADLAF GALSGAIAQTASYPFEVTRRRMQVGGISRPDRWLRWGETVNAIWQSSGWRGFYVGLSI GYLKIIPMTAVSYAVWQSGKRILNV JR316_0002131 MDSFSSDSAGGKIVKEKKTRRRLRLSCVECTKRRQKCDRKHPCS LCITRGVAHLCRWESVPVARPPPARPPISALREASLPTNHDQEELISELKQRIATLEH ELSKAREQPASPYHTHSSGSRTQSTSPSCNATDVSTNSGLSDHLSDISHRQSSVEILH EQSFRSTRGSPDLLPVASPLNDETYESISYLAHLSLAHHGEFIGRGSVICALHSMTTR RVPRFLYAKSTDPLSDFREPVRRFSDISFAGSVEELVALLPSMMVVETLTSAFFAEVN WRYAIPEDWFRDARSQMWLSLQHRRSQINTNWLVLLFAVLASAPQAAYDEVEPYAPVR TNDDYFMCSILARRLVEDEFLNVPNSSVMVSAADGTVLGCLAIPMLCNYLAERGRISE AWKLAGQGIRSAEAVGMHRDPEWRLWQMMSSDEKLLRRRAWWGLFIADKVYSYILGRP QILRPETFEVDSSPSPNPNGTRNIVNIGLNVIVSLMELLSNAIEKCFNDVSPSCSAFF QMDRTFEEWEERLPTEYQRGSDSRLLQDYSPAELKLLALQRYFIHTWHMIGRLKFHLA ITTGLGSVPHSPNDLRRSMETCVTITLQIIRFQTATYQASLRPSDDSYAFAYPVNCWL FEGCFSLFEASVALITTMSRLRWHEMEAETNSALDSAMFVFDKVAHREKGKTKDGATR AIEVLTTIRDQGWLGKGERLRLPRIKDDPESQEIQGVLDVEKDSRNDFPISGVFASSS HYSMGPMHPSDIHLPKFSQLEDFVGYGIGARAAADHMDIVHVGNERIHHL JR316_0002132 MPVPMRTNFQKAEAKYNVTTEFPSLGLHSAAATGDVGLVEYALN NGQPINSVLDGVLPLHAACAGGNVQVVKSLIDHGADVNAPRLPRKYSNDKNRDASAPI VGTSGSTPLHFAAANGNTDVISLLLLHGAHPDRPDKHGVTPETLAQQNGWVECAKVLR EWTANKDRDLIEREGSRGSSIPNYPESSSSTPRRRLHVKQSIDTALNMLKAPDAASRA TQSMHATTPPASPRKPFGEYTFYPSSNSSVEPGSRRPSLPHVLQPPTEEFTRNRRPSF NSTSQDIQRRPSSAGHDADRSQEPEQMYPVYGRGGSGRRLGSKYSLLNLFKKGQSQSS DGMDAPPALPASSSDSGSYWDHSSSASGKHIGSSSNPFSGNLSGPTPSPDAFEQTSST TPGSVSRLVSRVHRGSDASTKGSRLGPQNHSLPRKPSGNLQTTQSPSRGNVPLAVELH MALAHQQLQNRGQSNTPSTDDADKVKPSSPLAKMSLRLPAHNRNRSASSGSMPIDSSG SQEAAVCASTSHENDSGKPSPSPRPGILRAHNRIPSSGPGGSPVNSRTLRFDSSSSNP IVDRRAKDSPRSSSLPLRSFNSTGSLTKLHVQTHDHESMGDRYNDDHQDTHRVAGAKD LEDGDGDEDQDENYGQPITDGPLVGSPNLPSVLLQRQRGRSFTSSSESSLSPILTNEN VNATDPSVSILNADFPFSINRPPSLLAEDPSENFSYNAEHLHVPQLSDSRNRGDSLSS NSTSDSRGNMMSSSSTSGSGPSVGVASPGAYDNSALPSESYEAYGEKHGIQGSPAVPI NIPGLNERRAHSPLDIDITSISSHAQAEALVERARQEVLDIANSQDASSPLTGGIGRT PLSARLAAYGESLALERKLREQKEGEGNKQDGARIPLQPTNGLPSAEQSIQTRTSSSK GREGVERQLSLEAKPSSPRPKRRPKEPRRPSTAEGLSSNNQDAFFSERAFSHHPSHST STSIPNYSIPPLTQGPNRNQSQSLGNINVPLKNGIGESSLVASPAALAAPSRASSIDE ADTETDSIPTLHRVTPSTIPSTRSKSSKKLAKMGIPVTEQAAAASRATPPSAGTLPNS KRFGIKSIMQTLKGRP JR316_0002133 MSTARNQDVPLKEPSLLPFPQGKLTVEHRKQLIVIRACLISWLI ARSDVDDNVPNASNNLEKATEELSKLQVQAPFAFTPSPTYIFRSVLLSCIKCYWIALV ESLDTPEKDELAARLSLVPPYGQRIPKLNGKKCVDAPADLNEKEYEGLMRVLTLVIVD LTSDDVMKMWRELAEVGVQTWEESD JR316_0002134 MHSAGPCGLMMPHKYTGNLPEIFQSQAGVPDFKYQQIYGDVVRI KGPFGEDRLLISDPKALQYIFHTSGTLPAPSEMTVSNFGTQGYGFLKWPERTEISRIL MGRGLLWADAEIHKRQRKVMLPGFGTPECKAFVPIFRRVGAELSAQWSDILASSPDQS AVFNVASWLSRATMDSIGEAAFDYQFGALRNTDNEFMKAYMGLMSDTLGSPPKSAIFM QTVLPIWILQLRSKFSRSRTLVHARHTEQLANAVTRKLVDSKAEALLQGKGNKDILSL LVKANASETASIRLTDEEMMAQMRTILLAGHETSATTLCWVLLELARHPDVQQRLRNE IRETEIAIHARGDADFTAADLENMVFLGAVIKESMRFHPALYQNYRQAAEDNILPLSK PIKTVHGNYVNEVPIPKGIKIILSIAAYNRNTEIFGEDAHTYNPDRWLRQSGEKKGPS LGVYGNLLTFAGGVRTCIGWRFALYEVFALTVEIINNFELLPTPELDRLRREACLVML PTLEGEQLKGENLPLRVKLASRD JR316_0002135 MTSRKIEQPLAPGLHFIGPKKHPKWVEPPYRTRQFEGDRRRYNH LLHGIDPDVHTLPKAEKRKREAFDDGDDESTQGGHDRPPFKKIHKTRSYHIKRRADCS SLSRGKKHHSYDKDYRFYLKGGDVYFVIDNTIFCVHKKKLITSGGYLADVLDTNLLFH EETIRDRPVLHLDTLGITVRQFRFFLSFIYGTIIILRTLPSGKPLLYFEAALEVLVAS VKLDHQSGREAALEGLETLFPSKGKPVPFKPTIAGCRSNDEERLFYRTFPLQALPVLE ECCVPAMLPMAYYHVALLPHEDIVNGVHTIDGKLLKLGANYIDVILKAQKTLKSIRNK VLFKWLYDLVEGDKKLGPRKATLKCANQNMRGNIPCFPFLVRMLELSNANGYTQGTNC LEGFHDKAKEIIGEHLCYICLEYVLEQANLCLEESWERLPRCFGLPPWEKLQKWQQTF AEGFAGNYT JR316_0002136 MAQYFHRSAFNKTPRLCASLSSSSRHFIPQHLHPNAIRFMSSQR SYEYILVSRPEPAVVLVTLNRPKSLNALSSPLFAELNQALEEADKDDSVSAMVLTGSE KAFAAGADIKEMKDKQYADVYKNKFLEDWGKINQLRKPLIAAVSGYALGGGCELALMC DIILASPTAKFGQPEINLGVIPGGGGSQRLIHAIGKSRTMELVLTGRMFSAQEASQWG MVSRVVGEGEGEVVKEAITMAKEIASKSQIAVQAGKEVVNAAYEMTLAEGLRFERRIF HGLFATNDQKEGMAAFAEKRKANFTHS JR316_0002137 MSKPFFIYAPYYRDEGLLQRRSEVRPVHLEHMTRLINSGILRVG GVLTDPETDGNLALHALASSMIVMYDSLSEAKAMLESDVFWTANVWDKEKLVVLPITP AVPLP JR316_0002138 MSTSSSATHRFFVYAPDKTEPGTLERRLSVREKHLVGAKVSHES GFIRIGGAVTTPDAITNPDAPKNMVGSTFLFEAESIDQVKKYIENDIYYTSGVWDPEK IVILPFFSAFPLP JR316_0002139 MQLESDNDDCVWAGSLAFLNFHPDFSSPEANVVLAAKNANVYFR VHAHTLKTVSGFFRTMYSLPQSKVTFADIMYLDEDAQILERLFSMACGLPFKEIESYD MLESLLFAAEKYDMPGPMSLLRMFLLTPALDSDPIRLYSSATRYGWKNEGCILSHRTL SLNIHDKRHQSSLRKLSTDALLDLITLHRDRRDGLKECLDSPPFVSGEPSRCIQCETP INYTTWRELKHRIVAEMDLRPLGDTILDTGLCTWPEASACWRAACSNEGCKRLLYDRS ETIRVIRECIDKQPKTID JR316_0002140 MPATRTSSIPPRSRHAPVPSTPKSVREAPFDSEVISLASSSDAE NSIVQPTLRRQGKPRPIARPKKNTAPVPAEEIIEISDDDSPAEVSTQASVIADFKRQI NRLREESMKHKRECERASRELREVKDENRQLHALYKPDKGKITLVRKLKTFLL JR316_0002141 MKCLLNTFDRYETIWSCMDMMPAIVKALDNAHQVWKLRGVQSRP LLALLTKFDRERYLEEGSRERISSDVAAFTLALQPIGEQIDIVPNVLPEILLLAGDPD PNAPSILSNSLWIKYRTSTDWAWKVWDNTVASLRQIPSMMSELEDRRACALRYGTFLW RVDQHLPEGLDRDVSEWLTGPGRAEVMALSVDTWDVLKTVLLFLTVHGALKTTTILEG LIYPAWQLGAAKSLGQSFVSETYLSAANSLCFNLLLQEEATNGTVPPTDLFELQGIRT RRQTVYDEPHFSLLVASIPTLISLENNPDIPETLRQETTTLRCRLCQESGFRRGAYRN LEIIRDAFENSPFLLDEDPDSEHLRKSAIAGLNMILCDSTDETNIYDWPELGNLLTPW KIAATTIQMQLQVKQLGRALNHESTSEFAISNLNKIASMLFHHTKTAEEAYYVGEMAR GADSTVAAKFINNGFQCIVELLVHSQSDRTLPGQDSLRRAGDLLGILIHVSQPFRDGP TLPSVDPPIHEAFIAALEISFKSLEKELFNGHVKSQAKENLLLLIRLLQFVLSYKNTW TPKNKVARLMSWQHYSVGDDFDDNIYPILIDTLLVLLDELQCDSKSIAFDPFKHYPNT SIDNLPTDMPPEHRIQFTTLLAHNQPHSLVMHLVHAQRDAQGNVVYGIPVVNKPWEWI ENLGEPIITDPKEEEREREEKRRLKVKYLIKNSGSVSLEHFGARFTGEGMTHVAPSDE RASQENCARSFEDGMTQNIFIRDWRETRLESEHSRDPVARLKADFDSEPVFSIDPQTL QASRTSPTPSVISRSSTQATSSSLHQSPTSRSRNSNSATVHEIIDVDNFSNTGTSTFK ANDTHKRKASVAAISDDEVEIIEGPGLSRSANAKKQKAGKGPVAGKTKPRKK JR316_0002142 MREKKEDKQSTTLPVYESQPPRWLPKIHDTADLGYVGFHPPRPG QDEDNLSENNVKNGFILAQAVPVESFSAQSVINETLRSTDSMSKLEQLMNEVFIRRAE RIPPISSSTFRIPTRVTLNDAKRQAWFADLANPDVPLHKLGKSVPHGAKGHDLLDLLH SNNVEISRAVWFLRVFGANETAGLRNKPTYIPTQYSIDWANIVTGYMKKQLLEIALPS APRLGLYIKQTFKGVLAETDTRERWMSRFIYCLKLLRTFYSEDLVDHRTFLTWLVQQM ITCNLAQAGFLTRLTDEYLCDILCSRALSKPLVEACLAKLSEIRSTSARDFLRDTEEL LKVTLQRACMILPDAFVSPKMWNIYSSLLEDIMGEDLINLHNTSIIEPTVRDINYTIR SHLSDIKKRNQALLFLGPIAQVSARLASSVSDVKFLNAISADTDMNSLSYFKRDPNDP SFKDKLDLLLTWSVTPLQYGDHRPYAAVTLIRIWRQKARDRTSRRDVCSPDEFLQDQL FDWLDLSEVAGDTSNIRHVALLYGKLVKHGIFSYASYIQRLIARGEVGLSTSELDTPI QLNTVAYTPTQGYFAWNKSARDTGRYDRAGN JR316_0002143 MRHSVAPNRASQVSSNANATAAAVAKLLEKKKEYEGVAALERAS ALYLERLEALGDDCETMAKAGEVHGQVLTQWPKMFQILGQFLEAQGTQEPEDGGQSAT SSSMGQRLVRIPIEELQPGNEKS JR316_0002144 MFLRYIRLLIIIFFIFTVTTFIIIVPVNAAYIPIVNKGLDRISW SNITNPNDQARFAAHVIVVYLLTAVVVYLIRREMLHFIHMRQQFLVSKSHSRLPQART VLVTGVPDELANERDLRTFASFVPGGVDRIWLYRDTGSLNDVFERRVDACSKLEAAVA EVLKRATLAWGAKNKQYKKSQFRKLKDAECTGEDGELAIPEAKQELLDELVPIDARPK HRTGLLGIFGRKVDTIQWCKEEISKLNIDIKSRREHIVEGKFLGSAFIRCNLQMGANV LAQCLSYHEPLKMENKTMETHPKDIVWRNLDDGALEMKSRYLVSWLATAGLIFVWAFP VTFIGTLSNVSDLCEKVHWVQWVCKAPTIARGLIEGVLPPLLLAILFALLPFILKALA WYECIPRYSLISVSVYRRFYLFLLIHGFLIVTLTSGITNAVEAIIKNPTETVQELSSR LPGASVFFLTYMVTQGLAGAGSALVQLAPLALHYLRKWFLGRTPRQAYEVTFKMPSAD FGTLLPRLSLLATITFAYSVLSPLINLLALITYWMFYLAWKFLLTQVFDQPDEKETGG LYFPMAVNNLFVGLYIEQICLACLLFLKVSSAGVTALVEAIFMLILLVITACAHAFIS NSFRPLGQFLPMSLATKKMASRYRRRAEKKGITLDDNSDNEMDLFRRDRIRSVRRRIK ATTKKLDGKLDQLKAKVQRPSTDSHQTEEHKDVSLHDTVVAETPANINENNNGSQKLS RKNSSTSREERKSSPSLKLPTFDAPAPAVKDSDTSEDEDDDNEHAFDHPSTYVDQVWI WIPKDILGLSDFLVKDLREAGIEASDVGANMDAEGVVEVTRNPPDEDWSGGHDL JR316_0002145 MDYLRTLGSAAVSTLVQKSGLNLPFSLGTKLTQVEGYYTIYDAT KRDDGSLVSVFEYDFSDSKKSSKPFAQNALRKLRTTRHPDVLKFMDAVESDSSIYIMT ERIRPLSSVLPQYSNKSAQEKEDWLLWGLHRISVALTFLNDQCISTHGKLCSNSIFLT PSGEWKLGGFELLSNAKDEGALLYTMGGLLPGSAAWASPEVKKSGWSTLKESDPASAD AYALGLLLHAVFNPNHPPPPTAEPPHPPPAPASRGAIPASVFPCFKKLLNPNPKGRLS PKAFLEIGMAETGFFFNNRLVKVCSGLDNFALANEAEKTLLLKTLKESASSFPPEFAA YRVLPSLLSALEFGGASAAAILPLVLQFGANTSPEEYPKVILAPIIKLYASPDRGTRM ALLEHLPEYIDKLDKKAVSDKIFPHLQLGFSDTVAVIREATVKSIILFAPKLSDRIIN NDLLRLLAKSQTDPEPSIRTNTCILLGRLGPSLGYNTKRKVLVPAFSRALKDPFVHAR VAGLMAFMASIECFEVPELASKVIPNMSFTLVDDEKLVRDQAFKALEIFVKKLEDHAS KMPATAIVSGSTDVHNGTNPTSTTLVNSAAGAAGSLAGWAINSLGKKMAVSELIAPIS SVPGKELERSTSAPDASSLSSHIGELTRPSLATTTQSSQTLPNSPSTSKIKAMQLGAN KVPGGLSMGTLADDLANEAAAASASVEGNPWGSDDLIDVHADDDDWSAFETAPTQQQV HSPSPAQLSNNNPFSQSSSWGLGSSIPSSGPTDYLESKRTNTLQRAVSPISRPYSSQS SRPISPPHDQSWEESGNWESTNTPSSPSPRIAATAPVALSKEDKALEMARRKEERKQR IAMLKEQKKSAGKT JR316_0002146 MDSVVAHNDMTVDLPPTLMGAFPNGIHQDESMDPSVEELEKELP VVYDGQISLGDLISRVVQSIYAELSEFAETMPNMSKEARKRKLADWVVHTKKQVVKLY AVAKWSRDADAVQKCMNITAFLMTQNQQFDDAIRGLNYGKESLDPARLRNHDLLTSLD VLTKGSYLRLPTCIKKLAIPPTPLTDAEIHKTLLDMEATIRYRLRMTEIIPVEMSDYR IGAEKTSGWFCTQVEFLINVGGDLTGLQEFPRTPVGIIRQHIVDEADNRLGYYYEGPI SVAPSLEAPHRPRLPQGVIDTPLVRVYNFLQMMSLSYQLEILWYQAERMRSLGWADYL KFLHFFLNNLYLKVIFRRTPGRHKIPPLGGTLTISIIETHAPPQTGPGPARTSRQRVA ARLQQKAKLGRAKPSDEVEGLKFSVVWEPTKGALGVIVSAEDTHLPESVLYIDADNID FERLLRMTIQQHTKALLQTFQNQSQHGPTKVFSQPGVVTLISESTSAFPMSLQALRVQ LCDEEVVIVTIDPRTGKLDLRDTGDLAAAGRGPRFRVVTDRLNENPAALFDALFTMRG STIIDLAEQKANYLGLQVYRRRSFPKQEMEKLGPEHTWSLFIQLANFPSHYLVLVVAE ERFKFALITTKPIENAPFTTMVMEDIAWLDFDRIREAAFPSAARSSRRTLNKSEDITS HNAPVDRSDKVAPSRARVAFINVERQFKLRGIPFTHVNPATSSSISPELTWIQSSLAR SVPALCVQSEHILSGAPAAEAAMPNIRVIPLNWWSSDKAQVVTCVKLKYVQQPIGKKA SGSAVIRPSKRIIYDTTEAVVSFLSENVNTCVDEFLEEWAKVSKMVVIAREVAQMSSH AKWRDVRLLSFDLQTVEFAYAESYTVSITCEDQLSSTGGSFNLEFSRIEPEDQNAMET DIGFDSFNPHEDAEPFFRDILRHAHGRLAPSLHYLVTLLRNTLPIVVELHKIRQDCDA KGKHVDVFAKAAGWYRLLYGDLKHGLDFRLMTSQRVAILDASHSLFDAGKQNSLVDGL GLQPIPRLREIISEAVRKGLTSGTLPVGKIAAVDVGVVCNGGSIGFLTSAIHDQILKE LDSNMVL JR316_0002147 MASMRPVGTRSSLFSHSTPLFYACYLLKSVQTPQSTATYIGSTP SPPRRIRQHNGELTQGAKKTRSKRPWVMQMIVYGFPSRLAALQFEWAWQHPHISRHLC DANGRMFGMRAAKLLKKNVTIVRTMISLHPFNTWPLHVKIFTKEVADQWAAADASGIL PLPPGFTCSVELEGVDGRSGLRGSGRKGPISVDDAEFTSEILSKSRKIIDSGRPLTCS ICHEPIDDFATEPTKSGLCPSSNCEAVSHLECLSGHFKKEQPEITTMIPRGGHCISCA EYTLWGDVIRGCYRRLPPTDPSGDHPDVATDDMFVSDDDEELAKTPNVKKKAQRSSRG KGRKLSKAANKTSNDSTNGSTSSEGESFDFNDISSSTQGGMSTPLKRGVGRPRKVVHV YSPPVRLMSPLTENNATPMPNNRKKRKGKERAVEPTSSDMENVFGNTASKPDGLSLSP TKRRRGRPQISSPSPSSVNVSEIASPGTKGKAVASPKSKPRELTEKKSNRALSSRRST SSSEGEFFDFDNIASSSESEPLPVKRKVGRPPKDRESPIHRIPPVVSPSPKIRLRTPS PRIGARVDSKDRITGTSTTHHIPEVIDLTILSSSDSSSTGKRGRGRPPRNHEVISIGD TSTEAGLSAGKKPAGRSGKEKASRVSGSLGPSISSSPAAKRGPGRPRKSSSDSSSLDS YSSISKGARTRPPKSAPPSSSKASSSKDTLPSPKRSRGRPRKPSDSAALLSSTSPSLS SSLQKGALPQSTSNSTDKTKMLPVTATRPRGRPRRSSGSPTASSILSADPPTPLTQEH LSNSSATSDTGNVLPPVKRTPGRPRKSSSASSPLESSIPSQQDVKLRSPSVVSGRDGE PSVEGKRTRGRPRKSSGSSATPLSLSSSPSVLAFPNSTRKYHQSCLISPSPADNPPSS RLSLADQELDHDLQKAISHLQISKPSETFKHRIIQRGSLEIIELSD JR316_0002148 MHRENKIRERAKLAYLSRERRSSGMNRKAGKGKDAIPGSPEIQK NPKENHSRKGRFGPSTVVGGPSQVLRNLSARNTLGEERMHLESQPCRTRTRKLGITCC AINRSVPWIPRVGSPPQDSQRIPAGSEAECAWAMSDFQVAPLTARELARVRDLHAKLL PVQYPVSFFIHLLVIPSRACYVAYSHGSPVGFISAALHNPTRCFISGDSEVSPRLEIL TLGVLPAFQHRGLARRLIMSLVNAFKQDPATPILIYANVSTTNTRALQFYERMGILVS SDIITNLYRTLSYGSRDAYLVVGAL JR316_0002149 MVLPHHTPYVTPHILHKNYAQSHDNEQFSTLIGQDLEYFSQAGF DMDRIQIKRNAPVALLYEDAIRNEGAIISSSGALINFSGKKTGRSPKDKRIVFEDTSK DDIWWGSVNIKMDEHTFEINRERAIDYLNTRDNVYVFDGFAGWDPKYRIKVRVICARA YHALFMHNMLIRPTEEQLANFGEPDFIIYNAGQFPANRFTKGMSSTTSVEINFKRMEM VILGTEYAGEMKKGVFSVMHYLQPVKFGQLSLHSSANVGIEKGDVTLFFGLSGTGKTT LSADPNRLLIGDDEHVWSDTGVFNIEGGCYAKCINLSAEKEPDIYNAIRYGSILENVV YNPLSRIPDYDDVSITENTRCAYPIEYIPNAQIPCMVDRQPTNIIMLTCDAFGVLPPV SRLTPEQASYHFLAGYTSKTPGTEDGVLEPIPTFSTCYSAPFIVLHPGRYASMLAERM AKHKVDCWLINTGWTAGKFGTGKRCPLKYTRRIVDAVHSGELAQAEFENFGTFNLSIP TSLEGVPRELLNPSIAWADKEAFQKELRKLAGMFTKAFALYESDVDEKAKEPAGKYGT VIGIDLGTTYSCVGVYKGGRVEIIANDQGHRITPSWVSFNGDERLIGDSAKNAFHSNP KNTIFDVKRLIGRTWDEKEVQQDLKHLPFTVQNKDNRPIINVEYQDKLRQFTPEEISA MILTRMKETAEAYLGHGVTHAVITVPAYFNDAQRQATKDAGEIAGLKVLRVLNEPTAA ALAYGLDKKGDESKIIVYDLGGGTFDVSLLSIEEGVFEVLATAGDTHLGGEDFDNRVI DYLAKSYQKKTGTDVTKNQRAMGKLKKAVENAKRTLSTQQSTKIEIESFENGNDFSDT LTRAKFEELNMELFKKTMKPVERILKDAGIKKSDVDEIVLVGGSTRIPKIQQLLKDYF GKEPSKGVNPDEAVAYGAAVQAGILGGVDGPSEVTLIDVNSLTLGIETTGGVFTPIIK RNSVIPTKKSQIFSTAADNQNTVSIKVYEGERGQTKFNHLLGEFDLNGIPPAPKGVPQ IEVTFELDSNSIMTIRASDKGTGKSESLVISNVKGRLSQEEIDRMVEDGEKFAAEDQL HRKRTEALNNLSNFVYGLKSQLSEKDGISAKLGSADKHALQEIVREGNEWIDEYSNEA SLEELEEKLADSPRTHNEDDDHYSAEHDEL JR316_0002150 MASTVPSQDRYAASKRSVALPAIPELYNKDFLDVLIPGLPEDIV TVNVPTARNPMMEALKVTSYQTYTTNGARAYSSTNSATLDAFSTISRYTLHSDMVHYL EASWKEDPVLTLHIIWNLRSIHDGKGEKEAFYRAFGWLYDNHPRTAIFNLRFLVEPVC RSKKGVFPHGYWKDLLNILALATVDEFKSYNSQFLHSPRASFTYRCKKKTVKVGTPES RIAAAQAFNDKVKTEARAARQSKQAENHERLVNKLLDPKYRALYIAVSRLFAEQLVKD IKILDAIDGLATDVSPMKLLKQMSLAGKWAPTPDCSHDRVTNIATAIVELIYSSQACG PFPAALNSNDLPAQERAHILRSFYQRWILTKLRSKLSCPEPLMSSNRWKEIKYSRVPS KCMQTNTPHFFKHDPAGFKAYLTSVKMNKRSISGATLMPHELAGQILTLHNGAKKVGE ELASVQLGVVESQWKTLVDRLRESGALENSIAICDVSGSMGNLQRISQGKGKTVRPIL AAVSLSLVLVHLAKPPFNAGFITFSEHPKFHTVDTTRPLAQQLHSMTYADWGMNTNLQ AVFLKLLLPLAVKNNIKQEDMIKRLFIFSDMQFDSCQRGVPDADGWTTNYDCIEKAYA EAGYAVPQIVYWNLAGSRKTVEVLGDRKGVAMMNGFSPAMLKVFMGEEEDRRTEDAME WENITEGGESVTVVEKVEDEKAFNPVNVMKKALLKRSFEGLTVLD JR316_0002151 MSNLCVSCNSADSSIRRFLLRCDTCSSLWHHRCHKPPVSDPELI AIIARFNEEKKAGKLNPAFVWRCGSCSAPAAKLQAALPKRDIRQDSTPLPSSSNPAKP PEKHRSIAIDDDDDEIVIIENPPKQVSSTKQVKFSHKSSNASRDFRDPTAGTSSTSLF STTAKKTVHKPQTSTKSTASAASVPARATKTVAVRPSGIRIIDDPFSGSIEPTPIPSQ PPTRPPLRTHGSSSSSALVPGLLDLHMSTDRNTPVRMAGQDRNRRQDTLEYVTPPPPS SRRREPDVISRGHTIEYVTPPPLPLVRREHDVISRGHTIEYATPPPPPVVRRELDVIS RGHTIEYVTPPPPPVVRREHDVISRGHTIEYATPPPPTSVSIRTTMGPPALPPSRMLG HPRIAQSLPPIAAIAEAPARRLLSSWIRKAHSSAYTVEPDIWQRSAVRRFNINVSASA ASTGVTVSSGKFKAQNLRKSVGKEAKLSQAPFFFSSDLWLNAKKGVQSSYPKA JR316_0002152 MADSWKDGILLKITNIIVYFVFLGSNIYTVASPSSIYYYGKETY ITPAPWAFLIWSLIHILLLGTVIYQFFPSGKKTIVDGISWRFPLLGLLNAIYVNLWST HHYVIAFIFSLLVSSAVTHIYYIVKKHHSAESYGDELFIHLPFSLYHGWTTVLVVITA FDAFGVSSLTHHAGVWTKVFVFLALFFLEATAATYAFSTPEGDLPASIAIAWSLWAIF AHQTSSGFVHWSSLVFAILALIWVVKGAVGLVLRSRSGRISLADEERAPLVG JR316_0002153 MSSSDSNKPSPEVMLAFYRRLYPFKSLFTWLNHDHVPSKLFTQR EIAFSLPGDVYIRYNSFNTADELKKQVCQLNPTRFEIGPIYSARPRDRKTLRAGTLTP ILRELVFDIDMTDYDPVRTCCSGADICKRCWVFISAAVRVMDSALREEFGYEKLLWVY SGRRGIHLWISDKEAMGLTDQQRKAVVGFLTVVQGGKESSKKLNIRNGGKLPPSLAKA MEYLKTVFGALILSDQECFQSKEGYEELLKAIPDSRVVDALRTKWEASPSRPSEDKWA DLHRHNLMVALEDIIFNYTYPRLDIEVSKHRNHLLKAPFCIHPSTGRVCVPLDLDMIE KFDPKEVPTVQQLLEELDNLSKVQDPSAPREHHSDWEKTSLKPYIDILDRHAQSLVGE TRKDNRSKPVSTSPRPLSVILDMGL JR316_0002154 MNVLKNPSFFRPSSRPSSPAPPVVGHIRPDLSQGMERPSRPSTK LSLTNFIRQTPSQAPSPVPNPAPLIQDGSYLEMLSLKLSEAVSKALAQPSGPPVVSEQ ASGKRPIPQGRGLALGSLIASELNAAHDNAHLHRAILRSLQRPFSVLLTNISSQLLPT LSSQSFHAFPTPTNQFAFPNNVQLYALSIAKFCEELLQVFHELGLGTDADIRGDGLKS IRDGFVSVINRVVNPFVAGIRAELIPLIEALEHPNSSPVPKANPGVKTSVVHHPSIVT LQTMMPVYARALTMCTTSVLSHATLASLLISILWKAMVALSHRIDVKPSPIVAPDLLS GKRRRGSPSASTTPPMTPPPGRFMIKLPPSRPPSPPSLLSYATPALDCKALYHLLINL PRPSAEHSSTRLAREAVDEAFEGLKALPVLLDAVSKTVDVSGDSDAVAGTLIRLTMDI PSLIALSVILRAYGGPGNASVAPMLGIGEEEYRKACLSGFSRAEECTAAIAQRVMDVL QRDPANNQLVIRWLELELADIDESVQ JR316_0002155 MDVPFHSSGALSRAHYAIVRKVESAPTVHLADQYVALEIKSLEA QLSHPRLSFDKCKECLVILLYCASAVTPGFLAKDAFDFAFSHAINLIEVSTKIEDKRL GYLFCSEVMPLDHAFRLMLINTLRKDLESEDILHICLALDNLITSPNEDIIPAVQPRL YELISHNHASVRRRTLFVFRALSGYNENLLDRIHGAVIRSVNDSDTSVARAALILTGS FRSDPVFVETVNDRLKNELSYANVNESTLISLLNCLKKFGSPPDANILVLLNVLQGIA DPKVTDPLSKALLLAIFRVIGQAKPSTLIVAEKSRKVSIIQCIRHLLVSRDLIDVYLF VSCLEEVDVSIWAGTLPDHPATLRIIKKVDPGILETQISLLFNKAFPNTEGSTVISRI LEITSIRYGQDGSGYAQQILDFIRHLDQQSSSPTQVIKEIIEVVLIDMRLSYQEVPLG PTAMVIATALITEYLDLVVTPAEQLLSALATRLKSCPSVVQEPCLIALIRIRASCNYV PSDVVEAVEHLSRSGRKLVRLRCIQFQDFVVDKERLLEIVLRAQSRSLPDFLAALESN TTGVSESRNTTPQMSRSSSRASGPSPSLKYAAYALPEPTLRLSTRRKSFSQQSASSSR SGTTSDTHQLPTSLPITAGRLALADSLSGLHISQVERGSSSESKQSWRKEAEVTAPRA DLISLESPFPMEASMELTSSGSNSEDDFESVWNSYNKSCDLRGWCNCSIDGLLRRLQR IEGKHLRVISSDLGTHDFVKLGMAKS JR316_0002156 MVSPSAAKAAAIAAAHSFNKLLSQPKAVSKSRATGELDDGVKKL RRMILVEGIPSDIDPTLRPRIWKILLRVNELSTDTYLDYVSRGPCQVREKIRNDTFRT LATDKGFKERVREDMLVRLLDAFVWRSHDRQDMDQLGFTYVQGMNVLAAPFLYTMPSE IEAFFCFAKFIEECCPLYVQPTLEGVHSGLKLLDRCLQFVDPELFGYLRSKNLSAEIY AFPSVLTLCACTPPLDQVLLLWDFLLAFGVHLNVLCVIAQLLLMRDEVMASPSPMRLL RTFPALEAVSVIGIAVTLVRDLPAELYEELVKHPFEVRNSMET JR316_0002157 MTRGRKKDLTIPPTRTLVQQRDYRARKANYIAGLEERCRKAEEE NVQLRRELAQMRARLANPAVILPETIEVSKELMQNLALATASLAKFQQLTFATAENHE PAGGQSVVNIASRMEETHNIRGSPQESLDGDIPPAYRSHGHGRKLLFMDDDRPPISAH SQNLSTTFSTPSPASSSECCGGILDCDALDCDGIIEDKDERGGGGPRTRVSGLRSTSI SEFRLRS JR316_0002158 MLINFSSARVYGEFEFYLAFVKIALIVCFVIAGILLDLGGLPGQ TYVGFEYWSEPYTLFREYIATGLQGRFLGFWSAMISATFAYGNVQVVAIAGAETSNPR KSIPAALRKTFARVIFFYVASVLVISLLIPANDPRLYLPTGDVTHSPFVIAFNRAGIK VSPCFIESPSSIEIVFTSAFSAGNACTFLSSRTLRGLALDGNAPAAFTKLNRFGIPYV AVAVSGLWGAVAYTSLNQGSFKHWLKDSAQDLETIDIASELVLIEHEKEHQSRQECNH KASAYDRFLNSIF JR316_0002159 MTPIPVIIDTDPGVDDVIAILLAITSPELEIVAFNVSYGNTDLE AAYLNIFKVYQTIDRHLAQYPSHKDRFPNFNSKKKPIVSRGSDAPLFGDVRLAQYFHG RDGLGNITDRHPELSVESGLLEENPYLEISKKSGVDLALDILRERPARTVTIIALGPL TNLAQMMRKDSQLVVNRIGRVICMGGALEVPGNTTAVAEFNFFADPYAVKELLISTPL HKGIPLNRFLLIPLDITTPHELPFPFYKEHVDPAFENTKAPSIPATKSPIVHFTSSFL EKTREVMIAFGKDAMELHDIVAVWCAIANPPLTNEGPVTLASGWRGSRRVFDVERTGE LTRGMLVVDRREDESAYPLGANRSEAQRDPNDSRHIEHHIEHKFSDESRGVLVINGTP GPKTLLNILLRRVWGCT JR316_0002160 MTESLRFLGTLEGHKGWVTAIATSSENPDMILTASRDKTIIVWQ LTRDEDSYGYPKRILHGHNHFVSDVVISSDGQFALSSSWDHTLRLWDLNTGLTTRRFV GHTSDVLSVSFSADNRQIVSGSRDRTIKLWNTLGECKYDIKEEGHTEWVSCVRFSPNV SNPVIVSCGWDKVVKVWELSKFKLKTNHYGHTGYINTVSVSPDGSLAASGGKDGITML WDLNEGKHLYSLEAGDVVNALVFSPNRYWLCAATASCVKIFDLESKSIVDELKPAYTD VREEARQPECVSIAWSADGQTLFAGFTDNQLRVWTVTS JR316_0002161 MKSLEIFLRFGVIQLHLFDVVVDEINFPQHLQTKYQDVKSLYPT EGRRKWCLRVLYDSWPQLSTVSSHPNTAGAGPTGQASYESDPDPTDPVLEDEELGLII RTDFTNDDAWNSFCGKVQAAQKELLSDLSGGGAEAAAEGGSDVAMDGVPPPPHSVTPD DADDESDSSSEGADIVKILDPSDPADRLKLTDISNLAALRLFNDVDIRPAPIPPTGTK RISPWNPLIDQSGWQEIYRGKNLWIYDSKSITDECVRVVGQEGNFYGTATGDSWRARG THVPELQFNMTYQGMKIDFNGLDKWDWNERARNLNESSTL JR316_0002162 MSPASTPGPIPLTGSQLSRGRWAFAFALVTSLFFTGVCVWGTAQ VSDGGGSIPMTFILQLLDVLNAHFQKVFGISKTQSTLLQLAYFGAYVVFSPFAGIFMR RYGYKSGIHLGLTLYSLGAVFFWPSAKFAKYGAFVGCTFVIGCGLATLEVAANSYIAV LGTPKYAAARLNFSQGFQGVASFSGPLIASRWFFRGANATKLDTVQWVYLAVAGLGLV LNILFYFCDLPEIEEEAIIYQIQEGDDEIKVESFWKQYRCLFGFVAQTAYVGAQVGVA SLAVNFLVEQNIGIDSSRAITGKAGVGCLFALFFFESICYPCIFTLGTKNLGIHTKQG SGLIVMGVGGGAWYPPAQASVADRISTRRSYLVPFSGYLAMSIYAFGLVIDQTRKDGF RFRTIEESNWRERTLPEDADKDVTEMGKDEKQHSSTSVQDVKVISDDLNKN JR316_0002163 MWLSDAQKIGVALTTFGALFMVLGVMLFFDGALLALGNILFISG LTLIIGPQKTFYFFARKQKIRGTICFLGGILLVFFKWPFIGVIVETFGFLNLFGDFFP VVITFLRQLPFVGTVLSMPYISTLVDRVAGSRTSAV JR316_0002164 MPKATRGPGPGQLRPHSRSSSSSKLGANLQFTQKDNTSLKNADK SKKAVYTHEAHPTKPSFARVNSSQRIHSREQLAQASKRSAYPQHQTHHQQQQPLPKAT NAKGFSLANDNADDDDEWESSNSGVGTPNHRESDSSDTASEAEALDQAILNLQIAAQL HPPKAEQPPPPSPPQPVDSTLPRIATARPADFETHAILERNAMRPITPPKQPQSQQQA QLPPLAMPLPPQPQVQVRRSDQDIRRDAASDSSDSRQSSRPKRPSRPPSTHSNQSRQE PLRPHPLIRGLSHGTVASVLPKPSPLAPLTVVPHTAPQLSSSPVSSIHDNNHKPFLSS SPTSVKTSSGSPVSVDRHPFPLDRRTSFSSARSVNTIPVHPTLIRESPWAHDRSRTLS TMSSSSSAALSALSHLPTVTRPPSPQTVAFFPPINPHANVEGIHPLLPTPYLQNHLTV LARRTPIRESFDRVIQAKNQNRR JR316_0002165 MERVHFQQEQMLDELKDLVEKNLFTEQETKMIMKKRTAFESSLV RRVAKKADYLRYAAYEMGLEQLRRKRVARMQVAPGPATVSDYALVRRQFHIFERAVKK FKSDVGLWVQYIEVAKREGARALVGRITARALQLHPNKPALFIIAASHELDQHSPSAA RVLMQRGIRLNPESLEMWKEYVKMELGFIESLRRRWDVLGIKLGAKEEEKEDASELIV GEGISEKEVDKTAEMDGEYEKEEARRKVMDGAIVASVISSAAQAIPRVELFECIKEAI CNYPSPGGLRQRLLDHLYEEARRRLPGDARMAVFVARRQLGAGVTGSALVEGIRTANE ELAGRIRETGAKNEELCAAYMEFLEEWCGESSLPGETDQGQQASLRRTAGRAPPAAGD GSGDKCGKIGPDWGKIQADDDYVVVGILAACVACLAAHVSFRIGQGCGEEIP JR316_0002166 MLQYPLQPPPLSLSSHKPSPRDFDYPLSPLSISTNLPSSPSPTT PNLPRSPYLSSRQLQLPVSNRLPKSPSDSQSIPSYSVDDVLAPGDVVGEGFFLQGEPI RLVSNGAADSHHTVPAREFEVIKQLGTGSYAVVYLVQEVLSRPAVSEDGHMSTIGHMD FEIKSLSSSQIVYGRKYAIKCLSKADLDEEALAIQMSEVTIHQSLHLHPNIVTLHRTL ETSAFLLLLLEYVPGQDLFYFLEQARDHHEMDNASHTDSSVSASHTPPTPSLLSNLHP SQLLSRTRLRLISSMFSQMCDAVAACHAQQVFHRDIKPENFIVTDGTFTAPDGRFERK VIVKLTDFGLSTTDLESADMDCGSAPYMSYECRNNVAPTYRPRAADVWSLGIVLINML YHFNPWTDTAQGACSSFDLYLKDPVNFFMQRFMGMTRPVAEVLANKVFCILPDPQDDS PRMTAAEFGAWSKNLPNLLGAHAVHRTVSNSSQGHRISLSLPMSHRPSSRQASGCTTA ARTPAIPARSLSRAPSLGPAFERVELSTVIDQDNEDEDADGEIDIEGMTSRSTSTNKR RKRGARKGKGANVVTSTPTPQDSTLATLAVASQSLAREISKASRSSSHRSASITASSS RSTRMNFEPVSMYAMPTALITNTPRTPSTSTAAVTAAPPVVPSPVTKKPSKWKLSFGK SSASSLANAAGAGRVSPVEEVSPPPSLDLSPSQAMATTASNVTSLLMGLNAPPPNPAP AVAPVNKLDNDASSTWSRGRRARDMQNPTTAKNPSKSPGRAITTSANHYTPSNLSSEP WTFHDHRPSERAVSPNSTRSGRPVMSSASSVVSSNWRSSMSTSSSAGTSTSAFTRYSN SSARSISTTATSVSSASWRTSVKPSSTYSSSTGYAHGHLPKNIKIMDGVPWELDQLPR GQHPNPVGDIFGSPPVRKQRTRKPKDLKLDTITERPVVPATSVQKSPGMRRDASTSTT DLSGPAGGKEDVEGVKKVQKGQINALAKMLSALRR JR316_0002167 MESSGTARVEIPGDFNEAPIEHLVQLIADMLERLMAHNDRIPLS PESLTRFHSRTAPSISVLDYLKRIVRFTNVEKSCLLITLFNIDQACARMPLFTLSSLT CHRFVITSIAVSSKGLCDAFCPNNLYAKVGGIPVTELNVLEREFLSMIDWRLMCTREI LQEYYVNLVRTHSAGTFYIAGSDSPGNSSDSGDDLEMDSGPSAPSSPTMTRQPLLRPA LPEPTAVLLEPGAASQEPPQRPTIEQNMAFAALQQHQDYRIATTSTATTFFTSSSSIE RSNRRI JR316_0002168 MAPKLDPNEIKIIYLRATGGEVGASSALAPKIGPLGLSPKKVGE DIAKATSAWKGLRVTVQLTIQNRQAAVSVVPSASSLVIRALKEPPRDRKKEKNIKHSG NIPLDEIIEIARIMKEKSLAKELSGCVKEILGTAQSIGCTIDGQPPHDIIDGINSGDV EIPEA JR316_0002169 MQVEIQTLLSSLEFFQEEDVFPRDAIHPFATSTPKACTSLQELL NVTEQVSHSLQAYGSSTQWSNAKLTSLLRQHTSIEHTSYSSARNVRHLIDSLSKRPDV LYGENVPLLEASFPQWCIEQLAIWGKAIGMETFTENKEGGYTVMLAGKVLLIDVDFSI KHENPFKPIITVAGVKTSNALLAGSPNTITFTMLDTFLTDSIEKYCVEMQKSETTRNT LYAATLRRSVLNNLRYLVLLDRLASRNDGGVRWFTDMDELCPTLFSVATTEAEAISAS LSMPKAPLDIFLIRSHSLPLPYLTMPSISFLTYLSPAAYLSLIRKASNQPDPLNYPPL DVSLSDIKSHLDVFGKGVTIATLYLEQLSDAHLYSQSILMLDMTIRPTFPLSPTSVQL DHAFVQVDHFNAGVESQENSQASGPYSWVLDFTERGKRPGVVMSQSRLRAIELVVNPM RGSDGINNVNVMLSFGTGSWIDILVCRSFHMKESTEDKMKRVIQLNTGTHVSPERYTA SFKSPNNLHPPLQLRLTAPEEPGFILERILVVREQCWLNEVLLGCHWMPEGLKSEEED VVDSSTATEEELEAVLSGTNMPHKIPVNVFLPTGMPATDNLFGPAELSFAPVSGPKIL MTSPGRLPISGLVEITVAQDETKPRGVSVEVQGAMGSDIKISDLEEVCRRGGTLGLSG RVWASSHGMSS JR316_0002170 MPMDYHDGEQTNMPPPKQRNYIKATAHTFIENRTSFQEQADSSG SESTATNVSDGFNWSEEEQSQNDGNTEPIRSKRGRRMWLAFMKLARPMRVFIIGIIGV AVLITPLVVVNVRFQDSLIKTQVHVWSLWFSVTWAASCGTYLLVDMVPGIVIALAGLF GGQTERLKIQVELVLAVKAWLKLALDIAWAWIALSVIRTLYNPFGAYWTIVNRLMQAF FSAGIIIFVEKLFLQFVAINFHQKALADRLAENQLGLKALDYLSNVHPYSAKKAAYNK RSHKATGSTATFDGTTYRAANTTSSSNETSPIVSKENSSFVDSKISTKAKTPKRKKKM TSVIVDQVGEAIGQVALKNSKFNRKGGYGGLHSARRLARKLFAALSDVNPPRSHLVVE DFYPYFHSTAEAHEAFALFDKDGNGDISKREMREAVQRIYRERKALISGLKDVGSIVA KLDAVLLCVALILIVFIFLLIFNRSNTLASLVPLATVVLGFSFVFGHSAQTLFESLIF IFSTHVFDVGDLVMIDDQVLFVKEFGLFSTTFRRVDGQEVIAPNSLLSSTKLVHNLRR SKSMWETTQLMVSYDTPMEAIEQLRLKIIAYMNANSREWSDCALNIDKMQYQNAIYLN VSMEHRPNWQDWGGRWTRRTAFMRNLKTILEELEIRYTMPIQPVLMPRGDPTASRQGP PIQDPPPSKYQSDASVLGNAGLFQSGDYGRPSGPLFR JR316_0002171 MATYTSTLTPRRRMVRNPLANFDRSGQTVSTSEPGPSRLPELSQ IIDVNLSDMSMSHTTDHTTRSSALIAPTVTRSEATPAEKLRALLNVLPHEVTSTARVP SSPKQYLSERDSDYDITGPASATKSIAQESVRNLFSNARRDPDTPQKSISMHNGADHS DVEPTHRYVRDRKGKQKSLHNDSSELQDQTPHLSRMSTRSKAPVTMEFLRERFSDSVV SPEDAELPDTSTNRVGNQTFLRDLDAYGHSPPIGTSTPQQSLRMSFNSQFHSNLLESD TEMQDAIDGLGEYDEVTNHRSVSPPPTIRKGYKSLLDKQNASHPRQQFDSGHYLHITS PQSKTRSIYSQEEQNEVDAVEKSLKSDFDRDQSRHERRQFKCDQANEEEELDEVEDSL KTDYEWNKSQSRDQYQGHENQAVEQAEIDEVEISLKTDMEWDQSRSRHEPLYSRSNSH QHQSTTLSSMELTGPLGEPAAFTHNKRASRFLNEDSYRNDKDGEDKEWPSPKYGHRAS SYTEPHIQSVTDLDKPFAENTNDQHHVWSSPSNLVSGQQSTLTPRPFSTVQSKKLGDF DKIHNPTSEDYNSFGRMSPLSSRTVNDISKELSRPPSRTSSLHAIENTYLNERTSTRS PPVLTSPITPPVTNNQRFKSATPSSSKLYATPSVPRDIIDTPTRSLPTPSAANPFHDS GTKFASTPLREQSPTIPNHQTSESYMEQKKCKEGSEFDDLRETDFSSRGDTPEVSQVH GHSSDFVSWSSVKQTPRLASTPLIKNDTHQPSPEPSVSSSTPPRPPSRSSRREYETPS PPKALPDLPTPSSSDESNRSASRTNPTPLRIQKTPQKSTWLQTPRPPGAWTQTPELSR YPSSDSESDIHSQNRIARNGKQRDSADSRPLYSQTPTNRNNRVNSDVLNTISKTPMPP GSWLSTPVPILNPDTRAKVSDFPEKKIGLRTPMTSVSKGLTLESQTPGVPGGWLNTPA TRKSILKVRFDASHIDDLFPHQDNFDSAMQAEHEAIPPLSPSIPRKSKGPNIRVVDAF GREQDTIESKSTERSQSKPRVIDAMAKSIDQSYESQTENSADEMHFTSRNELLRHIRH GLDDLIEEFDDQVQYGPEPDADRVRIEELNTISSQARNAREQLQNRSAHSKVALARMS KPFSATLWFTNRRLWCTVALINLIFVIIMFRYVTIFTCERAVAYTVNRISDYWARQRY LNSYFDPFNPELYLYLSNSDHSLYLLDDDLSRTSWTSYARTLLIGGRTSWYNWLQVTR ELPSYLWSMWASDPTESLTPQNGSTWLPS JR316_0002172 MKVPLVSVVFLSSIVLVSSLPRLTPRPLVLWHGLGDSYSSPGML EFASLVKDVHPGIFVHSVFIEEDFDKDRQAGFYGNINEQVEFVATQLAGIPELKGGFD AIGFSQGGQFLRAYVERYNDPPVNNLITFGSQHMGISDIPVCGRYDLLCQIARRSAKQ AVYGAWAQNNLVQAQYFRDPWNMEKYFTANQFLTSINNEVAATRNATYAHNLSSLNNL VLVLFTEDKTVVPKESSWFGSQIVEEDWGRSEQGVLAATNVISEHAILPLRRQPIYLE DWIGLRELDARNGIVFVTCKGEHMQLSGCWKELVIKYAGSGE JR316_0002173 MASTFESPNAVRRTASASSSSLKEKEKADVTEPAVFLSTDEEEN GKSSGKYEVYRPYILGATALVILGWWISATVLEATRHRWIVQTLFAWFFILVIAFRFI PNTVVTKPVEAFWAPCVSKPWFALNYRARLAFGWLCLLALVFGSAFGFKLTNGATYSD RGIPLVGLFLFQFGFWASSKKHSAVPWPTVIVGLILQQAIALFVLKSGAGFSIFKWIA TLAGDFLGRSQAGAQFFFDEETYNKHWFFIGTLGSIIFFIAFVQMLYYLGVMQWVIAK FAWFFFKLMNVSGAEAVVAAASPFVGQGESACLVRPYVDLMTESELHVTMTSGFSTIA GSVLVAYMNLGVPATTLVTSSVMSIPASLSISKMRSPELEEPLTRGNVTIDRGAEDTK NPPANAIHAFSQGALFGLIVAGQILTNVLTVLSLVATVNGLLTWVGRGFGIGNLTLEL ILGYVFYPITFFLGVPRSEILKVGQLFAKKLIANEFSAYEDLKKLNDAGNISARAYTI ASYGLCGFANLGSLGIQIGVLGALAPSKGRVIARIAFSAMVCGFLCTLQTAGIVAMLI JR316_0002174 MTQTTMLQYEAPWPVHSLDWCKSSAPGQQLRPRSAFRLGVGSFT ENYQNQIAVVGLQDERVLVEDDFTDYPDFVTLCEAYHGYPATSLQWQPASATNHPWSQ KSAVTELLATTGDALRVWEYSGDGSSNTSSYVGRPPISSGHSLTMKTALSGQSKVQNQ SAGAPLTNFSWNEKSPNLIVTASIDTTCTVWNIDTSTAMTQLIAHDREVYDVAWLPGS TDIFVSVGADGSLRAFDLRSLEHSTILYETPAPKTIPPPTSNPSATARPPTSPLLRIA FNPSDSNYMSTFHMDGSDVQILDMRSPGQPVIELRGHHAPINALGWGSAERPLLATAG DDCQVLLWDLVNYTQGAASPRSAGSRLNSPRPDAKKRVVTEPVMAYTAASQITNLAWS PQIQGMTMNSGYSTTSGEWLAIASGKTIKALKV JR316_0002175 MAMKRKLEDDSEDVSPITKQVKLVPFPHIQGEVDAVMFDAEPMY PDLVHSRLQSNASSISSSASDSPVTDSPYPTFDLYPLPFFSNDGTVDPNSHNFSYYSR QVSNSQVGLLQPSKAFVHHGLCFRCKWPTHYVESL JR316_0002176 MNLQQPDLLTLILEYLSDVLPSPLYTLLVKVLSHSFAALTAIRQ LCTSLLSNNPANWNAQVLLPPIITIVVAYLALLSLYRTTSWLIRLIFWCLKWGSLFGV FMAGVGYFIGNGNGNAVRVQGALPVLENLFSTLFDDKDGLPRSRQKKSRKHKPKSQRP KPWESFNVHEQWQYQENQEPGEDPHLQKVIDMATGAVGKIFTGQWWTVENVADKPKSD STKTKAPAGRSRSR JR316_0002177 MSGPAASAGASKLSAFINHPAGPKTVFFWAPLMKWCLVAAGLKD MGRPADKLSVSQNLALTATGFIWVRYSLVITPVNYSLAAVNFFVGLSGITQLGRIANY RMNNPEPAKSI JR316_0002178 MAQAPEAMQISATVNTLTDDSCAVIADFDDANFLRTTLAVSNVL RCFFEVAVNCVDAASYAEEEMDEEHGQIHSNKSKSSCYAANQA JR316_0002179 MGYDYYQQHARLGWGTNQYQFGPPPQPAFQPQPSWGGNDFYRAH AATADPYLFDHAWNRVREYGGAPAGGMGVNLQEARLWHKRAYLQNELHTLAPNEIGHA AAYEAYRTWIHNSYLYEPLSGDVERQREALTGLSVAEATRLLQYLPRPVDEYSRLAAT EAAAHTASYIFYQTRDDDYRSRSRYRYGDYGDDLYAQDDNLLRTRGRSYSRHGHRSHS RHRSYSQSGIAPFPGQAYSSTSMTNVPHTVFPGYGDSYDSYSGNHGSMGHMPVPSLHG SSYHPNGVPIGSGSAYGGSAYGGSTMGINTPYSSVPTGVASSYHGSSVNVPTGYSRSR SSSFSYPQTYGGTTMSVPMGTPMNSSGMGMPMAMSASGMGVPMPMSASGMSPMGMQPA QTIVIHKSRKHKHRHHSHKRSRSSDGDYYD JR316_0002180 MADYSVFANSQFDPNEYANAILAADSGSSDPKQVARGSTHLKAI QDSVAKEDISVAISKLTFGIEDVSKQIRNLVTAHHEDLLTQASNVNALSGTLVSVRAG LSDLDNSVDKLRNKVHVPYENLQTLVLRLQRLHQASDLLRRTSRFVILARRLQAQMNE IQGVKGTDKGFKDELTTATITHGKDIEDEKERAIAKAALSVAELGALLDKDNSEEDND STGSAPRREFVSLRSIKVISSYEAFVQDARSVITTEMENMVLNGLSTLNQTLLASSLQ TAHNLGVLPTLVQSLLSDLSQAVEDRIRGTFDLNKISKDALSKETVLAANSPSTPHTY RSRVRTEPTNVTAPQWSAALWTRLEAMFQEMANCCIKVYALEKVLKLKKDTSTQLVFL DEAMQVLENTPSSSFWMSSSQALQKHFQDSSKGSSFLQQTLSTGLPRLLRLFHEFFAK VAVHTDTIYSDTFQSPETILLLRSLSTIEAQYLTRSSNKINEVVGQISSGSTRTTPGA NEGMSVARIVVNELDAARFDPLLVRAVAKNIATCLDNIASRLENSVSRDRAATSLLGS SASPQQISNVNLTNFFYQTWSRLSLLSEDHMPNVFALLQPSIQNWRQAFERIVDPVAT AIRRDLSAIIAKLHRIDFAKPVDPNAGMGGLSSYMKELTEKLSFIKSELIARYNIGEY GRDWVASIVKYVIRVFVLHISIACPLGESGKLQMASDMTGLEFALNAFIVDNNQNKRG NHLEAIGEEYRALRAMRPLFFLENKELASESHTAGLPPLIVLHHILVRSPIPLPHKLH GWQEAEYVRWVDEHSDSEAWTLVEGGLIHWEKISETEGKDIKDALEYAELARTVLKRV QK JR316_0002181 MAAILRARSTPASIPRRLFSTTTQLKRPDNDAKRPPQPPKPIDD STSALDYKRAQKIRPPPLPATDVPRSRSAEEAVTNILYNTPPPSLQPFKKHILNCLVQ NEPGVLSRVSGILAGRGFNIDSLVVCRTEIRDLSRMCLVLSGQDGVVEQARRQLEDLV PVWAVLDYTETKVISRELLLAKVSILGPEYLEEQLLGGPSHEPRRASEDPSESKLERE TTLAHNFERSGAPEGHVPAMTPSQALRLKHQHLHSISVLAGQFGAKVVDVSENSVIVE LTAKTARVEAFLNLLKPFGILEAARTGLMAMPRTPIKTEEDELVQEDQGGPVDASLLP PG JR316_0002182 MSSKVYVGNLSWNTTDDTLRQAFSDFGQVLDSIVMRDRDTGRSR GFGFVTYSSAQEADAAIGGLNEQELDGRRIKVNLANARGSGGGGGGGYSGGGGYGGGG GGYGGGGYGGGGSSGYGGGNGGYGGGNGGYGGGGGYNQGYGGGNGGYGQASPPQMAGE EYPLPGLPDPGPIITDPNIQPPRPANAWILYRRWKVKQLPPPAPGEPRRNQSDMSTFL AVCWRTEKQEIRDHFDRLADEAKAAHKARYPNYRYQPKKKDENKTSLPKKIKSDRSVS AQSNPGGSKVERPGHPYPSSSRPTRLPPDPFPPSPPLSAASSPGASSSSGYDVQSSPE SIVADEASLQELNPATPLVSSPLPPIEDGVATTSAPDAMAQTQNLALQLQQPHHPNGL IDGPWNLSLFQGGSWTSIPYINENIGDQSAAFNFDLPQGSLPVENILPCGHSEHGPHK ATCFLATADAEDTTEAQPELDVSLGALHGTFSDFGVESHDYALHNSLLALPDMSLYTQ DLHAYNLPADCLSFVTESINNDDYNNSNEASAFFSLSNGNDAFYSQAQGNQASTETEG ANSYVPPSGASQSSTRRVGGDWSGFVKEDLYATSPFEVEAS JR316_0002183 MIIMFLHFLTPLVPTFVARVLSPSIVFLSAFTLFSAHLQPPNSP SPITPVVVATAVPRRALILTLLSLIAFTYFLDGIAFVTFAVLDHHWPSRSGIPINTIT GVIAFSGLAALGTWKDVHGIDVWSLKRVKVAVAASLALDLSLTVLLTLHLRQPETPVF TIRTLVHVVFPALRVLLLAPLLAGLVTPRITYSSVQNYDDIETPAVEPTVSTFLLAPE SGPQSSTGLTAVPGPGENSKYGTFRNNRSTLQASVPTTRAATPAPSTGPDTKSEPKPE ISFEPSWSELWARIRRLSPHLWPKKNLSLQFVAVICIIILLLGRVINLAMPITLSKLI DILEGRSNQSPWLYLLGYVGLRFLQGSGGLSAIRDSLWAPVMQYSDREMSQLSFDHLL NLSYAWHTRRKTGEILRVLDRGAAINRTLELVLFSILPTFIDIFVALVAFVYFFKWTL ALVIFVVMFAYVSVSVILTTWRTRLRRQMNERDVATRGIHTDCLLNYETVKYFGGEEH EGRRYSEAIQEYQALEYKVILSLNLLNLVQNFIITSGLLIGSFMVAYEITSGDSPGAS KFVFFITYLAQLYGPLNQLGYIYRSVNQSLVDTEKLLALLNEPTEVNDKENAADLVVY NGEIEFENVHFSYDGRASALNGVSFKVPKGGSLALVGESGAGKSTILRLLYRFYDLGE GQGRILIDGQDIRDVTQKSLRQAIGVVPQDSVLFNSSIRYNIGYGKFNATMEEIEAAA KSAQMHERIMSFPEGYNTKVGERGIRLSGGEKQRVAIARTLLKNPPILLLDEATSALD TSTEKDIQKALQNLVKGRSSVSIAHRLSTIASADVILVLKDGQVAEQGNFKELIELDG LFASMWADQISSNDELAVSFSDTSVKKEASGYNVGATIPSEAHAFEHAVEASAAEAID TDISPVPATSNDEHQPEVSSQVDFPQTKHDSLVDSTPESDPQPSQEDSNVQSVVIDEQ QGTQTKLPAVTSEIASPVASAPIAFPSSDSYSDIRREETPPPIVSPPTVSFPAVTFGA SVNSPPSRIGTPDPESEPKRKRISSQNFQRLARRISLTTRRGSSSSGIPGLKREQSPK VSIDDSRPEGSGAGSSNDSPAASVKGDDKGKLKKKEKKEKSKKNTM JR316_0002184 MSTKAKATSKALPLADVLRDLAVLRSSGVDIPQMFNLKQSQTVD GISNTAMPVQQSVAASHDFVRVSRAAIKLHDSGKVEVEGSKVEEIRQQYAELLDGLKD JR316_0002185 MSSTSQTLLGPHHILSPDAETFSTPPTTPGFGAFQNKSVIAPSL SRRSSRPSSLHIDRKQSDWNPDIELWTTSPDVTKKANGNPLPPPVSLDPTVIPSSPKN IHPPHQHTPSIKPVNSPCFVHSQLDKGAHLTDWLKNKQNFVDSSDVGVARNLQHLGSP GQSSTFSPQASAFSSTHDSDEDEFVGSLTKRLAETAVGVREMSKQLVTKARDNRLIKL TRELALYLMLKHRRGSQRGLVVYVDNQLRTSRRFDAEGIERDHPELFVPFPRRRTSST HSVSSLSSTSTHKEDHDEGQLRYWTSSMCNHSPHLFDFVVTLGGDGTVLFTSWLFQRV VPPVLPFALGSLGFLTNFDFADHQAVMDSAIDNGIRVNLRMRFTCTVYRAVANEEGKG RKAVKKGETGEIMMKNIEKGGWEALEGGFSMGDGKSSSRDKEIMCFTTRPAETFEVLN DLVVDRGPSPYVSLLELFGDEHHMTTVQADGLTVSTPTGSTAYSLSAGGSLVHPEIPA LLITPICPHTLSFRPMLLPDSMELRICVPFNSRSTAWASFDGRGRVELKQGDHIKVTA SKYPFPTVCADKQSTDWFHAISRTLKWNERERQKSFVVVEEEGPPKQHKKRSKRSGTI SETKVQNPTSAEAEDSPIDDEEDEVTDEEEDKFDIDDSSPEAESNQAVVANGLKSAEK ATGQEKAADLRPHLSGLKRKSKSRSRSRPPPPHSGVASPSRFANSVPYSSSIPTRHVG FDLSSPHSSTPSSPESSQNTQQGSRDNFHGSHQLSGRSKIPKDRELDLEAVKTPTASS LVYGRGRGHSRTRSIDHPEPRAFAVWGHDESDSNASDNEIS JR316_0002186 MAPSFPPSGEFLRYIRKSSRDLRIASGVSITPEHIKRLLLSTAF VDSFKRVSAQHGLALPLQFSSHVDELNLLAILSLLNFASGYRVPLHVQTGRGAWDSIR AFVFSLYISSSEGNYLSAKGLRAIKTEQIAELMNINIHVETPHETIPGLTIGEVGGPL YALVKQIESVLNETGKILEEGGYPHLGAFVVEALERSQKERAINGDIVEPLLEKLVRA FPGFRDMAEVNGQPIYCFKKALFLIHAISIRFGCLSPPPFPVPSTSHIPVFSDNVLPS LLVHLGVIDLSTSPTCSSLFPDSGTEEKLAILLGPATSLNEEKPITKETPKQGPVVTT DQSYILRASAIDACELMVETAQSLDLRSLGKENTHHDWISKLTLPDLDMWIWAVAKDR SDYRSLERFVDQNTIYF JR316_0002187 MLFIRTQLNFTRQTFCRQCSKLSILDPRPNLRNEIKIAMKNRDS KTSTTLRSVMSEIDSAEKTSKAGLSSSDVIGIIRTAIQRRNDAAKMFTAANRPDLAEK EQNEVKMISKFVPPLMSVSEIDNLISDILGNLKDNNEPHKALPTIFKEFYSKVDKSSV DRNLVKERAQELSSKRV JR316_0002188 MPGVNRILRLRAHDVANLLNAHRLSFYSFLSVATVSAVIINALK NYSNFYSVAIYLSKSSRSVLVLANFGVLLTLVAGHIVQRIFFGSLRANEVERLYDRLW FFITESLLAFTIFRDEFDASFALMFGFLLFVKSFHWLASDRIEWMDQRPYPGPPLLFH IRMTILFTILWLTDCVMFLFAVEHTLSAGVGGMVLFASEYGILMASVINTNLKYLLSA YDLRRAGRRGGENAPPWENKSMWIFYIELATDFLKLTTYLVFFIIIITFYGLPLNIIR DVYITARSFITRLRALHRYQTATRNMDQRYPNATEEELIAMSDRTCIICREEMVNGGI QDPPQHPDGPNTTPKKLPCGHIFHFYCLRSWLERQQSCPTCRRNVLEDSVGAVPNPGN LPPQPLPGQQPLNRGAPFNFNNRQNNAQGGNHPLGFIGRYMGLPHRPANEAPNRQAIP DILQNQGHGNDHAPGIVINYQVQYQLPRPNDADNGAPLQQQQRLPVPTYAGFPGPGGV WQPWPAEGPAPVTPTSGSSENFREVRAQQADSETHQQSILTSAGPSTPTTATSSNSTA SFEPREAPSAREAAVKAALNRFNNNRTEPSAPKIAINPPTATSSSSSPANPTTNSMPS TTIGSESNAELRRSPENSSLRPLPTLIPLFDFRQGVVPRPAPEQQSTNQVVPQYTQTT PRGPLPARSSPMPTANTSMTSIYGQQRQMASPDTSASQLLPPILTDEQLSSLDTVTRD SIDERLRILEGVSVSVYRCIDDLMRLRSALPTVNATVVPTNNGTAPVPIPATQHSTSG REVETTSSSRGKEKMREPSVEDSDPGCPAQSEDSNPSNSN JR316_0002189 MEGKGSSIGATAGNANDKDKQPPNKGSRGRWALLTGVLSIALGY YFASVSIKPSSTVNVHILCSKEGNKIYTVDDKNSQTQCVVFQGAYVVDTGSLETITDE WVDSENGKISAPYTLHFNFIPPGAIILPGISDSHAHILEYGAAQQLSLEGARSIPDAV ARIKEFILSNPDILEDTSKFITGGGWDHTVWPTTGWPSAVDLDADSIVRGRPVVLQSK DCHALWVSNKALEGSLPLPESVEGGIIVRDASGNPTDNAQDLLKQPELTENDLMRRFK VAVRDAHRYGITSIHDAGLDPKSLAFFKRQSETGTLPLRIYGMTYFHESEPYWGNLST PIMADGNSRLSARSVKIFADGALRTGGAALYEPYADNPSTNGFMRLDPDILFNVIPKF LKDGWQVNVHAIGDRANGIVLDAFEASLKGVNVTALRPRLEHAQMMTQADMLRLGKLG VIASVQPTHAISDMWYAQDRLGPERVKRLYAFRSLVDSGAMLTLGSDAPVETLNPISN FYAAITRKSFTGESPHGSDGWFPEQKLSRIEALRGMTINPAFASFTETFLGSIEVGKR ADFSILSQDIMTVPTEDILATKVLATIIDGQFVFGKI JR316_0002190 MPAFQQPYMSGKRKATDSSFSENQSHESRKRILLTTNPNVREEA LPNNMPVQAAACDQVWMVQWRHPQYKKHKTWDGDAILVVSNEAEAILYDTEGKIMTKS KVTGPLYEGKALSIASKEIELERMVSRDLFLSGACFGNGISSSSFSESSICSNKKPVK KFTPPSLTNIKSFPLAAQSQHKPLHPTNLDIRDSDVCMNNEQESDSSVTSSEVTNQFP NWIANWRKPQDKKNKTWDGDAYISLMNNKLVMISEDGKVMGSIPWKGQNLQNGHNFFI GGKEVELDVAVSSDQLPTVHRLELKTHEIDGPPSEDSIPKAVKKKFVSPGEFYGPVNQ KAKKPLHDPTASDSLIMKAPTQEQVKRYNKKNFPIVDVVVDPIISRKLRPHQKEGVKF MYECVMGLRKHEGQGCILADEMGLGKTLQTIALVWTLLRQNPYAAPVAAVQKVLIVCP VSLVNNWKNEFHKWLGRDRIGVMTCDKNHVDVDLFGRSKVYQVLVVGYERLRTVVDKL TNIYPPIGLIICDEGHRLKSANNKTSTVFKSFDTKRRVILSGTPIQNDLGEFHAMADF CNPGLLDEYNIFRKVYETPILKSRAPHATKMEIQIGEARTEQLLSVAKSFVLRRDATL LKSELPPKSEYVVFITPTALQLAMYQKILHPQKIDHLMQASVADSLALINILIKISNS PILLKATVDSSRSKSDDLGPSIQKTAVAEALSLLPEKAHIADLSLGGKLVFLANILKI LHQNTTEKCVLVSHYTSTLNILEAYCKKMSYSYYRLDGQTPQNKRQEYVNAFNTGNQR NSFVFLLSSKAGGVGINLIGGSRLFIFDSDWNPSHDLQAMARCHRDGQKKPVYIYRML TTGTIDEKIYQRQVTKLALSESLIGTGASSSKSDSFTRKDLRDIFRVHTGTDCNTHDL LECNCNAGNSFEEHNTIPVDADYEVTAVNTGFVAASNVCVEEFDAVEKAYLQKKKAGL ASLGEWNHINCLKLSKNADGYVHDEILRRMITQPGVPGASERQKERTNILKQVDIENL RTLDSIESRKTLPGGTISFLFEKYSKINLYEDANVAED JR316_0002191 MNEVKGSFDQALTRKRVQNQSQQRLAREVTLRDFNSHNVSSEIY KLDIIKLGAAALTGQLLSAHSSARIGKNTHCSMGVPGLWDVLNKVGKSTSIVRLSVHE GFDKNQSRRRAYRIGVDASIWFHHATFSKQGENPEARGLFFRLIYLAKLPIIPLFVFD GRERPKIKASSHGNACSKMGKSGNHPREKQFKHLLDAFGMEWCEARGEAEAELAYLND RGHIDAVMTDDCDAFLFGARTIIKNISSRLSGNKNNLAKNSKDKVDKFHTMIYQADDI ENDPSTSLSRGDLILFALLAGGDYHKGVERMGKKIALGLARCGFGKELLEAYQNLRDY RTEFEEFMRQWRMRVNIELKHNTQGHLPHRTSLFLSDDFPNPDVLEFYANPLNSGSAG GQGSGSMAIRDQSNLDLPKIAHVCEQYFEWGYRAKIIERFRNLIWPCAVMHVLRRAAL EVDRRNENISNITRCGSQGDIGTPASLVERYLCSSPVREGHYDDLFVNKGSSSKLLVT PAVDNPLVNRIIKSRQHVSTDGLLEYQVEISPKQLIQLSNSGIKGIRHAPVTAAETGY PNKGSETDPLILWVPAVILSVVHPTMVKQFNEENAKKSSKLKGKNKGRANEQERTDQT AESASEGENMLSVLQSSKLQKRSRPEPRAVGQNSYTEVLKPTYATEGIDPWFTSNSTS QGCPTLSRNSTSGFLFTIPNPDENELELERADYDNEIDCLASYDSEVSHSTSKFEQII DGILTKKRKLAKDPKRPRKPEAHTNMTDERPSKKRQVSSLVLDALDGVEFR JR316_0002192 MTHWLRAPLLCRKPRVSHFVYARHFNVLAIESSADDTCAAIVDS AKKIHANVVIKQHNIHEEYGGIYPMSAIGCHQQNMPYAIRQALAQSGMNIVHDIDGIA FTRGPGMPGCLSVGMNAAKSLASALDKPLVGVHHMQGHALISILTADREEPKYPFISL LISGGHTLLLLATSANSFQTLATTRDESIGRSFDKVSKLLELKWTDLGPGDALEKFCE EAPEIKCPIIPPFPSPLVGQLAFSFSAFHSHAKALISEQKQHGDLQLSTKKAIARAFQ TGVVAHLEEKLILGLAWCKERNISVRDIVVSGGVASNMFLRRRLQGCLIECYRDVTYN LVFPPPDLCTDNAVMIAWASMHRFLTNDTDPYSIELRPKWNIEEL JR316_0002193 MWSSSHLHGPRRSHDQSHNDSKASRSSSPDDGTEPRRRTSSDAT PRQTPATTRFLTSANDQDTNRSVLASTTLNSDKSEGFGSSKRLGFFADKLTSSLSGTG KDIGGGTSLKSSLHPSQLLHPHIHSRAESNSSPSPSLTSSAMASSNISPVNKSHTSPA KASIAQLLMVFFLMFALNAKASYGRTYDSKLVSREMHRLGSLVPSALAPQLSTAPSVA SLSVPPSGSLSQSNASSTSSTDPWGALHVHVLPLFNGEPLRVPIEDLNILVKRHIQIV VSASPPKAIATLENDASELIASGMVTLNAKLTGVDDEKLVARVVEIWGFFWDQVLTYL EGVLLPLQTDPLLSSLYRAPKSHRTASPTRQSGTKSSISSSNSNTSTYHIDVRSVALK SFRDRVILPPFQRLYNRLSMINRQDNHQETSSYQQPRLQQMLLVLSSESRHLPVTFSL TTPSPQPTAGEVAITDLLHLIHNPRTYSDSRHPKFKNPHSQARTSTFLSGALPRDRRG RVALKGKNLPDLVGLQSPGNDDYIYGEDTPRMGPSSYIVDLERDRERELEALRSPDIE SSATRASTGGWGLGAGKEEPTKTGEEEEDEPLDWDQAQAVVERMVGMNPHIDARRRVT JR316_0002194 MSISKYADLPDIDTAPDVYETADTFPLSQTNNVDSSDDESTFPT RSARNKPDPNGREELDYSNLIGADEASRKFRKAEKKASRVRTQYAYPPSPTSPTSLLD PFGISHSLPLSHRLRMLQNELAALETELADPSNPLIHKEREEENIDPGELIRGLVDVR SRLDKIKKGKEGRARLIGAVLDDNILNGQVTTGKATGNQEQYVSDPKTEPKTDMQTMV EMDHRIGELEHIIGSSGMTLDETSPLPSPLLPLITRLNNQLTILTQPRHIDSISRRLK LLLSDLDRASAAQHQAHKRHPSQSNNGSSPPTVVHEILPILTRLGPLLPHIPHVLTRL RTLSSLHSSAATFQSSLEDLEEEQKRTRNGLLDLERAIETVETSLKENKNVVKSNVAG LESRVNSLLDRLEDLIREHNSP JR316_0002196 MSFESAAKEVLLKQFFSPSNTKRRPRYVLVIHGGAGTITRQGSS DEQQAAYKLALSQALLAGYKLLNEGADAIDAVVAAVSVMEDDPLFNSAKGAVFNIEGK IELDASIMVSKPPFSHPEIPSNRRGLGLTLLTRTKNPSHLVRSLYLAPSLAPHTLLSG ATAERLGQSLGETLVDPSYFFTEHRWKEHRRGLGLPEEPVPQPGIPLVTAPQTPLDAY PTGTVGAVALDVHGCIASLTSTGGKTNKLVGRIGDTPQMGSGFWAEEWPETNIIRRLW RKFRGLSSLNRGVGVSGTGDGDYFIRRSAAVTISHRVRYLHESLEEATRHVVHDLLAE GGLGGFIALDLDGNVSMPLNCGGMYRGVIREDGIAKTAIFADEDVA JR316_0002197 MVDLAIGLGIPVIVMVLHYIVQGHRFNIFEEVGCYPFTYNTPPA YFLVYMVPIPIGLTSGAYCIMSIIAFRKRQTEFKEILSSNSNLNSNRFFRLMALAGIE VICCIPLSITTMVLNATRGVVNPWISWEDTHWGFSRVDQIPAVLWRSTADSNVSVEMS RWLLIVCGLVFFAFFGFADEAQRHYKLAMNSVAKRVGYTTFTGSTKIGTRNTSSSSFK ESSNMSSSQGAGLPVFIRQETVEKRDSVDTSSDLTSMKDSVFASEKDFITQSTHSALT KNDAPLKLASFIVTPPNPHHGLMVMDGPAPSKHIDSFPSSVTPSGSFLDLSATQTEIP ASGNDNKV JR316_0002198 MASDFELSAFLSNVPPALTLALVHLGPSISACRTAFQIFSWSTS WYRSWLALTAWWIVCLFIDKTLRRFFPVIIFSFFAYVQWRQKNVSSIKPPTSEHALRS VISDLTTIQALLPSLPSPSIPIPTLLRIAAILYIPYIALSFLVSFRVICALGGTTLLT WRAPWAIVLRATAWRSAWLRWSLYKIWAFLSGEPLPERIYSFQPSTDSLTPVQSLRFL FTIYENQRWWVGLDWTAALLPGERPSWCSSSHHPLSPPNAFSLPPNTTIYLEDEKGGK LKRTATWKWEEPEWRVVVRRTGGGLSRVERPLPSIKDESPNSSRLLKAASRLRDPGSN ITVNSSSTFDTNKGLVGNTDVDYDSQDPTDTPGEEPLTDIDGWVYGDNKWEGQSNRGG MGKYTRYRRWTRVAVVFEEVETVSLEDSETLIFQEQERQVPTKTEGSTHIPETIIGNP PESPLKHRLRMALNKPPE JR316_0002199 MEQARNSSGIYETRMGQSTHSFGSGASLREHAAAPAGHFTSTRA GVIPSQNVSGWEDQPEVPTVSSQNVPHQPFYKKRWFIISQIILIPLVIALLFILLFPV VRAIIALVLKRTNIDVQVAEITQPVNGSFALKLEGTVFNTGIINAKIHFTEATNVSWI EDDGTETELGYMQLQDLSTKHKRAIINDITQFIILNQTAFGRFSENLITAQNFTWRLP SHLMLGFNSFDGNVILQDLQLPSDDPAGGIHFAATTQLNNPSPFSLDLGTVVFGLTYK NVSLGIGTGTETKIAPGNNSITLKGTLIRQTDPSNLASVSELFTNYLNGDSSPVIATG QSTLQDDGSTISWLSQGLEALHLTVPFKSSNDINPIKAINIGNLALQFDASQPWSPAV ESNSVQASLELPFGFNVAINEIQNDFVITKDGNSVAGVSTPIGASTSSISVIAPTDTT GTINISIEDTRLDVPDDQHAIFSSFNEELTTSDVAQFRLIGTSRAVANTSIGQITLDA IKVNVSSSLNGLRGLKGMTTIDTVDVTGGTTDAISLAIEVTIDNPSSLILSTGDLTLQ LLRDGAIIGTTLLPNLTLNLGNNTINTLSSFEANKSPQGLQTLNDFISKEDTHITIAG FDGSTQVPSLADAFKALSLDVILPGLKTNLINSASLKVLPTTGKENNISHVTVSLSNP FSAPLQITKISSNVTSFGILLGSIDQDISFESSPKSSTDSPVLDFNLNFDPSSLFTVT RALAVEAGEDVAPLDSIVQLGGIHYLPITQPTSNNQARKRQGNLFSGFDLTNFVRTAF NKLKSDINISTDVTIGQYATTLAFSQAQVATSTDQSLDLILPILAAPIVQKIVGGSIL GLDTVIIIDPSQNTFKTQLKGSISNAGPFDAVISFPSGLTISWLGKPIGKVSMNDITV TGDVGGIIDTETTFTVVDVDHLTDFTKALLTTESFDWEISGDNLTVRALGISVPDISF SSRTVTLKGFDGLKDGVQIQSFDLPFDDPSGGIHLTIQATASNPSQVGIQLSSLGFDT FIGNINIASVAAGPVTLAPGATSQLSLVGRLLPQGSDEGLLTISNVFNNFVHGKNSDV VVHGASAGSPDVTWLNEGIKVLQVATVLPNQGPQTIIKSISLNELSLSFSRQAPFGPI TSSKSTDAAFTLPFGFPLDITALEQTITLGFEGSDFAQLVIPKGPSQTDIGPRIIHIN FEKVPFSVFDNGHNIFEKFLAATTIGSEETLRLSGSANADAKTAVGLLSLSGIDFAVD STIAGLQGLNARPVTVSNLDVNHGFSDFLLINVDSALFNPSNLTIGTGDVSFSLQFQD QNIGTADLSNLVILPGNNSYPIAVHYAPQGEALEAGQALLQNFIQGIDAVTAIGGSTS STPIESLQLALSQIHLSPVTIPGLHQNLITSASLTFPIDVVSTGVASTSFSLSNPFTA SVNILRLGATATFHGLTLGTIPTTDTSPHPISAPGHGDVSSPVLPFNFNLDPSSIIQL LLATSQQSNVDLGPLVQLFQFVLSNPDFRPPVTTTVDTNSATCVSGHQFDADTAILQS LAELKVDLNIDSSIKLDDFLTDLSFVQSAVPALTDKTALFLIGAVAGPITQHLVDGSV LAFTEADITNLSNNGFDLALKGSLTNIGPLDALITFTEPLNVNFQGKDIATITLPPIC AAANVGVPDYQSSARLTITDNAAFTEFAVFLLHNPSFDWTISTPKLRVNALGTIFENV SLSKIVTFKAFNGLPGVTISNFQLPSDDPVGGIHIETDATIPSQAQLGIDLGTVSFQS FFDGTFVGPLSATNLILKANSGATTHLSGRIVPQSDNDLAVMGKLFSQFLNGQNVTLQ TTGDSVLAGGSNVPIDWLSTAFKTLTLDVILPGEKLEVIQAIDLNDLEVTMKTADQAF APPTSSLHTVAHYKNPFGFSLQVIEAAQTIILGTGGQQVAQLVIPQAPADGGVSTGNI ADLNISFIDIPLESLDPTGFASLLSEVTLKPDVEIEVTGTADVTARTTIGNIPITGIP LNVVSDLKGIASFGGMAALSNVTITGSGGDGGNQFIVAPLTTTLQNPSQISLDTIGVS LPVIFSGVTIGRAVIDEFNLVPGTNVVNTEFHYQPANSNDTIAQAFLSEFLQTGDTIG LTIHGDANSSPFASLSPALSGLQLSTQLTGLNQPTFITNIVVTIDLNDLDLPPDQPVF VDVDFTIHNPLDVEITLDFVQSDAGVDGEVFAIFSQGFDNFVVPPGGTADSGTFGGVL LTQGLLNSLAIVPLLELDVAAAATITVGPGGYQIPFLKLQQTGVPTQYNLGFGDDLVS PAKAKQIAQSASASASDTKSSTISPESSALSSTSGGKVTGATSNEGTMDKATRTIPTP ESSTEATLVKATASSVIASSDGPVVDPGSTHAFAAKITAAS JR316_0002200 MVLSKDELWENGHDETVEVNQRALIDKVLARYSGEFTVFRELLQ NSDDAQSKSVEIRFETQAYVDNRSGTPRSDDSLSSSPGQLPDLKTSLVHHWVFKNNGN IFRDEDWNRLKKIAEGNPDEEKIGAFGVGFYSLFSVTEEPFVTSGNKWMGFYWKDKKD QLFARRGELPESELDPAAREWTSFTMTLREATPMPIAFDFTRFLASSLTFMGHLREVC VYYDDKRLVKLNKASGLPHSLGIPKGLKSQSPSGIMTVDDIQSTPLFIQAQVMKWIYT SGTGKKRQNPTKPIKAANTGSPGFFSSLFSTLSGTSTPQRISTAPLPPVEKSIDHLAI SETSVSLSIYSASIQVHIDKKLSAELQRSTKKNPPTKMKFELIYTAKDEYDASLEEEA KHPEATGSIFQGLRADLDGQGAARVFIGHSTAQSTGVGGHISARFIPTVERESIDFMD RHVAVWNKELLFIGGFLSRAAYEFELASVQKLWATLKTGSISDDQELQLRATNQVLHA LKFFMFYPSTPSPDVSALMESAFFECSADGRFPIISSKGVRDVSTVRLPDPTFSTFLK ELPTLPDTVVTGVPIMISALQSRGLIKPINFQDVLQELQSRPLPESEFVACLNWWISI YQDKDRDRLAPIRTQLINSVVLTLEIKKGEHKIISLASIKSFISPRSPCAHIPMDGPL PENVLPNSISKLFKPEVLASSFPWTEFTIVQWVSFICDDGDFPAEYSISTSPPWAERV LSLIIRVWPSLASPYKMDIIRTLRLKACIPTSAGMVVPQEAYFPNVNIFGDLPIVTFP GGTSIKGTAEKVLQEIGVRKHVELQIIFNRMIKTNEWTIADLTKYLVSVKGSLTDTEY QRLRATAAFPKESCDGSHDNGKRVRYTASQLYEPLDIFRTLKLPIIDWGQQLKWKNSS EEARFLFDIGLQRYPPLNRIIDLCASEDSTIRPVALRYLIDNLETRYRDYDPQNYVNI RFIPALKGSEPCLGTIQEVFSAQWAAVGFLVLHPSYQSYASKLKIQDHPPASQLMALL RSKPPGTDQEARELFRLLAGRVNDFKNSDLVTLSTTSFVPITGGKNVSDSTVRWLPPS QCYLSSEGRESFHSKLFVFIDFGTAANAFLTACGTRSQPSVEEVAKILLNSPREFYEL SGGPVNFLSELRNLAVNAKAITPGTILRMKRSPILLCQQRQLKRQVKTSDDWDEDDWD MRYDLKKPSEIIVADDTHAYQSFGESLYTAPQEDIIEAFYCQLGSMKLSKVVKEEYKT TVELHNSKTATEVRALILERLPLFLHEHTHARTRVSFSWISANKNFLVKAFGKLGVIK HLSYGNLNLSKSQDASAIARRFGSGPIELWIAGNAQIDIVATSLNRLLFDSPKTNDAL LFMTILSTDLRSLKRRGYNGNNYKSLCLLSNRSQSITPVDRILRQQRDARLAAESDRM AKEKEKATQLPPPPLPLADTRPAVNDHPNPDPNELVESKPLLPTNLAGHLQNFRRKIG SMSSSATKPFQPSVPNTDSSSQASEQGPSPRSPGPPTSTNNSDNNSGITPISNISKNI DMAVSSCRSESANLLTNRQQMQRVKESLNDGYCDISGRKGDLHHIGQMGPVKVYLSEE IPNHQAGMFMEEKRHSLARFVYIMKPLATIYDLPLTKLHIFYDLEGGIIAFNRNGSIF LNLRYFEEWHDTDVKNEKLQDAYISWYFTIAHEIAHNLVQPHNSEHEFYFSAICERYL VQLARQLQGSSSTSS JR316_0002201 MALRFQTNYDFQDVPIPTTRQPPSTGATIRRAKTLTKPERGVAP VPLINPPHLLPTSPGHPAASSPDDYNGSTPWRIFSRVVTFWAPAVLLSSVGGLKDKAV RQAWREKFALCFIIAILCCVIGFITVGFQKVLCPETVATQFIRYNSTFAHGTLAIRGS IFNVSSAKSPADVNLIDMAQKLPGQDATTFFQRDAAEFKACHGLNFRVAVDPPCSTIT PCPLGPINASSTFSGLNIFKTDFIAGYSWDQVATLRSYFVLDGAVINLSPYLATHNNP IPSDNVDTAIRTILRAGAGDSGKDATRLFDNRADLKKALPCIKERYYAGNIDKVTPGC FVSSLFLYAGLIVILGLVFVRFVMACIFNWFLSERLAGPPNSQDLNRSAISPAVMPEG ANISVDNTNGTAPWTAGQKRLVKPNKIGQALSSSSSATLINQDATAAPIMSLAQIGAE LFAVCLVTCYSEGEESLRTTLDSISTTTYSDARKLLFVVADGMITGAGEKKSTPDICV GLLEADPRFGNPMPMSYTSVGTGAKAQNRAMVYAGHYTVAGRRTPTVIVVKCGTDAES VSDKKPGNRGKRDSQLILMNFFSRVTYNDRMTPLDFDLFRKIHILMGVTPDFFEVCLM VDADTKVFPSSLKHLVNCMHHDQMIMGVCGETRIANKRQSWVTAIQVFEYFISHHLAK AFESVFGGVSCLPGCFSMFRLKARKTNGDDWVPLIIKPEIVKEYSQSEVTTLHQKNLL LLGEDRFLTTILLRTFPNRKMMFLPQARCRTIVPDTFKILLSQRRRWINSTIHNLMEL VLVRNLCGTFCFSMQFVVFMDLLGTVVLPIAIALTYALIISMAINPPNTFEEAIPLML LIAVLGLPAVLILITTRKVVYVFWMLVYLLALPVWNFILPLYAFWHFDDFSWGETRKV EGEGKDTGHGGGGRGGNGPEVPMRRWEDWERSRLRKLKREERRRKDFERTHPSGVFFG SERDFLVAPDTRSQYDGSDTFSLNSSDDDHWGTQIGGYNEHNAQFPPPPVGLILPQDE ALQTAKTVGGAELEAMLEMGFDDKPTPPSSTYVPRYQLTDGSTTQLANLSGNGYSPLT RSSSPGGPPLQNTLSPTTPNTPLPHEPSPPRRAPPRSGSGGASRNTPGEKYGPLGPLD PSAKF JR316_0002202 MLEEASAASDVAALAALASQHPQSQYNRAKYGEHGSPVPYAPLS LHLPEQFRQLRIRQRLTSQAHPWSPALPTTPDHFFPHPTLPDHPPPAAPNPALKNSVS HPINISTIVPPDLIALVASHALLSPPDRPTILDLPPLFSLSHLSTFPESTSTLLVGNF YLSSCPGKKGLFRSPSLPSCAQTSTVRLDGPVKGRNGVCRDLDTDMRRMRALGVRCIV CCLDDAELEFLGVPWQEYEHAAKRIGLDVLRLPIPEGLPPLSPASLDVHLVDLIHRYT LQGFPILAHCRGGVGRAGLIACCWMIRLGLCGSTIPPQSEHGLGNSSQQVLSFVETAI NIVRERRSLKAIETYEQVKFLVDYVEFLWYGVPTPQEIYTPV JR316_0002203 MSLAKTQLKAARDYISKKDYINAKRAATQVLDFEPENYNAHVFL ALSLSEMGELDESERIYRKATELNPTQPLAWQGISNLYERQKDWDKQATTFIQLLTLF NKSKDAIKLAEVLQKLISLYRNHGTQSQLISGLSYYLPDSEFYPLLLTLPPPDATNPA GSTTFDSQNAIHNGFRILEEVLYLSEKLEDDTFQKELERRRTRLGAPSIPCLYESILN HPNTSDEVRGEVEAKQLRYKQRQLFSIPPSNQTLKCKVYTELKEIVEGIVLLKKPDDL GWKLYFEEQDCEEIPEYGRNYVQQYIRLFPESALASAFKGYFSYRRETLFEGEEDAEL YTLTDEDPVDTLLNAFANLPDSVLMARLLGDVYLEEKDYENAIKISKQGLQLLSRLEF DIGKHLCKTRTGFQVILATSLVHFFPPKHHKEATRIVDDILTRSPKNALALMDRAYIL QAASSWAEAGAVFVQVSSLLSEHSEIGMRAKEESAWCKCQLGQYEAGGEELHHVLDAL NNLEGEEYNSDRARCLWRIGKCNMDIGGTNIQSAYKYFINSLKQDSEFAPAFTSLGIY YLEYSAPPDPIRSSKCFQKAFELDARETIAARRLAEGFANEREWDLVEVVAQRTIDGE GGLNAGLAKAELDASSRYLPTNSWAWKAVGLVKLHYKDYTTAIQSFQIALRVEPEDQP LWVNLGEAYHKAGRHVAALKALNHALQMKPDDWLCSYTIADVKQSLGLFGESIAILEK IRTLRPDEAGVLVKLAQAYLDLGRTEISDGFLIRAEQSFVNAIDVALDMMTRVSGFRT MAWKIIANAAFHLSSFVVYNNEATLCRVLQAIPFIAPRELTEQLDKIVPVPSFQNDVP LNGLQILAVSVHAALSQISLNPGKQINNSCAWYDLGVALQLWVKKSPPSLDVTSAKEK IIEYLKTALQLDASNDMYWVALGNAYFLSHAKAAQHAYIKALEIDTKNPKTWINLGFL YFYHGDVELANEAFYRAQVLDADNTLAWVGQFLVATASGDMAESVLLLEHAVGLPTPV SEGDYEFSSQVFLSAKKLTKNDKFQESLLPAFFLLNRYCATRPQDASGLHLLALVCER LGHLSLGEELVERTIGILETAYEETEDPEVELRYIIANATLGRLKLSQGTYSESATFF ESALGLLTEKEAGEGPVRLLKVQAYLGLGLAHFFQDNLEEALGHLENGMAAAGDDLFL RGQVTIVLAQTLWALGTDEAKEIAKARLLECIASDPENLPAINTLAGMGILTNDDGLV DAALSEILALPLDQKHHLDPQRHVDYLLIQHHLAQGATGETMSIAQHSVNAEPGSLDQ RNRLASLIVQSRENKGSTSGGQVQKSALALLSAGGLSGDVDAAAVALSIQAVMQASLK EKVDVGNKEGRGDGSGGNGMQRDALRKAQRAIMMRPSEMRGWQTLAYVRARSIS JR316_0002204 MLRTVGLQHSRRQAASALPQLIRLYSIPAPAPAPVPNTDKDAPP PPLSKKPKIDLRPAPIKPKSSSPLPAKLPRSFIPPTPSPTNPTKLSTAKEEVQHDLHD AQAHGILTPPPPDANWFRRTLHQGIQLFKFYYRGVKLVFFRRKEIAIIKARVRGGGAP LTRSEFRLIETQKDDINKIIPFLLIALVLEEIIPLIAIYAPFLLPSTCILPSQRERIE TKRAEKAKTFSSQYYTVYAALKRAENPAGFLPLSALRNTSSAPTAVCGLLGLSTIGID ALRIRRIRRHLQFITRDDQLLFQDKLTASLSLRELREALEERGIGARGLSTTDLQSRL QWWLDAVKESSTNIDDNAIARRLALVIHIS JR316_0002205 MDDLLGEPDANAIATTVALLRSMAHGELAFNPAQLTLRGESAEI YQLALKAHSLALEHTCSSILAGQGSESDDAGDVGFHLGEGDYSKAENVLKALSLPVTQ TTTMNRPDGWTETLQNEYYFRIAGPNSRYIFFLVGQTDTGGWGGLVGAGVWT JR316_0002206 MTINSIQALSEDIARLRELKERVQHVRQLPPMLVKSTAKGTTAD AFARMRALSEHLASEATQRALVRARDSLAEDPSDVRGEGRPQRRTRTHGRSPEGYVAD EEERGAPLPHSTEPGVTVSELGEWARAYNSTHTNKIRIRGRTLRMAIPDVMTVYMGIG TQERVVVETIRAFGSREMRWTGPVAVRGVSGAVAAAKQDAGRRAAAADGRRGGGGVRE CIRQPVHVLRAGRGNGGRSARGGAAVDGAELAGRAY JR316_0002207 MASPEHNSVVSPAGIIHVLGYTPTEGDHGVPITVRIHFQPDLAT AMYVRLVVGTKAVPTKVRLLPSVAYGRWQLHAAAPPLDKPLAPSPKVLLSVQALDDEN TILDSVTFGEFTYWSSDRRSAAASSSLPRLHIPESATTIRRRSNNVPSPNAPDSQSQN APQRSSTRLHRRMKAQSLIRTKHHPANIPEQDELYAQTPVLDLVTPLSSICSGWSPAE IASGRRLVRFARVQDGRRLIVSCESIQQEDYCENDSVISCIYRRESDTCYVTSVDVIY LLERLTNGEFPVEEKNRIRRNLEGLRPTTVSKHKSGFGDFFQLIMDFPDPKPRNIEKD LKVFEWNLLGQALEKILSKYSIYTSPTPESAPSESPPIKSPEESPELYAVQLSYPPPE DPNSSRFLDQKMPKFEGYPQDDFTLTTGSQPHSNLDVAPFPSPVDSVVTTSSSASSSA SAFPLFTTTPTTTDATAATASGDAVCTGGDTSHLWTPSSHDFKPVDNAMPLDNFGILS SYEVVEPGVGGQGAGGIHSFVDNPNLDFGIYDNYTTAFGGISDDALASLAEPYNI JR316_0002208 MWEPLPLIVYGYAVHPLSPSRRETRHSSRNRLSTVTEASSDDHS VMKDVVSLEVGDEVYAFEKYVPSSRDQVEGIWYRGYVLCTTRRPPVTWSLSDPSSSTS LSRPLVKVEETQQVFIGIFPASHIFVRDELSDAEGRLPDLARTLQGGYSASSSSGFQG RGSPGDALGNWNRDKASIGMGPLREEEDDSDAYVSRTSFKLGPPPDQANSSRAALPVY PTSLRSSSPADSHVMKPLPPRPSRKSGDDTASGAQQPIIDEIASALREWHTLMFQYLA RRDYKLFHIVREHIEALHLGRRQLLAQTLSAEETVNMRRDCVTRLVSGNLVQGLDVIV RHPTWGGLVTVDVEGETDPRSWVSAVRMYAMQVSLAYLNVTYDESRPYPLGPSSEYVP SAPLPTPAHSAFPDFLHHRNRSRSQTWLDAEDPLKQSTAKFYHVFLDLRAFVASPCTP GETAELFFSLYRKQGTQFVTEEFCAVLNHNGVLARDPSSRIRTLFVDLATSDIQDPIY LVCRIVRNGALKIGSSMSSSALGDGRRGSETSLRTDSLSPTTITWNDSVAASTSTLVS PSSGRPNGFGEPVQFRRPFGCAVLELTQLAKMVSEQADVTPLREYTMPIYIPTNEASF SMIHQNIINNNTKEFEKSPRAEGLAVSVKVFRGNAKTVVRENTALLQDIPHTLRLGFP DVVFPGDARNELYIKLWCGDFTLSHNGSGRLNVANFARGQMGHPSVNVQITVEVKDQN GTTIENVISQGSGEPLMTQFNSMVFMRCNEPTFGELIKIQLPLNEVPNWHLFFTFRNR SSRSSSKYMLEGGDRPFAFAFQPLFPDASAFVEDGGHTLIMYRADKLGQIVPSTYLNS PFRLSAGQKIEHVSIPADLLRLSSPLKDTLTIRTSLCSTKHTQNVDLLRLLNWEKQDK DHLSTLLNRFTFVGEGEIVKFLRDIFDSLFGILVSQNNPSGELDLLVFNALVTILGIV QDRRFSNFQPVLDVYIEKHFSCAAASSHIIHSMNRLVTNPTSPETASPLRAALKVWHY IFKFIARSRELQKVKEVGMGGGATVDYLETTFKRELRSHLSNFTQIMSTSSPPSIIGT QTIALQHFTSILPELAKIYTTVELVSIATTFANAVTVGKGKIVNWKLIMYLQIVKSFL FDNAESRPFLVEAVVIWIKPHFGRYDEFAHTSSNDSESARDAARVTWMESIRLCVTII AVMLDKLNQYLTDPAIVSDRAALNKEQDNVELLLSLIPRLLDSYREIQSPASKRAIEK TKSPSTIKSSVPVTFPESYPFSLISSLPEPPTGVSNIPLTQDGEGEFYPALGEVAVVF LVLILSSPIKYITAFLESSLEIEGRERFVALLSQFFKVATSLLENDAFPKSWMNINIL AHKVLIKIMDPIASIMEKEFIPPPELESRFDPSLWREGLHMLLKLLSSEQLMIEDFSP QKRRAVWRLTGDIRGEGAAILLSLWQALGSAEHVSTTGEPAMRYGGYQIYLHALVGHV VNLCLSHHDQLRNNAVQILYSMIVSEYHQSEHFDGIENELVTRLDSLFMSDSKGDDIS RAFFIGQLRHMFDTSDVDEQLRDQLSNFLDSVDLFLELLLSVRALPEGEEYADDRVIA TLRLMNFIRRIGRDEIYIKYVHQLVNMHLQSQNYVEAALTLKLHSDLHAWDLNSFVPP MEDLGLPQQSHFHRKETLCLLILDYLGKGKAWESAIEICKELAYQHAEVTFNYGRLSE ILRHQATLLEHIVTDQRYYPDYYRVTFYGNFPAAIRDKRFIYRGYEWEKFGAFCERML NKHPGSQLLKTAGEPPVDIRYGNDQYIQCTAVTPEPDRDLPVFTNPDVPLAVRTYYEH SGINLFSSSRQIRKTTRDGMEEIWTEKTYYTTEQVFPTVLRRSEVVNVEFVEISPLEN ALQEVEDKTKELIGLHLKYQALAKTAQNVSTNALAMSLNSAVDAPLNTGVASYRQIFF SPDYIARNPERAELVEKLRQAIDEQVRIIDSCLKLHGLLCPPEFIPFHETLEKFFKKN FRDEIRRLAVDADSIALSTRSQNIGLGAASSGSQYPIPSTSYEQSVKRSMSSSSTARF QSQPINVGRSMLTPTFESPMGPGDDSNNAHSNSSSASKQTPLQRHLAHLTRHGINGVS SAPGDLAGVDSLSAESPHNSFVNVGSANGMGNGNGIHSTAAGQVSGASVATSYMGSMG SFGSLKSRFSRFGSLNFGRRGGSNS JR316_0002209 MGEGRRKLLMASLSDQIDRLTRNTRLLKETAAQVAPAEAKHAVI FTNAVLNTHLGDLIRDIDPSELGLFRLVQNDTSNTYDKDSRSITEPQIRRTQFVGATP LRKNPARREERYEIEPEVYAHAALKYIEQYEPIRPMPRAYDQIVTILNKIHDVREHIK SLNATLEQTVVSNKQPPPKLRLEQEERRIHELEARIAELNKKKELSTDIKKPKAPRPK NVPNLAEKALLNPPSVSSPQEEKFWATPGEPSRVLRFSDNLLDEEVNLGDVSTASFGS PVVGSSQLRPTKLFDEIDAAINTPVAQPNPFFDEGPTYKAPPSIDSNPPTDLVPTQEP LPPPSSDTPHAKSSPTLIANVTETPSVGQKKVKVNIEVERIVSKIWSTVGDIIGAPSE LENASSPSVNDTVAHLQHLATQFPSPDSPIASTASSTAVEGTNGPTAQQIQIAYLITM LLAATPHHSMPLNQVKENLDVKAKAMGQGPTRVLFGCVAKRLLKIERGRREQIVKFDI JR316_0002210 MTDYNTTLFRRLTWEGTVPLEIRVDPKELPANSNRGLECYYVQA PRVSYLPLIVPEIRKFLMDVVFDEIAAKGLKDEEWWFESEEGTLLKWHWPIGVIYDNH TISASIRSSPMPSTSTPCPMRLILHLAAPPNDKLFLSPTIDACKQAFMNQLKEADFIR WGNTRRMTSLRKADQDGLWEGIRERDFDDYWRVASKLMPFAGSPLRSTSPPPVGSSLS RPLSADTVSTPERDGAYSIRSVPVRIYLPDGPVLQELAPPLLEDGKRFWQDVLQCIST ERPIDTGTPHTLSHFFSNHFALLFPPRPPPPPPSRSNPNPQAPDVPELAYALIQGVIS PPEAELAWLGSCMAGADGWLNICVGINRR JR316_0002211 MATSLPARRPLANRSVQGTSNPSPIKQTRSVSGSKRPHSPDRGD SNANPIAKRVRGTATNEPTASHESRATEKTKREKEKAAQRMEMDLEFKDKYSRAFPGF RFYFDAENITTSTANLKAMISRAGGITDPFFSSSITHVIADKQNTISDKENVKAKSIQ STLKSPIKLLGRGSEACSVVEKAREYGLKVWSTAKLESVLDRTLNSPVPYKQIPSLRQ APIPQQPPQQRLQRLLAKEKTDGNLDRDPSQKRHDYHYFARGSCFVLLEDIRGELATI AAHEYPPYKERDKNAKKPWPVLHCHPLARNPFIPFDEKERRRWERLQKAELDQEEERL ARKKRELETMKRKAEAYTHGKGTKDLRRSVSVSNLVRRHSVGGGLNGTGAIDLDADYD DLKSANASGYIAASGNSVGITSTTGTTSTSGRLGRNVPLSNSLTQSLQQHVVTSRKPP SKVKADDIETPGVMGPPIQLPIRQPILKKSKSTNTLKLPKREEGVKPGYCESCRMKFD DFSTHVESKRHRKFAENDDNFFALDQVIQRVQRQKLDEIEEEKYDRDSAFFYDCKLKN RKHHIYASPDRVSAFQ JR316_0002212 MALQSQTPDLVEDISDDGQLTIRIPNPKVYMARQSKWVGRRGKP RCDNCRIGNLKCDRVLPMCNHCTWADQPECFYTPMPTPAHRGIPRCDRCRANNMKCDR NLPVCNNCEREAGGTACNYTPKKRHKQPATEEDPVKASLRMGQSIMSQKNEHPLPVNT DPTHTPLETDHLSSHTFYGRNIGIDIPGGIVVSPTHSESEYLSDADSGQAGRFTADYS RDNSSTNFSAYSSEVVQRPPILAPTPLEFIPHSFPLSQDLKNTSTIDPWLHHSFISLP AYVCKRLRRLRTVDFPNRRDFDTSLLDFQNGMMDELREIICFPSDAYTKLASCLASGD LSTLSDRVRSWASTQRLSSVTEKYYLILTPRDSDYTDDCGPSENDKRQFVTDLLSTKS DLEYVQAFITWTMAEIYVRMCPTCKLREEELSSSLDS JR316_0002213 MSPDTIDDYQASAPFEGPEKLLEIWFAPSATKVPILDKARGKKT GLRTVSRQTWEDMLDIVKCKVLSTIEGDEMDAYLLSESSFFVSPHRLILKTCGTTLNL LGLPRILEIAAREANLSTVYRFFYSRKSFMFPERQMGPHRDWKQEVEFLDQIFSNGAA YTIGKVNGDHWLLYLTGAEALSTDLSPSITHHMEFEDRHIDYTIEILMSDLSTKARQP FFFSETSADEPGPSPAALAADLSDKLGITAIFPPSLTHLDAYAFQPCGYSSNALIKWG HESLQDNFEHGARTAGHFGEGYYTIHVTPEEGWSYASFECNVPLSTSKISHQAKIPDL KSLIKRVVNIFEPGRITLTLFISSEDNDTGGESAVEAAQRAFKIALAESPRVSNSGGS KKLYKRTDKINYEFGGYDLAFASFELK JR316_0002214 MRGFLPRLNVLRSIAPRAAAPILPTANIRLTTSALTHTPRASQA RLFTHFPARLTTSPGPEHQKSTLPPNPSLSQRLKHLIKSYGWYALGVYFILSALDFGV AFVGINLLGAEYVSQATASIKAVVASVLPSRPSEPGRDEMDSISHAHAEGGQESLYAM LVLAYTVHKTLFLPVRVGLTAAFTPRLVGWLTRKGWAGNEGARRAAQQMREKIRERSS RDSH JR316_0002215 MSLARRAFLATSPSRPLFVAQRRAASSSSHDHHDDHHHHAEDST VYPPESTNAAFGNSFWRNVVLASIATVAVFKYAPSAGDDVYLSQWIALYTAPRDYWLT LNAKHSAQSAELAADTRLVNDATRPIIHRYVNPQSLSQASPFLNGVGRTVDMSGVVPK TTV JR316_0002216 MFPQFFTLFLALVFFHGSQAANPLVDFDRMGKVGLAGAFAGLDF FNGSTAAFDPTTSTLLSRASDGSLTRLASTNVGGSILTGCALGNVFYLAGSFSSVNGV SAANIASYTTSSGTFAALGSGGPNGQINTVFCDTKGEKVWVGGKFSAPGASVAIFDIK AASWSGPPFAGISGAGAEVTSITTNSSDTSLFFSGSFVTAFGNANAVLNGTNNPNVPF SPGASPFSSSLVPIPLTSANIQIQGQPSSLQTGFTDIRNALCPAGADGPGNSWFAQDN STPLINVQTFSSIFASGVRLGNTFQPNHGTTGFSVTTLPDNKVQTLKYVDPTTGQNQT CTDPCPLSTDPSVLYQDFLFNGDLTITGVQIKLSQFTGSGPGLHILQLLSSGAFASSI DANNGQSCFAPNPSNTTKNGDWFSKVANTKIPGTVQTVLVSDVIIGTPSASGPSFTWN PYISGAGDYDVNLLIPGCTNFQDCPLRTDVKVQMFPGPGLNPIITTISQTNQDDASVL IYSGPVHPSTTDFGVTITMSLADEPSGNGQGGKFEIIADRVQFVLKSATVSTSGDNNG STVGGTGLVRGFGFLEWPLSSTSVDPSVDGKTAFPNTTLTALDSLGFDLLNGMGGTSA LVSAGLSVNAVAHHPSGIFVGGLFTLTSGPASGSSNIVIFKNGALASLAEGGLNGEVT ALALIGDQLFVGGSFNGTESGSTSNLRNIAVYDIQKNSWSSLDGGLNGNVASLGLANG QLQVAGNFTETVSASNNIGGISVTGFANWDIKSGSWVNSGGFVAGKMTFIGNSTSSES QFIAGNVAASQKFGASGLVMLKNNGENGPSVTPLSIGLDSGVSSQSTAATKLRRRSQL QLPSSSAWISHMKFSHIFSRQSNTNQIPTLSAPLPAPGPAVLAGAFWTNKTSNKELTI LGGNFTFTPPGSTTFAVGVAIYDPISGSIQALSGPQINGTVRTLLVDDNSLYVGGEFT LSAGSDTAVNGLALYDLAANAWDLNGLQILQPSPGSSVVVRSISKSTSKPTTVIVAGS FSQAGSLSCQAICSFDTVTRQWNALGNGIQGQVASVSYAGDNQNTLIAAGSISLSDNT ASNVVQYSLQNATWSAIGSGSDIPGPISAVGVNSGNASSIFAAGKSTDGSSSFLSFWD GNKWSTLGSTFQEGTTVAQLTMVPLQNSHDANGVIESDRVLMISGSLSTTAGNSSSAL FDGRNLIPFIVSTSATGSAGSVSSLFHSFSSFSFSQRKFLATGVVILISIAIAAGVVF LLALIGILWTLFSRKEDKLNKYDVAEDEDDDSTRHRPSSLLEHINAATRTTIIGASPY SNSSEKEEQTTGSPHADQDPFGPDASNYMRAETPSDAVGGLLGEETSRPAHARYSFDG TGEGELPISSGAEVEVLDDRDPAWWYARDVRTGQEGVVPAAYLY JR316_0002217 MPDSTDPQLFTCLSCSIAFLSPEEQRIHYRSDHHRYNMKRRVAS LPPISVAVFNQKVLERRAETAVMSDIRGSSCQVCNKVYTTENAYRSHLQSKKHRENET KAALKPRKPAAEAEIESAPEASASTSVPPATGAELEATPSPGPVPTSESSQAPKEPKS QSETVLEEQGDDEDEELMQSIDAKIAAARSRLSVNNCLFCNTVSASLEDNLTHMSLAH SFFIPDAEYLVDIAGLITYLGEKIAVGNVCIYCNQKGKEFRSMDAVRKHMIDKAHCKI AYDRERDRLEISDFYDFSASYPDADQVRKSSSKKKSLKESVVEDDEDEWEEDETLDDD EVDEIVDESASEESDSDADSDESDLLENQMTYGDSPFELVLPSGARIGHRTMRRYYAQ SFPGAPRGSKPEDPNSGAALVRRLLADKNSALVPSRGGFGAYGRGTEVVKARNRGEAR EAGRHVREFRDQRRREDFKTKVGFIHNAQKHYRDPLLQ JR316_0002218 MDAKLKALKVVDLRNILATARVQVPAKATKNDLIAKILASNAAL DTYAALYPPDDLLAPPEEVDWNEDQIDTPPPQQQQQQQQQKVAPAPAPAPEPAPQSAP TPAPAPVAPSDTTQSSAEDIELEKRKQRAARFGIPLVEPHQKKTRPAAKSAAVAASID PKVLEQRAARFGLNTQAPDAKANSNGKKRSAPTTQDVDPEELERRRKRAERFGTGIPR IQPNMTPELVKKTTDMGWSQTDALWVYTSLPEPLLSSELERLSFAHTKCDTDVVGFQP CPNPEETSQDRFVINDWPLPNGTWIFRAIFDGHAGHETADYASSALPDIIKGALTAVV EKDAHPSSSAVSEALSNAISSFDKGIGQAIVDLFPDEQALAEMPIEDIQRIINDNGPN SATILKGMRGTTALVSLADPAKANIWVASLGDCAAVLGLKEISGEWNAQVLSKAHNGE NDVEEERVRQEHPGEEECMMDNRVLGAIAVTRAIGDFSFKLPAIYTERVFLNSNPGFL VPDKVRGYIGRSKTPPYMTGVPEVEHINLKALNATSTFLIMCSDGLTDLYDDRLKLNE VLASRWVGIVGEQYGLKDRKNLALTLLRDGLGADEENKGEKISRMITVEMAFKWMDDT TILVVPL JR316_0002219 MQNETSERDLHADLFPNFFDNIFPDRPTANIDEEPLYVNAKQYF RILKRRVARTRLEELHRLSRQRKPYLHESRHKHAMRRPRGPGGRFLTAEEIAAQKLAA REDGPSNVDHDIEEENLQMSPDPDPEPSPIESPPPPPSFIQAQPMNVNMDYHRNHLHS LQAPTPPPPPPPQKQPQLYPQQTHHAAHNHHRQQQHQSSHLQQAQPLQPQQHQPQQHQ THQHQTQPQQQHQQQQSQPHTQQPQAQAQHHHHPGHHSHNPAAAKGALNSAPITLSSP YPTVQMHHVPHPHAHARHHHSNYAYQMYAQDSITQSNTLDMQRRTEEMIQYSTAGPST S JR316_0002220 MTSCQTWTPSSHIRHPWFDTTIMKRKHPETVSPDDDLPLGSGPG VSAAKPHPPKRRRCSNLEQGFAHMTLGPSPSIVAEQVSPVETLPTVREIQMASADADM TPLASTSTSHYSTDRPPYTIEEPSSAPEVKMKNSSWYELGPDRIIITDMDSFAQEDEE ENETISVNTALLDRIRSNTLEASSSSSKSPATGPSQALILFKPLVAPEDKEDMKKMQE EEEERRRKQAEAKAAAEMEMLKREGETIDEDAMDVEP JR316_0002221 MHAPYNASLAGQQAGMNTTSTHEAHSPNLVADISWEQDATEVTL EIPPILASSGQAKMPLTDMMSGPTNLDTLYYGPLRFGTPPQELTVDVDTGSADLWVPS GCAACSNKQFNKQSSSTYSESGSTFDGSGGVSAVLSTDVVTLQGLSIHNQSFGTVTRE SDDFNSYPNSGLLGMAFGSIAASGKPTFFENLINEKQLAAPIFSVHLERGEENGSEIC FGCVNDDKMIGKVHWIPVVSKTYWSVALERVSATPTAVIDSPGVVAAIDTGTTLIYLP RNIATELYGLIPASKPAPQYGPGKTIT JR316_0002222 MPAPQVPEKPPQTPAKSKATTQKPTKSTPLALKVYLVAYNVIST LGWAYILTLTLIHLFNLDGKADAVQAPRGKSAMSVLSRLTSTVSLPKALRFFASNNVQ SRLPSYLQPIYRRSATTFSRVGPQTAFVQTFAVMEIVHVLLGWVRSPLQTTVMQVSSR LFLVWGIAEQFPEVRSNPLYTSMVLAWSATEVIRYTFYAFNLLGKNLYVLLYLRYTTF YILYPIGASSEAFLTYATLPASSPIPGWQSWLQGMWKLTDYVRGILFLIWWPGLYVMY TYMIAQRRKVLGKSAPKTLKTN JR316_0002223 MFPKGPRFEPFKAPDVPGPNAYSIPQESVLDNYKRGAFLEKTDR FAKDKHPELPAPSTAKSHPAPAKAPTAQSAIEKYNALQKKVDELEKVHLEGKRTHQVE LERLKQELSAALKSATETTERLDKQKKQNIALDTRLQEMKKASLADQSEIKDLRHKTR MLELERDKIISKQPDVSELKKSLATLEAKRKDELKDRDRRIAELERLVQSEKKKRELA ESKIQESKRLLEEESNMIKTNTAHLEVLVKEAQSEAQTTKDRLSEVENEAASREDALV QRLEQHCYLLNTVVEQYGNLVSQSVSSSKHCRLQQDYDTLQIRQFRLERKLANSEAQV VELAHLIRQIKSENTLLHQLVSETLRDNSSLTAFDYTTPVGSDANLWSQSAIWDTDTA NLEQSLEHAKSDANLQMSLADFYRTQIEELRQASSILHDDYTELQNIAQQRASDLSST LASHEAMATRLESIQKEKTQHDEQLKSAMSTVDNLTATVAVLEAKLSETQEDMRKTAA QHAVLLKKEKDTVARLTSTVQKNRIAEDVLRAEIEQLTTELADAESYQESFYALHAEV ERLVTRNQIAEEEADRISKFNAEILGHNNPAQRIMYVDRIRRELAEAKHKIAMLIREQ ENVVAQNDELQNEIDMYKSVQVPPEKKTRTNITRVARPPLVNLGNSLNSSLPITHISS GSKHLFMSREQPLLEAKEGDMTTDELM JR316_0002224 MSHADHSPRQDTDANPPSSTSPPDAPTDDHSQSLPAPPASTSNG QHSPNTLPSASPNGPKITSPSEHTQDASTQHSAVPPSALNGMSGSQNTHVNGANSQTP PRTQRPSFFSKLFRILVPCVSPSPSSHPVELPETVAKAPEPEKVDSKPEEEEKSAPSE TTLPQDTLRVEPTKPTISTDSRPITPTAENAEVILPPTPTTHLLPPEETEGMTSGAVQ PPGSKGDTPTHEKSHSAVHHPVDSADGNESEGTSYTEEELEEAEDEEDRLIFNGGAGI PIGPDGVPRPLLPPISPQHAGRKCLVLDLDETLVHSSFKSISQADYVVPVEIEYHWHN VYVIKRPGVDNFLKKMGEIYEIVVFTASLSKYADPVLDKLDIHQVVSHRLFRESCYNH KGNYVKDLSQLGRPIADTIILDNSPASYIFHPNNAVPVSSWFNDPHDTELTDLVPFLT DLSAVDDVRGILDGAR JR316_0002225 MSISLGGLGLLSVRNPFKELVQIVLGKGDLRFMFCLIVFGIVTL VLAYLTNPSENSFRAYLTEQSFRLHLSRLDDTADDNSNSKSPQRSSSQTQFNPPLFAA DATPSFHFANRASIALRTPKHVFHSFAIFTIAAMVPLSKSSERENRDGWMISDSWYIG AFGKWWRGGVFEAWYQDVIARTKDEESWSSGILSMKRLDMLQDYNGPTFSAKNLPSHL SRGSPPRLRNRDRPALRNGAGQPRSSTPPPLPKSASLPMHTTRKSSSNTTERLCDHPA PVQPQAHALLNDSPRRNGAAAPSRTSSALFEHSPIIAEILRQISSTKTSVLDLRTQLT DCEAAAAQSRAVLQHEVDTHRNRKRQEDAAKLELKSRTKALEDSKRGAESTKKDAEKK LKAAQSFRDGATQRVDFLNKEILRLQQNLAEDRDFIKHHKSEISDVEREISENLEQKR LEIKKAEDLLLILNHRSRELEDKLATERQRLQALREQSEKLRQSRTSPVAHAFPQEET WVSNLHSEPGFPPGLAVDGWEPPFVPIRQSSSGADDRDSSRIIGSGTTVNGQNLSYSG HPPYMPFNERPSPENIETPSVHVSKAKEIPIPFVVSMESATGVSKSFQSDSDSFIDKE WRASSTSSYPSHYQNDDFEPRFPVLTSSPVSLHGQSVNHQENSAYNSRYLSVQDYAQD QQFVQSMDMQSWAPSDSELNTSLDSLPEHSPQAQMNQSVSRRWFGGLGSKTKSPKGLN PDAKEFNLFRKPIASPFTGILPTHKSFDALNPNGLGTISSSSTSSTLLRAFAPSPAER EALQRALGGSTNTSFERLPSLSDVGSIPPSPTNGHTLSLIPQRPGKDFGSILPAWLQA LPTRKANFSPWDDEEPVLPGSKDSTTANAQRT JR316_0002226 MSDALLPWIRDYIISIAESYGANFSNIPLYPKNKKVQLIQFLTY GSDNQDTLIWAEISDKQYIIPVKFTKDAVADYCAARPGQRLTRHKGAIFRISRFRPIS TRIPTTSGTLTTEPTLVLECSSLVDLGSSGEATFGSPEPILNHPDIRLWNDGLKKDGG AGYALVYHHSYSNLAPRNVLKNRKEERVPILSPPPPPSPPKHSEIHVDITRGKASFSS IDAYHAFWQASRVSFVMSSHSTRIMQKTAKNPMYQEPPTIAPPSLEYAQTEVEFEDIN IPSSRSATPFSAWEATPLPRASPAPYDDDNQQRRSLVPRKIPRPASPVPMPKNHTGPA LILAPNSDTSQSQSQSQSIQKEAEGFFRDTLGIDAGDYPWLDLWRIKEIMARTLHARR LANMSNIT JR316_0002227 MLSFTNPSPDAASNIQSMRLRDDPPPITRQTKKRKSPPADSQPQ PQPQPSTQQQQQPQPPQIHPLPPPHALMHPMAPPLPPGYSYAPADYTPGGMPPGIPMP QQQQQQQQHQSQSPPPSSSTRALSSTKRAEQNRKAQRAFRERRDQHVKALEARSQLLD AALASADEANRRWEECRALVDQLRVENNALRAALAQQGQIMPSNIAPTTQNTNPTSSQ PPSDDPKPADPAPEPKNDPVDPSS JR316_0002228 MAPKPSLRHRLFAPKTDPFYKRKPSFLSRVVTRVVPCVNTTDSP TAPAFVLPDLDISPPLAAGFALPPQPSNPPSPTDSDVVVPPPVSASLLPDDETDGMTS GAVQPPGSLGTPLTHDSSDDSDRTTIDDDIHHVFDDHDDELRLIKAGGSGIPIGPDGV PKPLLPPIAPEHVGRKCLVLDLDETLVHSSFKAVAQADFIVPVEIEYHWHHFHVLKRP GVDDFLKQMGQIYEIVVFTASLSKYADPVLDKLDIHRVVAHRLFRESCYNHKGNYVKD LSQLGRPIADTIILDNSPASYIFHPNNAVPVSSWFNDPHDAELTDLIPFLADLTAVPD VRGILDAAR JR316_0002229 MPRVPPSLLGALFRRPPPRLPILDAVKQLTRPVLATTALPAILR PSLTTRLQPPFANPLYQLPVRGIARGTEYQPSQRKRKRKHGFLARKRSVGGRKVLSRR MAKGRKYLSH JR316_0002230 MAVPPYTGPWTAPKVRQQFYDYFRSKNHVFVPSSPTIPFEDPTL LFANAGMNQYKSIFLGTVDPNSEMSKLKRAFNSQKCIRAGGKHNDLEDVGRDSYHHTF FEMLGNWSFGDYFKKEAIEYSWELLTNVFKLSPDRLYVTYFEGDPKAGLEPDLEAKQF WIDRGVPEDHILPGNAKDNFWEMGATGPCGPCSEIHYDRIGGRNAAHLVNQDDPNVLE IWNNVFIQFNREDDGSLRSLPSKHVDTGMGFERLVSVIQDRSSNYDTDIFLPIFDKVR ELTGVRPYAGRFGAEDADGIDTAYRVVADHVRTLTFALSDGGVPNNVGRGYVLRRILR RGARYARKKLGVNIGSFFSSLMPVVIDTMGEAFPEITKRYDEIKEISDEEEESFSRTL DRGEKLFDQFATRAKEQGLTQLSGKDVWRLYDTFGFPVDLTRLMAEELGLTINEEEFE AAQAHSKEASKASTKKGSSIVVKLDVHDIAALEKNDMIPKTDDSAKFNLGNITATVKA IFHEKNFLQSTKDIPEDTTFGILLDRTSFYAESGGQEYDTGNIVIDGVADFEVTNVQV YNGYVLHTGHLKYGQLNVDDEVVSSYDELRRWPLRNNHTATHILNYSLREVLGDHIDQ KGSLVAPTKLRFDFSHKAGIALPELEKIENMSVDWIKRNVKVFSKELGLETAQKIPGL RAVFGESYPDPVRVVTLEYDVEEISKDIENPKWRKTSVEFCGGTHVAKTGDIKDFVIT EESGIAKGIRRIVAVTGHEAQEVSRLARSLEERLDALEASTGKEKDSGLKAFSVELGQ TDISVLKKAQLKDRLAVIRKAHDKQIKDRELAANKAAVEQLQQYFKENTASDAYVASI DVDGNAKILQSLVSQAKQLDKSLYVFSTDVEGGKVAHANFVSSSFKALGGDARKWASK VTDIIGGKAGGKEESAQGVGINTDKADEALAAAKEYLTEFKTS JR316_0002231 MFYSEAILARRGPLAKVWLAAHMERKLSKSQTLQTDIEQSVDAI MAQEIEKMAIRLSGQLLLGVVRIYSRKAKYLLDDCNEALLKIKMAFRPGVVDLTEDQL TVNKTAITLQTNGLGLDLLLPDVDWDMDFEDRPLQRQGHHQAHVDDITLRTADDFEFG MNDPFDIGPSDGIGSQDFNDVDLGIHWGDEQQNDKSDAMSIQGSIGVGRDIQHHEEEL DLFGGNDFNADLDRMSHRSKSRDVSVQPFGGMDIDLPDLDLGDLGIGFDDPPPADING RTPSETRSLSRASSPLTEVPPTPPPFEGLDDAEIVDNAENVVNESTTDKPKRKIKDKR QIIDSVTELQNSQGASNRGRAGGNTNPLNTDTTSITSTHHFLPRSSIVMRLLEIRDDP VSYFLPTHIKAQGTFLSGAPPGLTPELADLFIRPVYNSTHKRKASPQESPSKKARRED VEMPRRVGSVAPEEETIQIDNSALDIDAGFEFADQSGAPEDYQLDFPEIGQELDQERA KSVFSERSRLSSVAPEGLDDINPTHVDVDCPVSIFDATQPSQSQLPEAEQEQPEVVEV TDRQGYSKNTVKALGLIRKELQPEEEDDASKTMSFKQMSNKASKRAAASFFFELLVLG TRDCVKLSQPKPFADITISAKPRLWEQNQNVGDSVPE JR316_0002232 MQIMAAANQNRFSACLEAAAKMKAAGLRPDASIYNALMGLAARS DSWLFSWAIVDDMMKLGIEPTATTFAHLIYAQRNRPYTNLWNAYDLLNQLGIQLTPPV YTSIIETYAAESNVEMMLRVFFAMKERGLIPELAAAQTLVSTLATTGHSRLAIEVANY FEEASTRQLEPKVWLECLTSSVDKLYEDGVLTCWPRVVDELNVLPPEGVCVGALNTAA RAGLPELATDVLRVLKLAEIEWQEYHFAALIEAFCRNNQLKEALITLSIMRQNDIEPL ESTTSFIYDIVKQDVDSLDLAWGLVDEIKESGSGLDIEALKVVLKAAVFLGDLQRAVG IYKAIPNYNLTPDLAIFNLLFQGCITAQHRQLGDLLLADMKAAKIEPNKETFEKLIYL ALTQETYEDAFFYLEEMKAAKHLPPRKIYIALGDKCTEAQDPRADMVWQELRECGYRL DDPRVRLAARGVSEKRKTSEFSS JR316_0002233 MATNISFHPGSVTGEERKELLGQKGATVWLTGLSASGKSTIACA LEQHLLHSKKFTYRLDGDNVRFGLNKDLGFDEKSRNENIRRIGEVSKLFTDAGCITVT AFISPYRADRDLARDLHKQSSLPFIEVFVDAPLEVVEARDPKGLYKKARAGEIKEFTG ISAPYEAPSSPEIHIKTDQVSVANAVQIITDYLVANNYILP JR316_0002234 MSQPSVHQLFERLETIGKGAYGSVHKGRHIPSGNVVALKIINLD TSDDDVGDIQREVALLSQLRDCPNITKYYGCYLDGPRVWIAMELAQGGSVLSLMKASK DGCIEEKYVAVIIREVLVALSYLHKVPVIHRDMKAANVLVTDIGKVMLCDFGVSALLA TTSSKRNTLTGTPYWMAPEVVQTVPAYDTKADIWSLGIMIYEMIKGTPPHSNLDKFQV MDLIPRIKPPRLAEVEASKDLRDFMAYCLKESPVERLPPDELSRTKWMKSVAKIPVAL LKDLVFRLQQAGPRASLAEPLDWESGETNSIVGDDNSWEFDTIRHGPFTSVNNAYNDE HDDLPPEPSNQATIRAQNSTNFPSSLRSLFDDNDFPQSDAIRQNNNSLDIPTQPSLSG ERIIDETQAQQILPSTLSVTINHGALRPPNASNSPIQDPSTKFDTSPEPHDSPPNEPL SDDDYALDFSHDISSRTRNPTKEDNSSLQSSDNSPPESFGAPKRRDIDLASPSSFQFP RPSRLDFQHDDPLPMSPDRQFPSTSGTPMLTHQNALSLDVSSRPVRHDLSPPISRSRS ATTPPPLSLTHPDFDARSVPIIDVKKTAENIPYTPSFVRGAPGLKDVLKIPSLSAGIH GDLLPPSPSAAVNGARYFPPGISNLVPASALRSSSEDSHNHENSIAPQSIKLTNGTRL HPHRRLNISASNPDVYITRPLDYSNLILGNSQTVELDRTLKSLAHWLATVESGLNNML DNAIEEESDGDFGAESPIPDSWQTEVNDNIS JR316_0002235 MDLDNVKSDLVTREFLAVVLAGFGNDLVPLTSDYGDEPCPKSLL PIANKPLLEYTLLWLEQSGIKDVLLICPTTHRSSIYHHIHSDVSSSSLRIDLQTYDES QDSNTGTCALLRHFSNRISEDFVVVPCDFIPPPSLPLSLLLNKFRIDALSEACMATTC WYTSRAPEKGTVPEEWGPVSSPSPLVWDPSTGTLLYVDTPDDQDRNGDEVDLKMSLLS RFPRVKLSASLKDSHVYVCRRYVLDLLHEKPHFLSLKEEFFPWLCKLQYRRSKRVKYG KTLRNMVEPKSQAISLQHSSFVDKSSQAHQIDSPIEPDDELVQTKIGVIVHDKESEPA MRINTLQTFFEANKRVLSGTTYSLPVDPKNRSLIDQRAQISNDSIVGESTQISERTTI KRSVIGRHCIIGKMVKVTGCVLLDHCIIEDGAKLDNCILGKNTQEEHSVKGEKLEVSD WMATPDTTVAEHDSDDNE JR316_0002236 MSSYASVAAHNAPPPERQPQPDPALLNTESPSHPNLADDTAKLN IVAPDFKQDPHTFTSESNIPVDQEETQNLLNGHDNPTSPRKVNKRKPLAEEEAEDLWT TVKKYLIRPGVAGGLIGLVNIGLIASVGRAFYTQPHLRRDSRTICSVAGASIALLSIE GYAAEQYRQTPYGRKEEERARKEGALIFQHLHEQILRPRVLGGAVGIVNTAILGTIGY FSYANWDRTWDKRLVSAVTIGILALWSGEGQAGDSDSDSSSDSEESMSSGEDEAPQKP AITSKQPAMSRFLRTAGSDSSDSDSDSDEDESDSDGDRQDDDESEEDEDKPTIRILSA VDKRLKEMEATGKAMENAVKINDWMAISNEFDKLVRMVQRQHNLSEPIPAFYIRTLVN LETSINTALQKEKEAKKKMNVSNAKALTAMKQKIKKAIKDNESEVRKYQEDPEVFERE YLALISRDAAPATAPRAARTAAGATSDQVDEFTTVGKGGKAQQYTSESIFKDLQAVQE ARGKKNTDRAEQIRILEILVDVAATSYQRIRVLLALISSRFDYNSSISSHMPTELWLS AQREVDQLVSIVAADPNYSIQEMTEDYDELVERTPATEKGIVRIRGSIISFVDRLDDE FTRSLQNIDPHGTEYVDRLKDEKVLYCTICRAQAFYEKTSQSEPLGRVVMRRLEHIYS KPDAVVQALEAAADSSEVQPSMTLAKQKTTSALVHSLCVYLYKSGNSLLRTRAMLSHI YHHALHNDFHTARDMLLMSHLQESIHSADVATQILYNRTVVQLGLCAFRSGLIKEAQT TLQDIFTTQRVKELLAQGVHQQRFQVMTPEQEKAEKQRQLPFHMHINTELLEAAFLVS SMLVEIPLLASIDSEEQKRKVISKPFRRLLDFADRQVFTGPPESTRDHIMQASKALQD GEWEKCRDLIQSIKIWSLMPEAAKVKEMLAKRIQEQGLRTYLFTYAPHYSTLSLSLLS RTFSLPLRAVTSIVSKMIWNEELSASLDQSGGVVVFHRIELSRSQQLAQIISEKVAAM VDQNEKTLDIRMGGTGGWGERNDGNKNEKRGEQTQERRGRGERTRGARGGARGRGARF SQGLGNQMPNGQRGQ JR316_0002237 MATPGRPRQSGIPGPGRASSIPTPGRSRSNSNIHQNYDQTQADD ITRAFADAIKANDPSMHRNNSLANSISTSSLSPQSGAFSGRRSVAGRPSSSASSSSTK AQDRIKTPISARVSSRPPSRSELSKPPKTFEVGDNVRIESLGFEGKLRYIGDIEGKPG LWAGVELSGGFSGKGKNNGSVDGKQYFNCPAKCGVFVATTKLSAPTVGPGAIQRPPSV ASSRGGMKSSTVNSGRTTPSFVGMRTPSASFSNGRVTPSTGGRITPSDSSGRITPNSS LPGLSQQRLFKTPSSKPKTVAPLSEKITAGSRASKYMTMTAQQLNSRSKTSPETSTRK SESSGISLNSPTMSRTLSSPSRSSGSPFSTPRAGSIRSSNAGGSPTSLSARNRTSMNT PRARIPSGVAMPPPPSPKALPLHHLQTGVNRSSPPQSRNDLLIQEKSTSSVSPFSSSS RPSSSTSFRSVGTDELAIIEQLQSRLDAAEYENERLRMSSEAAMASSTETEHLRTERD ETLVKLEAAQTKILTLGELVKTQATDMEILQDENQRLRQELTTTAEQAEQDISLRRSE AESLGQEMKSLLDKLDEFEALVLQKDEINTTQASNIQDLSAELEKLQADFQEERKELN GQIDELRIAGQETIALYEERLSAANTQRYELEHRISILETSLKAAVSNHAPQEPASAI RSATEIDNETLQEQVQYLQKKSARLEEQLEDTRAALERDMVSYQDKISRIRMEEEQHK RDLNLKEREYEQLLKSEAGARNRVEEIEEALRESTVALESARGEVEALRTEMANLEVL IDDASEGDVSSKLTNFMRKMAADKSKYKQELQLLEKALEDTQAEKELLVNQMSKQIPN PLGNTQNNEDLLGNVNDSLRKQVIELEAKVVGLDQELVSRTAELEVLRKKSNREAALT NGTSETLSSKPEPSSEEVAELQKENLASAQQIKLLESENQLLSAEAEQLRQEIHILEE NLDNSLEGDNDGAKAPRPLADQRTRFENDLDQARKRLSEAEMKHARTIHSLNKEISEL EALVESKDELEQEIERLKDKLARQKKTSKNNGDPTEARQRLSTASISSAGTGALGGSR EEEVCEICERPGHDIFNCSLLKEDKTGVVCEDCESPGHVAADCPHSLDVF JR316_0002238 MSLVVPEAHQQFQHILRLLNTNVDGKRKIMYALTEIKGVGRRYS NLVCKKADVDLNKRAGDLNSDELERLVTIIQNPTQFKIPTWFLNRQKDIVDGKNSQIL SNGVDSKLRDDLERLKKIRAHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKRN JR316_0002239 MATTIIQRTPVDMPVPKSSAAPKFTGSYVNVKNFLDHCDRIFDH HHAKQWEQLKTDMLKIFDHARTTQKFTLSTLCAYAFQHSNLSICSLDDFREYQKQYIC IAGWLLNNNKISKMEYNQYFWLGINESLRPVLESKIMNSYPERLSVFDKIKKYLQEMF PNIKTCNARERPYNPLEETKRILQDLDKEEKQAHKDNEVENLIKQMSKLTIHDSLYAI YYLCAIKLEPALANMLIAPAIMNPSAQPAQPVPSASQSAPPAPQAPRQSASEIICYGC HQQGHGINNCPTLIDLTNCKLISRDSSN JR316_0002240 MIAEEEEDDIGTWDGEDKFEFMLAGPGNQIPTEKQTRAACKQVM DAVVPPEPAYPKGKQAEMSKAKDFSQIPSILKRPANSGLPNNVPSSTSIQPVPIPSIN QPSAEMNPSISVKQAQPNVPIRANPTENPETVQRHEVFNPTNDDQIMEDVTPSPERGK SVTKPRAAPQKRVSDISQTVDTMAILRRCLNQPVNAIFGELLGVSKDLRTLLINSIKG KTLTVDEFKASLANGNLKLSDKEVLDIIRSAEKDVSVQYMHKTNSVESLHAHKPLLQI TLMCNGHKLNVLIDSGSTQNILSEQAWKKIVRLPMDSWNTIVMVDIHGGKSHMLGFIG NVQLDIGTVRTCAHCYVSNKVQFDILLGRPWTRDNYVDILEQPEGTFIAFYDIKDPIR EQKFLVTPDTQHDRRYFTDTIYNKTPHTMLAHNNLSLANPVKIKGKSGPDWIGRNLLL SFLREDAA JR316_0002241 MKQDEDLANIAEVLKQLRLQSKTTFERRFARRLRQESFKFGDLV LVRNSQVKKEMNCKHKPQYLGPYKVVRQTRGGSYVLRELNDGSVIPQPQLDRMIRELG HESEEDEDTWSNDMDDF JR316_0002242 MIPDAKEGEIEEDLEPGELLDNLCYPSPTPSMIELSILTRQQSL NRDKSANPNKDLSTSQDAPSFSDSNCKHDSTQDSSHGLYASDYDSMYQDDSGTSQFNA TAHYGHISTPPYDSTHEAPQIEQPPSPSVNPSIKSHTSDNNDPHEEKPADDNPEMEQL SSPEVEAIQFSSDNTHLMAMGMLNPHLRFEDWILYDATYSSPTRVVSDQIGTAFVHYV YLQRDSHINLKTTPTILHFSRTGISSQSNHSASVSAYPMGQRHSASWTHFNPQSIRSQ NASSIPQPHSRSSALPETPLLIMIHQETRVSLTVTANVDEHHRGLKALVHPLRVAHDS PKEPLNEDEEELRITQNGKEVDHNSSLLPLPITSTMHESRASNMDNGNEWYYQYGEKS PFGIDNTEEHPLDANTLY JR316_0002243 MELPLATQTYHNGFGEELAMFTNKIKSLVQHAQEKVDLKLEKIN KAIADFIKLAATIKSIQALSPNNAYSQALIKGQFTFSQSNIATNPRMLARHSIRARQT MLEGLDKDSKISTIRNREEKDAINKILLKLELEGSVRIQSAIKQHKRGLLIGFDTDYG AAWIRVKDN JR316_0002244 MPPKKRKNDSEPTRFSKRKKQQQPSPPPVPAPTPRAKRGPRGKR GNPAPTEQAVPPPPAARGNPAPTEQAVPPPPATPLPDVKAGAPPPKPPRVLQPFPMKG VQIVSPNAELYKAADAILARQSSPDPPMLSLSERIRMSGLKLPKSTKKRVYKTRAPSS PSHTDTDPSGSERMAARAEQARIQMEIDHQCMMAEQAEREAQEAERVVGGKEVERDAG QQEVERDAEEVKQELKSDAEEVKRKVVKGKQKEVPGRVLTRADRREGAHAVAVVAVSL EQNARAARAQAEKDMLPASDLAADRLEQEARVLRYQANTLLEQAEVPTSDMEPLPPSP FQPAQHAQSKLRKHVPVKKEPPSPKVEPPSSLPRKALRPLQAVDEGEGDEDEEGDKDE EGDEEDEGDEEDDDDDDDDDEEEEEEEEEEEEEEEEEEEEDNNEDDENEGDEEDDELQ SSDVDGDRDEVDDDAIFSGKGTAPSALTRKMMRYNAMDDSEDSGSDFAQTRAQAKRRR ANIRHGLASDTEMEDASEDCDLQLEVVVSSPEKEPRRRSMRSVLTAKAAGAPAAPKAS SIQPTKALAAPTVPPTSSSHPKYDPAAPPASSHHPAKATPAPASPPSSSHHPAKVTGT PAAPKASSKHPAKTKAAPAAPPASSHHPAKAMPAPAAPPASSHHPAKAMPAPAAPPAS SHHPAKVTGTPAAPKASSKHPAKTTAAPAALAASSHHPAKATPASVALSASSHHPAKA AGTPALPNPYPAPSMRGSSSPSTDSTEDDSDTKGILPRIGKRVSMKCKEEGRQLGLAM KAEVAKYAEKNNISFNTALKFCKIELPTPRGNIWNDFQSCLARSKELNNETYVKFKDL AQSTYKERMDPNRHTEEEIRAFRKEIKEAIAEMSLRLDCLKPASTRVKDIIDETRDRT VLTSLMDREVGLVSFILYLGEDQTARQMNSVVASCNIVETMLKTQGMIVNEICNVFSD ICRASFSQNKLSQGLRLGLPTNNNILSPSKQTKASRQQPPHELQSQRDTQADVQAEAS NSKGKGKAKDTSNVGPRRLKNDKYFADEVLKDFMTDLIATQSLEKLQDSDVVFIRQLV VFVLVNESLKFVPANLILNRGNFSWAMIAARAIDHQYCLVYYPKGVPYPQYSKFSVTG KTQLSQLISAFSKPFSERLQFVAWTDEDKAAEVGSEAYDNIVLVTTTGKDNREEGALY RVGDCKVAKQEKHPRKKKGSSKTLRVASPPEWRDPSLGPPGPPSPPPPPAPQPLPASS PACFEFEKYRIITPRSEPQGFPNELYQMGHEYPEIAVVHKEVPKKRPADDPGNGPDRQ RRLHDERQDQFPRRQEQPSRQQLYQYQEVSEKYRQPQPQPTDQGLPGYRYREGHRPNG MHDTPELRDYFTGHHSKQSGKDVQQKVPKEYQAYYKALGDPKPRERPIQQQQPSRPEI GGFYYPSEGPPSARRPSNRPATIVIDDSSPPVPSGRFYQKRYVQEGMAGPSNEVFRK JR316_0002245 MSLIILAVYIDAFGRKRDAVTHRAIIEIYEGKHSYSATDALAMA ELYKLPYKIPSLDTSSFTGLDANKHPYPSSFFVTSPNMYKIPDITEDSEDVTIRTDGR YGYGDFTLCPQWYFQGTYYLPYVSRKPSLLSDCPYAVMWYNLKETDFIHNQTSIVSGI GRIRSDLLEKLISARKQLTAKARELDSDPRFTYVQLSELRYSLQLLLFSTVALQCAPQ NYTMTLLTFTGCQRHYLEALACYDFLMKYRDMEINESVKEVPVNDRLMGCLTTSVEIA TEMYY JR316_0002246 MPGANVVFKGDASAIRNRACQALKVGNVRLGHSAYMSHPGEFAA PPNRQVIAAYNQPCSSSSVPLARHPEPTFNTTSNLARPAQQVSRPEVLMVPQMRYVLE PISVNFVKFSYTPLPSWPSANTSWVNALHQVRIDPYRVWDHGFLDSYRGYAFFDPHIL LGEDWDRARGKTYLLAWLAVRPAWMAKMINPTVHQEYPQPQSWRDFLIFHIAKLLRVS DRDVKCNPDRSISGPNKRRKQGNLIAYFDLPVRNYHNTTQIRWRDDDVGYAGSLDVES MVIKPSVPREVVWDLFEHNFRFELLALDRSIFPRHLVSDQLSLARDTLVTNCFPQNAL ITVQWPERDEGLGARRYQDRVLYVESLRKLMSDWPGSVATALRRMSLSPNSTEQQVSL LSKQQMPRNFRRVRNQPPSSPPPVGHFLPLAEVLPLRHPYGTATQGLALEPQGLLYGP SGIFSQPLGVTDIAIPQQPGFVNDMNMGDLIEVDELHSVDPERAAKNQRLWQMWNEVM IPRLMKPYLNTYRETSGMRAPNRVRYNVGCQGCSNGGLLKVSCIFFENLSVLDFVQEL FTNMAPNTVGWCNTLEAFLSSRNFKLTTRNSLRDRFASAMKWYATLVNRKDLLMFDYL NRVRVSELFIMENNPSPDQSAYGHSTVTESEEDDINEANSPHTHTMDVDADKDDIPND NSDTGSNTGLK JR316_0002247 MGTWLQRKWNAMNAKKFEAEIILNNMEQNGYTKDYLAIQWNEQV IYQTKPLKKQSKAWANKEIEEILLLSQNLDTYKKECNRLESMIETGIYEENITLYIIQ ENLEELQEKISKTKKAISNKRAKLSVDGRLNLTKLLNNEFLKLRMNALALKQRIRDRL RMRKFELENMERAYRSSINEAKLQKHVHQQIKRKEPGIQSLVRNYNKACVQLESMIAQ KKAPRGAIAPLPIIMEGLFKLDVDDNIWQDIGFDDDENETIEIPDWLGKEDVRKGIKA LLEYNRCIEEERRLIEEKKSMIQWFREEWVVIIKAIGQMSEDINVLYQLKQRKQFLLR LYLSWELPVRGIPGENNTLWGPTKEEIIKAKKYETTQSVLYKEYTNEVADIEMELEDD NEYEDEHDNNDDVITDFEDGDEAELLDQIEEDLSTL JR316_0002248 MPKGPRKGPNSSQFRINGRFAKPSKPTIPSNSEPEVNEITSYLN NLTITKYSNMTAPNNQESASSTEERLTQLLQTVNALASQVQLLSASRTMAQPQANTLP PPEPQHSTGFVLPIPNTLDASPSLRSTFPEIEAAHITAIIIHTFQQYDLHKLDSKYCE KMAEPAYTFNASTMQFEASNKAAHKYKMVNSLLTPLIIYFDVLIAHLFAASPRVNVSH FFFKFINHFHKLTTEYDWPAVLEYTMAFIARQCADMADHGNYTKWGLPNKELQLQHLF GHCCAPGNKTGTLRATGIKKDNGSTVCQNFNLGTCTQTPCPYGRIHAKGSGSDKTN JR316_0002249 MFEECQQDIGVSPMGAVQWAKKPFDIKPLSKATIATIVSLLTSG HSYASIKAQTGASAGSITKICQDYCPEAVVSHGGCPKKLTDANITYTKRGIRTGQIKN AMQAAKSLATINGQNISPQTVRNALK JR316_0002250 MMLLLLYLMAKNHRPIVSSRAPSDSVTAYAPTTNVQCPDLSTTS LIRSFSPQNQTLHPGEQAYVSTREKTTILQAWKDWLGDGSALGYNLANFTSPFPRVAI TIPGGGLRAAQYGASSLLVLDARNVSSKAAGTGGLLQVASYMAGLSGGSWITGSLLFN NFPTLDDLVFGNGKDLDGWLLDIPFVTPDGDNLLSDKNQDFFGSILWSVISKANQGID TSLTDVWSRMISYHFLNQTSRSNFFTNDTGHGAGQLWSDIPLIPAYQAHLTPFPIVVA DSRPSNSNSTGILSLDSVVYEITPLELASYDPFLSSGMNLTFAGTHLDGGRSLNGSAC VIGFDQAGFIMGSSASLFNQILDFGTNTLSQFSSSDSTGLLYLLQRQLREVRTRANDV ANWPNPFQGQASASFQDTDATWINLIDGASNQENTPYGPLLVNARNIDVIVALEGSAD DPVNNWPNGTSLIFSNQRQINFLQKSHKKVPPIPFTADDFIATGVNARPTFFGCDPSS SDDYPLIIYLPNAPPITGDNPSTKSVIWSLG JR316_0002251 MKITVKTTQQKVFQVDVEGQDTIATLKDKIQESQGHAAATQKII YSGKVLVDDKTIESCGIKEKDFLVLMVSKPKPTPAPAPSTSAAPAEAPAPAAPSQPVT APSTGTGTAPAPAPAPATDVTMAAPLSTPSTSAPAFGDLSSFLSGEGLQSAINNMVEM GFPKEQVLRAMRASFNNPDRAVEYLMNGIPAHLEAESARQAPTSPPQSSQAPAPAPAA AAAAAAAPSSNQPQPGQPQNLFQLAQQQQQGGGVPGGGNLGGPGAGAGAGGLNLEALR DNPQIQLLRQQMAQNPGLIQPLIQQLATQNPAIAQMIAQNPEALFQLLGIELDDEEGG NGGQPPQNAHTISVTEEERAAILRLEGLGFPRHAVLEAYFACDKNEELAANYLFEGGF DD JR316_0002252 MFSALRTVSRSRGFASHSSVQGFNGAVGNTPLIYLKRLSEKTGS KIYGKAEFQNPGGSVKDRAALGLVHNAEAKGLIKPGGTVVEGTAGNTGIGLAHVCRAK GYKCVIYMPNTQSQEKIDLLRMLGADVRPVPAVAFENPLNYNHQAREYAESLDNAIWT NQFDNVANAEAHYNSTGPEIWEQTQGKIDGFICSTGTGGTLAGIGRYLKEKSGGKTQI WLADPPGSVLTSYIESGGKLVERTGSSITEGIGQGRVTDNLGTFVKELSGAFTVPDTK SISMLYELLDTEGLYLGASSALNVVAAVELAERLGKGSTVVTILCDGAYRYQSRLFSR KWLESKGLEDAIPEHLKKYIVLE JR316_0002253 MDRLYLEILSAAVIIPVTYAFYQVVISPRLNPLRSLAGPPIRGW FQNHLYPVSDPSISPQVHKLYVNKYGRSIRIQGLGPWDVRLLTLDPVSVAHVLKNSTI YEKPWQSRRLITSLIGCGMLAAEGHTHKRQRRVATPAFSVQNMRALVPVVFSKGRALN DKWLQMAESTPERLIIDVCHWISRATFDVIGHAGFDYDFNAIENESNELFSAYKEMFE VAVSQGNPFRTIFSIYAPFLSSLFPDSTVRVVQRSQDTIRRVAGHLIQAKKAKIVQGL QDGVPYAGRDLLSLLLKSNMATDLPPDQRISDTDILHNINTFMFAGSDTSSLSLTWTL LLLAQHHTVQERLRDELQSVAPTTSETLANLTEDEIQSLYEIVSNLPFLDNVIRESIR LIPPVHSSIRVAMQDDEVPVSTPVRGSNGEILPKSTITVPKGSFVHVAVEGFNLDKEF WGEDAWEFVPDRWDHLPESASNLPGLFSNTLTFSAGPRSCIGLRFSMIEIKTFLYILL TNFKFETTPDKIMKANVVLTRPYVSGKFREGSQCPLIVLPLRTTGNPATLDNVQ JR316_0002254 MPLPTNTTLSLLGYSRPGDPVQTKPKEAMLVRLSIETLNALSTN GPTPSLSVQLGDNPTLYVGDTVFPMNRLKETNTHEIFLRTSSASKKSAPLKLYANVVG KLTVERELGDEVRNKVRESTQDAAAQRNTRTTVFLDTPPDVPSTKKRKDPPSNMFHKS TRPSAPIKAAPVAASSSSSMSSSVNKPAASTSTPKSLSSPARLRIVQHLALYGEATES RLFQLLGESDRTSTPPRQLHDLLSQIAEPTANKTGNGAPRSYRLKPETWKEVRPYEWG IFSEQEQLKIARTARNVYRDLRISESDPVWQHVVYRHTAPTSSDASRVSSTSKPEDKK GQEPVKRGITSKAAKERATKPKADLKGDIIAKDESSSVPSRATQSAEKPIRRTAESPR PDPIVRTTQQKVEKPIRKPAESPRPEPSSRSVSQADKPLRRTAESPHPDGSSRSAQLP EKPARRMAESPRPDPPPRKGEVANPSKTIPRPSTSSQIDKERDRDPPRAAPPKVKPAA GPSRNMERVSSTTSSNNRVTPVPDTTNSASKSRKAYRDDDRTFSPEREKRPLQNERIK VNDARERERQRDRERDSESVRDESRSVKRKIAAKPDDDLYDPPQKRRKSERQPSPSVS LSREDRERDDRRRDDRIRDDRGRDDRGRDDRVREDRGRDVSSVKRSNAEPPPPERSIP RKPNTQSTSMKPPKRKNESPPPPPAKRQAASTMRERMPSESSVSSRTQTSHATERTSH ANKAPKQRRPSPVYTSSEEEEEPSRSTTNNSKLSAASATALSHARGSNNASAGRPLPK DHASLRAQYDKCYIEYLGTYHRLAMFRGKINKLLSHEDLAASGFVTDSDGDDLEELSK LTREHGRLEGELKSIRAAFGQNMDGDLSPESLTP JR316_0002255 MALITIAGYPAAGKSTRAAQLAAFLDAAIHSDDYNGPIAKVLVV SDHSLALSPHVYDADSKAEKPARGALFAAVQRMLATDTILILDSLNYIKGFRYQLYCA ARELKLRTSTNLFARFEEPSSMVRWDAPLFTVLWDDKDIPGAEIWDAITKGSVKPPNS GTLSAAKAPVDALHVLEQVTTNLATAIVSASSAQATGGTSMVFANGVQFTVNLPPRAM TLSELQRLKRQFVSVHKKAITLGTTERGGVDWGAENIGRKFVEYVEEHWR JR316_0002256 MLATGSLPLREHSHLDFHTNSGPPHSDQLALEDRLCPGCKKSVV NEQGGLVVAFGSVASSSYLVCPRLDPSLPFPGNPSSMSTALNVPNVETSCNICQLPIL DEAIMTGDDSYHAHCFKCKVCSNRIDELVFAKTSQGIYCMNCHNERMIKIRKHAQKKA ERERANGGSGSSRSRENDARNFHRDTDARSGASKPPPIQQPSRSEGPRVAEPSNNAAK PAQAPYMNDAFDPTPPYSHPSHLDSPSFTLAPPGEPERNDLHALNSPRQSFDSSRQNK GPSRTNTLPVPPLSTAPESKRRSSYDDGVRPLNNLLKQDTQADKNTLHPNALLNSSAS TEGLSVTSRRDKRRSINPGATLPSFKDVPSNQASDAVSRSASFSTHEQSISSSAPPYM TSSGQSQAFTKPTPSSSRPPSSSSSTSSTSHFNGADQIRSRSPSVQQSNQDDTITMAS PPSRIAELENGEPITTNANFNDDRTSSNTVTSQAQRSSATLSPIEQRFRRERRLSSNS THSKSPSRAASPAYRADVPRGIESGTDTETDDTDASPYPPNTSLPPAPPPKDVKDLNH NQSAPPNISIADTSVDPDSSEMFPHDMSDDMNESLAVERTSRATFIAPALPPIRISLS PSDFSELLSSMGGFPPLKSLDNLANLTKQSQLQQQQQQDTIPSTPPPTASLTPTVKVA GNTPTLGISESDDGQEPIYSDYFPDVGNLNKSSASHSRTPGSSDSSAASSPSTIQPSP RRNPSESDLVILRLREILNGAKEKGAQHLKVDIGFVEAIVELLESKNNEYYRMKNKVD GMNRESKMYMDGLTVAQAEYDRELKARRDAEAEVTKLRVLLSGQAVRLSTLSGDRQRQ ELRQQLSQQLNENLSGLEHDLSRLKVERDVTLAEVEELSTKKSSGSAADVGPTNLGRS LTKKLEGIRNQYQRELVPLTEQKEVLTREIAELKAVRDVFLEETAALNARNEELAQLS AVYSRRLDNATPEAVPEAPSKPEASQSPNDNTRSQAPTYLVPPSLSTSTSGSTTVYDD SAEPRIIKAQKSENELHTPAKPKFKWPGTKAKDFSSPSSSETTKGKAHIEHNFQQLSI LRFTRCDHCGDKMWGSQLRCTVCSTSIHVRCIANVHIPCSQQQQQHNVVEERIPLPPS MFGRDLTEQVHADARGDDRQVPVIVEKCIQAVEARALDYEGIYRKSGGSGQTKAITQL FERGDYASFDLCDMDKFNDICSITSVLKTYFRLLPIPLLTFDLHDQFTAAIDIKDSSK HETLVDLVHRLPDEHFFTLRMLMLHLHRVYERSERNLMSARNLGVVFGPTLMRSRDPA AEFSDMAGKALFIEWLVENAMEVFDTTE JR316_0002257 MTTNPSHILIARNSRGLNNPSASLSSPTETHAAASGVFRSSGSP PWLYEEYETDEIESELSADEDEGAVPIEIHETFTKNCRFPGTVKIVVESTTFWAHKEI LYFASPFFEAALSGNWAETGRPTSMSSIATISQSRLRKGGSIPRRTSVGISTDPDNDT SSAADTSSEFDEMDVIPEFDSSKNDSEQRDQDISESEKARQREDSLWSLQGSGKSRSL RTTSSSSSTQARIDSASRSITGAQAIVHCNPRNGPEAEIVLKEEKASIFHDFLKYSYP QMECTITWNNVAGLMNISHKFSVPSLQSECLTFLLTHAAGKPLKAMRIAEIFQEEELY REASRFVLDNPGDMNNPEMNILSKETLLKLEKRRSWFLERVLKLGITMVAKEYQCCPT CPDSAVCAKLLEEKWRQAYNAVNRFGNPQPSMAFRYLRMLEGINPPLALTHTACQASA KAFVATLFDRMFSLGVRGAGTDAVPLGGRVAVAAASATVNGPRRHFLYCTLKQEPSQG HKKGKSRDLL JR316_0002258 MESSLAKVNLCSPDHISLLVHRTFNVSIPRHHIPIEEWEFEYGP AENDPEYGKTDSQGHEATTAEDGQEIDHSSGGKWVKRMTGEPLGGQDGVLQFTVIGLT VANEMLSLLGSIQPDPFSPRHTNQNGNTKSQKDNEDKSELEESEAEEGSDSEPESDEE EEEVESDMDTRHSLGKRKDVDETSKNVGRAKDKKR JR316_0002259 MSPSKRKVESSVNPPNKKLKDSHVSDGEFKLVQASLVLSIPPVF AANPAAGANELLDSMIMRCAMVHKI JR316_0002260 MASPKAIIQIFITGTRILGKAFWEAGRQAAKNARSSPAAAMGND SAGVGHATSGSPTDQLTRQHRMTLDEAQLILNVKRGDDMKQILKNYEHLFKANSPPPP PEKPVPGKKAVAPTHSHYLQSKVFRARERIEAEMKIAEAPKETPATGPNPHTNHPPDP PHAGQA JR316_0002261 MADAARGRGGFGRGRGERGRGRRGPRRGGRKDEEKEWVPVTKLG RLVKDGKIKSMEEIYLFSLPVKEYQIIDFFLPKLKDEVMKIMPVQKQTRAGQRTRFKA FVAIGDSEGHVGLGVKCAKEVATAIRGAIILAKLSVIPVRRGYWGAALGEPHTVPSKV SGKVGSVMCRLIPAPRGTGIVAAPASKRLLQLAGVEDVYTQSKGSTATMGNFLKATFA AITKTYAFLTPDLWREIPLSKFPYDEHSAHLQLAGKKYRSQPELVFDKFDRASSLSLA QYNGSGRSFASRHSIALYPSVSTSSDFRVSRPIPAPLSNRRFSDIHGEASQCASSEQR QVGAAPYDRLPLGKRTRASHHSSRRYGTHFGDLCKEPSFQDIYSTAALSESDSEPDPS LYDISSADFPEPPPIGSPVIRRMRSSPWFLPERGLDKDFSDVQHWRSRVPEAADLGMR PKGNDPHRESPSTSDSLQIDTVDSASALSRRRATSSSINCARKLEPEDLEYIRPFTFQ EFNPCESRADVSSGNLSSESGTLSDRTASRPIRFSKDFLSAAELKTNEHSTTALGRQE TRPLTLGALPRIIRKVASMRSDNQKDIGGGENVNTSVRIGQKTLPKARSFRSILRALE GDKAFSRNSHVEGTHDIWPSASVPGDHLDPTQYYAQDSGRFEDFHRERVWRHHMSTPV SSAGASHNLGQQLHVACNDVIPERLDSTLSLRSEHKNTTSHNLVFAQDTKSFIDFTPE QSTKSKSGVKRERIKNMVARASNSFLGWSKRKTKGSGG JR316_0002262 MTNISNQKSSLCPTNGRQTVGDGAFSFDNEPSVLAVCHLQGNQD MYYYSTTFSGGPGHTAVNPNASAPIPFSPPSVRSAASPRNIPSRHSSMSSTPPLTPDV ASDYSQDSGRSFANQARQKDALDFLMTVFPHQGLSALPFAKSVSISAPDLGADFEGIV LEVPGSVKTLYVDGKSAASVSLRESIVALLDLADERLGCSSLVIVLERSSPNLGKILH SLMYVGGAVVTKPMYQVDPAFVLVGLEVS JR316_0002263 MWLQLFKSILGLFCGTTSTSTDQKPHQLQPQQQPGQSAPHWQQP QQYSPSVSTPQKYQDANQINQHNEHYVALRAQANEHGDLMAKCFRESHEAYSRGDGAL AKELSNEGKDHQRKMEQLNKQASDFIFDSKPGEVDLHGLYVKEAIFRADQAIQQAKQR GQPQINFIVGKGLHSQGGVAKIKPAIEELIQRHNLVARIDPNNTGVLIVMLDSQPGQR GMGADEISHRLERNGESCIIM JR316_0002264 MKLISLTFLLFASYRTAYAGSVDIGGPCSTGNNRLQTGTYQFWS ECNSVSYCSDEGVCVAKGCRKDDFPFGYAQGDPNLPPKCGKGEFCPDEGTECQPVLSV NSPCQLNRDDQCEGPDNFKELADTSGRGLNFNGSVCLNNVCMWANVTLGNKCVVENTP YIAYGASGEFIDIVSRGNCKLGLYCDSSSLVCMNNKLLGESCEADKECDSWNCLSSGV CGVTAATPHHFGVYVYILVALGIIGGMSGTLTGLYFAHRKQRERERAKRAQYWREQNA FHQNLMQMRETARASILNLRTTSGGRNDYTLSRDASDESNSALHTSGPKASGLRNYMG DDSDIDDGLIMHSGKNDGRF JR316_0002265 MSSAALHHPSPAQHEQDIAHTQSWFDDDFTESHMNASHRKGKGK RRSGGHDTDPTSPASSSDNLDKIAYPPVNEDAEETRRVEENLRRWEIAERQRRKAARG STQSAKASSLIEDVSKRASLLWPGKKTKHSSIGGSHTALESQDHIDVVPLNQVVTASP VLSPTHSNSDVPTLQDPFANPPELISPFADSFQVATLVPPSATEDGRHLSAPLNDVTD TDGSNGTAHASKPQRPPAPMPLNLPPPRTPPMGSPLMSPINDADAQRREEPQRAGRTN PQE JR316_0002266 MSTERMPSQDGLSIPFFNLGMNVSRSVSISATLTSRTRVYPDWL LGISRTPTLPREPTSEVVPPEDEGQGNTKRDSLVDIIHNETQQIAPDHECPMPPQMEE QDALNLVAEVARDEPIEPASIKGPKAVHFP JR316_0002267 MELIEVCPSIAYICLGGFVVAFSMFSLLAKEKIYVNEVVLGTFF GILMGPHFAGIFDPRAWGPHSDAITLEIMRIVLATGLFAIGVELPKSYMAKHAKSLLY MILPTMAIGWVIVAAIMKAIFPQLSYLSCLAISACLTPTDPIICAAIVGGKFARKHVP INLRQLLSAESAANDGLAYPFLTLTLYLTLDTTSGAAMTHWILIGWLYQVFLGVVTGA ILGLMFSHLMKFSHRRGYIDRESYVAQYLALSVFITGIVSTIGSDDLLAAFAAVQFPG MVTSMSTQREKSLLRLLI JR316_0002268 MAGSGDHCSFVLLAEFHILEGAKLKYQFPQPLGVNEEVLAMSML PDGAETQLDDWTIFFLNQTPFNTISPVLALDSPGVKSVGLPGAENDGQGSDKPELLCV MNLVRTKHDKSLDRGAQVLALAICTRHPFIQIFKPFLLLALDDYLLDPSQDCLARLFD AVNSMDLSGAPTLTRHEKLVMRSSERKDIFAEKFTHITSQQGWGPGAPVPGSKAVLQH KSTNSSGSYSSFEEGILLRSKDRGYQDDSISRKKDGQRYRDRGDSESSVAAQTTHAQN SPSDSSFSLGGSAVWVGDESGLDLIPKDRGDADSVASLMASSTLVGSSRKRRSTDASS SSSNAHVREQNFKPIGSQQSFPEPHKRHGIVKDTHFFHTTVAYKEYPLPINMPLATFP EEVGDYSLITLIKVFSQFPTVSGPIHPHLHTSGPQTHPIIVLFNALVTGKRIIFLGHK RPAGLVSNFVLSACALGSGCGAVLRGFIERAFPYANLKNRDEWESVPAYIAGVTNPIF ETLRQWDLFLDISTGSVTVAKDIHVTYPITGIPSLGGPLITRSGTLKAESSIGSEEDI SKMAKEGTKDPSKDYNADKLFIDDIRTAIDDHFGESLVRMRFTEYVTRFVRLASRYEE EVTGKTSFGFPSAPFTENPGRSPKLGSGIAFSDEATCLKELVANAHRIEAWRKTNSYR YLILASYENLVSRICLTGRLQDYAKLQANSCIKGFDVLHQLFRLRYTKNMTDAEALAI MRSLADGVKTYDQVVEAGSRD JR316_0002269 MANKPLESHVQGTLQRGKACLRCRKRKMRCDGQKPACQQCTRAK KADGCEYDDGKGKTRTQILRETIVKLENRIRELEDPEYVSPSVTLFDPHFHSRSNSSS SSFGSPESSYLSASHSPFPSGTVLFAFLRSLSYASNRSPASPPGTWAQLQGMPSPSPV SFSSDVYFDDQQSPFQPPLELALMLLDIFAPHSRQCGLEIHMGRLRESLTLPLSEQRH PVLMNAIYLWACFISRPGPLSQHEDHYLRHSLDALPEALRSNEKTIDVIQASCLLSLY FLANGRLLEGSYHASAAASICMQVGLGGRSTLDNQASSIANIDSDLKPTMSDLRDGER ILAFWQVYNLDRCWSVILRKPWVILDKSDPRHSIYCPWPQEIKDYENGHIDTVDALPT VRSFLSGSVSASSFSVPALRVKASALFASADRLSSSWTAKKMTTSVNEEMRALEHTIT LFLSTLIPMDQLDAVLPEERHSLILVHTLAHCAAIYLFRPFALDDAMCFDKSSRASRA CISVIKHISDRDFAFLDPIIGPCWWSVADILIRDLDTLERSWPLVDHSDLRNELGVLL YAMTSLSTRFPVVAPAVSKVQKRLASQ JR316_0002270 MQPPLDIPTRSRRPSSYISQHFGEPHLLVGSPEPLGSNPFDHLH VPNEGSDLGLPATPISSSHGFVSSLPRKRSTTAQPSSSYTSFTRPISNERERHPEQQW SLFGQLMENEGYLSPKAPSTIRGRRDSGSDYFGLETTSAVSGLSPRLRGIRQHTNSVK TVSPIRSSIYNEAGAEEYDSEDHSSVVSTFHSPDNSQKPWHGYFSLGRLSPSLISQNV LKCAIAYFVGSLIIKEGGLETLLQVSGIILCGSSIANFVCFLIWPQSAIINLQLSMVK TLESFSTLLPMLTSIFLLENDSDEHAQDLHKIQKAVENHQSSFTSLRKNLREAKSEWI LTGTLANGDGVVEEDGSYRNISGQRSYEDAVDSLNRLAQHLNGLRSGTRLQYDLSKAG IKARTDRAGKSKAAEPNADEDEAAILRAAADMFGDLVDELGPPLKALSSTSTNTLNRL KDVCLESRQDKKGHIDIIQPHEFSDLVDGIEAALIRFESTSNHAVLRLYRRSDNPLLP NSPSSPIFGQDTSHTSSGDVNNENVFLVYFFIFTLQEFAKELIALVDPIERIYCYEQQ MLRRGPWWYRLMTWVQGIFSSTLNFRKSLAYMIPRYRATHPAFPKIKPHAPDTIQTPP RHQLSIIGKVNQSLWSFGKRLKERDTKYAIKVGMATLILAFPAFVEDTRPTFVEYWGD WALISFFVVISPTIGATNYLSLQRFLGTLFGASVAVTLYTLLPEDGVALSITGFLFSL PCFYFAVSKPQYLSASRFVLLTYNLTCLYCYNLRQKDVSVIDIGVHRALAVTVGILWA AFVSRFWWPSEARRELSKSLSEFCLHIGWLYTRLVASNSFAPEYRQEGSSLDPTSRAM SRHSNKLQPTRLTNSIHEFMAMELHLQIKLIELQTLLAQAQHEPRLKGPFPVDLYRQI LTSLQSILDKLHSMRYTSVRQDFIVPVNKERREMVGNIMLSFSTLAASFRLKAPLPPY LPPVEKSRLRLTPQVEAIRKLDVVKNRDAKVSRQLLFFAYALTMKGVTMELEFLGRTL QDAFGVIGQTSEEFEQLFLPLGESSGNIEHVA JR316_0002271 MCIEATVNGSSTTYVLSSLGKQNTGWMAMGFGRQMTGTLMVIMW INPDGSVTLSQRSASDYVMPTVDSNPPRVAQKSLSLSVTNSKTPSLAYTIPSNTDTKQ PIIYAFGTTTPSSSDENATLLQHLDMGILQLDLTKPVTATTPTDGSGGYPSDTTPATP DSSFSEPPLLPYQKLIVAHAIMCTVGFLFLLPLGALLARYLRTFIPTWFQSHWIVQFA LGGPVILIGIILGIAAVSQSKAMHLDDDHKNPNRVRRPPQNYLHAVVGLAIIGLAFYQ VHSGFDHEWTFTTGREPLPSVVKIVFYVWVVFLPVAYAVGLGFLPKQYRQEYNKVRTN DDEEEHSQFGLKETSYGR JR316_0002272 MLPKGLYGILSLMALIIQSLGTEVGMSSGNGDKEGKTTDEPTKT FPDIQPRNGNSRCSPGTWSSKGTTPCNDCSPGSYSKESGVTSCLLARAGYYVPTPRAT TETPCQSGTYSTSTGSTSCGSCPPGHMCPNNALANPQKCSPGRYSTGGLTECTKCSAG TFNSIQGATGCCPCAAGWYNDQPGNTNCQRCSNQYPYSGPGTGNRNGCSANPGGWAIS PSCSQGSDGTCPGSSPFASVSSKPKRHVIHAPLCRRTGQKACPVYGFNWRGGKHHSFT SYECVDIENDLESCGGCVQQSNDGEASADGGRDCSAIPNVSTVRCHKSECVIDSCQAG FIRSADGTHCVPDL JR316_0002273 MDSLDNMEYEHQEDAFTNEESQDYEQQTQTQSTQQASQPPPVAF DSHLWGFLQPCSAALTRIDFWKLNPRYTIGRNTETNQVVLPGYKVSNTHCTITWDGKD VGSTIIVTDLSSNGTFINGEKIGKNNTRILHEGNEIAFGTSIPQPQNDGLEDYRFVYR HLACGMPTEGLYAHYDLGIELGKGSFATVRKAIHRATGQWYAVKMISASKAVRNNTQS SRNSNLAREISIMEKLEHPNICKLVEVFFQDDKSINLVLEHVEGGDLLEHILKNGGLA EVDARDITYQICDAMAYIHAKGVTHRDLKPENVLLTADKPPKVKVADFGLAKVVDSLT MLRTMCGTPSYLAPEVVRSDNIHGYDNLVDSWSVGVIVFSMLTNSSPFIEDETADIRN RILYRRVDWQTLSNCPISIEAHKFIRRLLEEDARRRLSLTGALLDPWLANHLPYYPNP DVSMTSVIQNDADMSPDENERPGPAQATTSRVPLQRRSLVLSQAAEAGEDPIEPSWEM IAYANSRNDVADAAEPSEAPVKGQNKRIRADLTPVPEDAVDDTDMNASNPVSQTNGKD GQPRRSTRRNKVARMS JR316_0002274 MYTDSPPVASSYASPPLTPFQEYQRERQQQFYRVFPAARYHDIT QWASLSQYSPSPIEYHDLNNTFGGPVFQYDTTLTSSGLDRGYTAVDTGALQNRAIELP SQQYSTQAYYESYPASTDLEQCESDRDTTVQHSTNLHSGSYYTPTSPDVVSYYSEQSN HTTSDTISYHIPVQQIPTAYNTTKQQYSNVQADSPSVEASYIPSYSQHTNYEHTYPNH NDAAPIVDLQPPISHSHYQQQATAELSSSQRTHFSEPIPQPLIPIPGQLPNTQYPNHE GAQAPSPVPSPITRRIVPEGHMRHETESLSANSSSSTRTAPRTDRHSPRSTSHIPSQA PNSPEPSGTGWMGPPSGPPLLHQPRPLSMPLSPLPTKRQLEKKPPLACLFCRGRKIAC GPPIPGSTDRTCNQCQRRSLPCEYPTESRRGMRKKKVLDSVETASKSSSTASPSADSA D JR316_0002275 MAQSNDTKALHRLIRFISSLAVDSFFHEVRVIGGENVPKDGPII VTATHHNMMLDPVILSVGFPYQRMLNYWSKASLFKHPVMSWILYSSGNIPVDRKSKDR QVLFKGTIDALAGGGAVALFPEGTSYTEPRIMQVKDGAAWAACEYTKWAKQHATEGNF RDVTIIPVSIVYTNKSKYRSGVIMEFGRPLAIDPYKEQFLSDVDGEPRAAVKRLTRSI ERELVSTSINAPDWDTLYAARMARELLWEKDRSINLEDFVPISQTPVYIMGRIGAKLV ENEEETQAQNKVAFGLLSSLLIYPAAFFFLWAMFWYTSLGALLAATLVYLFAFGVPLL TLLVKATTNGWLNVISIQYRVIKLLLPSAKRFIAAWRVLVGVWTPKRWDLSMTALSQY TKPRIPPANQWIDRPSTPKPNASETSPATPGSPTDFNLQNEPQVKTRPARRPPSRRLV RHVLRSRVEAVNALASFFDHLTRAGKDKKVNASPHLAQMYGGDIVPSKSGADKDVTEG WRYASEVLTFLKKRGAKIPTLGQGPLFDEWALSSEGEGYTTGEEQEERIWVATNSS JR316_0002276 MTSDIHNLSPQEWSQIFSAPLNPTVFAALAANGVLGDPPAPPPA PDSWSQSHRHPSASTLPPSLWMSAASSSYHPPPQSQSHYPPVSVPGSVPVPVPGPVSL PDSSPVSPSTESKSTLFTDLFSDDLFQPPLSPQPTSPFTSPRVSGSPVLGGPPDTDVD PEQLAKDDPLATQVWKMYARTKVTLPHAQRMENITWRMMALALKKKKDDDDPRPADPP SSVPEQPPPPPVVSSPPQSQSQSAPHPVDQQPDERGRRIDKGKARVRVVGFDALGQDS LLDDENVVSMDWRAMSRSRSRISMDWRPTSRSRSRPPESTTSATTFDQHVGLQPGYDS HFSFPTIERGPTKNIPTTSLLSAGRRSPLFDQQLGVLYESNDAVPYFDAPDSYTGPSR YPVFNTAIPSSLPSAGLHGFNRVPVPPKDNRPYARIRKTSFDHTVRKSRQNSKSNLSI DSLSGTKRPADALLNDSIHHPSLDHSEQDSAPSSSFPSSSFNFSFPPYDGVFDLPSSN VHYGPPPPSSYLQHPRHRYHSTSTSPSEGLSAAAVAASAVMAEGYAQLNAVTGADELD YRQLMGLVYPNIDTSASSSYTHVDPTQILHDSSGNGNYPSFHASPSSDEWGNGPSSNA SPEPYNVSNASTPPSTEGTSSTTQQQPSRRKYISLQQTAQEVQRSSTVPSPGATEPPE DSKPALTSGEDADQTPTLCTNCQTTNTPLWRRDPEGQPLCNACGLFYKLHGVVRPLSL KTDVIKKRNRASGTPSNNSRKGGVSTLPKLASSTTRPRSQSSSLLTGLTRGVMPAPRP PNVALGPITIKRQRRTSGTAPDSPRSAYPSGLQPVAHNDLNSGNGTASGSSGSKQIHR RVSRTDLDFEQALKQEGTVVLKEGLDVNSLGVEQSPNRSFASASGSVPATPQTQNLNQ STRGITRVSLQPSTPTVLPPTPTPLRRLSSSTNSTSNNNNTNTHLVTTTLQQLGPSPS SSSHDLGMDAEEAERQTNRRSMYRSPGTSSSPDLATLLRKAKERGGAVMGGSTGASGV AGSGSAQIRRGRDSSPPPPLPDHSRVQHPASSSTLVASPNSEGPSDWVFASPLRQKES GTVKPQKSSVRAKTSALWGKMMGQNTVRERSKTDASQPPSSLVNSFTPPPPVPAIPAE HRSPIPESYSTMRVPEKDREKESRTPQRGLPSRKPLPPIISMQDNATTTSPYTDKSTP LPPIPVTPKRRSMSVSETVKTIANVNIDTPATPEPPTSLSGLLDVFKGELSTLNTVPL DLRDPASTRRSVDTAGGTKELPTKGDDAIFAPTALIPPRSSSLQLSPAHAAAATSSST QSRQLSPLRSRSGPAPSQSQSQQQSPTLSPRGDSNRLRVLHRSTASSSEPSLVSAMDE DQLAIKLRAAATKRSSHNFVASSSLSVPSSSSSGTPIITGEDNEDMETRGKDMASRCW NEDEDFLAKEKIAEWLGGHGLINKVALKHYVDFFDFAGLRLDVAFRRLCGKLYLKGET QQVDRILEQFSRRYWDCNPGGVYGSANIVHAVSYSLLLLNTDLHVAELSSRMSRMQFV RNTMAAIQSQIQSNSEARLSNSDLTYDDCSSSTRGSDDTEMMGTRSKRSDSITSWNSV SREAILSLPVSPASPAEKLGSVLQSNGSTASVQNSNVHDQQQQQQVTPSRQNTRAWEN DLEGLLKEMYNAIKHQQILQPLNSSLTRSSVSSLSPAGSGMIRNRSLRSQPDRLTTLK RGSIRGIQSILSVQSGTSPYSSNSSIDGRVSPSPSFATSTHEAMYGSSSSFLNPTLGF ASNLSHTIIREAQEDDDRSVHSEDSTSTTISISDEELALLGAPWAKEGMLCRKQYWES AGKRAKDKAWLDVFVVIQKGQLNMFTFGDHTSGATGTFGGGNWLANAQPVGEISLAHS LAHALPPPGYNKRPHCMVLTLANRGVYFFQAGTEELVNEWVSTCNYWAARTSKEPLAG GVSNMEYGWSRVADTYGSGRNQSQVDFQREPEIPDTISVRSGKSTRSKYGWKDGSATI RGPYTPKSDKTFINEWKPPLPPTVASVHDEETQLEALRKHVASLKKDLEVHNDLREPM AALYSARSSNAMKAQSNWEEKSQYLLREIVKYDLYIDSLQSAMSLRLKKRGEKALEHA LSHSHPADEEPLSSSQKWKISKLSIAEEPEPLTPGPRQQVHKNDMDSNDD JR316_0002277 MERLTEMAYQSVQALRLQEVDWDLLSSYAGLLGLATCSIYAGAN GSLPRKSGTEKKKDDDGEEDDEDEDNEERMSSEDAWIFPIIGSIALVGLYVLVKYLGT EWLNWMLGWYFGVAGVGSVWNSWISLTRYLVGETRWKKFDQVRMSVKKGSHVLIGIST RTPSIILLPLAAVPSGLYLFWGDGRRSVLLTDLLGVSFAYNALTLLRIDSFKTGCILL SGLFVYDVWWVFGTDVMVRVATTLDVPIKLLWPKSVVLAGDRGYTMLGLGDVVIPGTF VALGLRYDHARQTAGRPYFRATLAGYIWGLAVTMWVMHRYGAAQPALLYLSPACMVSF AATGHWRGELMEAWQWIDNSNK JR316_0002278 MGVSEALPLDGGPTKFENLPLNCSTKPLRLTRRRSRLALLTKFL TNATLVFVFVLTIVHRHVLLAVLRFDSPPSAQIRNPAYLIRADHGAVASENQRCSDIG VDVLKEGGNAVDAAIAATFCTGVVNMFSSGIGGGGFMTVRIPPNNASSRSEVYTIDFR ETAPALSNSTMYVSNPKASQFGGLSVGIPGEVLGLEEAHRRWGTMPWKRLVAPSINLA NGWEVDRELARRIPWFADLMLNNPDWSAVFAPKGVLLKLGETIRRTNLSRTLQKISKE GARGFYTGPIADSIVRKAQLEGGIISHSDLEHYSVKVERALEGTYRDKKVYTTHAPTS GPVLLHMLNLVEKYEMRERDGLNIHRLLEIIKFGFAARTKVCDPRFGNNTARINELST KVYADMIFRNITDDCTHPPEYYNPEFDIKVDHGTSHTSVVDKNGMAVSLTSTVNQVFG SRVLDPETGIILNDEMDDFSTPGRPNGFGLWPSPFNYPEPGKRPLSSTVPTIIEHADG SFYLAIGGSGGSKIFPSVFQVILNLGWGLDISQAIEFGRLHDQLYPLFVEADDVYPTD ILEDLVQRGHNVTGCVSEKINSKALSY JR316_0002279 MMSTQEDPHALPNFESGPNLTAPKLYLPPLLSSLPEHLLHHQPP LDPQYPPLKTHTRLPDIDPASLSLHKALHHFKPLTTDYASVPYADAFNWSELVLPEEE EREWYCVVFRSKRKPGRDDISLYDADKHAHEEAIRNGGLILYWYGVPNEVTGMNLATC IWQSRKHATAANSQPHHIKAMRLAAQAYEIYTLERYRLQKLKGSSNVTVHIYEGGEVG W JR316_0002280 MASKKAAESTLYHLSPKGFWKKFREVVAVNPEISSGLPSASLHR YPPPASRPEQYSTPAGKASDPAQNPYWKRDVRRAYPQLSVITQSDLSTLLIEHSSAPA VAAPAEGAGVPAAKPVVDLAEAITAITSTAKVYSEAKLPPSLPVPHKRWVPKLSPPPP HPEHTYFPMSLYR JR316_0002281 MSAPPPSLAAGASAEVQAAAFADDPRIYFSKTANTWRFEQDDGT ELEFDAGKNAWVPLVDDEAISRQQAAYSVAGVDEETPAAPVLKRNKKRKAEEDYTSAN PSNSAAISIKRGKNDKKDMPATERKSKNTAVYVTGLPPDTEQDEIVEVFSKCGVIEED EDGEPKVKMYAREDGSFSGEALVVYFKEDSVTLALTILDDSELRLGQPHTVIKVTKAD FAHKTAAPGQEHKPRKTVDKKKITKRLGKMQRKIDEWGDDDGFGPMPDPVEDTATSAK SRVVVLKHMFSPKDIEEDASLLLDLKEDVREECSSLGEVTNVVLYDLEKEGIMTVKFR DPIAAQACVLKMDGRYFDKRRIEASLFSGRQRFKRSGAGEDLGGDSEEAEKKRLDDFA QWLLTEGD JR316_0002282 MSRSLRSAGYQPLQTHEDENSDNNEPLPPISHPGPSNTYPQRRT VRPGSIDLTKLDNAFKRWTESIAQKVKRKKKTTDHSRKQIWHSVFDPQVPPVPNSGFE KTLDHNQPMTQADFDALVHSVKEAIQEGIHPKMITKGSSGSYFARAKVDGRVQTVAVF KPKDEEPYGRLNPKTTKWLHRQFRWIIPFGRACLIPNLSYISEAAASLLDDRLQLHIV PPTQLVSFSSPAFFYDWFDRNAAKKGKQLPEKIGSLQYFLHGFQDASDFLRKYPWPGR AIADTFDDSTHRQGNMSKRFMSAMKVICGKTGDTEDIYDDADYEDERVLYDATEAADV QRPFYWSQQLQQSFREELEKPVMLDQKFRINTYGFATDYLMLNTDRGADNYMIKYCEG DHEKPLVDVAPSRSVRLEMPVMSELRRPDANSNSPRMTATSSYQPNLSPVPSGSNTPS SDYRRKPHIHIAAIDNSLSFPHEHPQGWRSYTYGWLYLPVSVIGRPFSEKTRSHFLPL LTSKTWWEETTFQLERLFAVDPDFHPKMFARQLAVIKGQAWNIVQSLKHKDEGPLELT RRTKVLVWNDEMEIPEETIHDMPNGPISPRLSTSIAPVPRRTRSQSSGGDFPPPMRRT STDASGAPRPVPFAAKFQRVHPGTTGVTVLEHLERLDAVEASLQRLGVDEDEEVDVGE AAPQKPVATHSMTDPPTPVHGRIISPPPTSPLQSVPEASSARSSIDEEDLVALSKSTS HVEGSYPFGQQETLSSAGMEWMQSTDSPAKRVVISERLETVKSKPLCSCW JR316_0002283 MSFSYLNSPEINVASGSSIMGLESPNIPSDSSYSRQDDDMYLSD LGSPDQTTIMQKPFSLLARAETDISTPVRKAPSGIEEKEDPCQGEHEAPAANDQETAE EKRMQAAKQREEKLQSDIFILKKLNASFELFNEALQDTGSANERVAVQLEQTDALLNK YIGILSKSEEFSRLIFDDQWEGAEADEERLEQEVQAEQERRRREAEEAKLALARLEQQ RLDDLKRQEKERLDREKSERAIRGGIRGVRGTRVSTRGTRGGSTSSRSGS JR316_0002284 MPASTRDINTGGRLRNNVQLNTFDLTSLGGVRTVTRTRDTPPTK VVDSLRFDLCEELKLQDGVSEHDQCPPGTRACLTKINQRTGEQDRIVAVIPMAQSANL EPISSANSSPKYLSLVFHGAEYPAPPLSMPVRQSLNLTILCNPQETSEPKFIAYDGSR LDLEWTAPAGCPFKEEEEDKGGGGDNKEEPTQPENVGSGIGWFFLVILLAFIAYFGLG AYYNYSTYGARGLDLIPHRDFWKEVPYMLSDVASHLCSNVRPRRTSSRGGYVAV JR316_0002285 MFTERLALLPGGIQLSYTDSGAPAEDCTDYTTVIIAHGMGFNAR GFEKLHDLAAKHNFRTIAFQRRGYQGSTEYTASELDDQYSGKKVFIDRLALELAYFCQ HIVEEHTIPLRSKDGKSGGIALLGWSMGAVSVMPAKLPCKIYTVPGFIWYAFEDFQSL RNEVEAYGYDLPSDYEAPKPVNGDQKQALTNEEIYGAVRIAISGYYDKPKDWSGDINT LDQRMRADEVTVDRWTAEENTKYFSLESAMKTDFSMFLPPFQKLLGEMTQRVLFMPVT LLYPERTHWYCVWGAWVTQKLYKECVNRDEVVRQLNVVHITGGNHFTHYDKPDEFLTA VQFGLGS JR316_0002286 MKGSKKGLCCVPSMPSILFFFTAPDLSDIARSLDSLEESYDDSN TGQTSTNMDDTDSRILENKPHKMARRRNASIPRSPTTTDMIGPYLRTQLAFILNLEQH WFTLRRFGKASPNIDLDEGDGHWFNLNSFLPAPEWVGKLYLGMVLQQAETEGYSVFAV TQANPDAPLALPRTEADIIASTLPEPTSATSSVRLRTSHDTVKHTNTLPAHSGDLNLD EEDLELQAALQASLMGVEQHGEVEENEEDDEGLASAVLSPVGFGTPQFQHTLRSATSA VGSGSRTSQPPSFSESPDSGQADLDPIAASMERNRFLLQRMKRQQEFAQREMWSESDL TPEEQIALAERRERRRRQEEEEEEELRRAIEESQALAEEQRLGKGRDPMDVDSPSKDY LSTSNFVTYDDGDAELQAALKASLEHPLSQQAQTSTSTDDAESVLSDTTSAMEDVSAS ATTPTLDEIRQRRLARFG JR316_0002287 MSSEHTTFDLPIAVAAVDTPRPDPLDLDALRSPQVSQSFNDRSL ARESVAVTEFNDIALDDDSFSPIALTALPSNQETPDSQPENETSSRPNSTSLPNISTL QFSPPKSHRKTASTTTIRSGHEPTASVFMNRLDLQENGGKARGSIDGQVKLQEEFARL QERETEVNTAKEGAIDWDFWGAVISDYGGFASERPEELAQAIQRGIPPTLRGMMWQHM AASKDPELETTYLNLLKEPSKHEKAIKNDLGRTLPHHAVFTDGQGIGQENLYNVLKAY SLYDPLVGYCQGLPFIVAILLLNMPDEEAFSLLVRLMSVYDLQGHFLPEMPKLHMRLF QFDRLVEDMLPVLHVHFLRQGIKSTMYCSQWFLTLFSYRFPLDIVFRIYDNCLANGIE AIFGFSIILLKKNEDTLLSLKFDEILAFLNTKLLDCYLIEGESGLADDSAKYRVDDFV TDAVSLRITPFMLDCYRHEYEDNLREINKHAIQIDELRSTNRSLLTQVKALENSLAQL NVEHVEVLNELVKQRLKNEEMEEELVRYKLLYAEAMDQQEGANTSNRISLAQMMQSLK RGSTGKGVLLFSGHFLSPAMFVLELVVPIGLGFSFLWQPVLAYIPASPTNSTHDAIAG GLNITDISSLRIQWYSNGSVTLSYFEHVSYQLAGNDSKGISKGALVHFSEEMADTFTA PTLTPWIALVSCDKNATNASMEVDIFTLARDKGAVSALLFSLYSTACVINREYSDPAT FDQVFDIFSTQSSTSSHLIEYQFGQLSANKSLRNYNSAMLNNSANDIQRSINEGVPVS PGYLLTVLQAYNAIDNTSGPGNPTSDATSAGTGNNPPNTALAMIILYAITGCVSALFC IVIISGAIRAIRHPERYGPRARMGGDGGPPQSRARGLTRAILDTFPIVKFGSNQAGPS QSPSSPRYPLDKDSEVQSSSYNIEMGAIPTGSGANTLADAGLNLAESSSCSAPGSRQT DQEQELQDHNSSGSRDIPNSHSLSALQNASDNLASRQRPFVGLGEGTSRDIPPESSLP AQDDVVPASIGRETCPICIVDFEEGDDIRVLPCEGKHCFHQNCVDPWLLKLSSSCPIC RHDFLALEHMIAGHEDDEHDFEQGEIDEQVPHARGNGNRGRFSRYLRFAHRRQRRRRG DEADPTDPYMPTAPSTSMYSGM JR316_0002288 MSAFSRPESSTTPRRLPATPPESVQAKRISRPLPKIPRALIPPD SRTFRGFSGKASLFTETQNVFLAKAGVQLMATGAHTMQEITCANCSFYLGWKIVRAHE SSESWKDGHSLLELENLFLQSDLTGSLSIPPPNPRRTSTGSNSDTSDSSF JR316_0002289 MSEFLVCTGSKVLLPGNDEPTQASIVIDKASGKITQVRQGHHTS DDLGLHAHTVEWLDAGKNVVLPGLVDAHVHLNEPGRTDWEGFWTGTRAAASGGITTLV DMPLNSLPPTTTVSNLEIKRQAALNQCHTDVAFWGGVIPGNQEHLKPLVAAGVRGFKC FLMESGVEEFPCVNVQDLLVSIKELEDAGSVLLFHAELQDGNDKSETSNPRDYSTFLS SRPEKLEFNAISLIVKLQLMYPSLRCHIVHLSAASALPLIRSAKDAGLPLTVETCFHY LCLSAEEIPAGHTEFKCCPPVREESNRNLLWDALKEGLIDCVVSDHSPCVLSLKRLDD GDILSAWGGISTLGLGLSLLWTEGQRRGIGLGQIIDWMSTKTARHVGLGSTKGQLREG YDGDFVLWDPEEEFEARATQ JR316_0002290 MLKSYKNAEQETEAYSECHTRSAQRVLKALLANGGVFIKMGQHI ASLVVLPVEWTSTMKVLQDKCEPTQYEALEALFLSDMGEPISEIFDDFDPEPIGVASL AQVHIGRHKASGKTVAVKHPHLAEFCDVDMEMVDATLGWIKYWFPDFEFTWLGEEMRT NLPKEMDFVHEANNAARTKVEFACVRTSLYIPEVVLATKRVLIMEYIKGGRVDDLKYL SACDIDRNKVAVELSRIFNQMVFVNGWFHADPHPGNLLIRPKVAGSKSPYNFEIVLLD HGLYFDMESKLRVNYSKLWLSLMAPASESTISDRRKLAELVGNIGPDLYPVFEAALTG RVALEGSWDEQNDVSFQRASSLIDMVPQTEEEKDAIRAAVMQKEGVLLSVFDVLRRIP RRVLMVLKLNDLTRSLDHALKTTHSNVRIFLVTAKYCSAGLLSLSLLTDYFSCWWRYQ RAYLELSMVESLMDLQAYYKRQKAWFYGLWRRGLRGAHDASFGLPYSFNSDNSIVQHQ H JR316_0002291 MPKRKISESGDEDFIKNEASDSSVSAEESDSEKSAKTKPTKQSG KSSSNKRAKEEVKEEVAEGSATNSESSTLATVKIHTTSEGDKYIDLGKKKRATVRSFK GVPLIDIREFYGADGDEKPGKKGISLTIEQWQSLRDGMSTIDKLLDEVKKK JR316_0002292 MKILPKSKKAIPPPPQVTELISNLLNASNEQLVQILKDVDAWKW PRSDLNAWVKVLNKFDDLMDQVIREYNVDKLQLDPFSPEKRDLLAEILRFERLLLENS TNRKTFNSYDRLNSLLSTSDLDILILALNLLLRPSQQYSAQPAVSHALSISTNRLQSL AKRWPHLREHGIGLVELAGDKPGEELEGVPPEAREVSFNFYRTDSSDGAEIEKPVEEV LETPATPRKPTAPSGPSPPSSAINIRLDESTIAAQPIMNVLASAIQAHSIPDSEIFEL LCRIRTASSLSPGRKKEREKLVVIRLLAIAIFGHTHTESQATSALFLYEPDLIGHIAE LLQVDRGVPTIVQTAAIAALDALARYKNKVQEVLTAVNAGVNHGILMALVRNMVAEVS NPESKLPHAFVEALLSFITYIASHASGGNMVVGAGLIPLLIQIMENRSPFRLPVISKT MQLVDNVLYSFTNAFTLFCAARGVDVLVDRIEYEIDFDINEYSSEFHPCALTGIGELP IQRIAILKHILRSMHRMMQSSGTAEGLRGLIDMSLLKSIKKIIEYRGLFGPNIFPLAI NIMSTFVHNEPTSLAIIQEAGLPETFYNAIEIGIEPSIEVIQAIPNAIGALCLNDVGQ ALLSKRPSIIPGIFSIFTSEKHLKVLIDKENAVIIGTAVDELIRHHPSLKTPVFEALK SVLGRIEDFGMSYSPTPNIKQWYQLVPMAPTTSVDDDVTMQDDSLPEKLSGISPQKAS EKTTEKATSLVNDDEIEEDESLKNHDNIVVSYIDVVGRFLEGFFQHPPHCKDFVTTTD GLERFGRLTGLPCLPYDFANSVASDSMVQVLRTMTEVATVETLVHLSDIVEASLKETK FFWDPIMESSKLLPFVDLAAGDASLANSQFRSLVTLHIRITLLSDVFATAGQAQGRAA IGLLQTLMEHTPLQVLTDLGCLHRASIWENITLNLGLNSKGIDLQLSAASSPLEGSPN QTNIELPPVPPLPGLLPLSHPSGARNGATASNGTHLGTDLTLGSGGKDNTNKQDGPRD WNASSLKHITQGLPNALAPFFQGKLEISYLNPDPLQKKQIKESSKIVADIMLKHLNIN DFDNKAAQYNYYSVIFALFALLLIDERTVTNTLHTVELFAFYQSRGFDAIFTICSSLA DRIEVITAIKEDSRSETEKKELLHAYSAMKVALHLIYPVVSSKPLFESGQTLLIVTRD KPETDPSYFEPHNFLVKLRSAALPLIRKLWEASWLIQAPLSVSRFVVRTVLELVNGEG EEIKSDSSMPDIGPTPVTITRPTGPDESRIQILTDMGFPRSAAERALARTHNNVNSAT ELLLSNPFPLPPDPVQETPAPAPAPAPAPVATENQDHSEIDNDAQSVETPTEENTPSP PPIPEIDEASLSTPGKSSEEWYKLLEEAREPLRASISRQSLLLIDEHLSLLFDLHITF TKSSKHQEQAVRDLVDDVKAFSAFALDVQEQPLANRCRLLALVLCEKPSSLDQDLRNV LMDHLLALLLSSVDPEHPPRWLAAHLLVTEALFTLSDEPRSINLPKEGEPILPENRSV GPPRTDARTIVFDFCLRLLAVDDLPADELLSVLRLFVLLTRDRDMAGQFVKRDGLIHL FKRLRVSPVSGGSSYVATILRHVVEDASIIQNVMQQSIKRYLTQPRVRIVDVTSFVRS CSAIALRDVDIFIDTTKSLCQLEQPYSSSPHIKLQNDSASIQKLPSTGQEQSSEMQVD APSSLPSNIPQKSVESVVSLLVSELMTTVKVIQDAPIPASTTPVVAAKLDSTVAQQSQ QIPDPQTSQTLVAPATSTTSGLSEGGTVAASPQIDIQDKHQYASFLMQCLTELLFSYD SCKIAFLSYSTKRRSPQTVKEPTNKFRNATLHFLLSDLVTYGTINSSSDSKQQRNRGM LCSWAMNVIGALCMDTSSAPDIKDVSTDLVYVRKFVLETISRAIKDVSSTSDNTESRY GRLLALADLCHRLLTARVNSNSRKHQEDIPTHLAKIMLEKNFVAILTTALSEVDLNYP NVRNLVAAILRPLEYLTKIAIKMSRSSTKTKEDIVKEDSVSADSESEDEDMEDDNREE TPDLYRNSALGMYAGEMDDGQYDEDEDMDEDEDDQDVEMDYGEETGSEDTSASESEGE EDDLGHGEDGEVWTEGEDEDEEGLVENHDVDEEDEEHDGEEDDNDEEDAEDEDEEGMM WEDMEDDGDGLGLALDNADEAGHGVPIQVNHEEDEPEMASDEDEFALDHHHFHNDGIF SFGEAFVNSAARDLGGLFVHRRHRSAESQAFGRSRTAPAPETTTHPLLLDMSTASRSN NHQLRGFRHAQRIISNGPPNFLQTIDEMLGEGSIQVLQQIISREAGGGETFRIEVPAG TILHHSRRFLGSSRSERVPRPQGGRHGREFDPLGTLQRWAEEMKILHGDFVGERVVKL ANHVTLALLPAAVEAIKAAKVREEEESKKKSEEAAKAEAEKVANSQQPSEQAPEKVLT PEQTPTDEAANRDANVTPAILHIPSQDESETQQPTTDLAMSDANPTDADTVMIDAHDL ARPVSPAAPEIAAAIAVPPQEVVAGSSSEATNNPPERVTVMIHGSAVDITDTGIDPTF LEALPDDMREEVLNQHVRDQQASRVERPPDSQISSEFLDALPPEIRAEIIQQEAMERA RRRTVEESARAPVREAAEIDPASFIASLDPTLRQAVLLDQDDGFIQSLPSHMIAEAGA YRDELHGGRSLASRIPARNVPGTTPIRKYTPQHDAILLLDRPSVAVLVRLLFFPQVLK KTLLFKVLVNICENAKTRIDLFNILLGILQDGTADLAAVDKSFAQMSVRNRDTKPQTP KAAGKQKATADHVAALALPPSQMETVPDLIAQRCLEALTYIVTTNELSSLFFLTEHDI PAGLRRTNLKKGKGKEKQNPQTHYPLVLLLNLLDRQNLLRTPAILESVVGLLATVTRP LTSLKDQTKSQESSLPSSSLQSISTAPAPESSTGTNTSAPAPSNQAADAPVVSTDSAP SAPDASGSTKPSDSVDDKALLPHPPQIPNSTLRLIVNILTIGECSGRTFQQSLALIQH LSYIPDSRDVIANELKAKAQEFGQTLYADLDELAVALQESQSNVMVSSVATKFSPASS TQAKLLRVLKTIDYMYTPKPPSSGSQSTTSVDDVEKVQAIYESFRFTPLWRRLGDCLS LISENAETAQVATVLLPLIEALMVVCKFVASKGSGNVLRAIRASTSPRSPATPKESME DLFISFTDAHRKILNLMVRNNPSLMSGSFSLLVNNSRVLDFDNKRNYFNQQLHRKPHI REHYGTLQLNVRRARVFEDSFLYLQRKTGEQIKHGKLSVRFYDEEGVDAGGLTREWFQ ILARQMFNPNNALFQPCAADKLTYQPNKNSWVNPEHLSFFKFVGRVIGKAIYDGRLLD AYFARSLYRQLLGKPVDYKDVEWVDPEYYNSLCWILENDPTALDLTFSVEADEFGVNR IVPLKDGGEGIPVTQENKREFVQLSAQYRLYSSIKDQIESLSSGFYEIIPKDLITIFN EQELELLISGTPDIDVDEWRAATEYNGYTSSDPNIVWWWRALKSFNREERAKVLSFAT GTSRVPLSGFLDLQGVQGVQKFSIHRAYGEPDRLPQAHTCFNQIDLPQYTSYEMLRQQ LLMAIHEGGEGFAFS JR316_0002293 MRAFVVKELTHPSNISVTDNVTEPTAGPEHVLVDVYSAGLNFFD ILQSQGKYQNKPPLPFILGAEFAGKISQDSPIPKGCNLKRGQRVFGSAQGCYADKVAV PHWNVIPLPDNISFDQGAGLFITWPTSYEALVGRAELKKGEWVLVTAAAGGVGIAAVQ IAKALGANVIAAAGSQAKIDIAKRVGGADHGVNYSVPGWQKEVLALTSGKGVNVIYDP VGLINDSLKCIAWKGRALVIGFAAGTIEKIPMNLVLLKNVAILGLHWGAYTQKEPERV PTVWKDILDLFASGRVKPVIYDTVYKLENLVDGLTALERRETWGKTIIRVKDEQEKAR L JR316_0002294 MNLETHWALNPVRNASSSMTSNPKQPEHGYAKFFHLHRENIRAH LNGSQDSEEPLLPSFFPPASFWTASEKDLFFHGLSVFSRLRPDLIAEHIKTKNTLDVC VYLDALHTASLQHSPEIPTRMSLDPAMEVSERWIEHEEIMAQELATSDRCSWSFGAKG VDNNQNRAKCSCPNEPIRKSLPAGFELEANGYLNHLDPTCLTVIESVIREAEPEPENE DLQKFPPLEFATGSTLIDSASKDPASVASRAGEQPSDSDDHDDVPMETQLPMLSDDVE KELYRQTLLRRRLRKRLYMRRKRAEQTGRTIIMEDGKLRPGRPRKRAKLSNVRQKGQS KDGSIEGPDREHDDDEPLPMPEALKEPTQLSSEEADADEDLINEKQGLGGATKPYRVK EFFQESNMDGQAFSRIDLNFFNLSTLGRLLRLFASLNKSPRKLDDVSISTQSIQLMVN ITKEFVSELIRHAIITKEQEIRMKRSLRVWKYDRDEINHENVAECLASIGLANISKEQ YFTKLLKETKLRSKNRSYPDGDLSGDEQLNIPSDPAQPAGFILPQSLQWCSLPHYQQF YPDDDLLSESIDEEQVKKELADEDILDKEDMLRSKDFEVLLWEKNRIGSHIS JR316_0002295 MSWKPLRSLAESESLLTAPGTVHEVETVFVDGRLQRVYKNLWPS LREFWLSAVSQYSDDTYVVYEDQRLTYSQVHARAVRAAGVFRDVFGIKKGDRVGICSR NCPDYLVAFWACHLIGAVSVLVNAWLPQEPLLHCLVNTQCKLVIFDPERADLMHSTIQ KIQHTASEPVSFLVFDFKEHNNRVWEGIRSFGDSLNDYRVDGAGVVDTPIVVLPEDNA TLIFTSGTTGLPKGVLSTQRQFLTNVYNVLVGGFRASIRRGEDTPKVQRTVPQKGTLV TVPLFHVTGSTSFSMMATMTGMKIILTRKWDVEEAVRYDKVFVLINVARVQQFLRLIK SENVSVAGGVPSMVTDLTLSSLVGHPLEGLLFGGAPAPATLVLRANKAFPTATMIQGY GLTETNSIAVSLAGEDYVARPSSTGRASPVNEIQIVSENKCVPAGVAGEVWLRGPNVM KCYWRDPEATNALITQDGWLKTGDVGYLDEEGFLYIKDRNIIIRGGENVDSVTVENAL YDDPRILEAAAVGVPDERLGELVAAIVSIRPEFQGQITEDTLIAHAKTRLPKFAVPVM IIILDTTFERTPSGKILKGELRKIARSHWELRRRGGNGKAGREALANL JR316_0002296 MQSNEDLEPTVRIRELKKDRVNFVLGNVDLAFANSLRRVMMADI PTVAIDMVEIETNTTVLPDEFIAHRLGMIPLISTNCDEAMRYTRGIAKEHAKFSPCSA VSFEYDPYNKLRHTTYWYETDIKGEWPLSENAKEEEAPRDDEPFDFNAKPNKFYFEVE TDGSLGPQEVVMKGLAELQTKLANLILGLKSGPEHDMVANDVTVNGHGPAIDNPWTGG ATWTSPANTSATTGWGGSTSPSRGGGASSSWSSQPTPSGGGGGWGTGANAGWGSPERR SNGWNV JR316_0002297 MSYPHDPERAGLPSSGNSPKARQQGDYLELGGGQSGLSQSDLRL PDGQVPVTKVGRVYSYLVSASIVTRWILFIVPILGIIWIPGILNLTSFPNAKVWGVKL IWWSIWLSVLWGGACVSKITRSIRIAPYFIRATVGVVAVSSRRYIDWLQALHRYIALF AWTVALWASFSPLIISHQDGRTDTVVITNTTLANLGEAEVNGVPSRSVAAVSLMAKLL FSFLLCTALLLFEKFSIQWIAAKFHERSYADRIQEQKFAVRTLVTLYRNSSEIPGRSD TLGRGHTREVSVNPKRLFRKFREGVRFATTTTATAFGNVASEIAGSSVLQPNSPQAII KTVLESANKSRLLARRLYYSFAKKDAEYLLVEDIQRFFPTKEDADAAFALFDKDGNGD VSREEIEMACLEFHREQLSIENSMRDLDSAVGRLDNILMTVYVIIAGLIIAVGLEAQL VTLVTSAGTLILGLSWLIGGSLQEVLTSIIFLFIKHPFDVGDRVVLNKETYTVKEIRL LSTVFLDSNSGLVQAPNNQLNTLMSETFTFDVAYSTSFEDLERLREKMLAFVTAERRD YQSVFDVKVKDFPDQGRMTLSADIKYKSNGQQAALKSKRRNKWICALKASLGELKIYG PSGDPNPPPGVTRYTKVPWETIQANDRKNAAGTAPQMPQANLEQMPPLGGWQLSDKNT AILDNTDSVFGDSADLYPRREMSQDSVFGDSARQRGAATTTASQPPSASRNLQPSGPP HPADVFEMRPR JR316_0002298 MSTRSRTGLFLSYRESTARRDYHDGHEHQRLINHAAIDIPSDLP PVWVDVSDQVEALLADTTANIAALDKLHAKHILPSFADRSQEERDIDALTTQITKDFR TCHSLIQRISTTFPPSHEANPAKNVQRGLAAKVQHLSATFRKKQRVYMDKLQGHATKN QDLLLASGAISLKASDAMSALDDDIQAASHTTLLYADPSTQHLQSRDRELAEIAKSIA SLAELFKDLSVLVIDQGTLLDSVEYNIEQTAVHMEDAVEILNHATRYQKNTGRRKCIF LLLLIIFGLIIVLIFKPKRKSLPPPVSPP JR316_0002299 MYSHYYLFVLYPALCNLALAATSAAPEAVATQYSLTTSTALPFP TATQTSNETEDLLVSKWSLNRGRIQDGPDNLAFVADPFPNSQVPGSTPQASNSGAPVL RVSYPEGSFSHDTGGAQFYSLWNTTDGSSFNSMMVSYEIAFESNFDWVKGGKLPGLRG GLNSTGCSGGNKATGVDCFSARLMWRKNGAGEVYAYIPTPNNLCSDKSIICNSDFGVS IQRDNFGFVSGKWTRVTLLVQLNNPPDVANGYMALYFNDLLAVSQQNLQIRAADSVVA NGFYFSTFFGGSDTSWATPVTTHTYFRNIRLWGSSSPSTLTGATVKSQAPKTLGYGYN LNDFITPGQACIKPVEQKKEERDVGAALTEISIDSNGGYYEVTAGEKSKNKKLEQAQI SLNDCLACSGCITSAESVLITMQSHTEVLEVLAANEVAEDKKIAVVSIAPQSLASLAA SLGDDITPRQVLRRLRPFLHSLGFAYVFDTNYGRELALREHVKEFEERKEAADGGEEG QLPMLASACPGWICYAEKTHHEMLAYIARTKSPQQVMGTLVKGWMAEKWGKRRDGVYH VTVMPCYDKKLEASRTDFYSEEYRTRDVDCVITTGELEVMLREKGWDMSVAVPGELDG GRMVDGLPELVRHKGTSSGSYLHWIMGSVLRQVAGGTVEVKTMRNADYEEYTIRDGER VVFRGATCYGFRNLQNIVRKVGKEQGVRTGTGAAGRLGGVRRRTGEVEKKYDYVEVMA CPGGCVNGGGQLKGPGKEWTRRVEAAYWRDGEGEGEVEVIEGLELRTEYRGVESEVVG LAVKW JR316_0002300 MFKQSTRPEESESRQPLLDDPAPHTLFTAGDDSDDDLEGTSALI TPKTARGSHNVSFKEEVQLIPPPLRSTTSSREIRTSFQFEQDSDELDDDSVTELNRSR IGPNGVHDRRMPLLVGLFDSSASRRSLDASLPLHSANANGIVTIGEDTVDLDELAAKR TAGGGLIDSVANMANSILGAEPSRPGLPYAIRQAGFFAGLTLLIALSVVTDWTIRLIV INAKLSGGHSYIDIMSHCFGSSGRAAVSFFQFAFAFGGKSLSSAANSLNSLTLPPGMC AFGIIIGDTIPQVLRFVFPTLQTIPVLKLFANRQFIIAFCTICFSYPLSLYRDIHKLA RASGLALVGMLIIVCSVFIEGPHVPQELKGSQEGKFSIIEPGIFQAIGVISFAFVALI TCDLGVMLEITGGVSATTLAFIFPAACYIKLVDKRLPWHSRTKLPAVLCVLFGIVVMA ISLFLALAKSWTPEGSAKICV JR316_0002301 MVRTTIISAPGKVLIAGGYLVLDPAFSGVVVSTSSRFYTAIRDN SALKPSTLRVRSPQFRNATWSYDAALEPSVKVEAAAENSSKNKFVHLALQHSIALAAE VKGSALVQEILSKGLDITIVGGNDFYSQRAKLEELGLPRTIESLSSIPPFCPTGVNLS DVHKTGLGSSAALITSLTSALLVHLTVITEESLSDDVGEGRRLAHNLAQFVHCFAQGK VGSGFDVSAAVFGSHLYTRFDPGVIQGLMGDNLPKLYPVLSPSNQAWNYRIGNFKLPP YTRIMLADVDAGSDTPSLVGKVLKWRKEHQIEADALWNHLDQLNQSLSRTLLELSTLH DTDPESYKSAVKYISSLQAVQWDANPSLPKEEQLVVSTFSEVRRLSEEIRAQMRRMGA LSDVPIEPKEQTKLLDTCVALAGVIGGGVPGAGGYDAVWLLVCDVETSKPVQTPLELV EHVWSTYTELSVSPLSSKESLAKGIRLESVDDIPGLAGILDSA JR316_0002302 MSLLRSPLISRAITRSYATAHASAKSPTAIVMLNMGGPSTVEET HDFLKNLFMDGDLIPLPFQRFLAPWIARRRTPQIEKQYAEIGGGSPILHYTQLQGDGM AQLLDELHPETAPHKAYVAFRYARPLTEVTAHQMKKDGVKRAIAFTQYPQYSCSTTGS SLNELYRKRKETGLDSIEWSVIDRWGTHPGFIEAVAQNIEAALAKFPENKRAETVLLF SAHSLPMSVVNRGDPYVLEVSASVAAVMERLGHSNPYRLVWQSQVGPSAWMGMQTGEA LKGLARLGKKQVVLVPIAFTSDHIETLYELDLEYAKEAKEHGIEVHRAESLNDSPVFI RALADIATQHLRDCASGKGPTSIQLGLRCPGCTNATCGQQKAWFKRSGR JR316_0002303 MSAAEKNDSAASTVADHPPSLAPPVPHITSAVVVPSYLDHFWDQ GPEIAKARKIYINILFQRTCLIVGAIFGIFSIYWGALWQLPARSLRGWVVDFDGDQVG QFVTLALSTNTAFSHIIDWRIYPASDFPNGPDDVIAAVLDEKCWVAITINPGATKSLN DTLHGGGPETPTSNAISAYALEARNENAFRTLLRPTVTTLLDEITHGFAVKIMGDIVQ NNTSIAAVSPNILTRPIYFTVQNLRPFDVPVATAVTFIGLIYLLILSFIVVNSGILAR GVSGMERKLTTASLIRIRIASPILIYFVLSLAYTVLTRAFKLPFDRHFGRAGFVLFWM LSWFGMTAAGLALESMMTLLTIKYIQVFLILWIIGNVSVCLWPIDALPVLYNYGHAAP FYQISRGVRSIVFGTKNELGMNFGILAVWIGISCISLPVLQWYVRRGEVGRARAGQRK A JR316_0002304 MSTPVISQADQEGSLRRVHSLCDIPRQPESVHIRTALRESEGTS GYLPLSSPDRDYSLGYRSGSIGSSPDASLATSGQPTRSSNQVPLPPTAQEQVPSSSTT PHNMPLYMRMLMYFGIGRRASYARKALMSLIWNMFWGFSQIITIITMLILSGTFFRST ADPQRSEWTACDRPLGIWATLWVLRAILATFLAYWDFLRNRSLRFRIRTDTEAPNARR DPTTVHLGDTAVQNFRGTGSITNNHGNNNPNNNVQEHLPPPPRMYSRLTLLSSLMTLS WFLTAHILEYTSIHTCRQTSPHLWWLIFGILCIMYLMVLEVALLGLLVLVILPILFLF WNIFLICIGRHPIQNPGIKPEIGKLPKSFVERIPLVMYIPAPPQEGGVDAVENSHSYP PNMNLTPPLSPQPQRSHRRFIFFRNISELRRMKDDNKPKHGTDEGEKERGSTPGYQEP MSWEQNWVPGRYPFVRLEGNRASCAICLMDFEEPKRTKDIPGGGGNKENPSSSHSVNT PQAPPATAQHLSHEQINQLKLADAGDGAQPLRLLECGHVFHKTCLDPWLLDVSGRCPV CQRPVKVENSRKRHRRANG JR316_0002305 MVRHHKSRPLDVPFVPELMGSTPGVLDPTQASRLLRKPNSTLLF ASFDPNIAVPYNISQLGSMSLNDNNCRPKRIVIETIPGVGSFWRWVPRARLREHIQDE GGFPRMVRVCGEPYIISQDQWDIYKLDPLYDCFVDDFSCLSVITKKDSPSYGSYSSDY SSTWPDSRWQKPSSDGLIVDDDMMEIDEDIAHQSLPKPQSRSKRKVSPRTSFYFNFGN NSDGTSPSTTSKRNADLSFQNLRNPEEEENDYFDQDNLHRNTKQFIPKIRKRARTMSP TSQQRAANAHKTKWKNAKQARMDREKAERILRREQEFEREILRDVPPANFSSPDHKFC GIPEETEEEMNEPQPQPMQEPEEEEVVVDNEEQANAQRLAESRRKMEELNADRDRQRQ REKMLREERQEGRRAQTEGPSREQIKRQKVEEEELRRQAEAKQKEELKQARLRQEQIR RRAELMAGFQEEREARHRQWDYGRWDRARAMERYRHVGHFFDKARFSCETLPLTVIDI PWPTLRHPRENTPRDMDYETVTDFFLGAKEILRGQEYKEVMKSSFHRFHPDRWNSRNL YNAVLDEQEREEILTGKHLF JR316_0002306 MTTLRTFQNEIEDIHHREISKQRAQALMNKEKETKQKGKGKEDQ DAVSVEAENLHGAETAGANLQELEERILTLLLSSSTPDYDSARDLLAALLTQCNGEHV LRIGQQPSHTALFSGELKDESAHWSGTPRTIEEIGSLRTQVTKAVEEVGGKASVLFET ITGHARISLLLRLPPPNVSLTPEVRCAVVGNVDSGKSTTLGVLTRGALDDGRGRARVG LFRHKHEIETGRTSSVGMEILGFNSSGVPILPNTSHLSDPDAIRREKMGWEEISVQAA KIVSFIDLAGHERYLKTTLYGLTSGAPSCVILMVGANAGLIGMSKEHLAIALALSVPV VVCITKIDMTPPNVLAETIKQVAKILQSPGCRKNPVFVKSVEASVEIATSFNGSERLC PIFQISNVTGQGLDFVRTFLNLLPSSERDTEKFAVNQPLEYSITEVWSVPYVGTVVNG IINAGSVKTGDSVLLGPDSNGHYQTSVIKSMQRKRADVTRAEAGQCVSLALKRIRRAA VRKGMVLVAKTETPPRAIRQFEGQVLILYHNTTLQKNYQAIRQTVRIIGMDHPQGVLR TGDRATVQFEFISHPEFVKEGMKLLFREGKTKFTFSPDPRQWGLPLALDLIESDDDQY ISLRERDGFVKGVSARGIGNVGCLLLLIAGLLALFIGYPVVTFYIPPILDTSISINST GQVPQMMGNQGLIDTDTPREAYTRNAWNDATTEMKLVFSDEFNVDGRSFYPGDDPYWE AVDLHYWYQATNNLEWYDPEAITTKEGSLVITLSQKRTHGMDYEGGMLTTWNKFCFTG GYVEASVQLPGASNVLGLWPAIWAMGNLGRAGYGASLEGLWMDDLLQQRLTETQGKAT LYLIFQANDYPDALALAKVIQAQSIPMEPLLVVLPLRLIFSKPKLPKNPKVTADTLVG QVSQSAQWAPFDAGYLWNNSPANMVITNPNITQLNTFIGSATQQATSLTTNTNSSCYE LPYGACYAVYGFEYITWVSDNKTSWTLNAPGMGPDPNVQISARPVAKEPMYLIANLGM SRNFGPVDLDHLTFPAHMKIDYIRVYQPKNAINIGCDPKGFPTNKYIEM JR316_0002307 MILRVYALYLGNKKILTFLLTLLCGQVIVSAWAVHNGMRVPQPP GFPGCVLTGKNSFFAALWGAPLVTDSCIFILTTWRTIRYMRKHGRMTALEILLRDGTL YFFTIFGVNLMNTLIYFLAFKDLKAVGARVEYGTELQNGTVSAKY JR316_0002308 MPCDGKYSIGYTKSQLIAMNSRTYDSSRGIYGRKFPPSLIPVKD LTHILYAFANVRPDSGEVYLSDLWADQDIHYPGDSWNDVGNNLYGNFKAIYNLKKQNR NLKVLLSIGGWTYSPSFHPVVINPAFRAKFVESSVKILEDYGLDGLDVDYEYPTDDKQ AAGYVALLKELRAALDKHQAKKGPGCKFLLSIAAPCGPENYKKLRVKEMDISLDFWNL MAYDFSGSWDSAANHQANLNGGTLSASAAVDWYIGQGVLRDKIVLGIPLYGRSFLNTA GPGKPFSGQGQGTWEAGVYDYRALPLPGTNVTNDKSLGASWSYDANKKELISFDSEEV AKWKGEYIKRENLGGSMFWELSGDKGSSREGIETGPGKDVQPGKSLVTIVKDAMGGLE KSNNWLSYTESKFDNLKKGLA JR316_0002309 MAPRFTDSPNARSKSISTADLDTNGVLNRHSANHPTFRVGVSED KGTRRTMEDAHSFVVDFDAVRGQGFFAVFDGHAGKHAAEWCGSHFHEYLLDAIHSSPD VPIPDILNHTFHAVDESLSRMCEESEGKIHSGCTAVTAFLRVEDSNGRQSFLSPPSSP SAESPVSGHSINNSVDGEPGSFVVEDAADALKQDATPKKAKEKKTSSTSRLRKALRSL GGGASSKFTSSSASGNSSSAASVKSVAEGVTITVPPPDAKYVLYSANAGDARGVLCRA GKAVRLTYDHKGTDKQEAKRITDAGGFVMSGRVNGVLAVTRSLGDSSMKEFVVGAPYT TETELCEEDELLILACDGLWDVIGDQAAIDLVRDIEDAQQASSVLCQHALSHHTTDNV TVVVVRFKHLGPQRDFEEQNIRLVPMYGQQPYPGPTPAYGSEGPYYVPPEQPLYGGDI KDPYAGGRFKPKKRVNDPIFLIFFVLQFLGFAAVSGVALNTWISQDGLGGGLGKGGGQ TGTVVTMNRSTVYLLLLVTAAGMLLSVAYLMLARMFTKTIMHITLILTIALNIGICVY YYITKYWSGAIIFTIIAILSVLSYFGFRSRIPLASLLLQVVMDVSKHHTSVYAVAFAS LFLQAVLSVWFTFTTIATYAKWTPGNPSCDTSSCSSGKVAGLIFFEAFSFLWTSQVIG NVALSTLAGGPYGSWYYFGPRGNGEMPNHPTLSAFGRASTLSLGSIAFGSLIVTILDL LRLILNAAQQNANADGHPVEACLACCAACFVGMLQSLVEYFNRYAYIEIALYGKPYIK AAKDTWRLFKDRGIDALVNDSLVGMTLTWGAYAIGVLCSLFGYLYLRFTAPSYNSEGQ YTAPIVLFSFLIGMVCSMTMGSAIEAGVSTIFVGLGEDPQVLAIRAPELFSMIAQAYP DVTRGVPRG JR316_0002310 MSQQSAAAKPSLQGVRIKARKGAVKAQAKHEPSVFRDQLFKHLE TVSPGDFDAVATKLVQAGSTLEYLKYADPLFEIIFVGGLLQPGGSFVDDGSPISPFTI FSAKEPAEVDDIKKYVEVINKLTRRYKYLQKPLEESALPGLLQYIHRWEPSQKDKLSI AIGLLISQGLANASCLQSLGKDHLVKNDVAINVLTVIFRAYLVDQSMDHLSAALKRGG IKDLLAFFPPNKREGKFLEEHFKKENLPQVAEWWAKKQYAVVKEGIIKDLSDMQDQQD TPEQVIAAIKSRQEESPIPEAELIQCIWQGLLGSVDWSARPDQIEGLALREVTKFAPI LEPFCESGKTQIALINAVQVYCYEDTRIIKAFPQILKVLYNKDCLSDQAIIYWHQKGS KPQGRQHFLKSTEPLVKFLQEQETSDEDEE JR316_0002311 MSHSPSASISNSSESASKKRKASANSGIMAQSSTADGQTPQPTS HIPKRGARACTSCRKGKNRCEGEAPCRRCQASGVPCIFEKPEKKNVQGMTGAGIERLA RLEGQYLVMQSQMIGMQSSLDRILSAVQPQHTNAGMAPPHPGLYTAHMEAHRDASGLQ APQRNGFEVAAGPARNFPPLPGFAPPPHKYANYGIVPSTAASSDDESEDTLPRASLNA PIEALQGLANAAAEAAAAPSAEAPRVKKRKRAEPIPRNAFPHVVEKGLVTDSEARELF NIFFAGCHLFIPLFDPSYDTYESLMERSPWTFDAILAVAGKIRSGNGPLSPTFYKCLE EAQGIARSSLFGPVVRKEAVQGMLLLAAWSTNGWLPSGHAMRMALDLGLHRALEKLVD DSGKQRTEEEERNLVVSARIWLCLYWFDHQMSLGTGRPIVLRDENTIRHCRVLLSHPM ASPTDVRLISLVELIAHKTQIYDFLAPLNGAVNNNALSLIRRANDALDEWWSGCDELH RRTMDQDSLLRKVLAGELHYAKLWLVCVALRGVAWDKMPFEQRELAFQAKDAASNCLS IFLNSAEYRAALRYAVHDSLVTAAFSGLFLLKMANLFPTELDLGAITAQVEQLAQLLS DVAAERYALTLRIMLANLRRKVGLRSGINTPIPTIPPPPFAENMVVSPTLFHDPAMPP PFTMEELGFAWPNDRGIFSPTAIPLWLQEQSLADLGLPVNGSDGIFLQMAGKNGWTGD FAPMPEAW JR316_0002312 MEEKRIKLLEIFHETRDFYQLKELEKLGPKLKGIGVEHIQLEQL QAKLDAAKETQSSHQSQIIELNSAIHLAKSERAESDVRTTALKNLSELKKELSTLESE LNAYGDSNPAKVDEVKRAAFLAKEATYRWTDNYGILLGHFTRQTGVGVDDLRQYLGIN EDYEDLE JR316_0002313 MERVRAGPDTVFNEMMAVNEDLQLPYTMDAHQLSNPYQAKVLRT DVNRAIPSYIPELLDESKLAFEDAFKVTDTVLGIADIEVFDTMTHLIARISNRVIFGK DLCRNQEFLRATVRFAETTPLMAPFIQWSPLFFRPFVYFLLSSILGGKRAPLKILVPF LNRYMKARQTMTEKPNLVSEFLIQNAPPQETVEGIAVRLLNINFGSIHTSSIFITQTL FEIALLRPEEVEGMRSEVEDALESEGGWNKAAVDRFYKIDSALREVGRYHGLMHFALP RYAIVGCELADGKFVPPGSRIAIDMKAIHFDADIYPDPNRCDLFRFSNMREKNGSGNS NHGFATVDSYYLPFGAGRHACAGRFFAAVELKIMLAHILLEYNISYPHKVNERPRNII FNGAIIPDSKARLVFKRRKM JR316_0002314 MAATLHPSRNDAGASSLYPRVIMNEYDYGDNRYPTISSQTKPSQ PTVSVPLSTERPWDVPSNVNAGEQQRENIEYAAANSLSGGASVTEQRRQAESQSYVQR PSSRQEGFPPETTILPTEPFQDPPRSSLSYALPSGAARRVIERYSLDDNDRRPQSKGT SETRPSAPDATSDTVLGRNQPILQEQQKNVSPQGRISPNPSSTNRKTSSPGQNPSNQF PPIMPLSASPTYVPPLAPNHRASAQQPIYVNQPNAPDPMQTVYTPIIPPQDEICVECA MRDQDMADVDVTSPGVWERASDVVFEELKARELEDEANGVIVEDSTRPRIKGGRLTEQ NVKLWLSINPREPASRQQTLNTYVKSQRKLLEAEAVAHARAMQEAKQLDNRMRDAYSQ LRRSAYDMGSSPSHADDAGGVRIKPPVLTNAASQPQSHGRSHSREITLLENGMIVEHV DVRKEEKEARDRKKREEKRARKSSRGSMMDVTSVISGQSNGPLAGESSLKPYSRYSNS SSTRPTSVLTTPHDRPDLPRAYSTASFSDVHSLGSASPRRTRFFGMKNLSAGWKSQDS LAPSGISGSMVDMHVALHREAQRPGSRTLISPPIDLNTPRRSKIWMPTDYDDANGSQI LQDDRVKKKKGGLAKIWRIVTGKNDGFQQATPGTKNEGQEDDLPLAPPPPLSYLVDRG PPDLHTGGGMRHSSTPSLPSVVSPKFGSPPPGMSPPTAPSSLLPSPVSLRTFGADIEV VDGRIGNDPEYTEQKFSTDDAPNKTANVAQKSIHSTTSDMELRRTLSGPSFPPTTSTP SNGQNSSIRPASVLSRDKSLPPLPPNEQPARILPSDLRPRTLYTYDPRTLPPGTKPPH DFLPPQAPFRTGDGRRQSFGGTSSRPNLQTMPVSRPVDFDSSQTLGSRYDEFGFSQRS LGRLDHVTDQLRKTSPPASTKRKSRFGLSSLLGKKQDKREPNVVPENIHHEFPSMGHS SYDNPDELNNTGYATSTSRHSTFSSGPTNPNMRMSVTSRKALEDLVQQDAEFVAYRYP SNDQRLDLLR JR316_0002315 MSLILKFAAPNVARRAALSSQVGVMGSYFTNFAARHTFHRTRHL ANHSFHTSRSLKQAEQKQTSVAAEASREPAPRLAQQGSMQLSLETPQNKAEYVLSTLD KVTNWARQGSMWPMTFGLACCAVEMMHMAAARYDQDRLGVVFRASPRQSDIMIVAGTL TNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCP PTAEALLYVTT JR316_0002316 MASFSRTPQSAKTLPPTPPMLEQHLELKAGSFFSSCPDTVQNDL SYIGIEENRLGLHLGHAIHEIPPLVVYNTDACVYSPQFPPGHCLNTAFAQKYQLEDEL GSGGYGFVMTAYHRIEGDEVAVKFIIKDKVPEHAWTEDPISGRIPTEVLILTHISHPN IVKCLAVFEDDRFYYLVQELHGSPWHKDHKLDAQPPCYYFASHSSSSMPTPSLSPSSS HSSLPASEPNTPPHVFASLHPENEHDRPHVSNGAAIPKLLHSQLAIIQDSSRPEFTRR PSHDLFECIEQSEHKKLNEAQARFVFSQVVDAVQYLHDHNIVHRDIKDENLVIDKNLK VKLIDFGSAVAFDPVKPRPFYQTFCGTAAYASSEILLKKEYQAAPAEIWTLGILLSYL LAGVSPFPTARDAADGRIFLSEKVVGKIPDKAMDLMRRCLDPNPTSRADISEIKAHAW LRPPSFV JR316_0002317 MPIIRRSSTRLASKLTARDVSVQPMPSGDTVDTKNTRNLKARPR NATYRPDALREELESTANLPEIGQKVSPALPIVPKPSSEEEEEEVVFVPAVLSFDLEE AKRHLIQIDQRFEELFTKMKCKPFEHLEQVANTDVSILRTAGLSARKAEYIRDLAVRF ADGRLSTRKLLEANDDELAEMLIEVRGIGRYPSTKTLTLLIAVDMFAIFSLRRPDILP VGDLGVQRGVVRWFLSLHSPAHTYTLSPEKLGGTGTATKKNKGKKGKARIAANEEENT ASTVPGVSYEAEDLPSIPPAFTPSIKKTLNKVGGAVVPLPSGMDVEVLKARLDPKNKI KGAFVTPQEMADLTACWRPYRSIAVYYMWSLADVLDLGSG JR316_0002318 MASTQEKASTFSKTSQANAVGFKATSGAVKVYIRRFSIRSFVRK QPTPVLSPPVEQDERNRYFSAGSIIKQKAHISKDDKRARRSALAVRSLIIGPTIAEPR LTTALAKPQLNQIKSHLMKPGLANKIIAHLRRLPAMHEPSETIAFAFNTPIHAVCLEH SDHEEHLLHFAKLSQPDRPRALLDANLSMVTVASAPIDALANMFNDMHVINLITSPDL GLGQPGDGNGLLAGALPTAETVMEGMKQITPQLMALGYATGKAFTPDHSGIHPPTDRI SVLTYWWGLEIILPPPSLQFLDNAQSISGAVINFLTALSLINNGVREILPFVRYIAQF IDFEFDAIRKKDEGRGVVCAATWIMPAAMVPRPWDFPDPPNQNKSQEPAAQSAKKVTP STPIYSIVDIAASPQANK JR316_0002319 MPIPKRKHLEEEGNGYSSSSSSSYSTVGFSVGADVDISSALTGK RPKLTDNTDDDDLSSFLQASIAKRSMKEGTAVMKKSKGKSNLAKGEVGGGSFQSMGLH PSLLRSLTLQGYRTPTPIQRLSIPALLANPPRDLVGMARTGSGKSLAYMIPLVNRLGG RHSTSFGARGLILLPTRELALQVLRVGKELTRGFQTGRGEHAGDKANEDSKKGESLRW GLVVGGENLDEQFEMITSNPDIIIATPGRLLHLIVEMDLDLKAVECVVFDEADRLFEM GFQVALNEILGRLPTTRQTILFSATLPKSLVEFAKAGLQDPKLVRLDSESKISPDLKM AFFSVKQAEKDACLLSLLRDIIKVPFGSTHVKTLDDDPNLTNRKRKHAEQFTSPHQTL IFTATKHHVEYLLNLLTAAGYSVSHIYGSLDQAARTFQMDQFRRGVTSILVVTDVAAR GIDIPVLENVVNYDFPQGARVFVHRVGRTARAGRQGWAWSFVTNTELPYLLDLQLFLG RPLINDVNEQGEHVYTESLVLGNFQREKIDEDVEYIRSLDTAVHSLPVLREVMKRGHT MYERSKGQASPQSYKRAKEIIKDPKWLLAGSHSGIHPVLLRGPGADEKRQLEDTRKSL LNLVNSFSPSETVFEVTSKGGSENAALMKERRKALEKSNQRRIVNASILEQGPEDEGP IPTQNVEMADESDIVAVFGSGDAKRCFRDEDFYLSHYQKDANTEKGYSLTDGASSFIR QASKVAFDLTNDEGKAERSSNYQTWDKKKKKFIQGDGAGADNVKMVRTENGTRLPATF RSGRFDEWKNKSRVSLPRIGEVENLSSTNKASGPGGRRFKHHKIIEAKPLNKQNTDYD RKIRQLKKKSENAESSGSMASQHTSGNRLGGRRGSTGKTYGRVKTELKTAEQIRKDRK IMERRKAKNARPSKAGRKKGKGRH JR316_0002320 MLTQEKPEESISIQHSIGADIIMQLDDVVSSLTTGSRVEEAMER SVRWLDRCIVQHEKSGKKDTQNLFAIVQGGLDPVLRDRCIDAMIERRDGVAGYAIGGL SGGEEKDVFWRIIKQCAERLPEERPRYSMGIGFAEDLLVCVALGVDMADCVFPTRTAR FGVALTHNGPLNLKLNKHANDLRTLDETCPCPTCTDKTSRAMLHHIVTHETAAAHALT LHNIVFQAQVMGRARKAIIEDRFPDYLRAFFASYFGDSGYPEWCVNALRSVGVDLLEG KTNAKVNPGRSAKWEYASTPQK JR316_0002321 MAFQEVFINQLLTSRKSLAPTKVVSAEQPTMITNILSPLFFLYF GLAQAFYLPGAAPHNYVEGDNVDLFVNALTPMRSLTNEKVIKMLKDNDTCRTLCVVSD VTGDEAKFINDRIREDYAINWLIDGLPAAEMKIEAKTKELFFDMGFNLGDNEGKHQNL PALNNHYEIVLRYHRPTPETYRVVGVLVWPASIGGVQTSTPNCDSRNAQPLILSEDRS QSIRYTYRVSWNESDTPWATRWDNYLHIFDPRIHWFSLINSIVVVVLLCVMVSMILVR TVSRDISRYNAIEVSEDVQEDWGWKLVHGEVFRAPRNSLPLSVLVGNGSQLMAMTIVT LVFALMGFLSPSNRGSLATVMMVCWSFFGSVGGYFSSRVYASLGGTNRRKNAFVTATA LPTFIFIIVFLLNFFLLSAGSSGAVPFGTMLLIIIMWFGISAPLSAIGSYFGAKHGRI QNVGRVNPIPRQIPPGPKYLRPLAASLLGGILPFGAAFVELYFVLSSLFASRAYYAFG FLALTAGVVALTTATVTILFTYFLLCAEEYRQAWHWRSFLIGGGSAFWVMTYGLFYWA TQLRLESFSSVVLYLGYLFLISVLDFLVTGTIGFFASYWVIRKIYSAIRVD JR316_0002322 MWVRRLSPLPKLAPVFVRRYHRSPVPLLDDLAERGFIQDVSRRD ALAIALSSKKQTVYVGVDPTAKALHIGHLVPLLCLLHFQFHGHRILPLIGGATGRVGD PSGRLVERQLAHASEVEANAVNLTSSIQRFFQNALLYAASRSESQDGVFQEPHILNNL DWHGSTGLLQFLQTVGVHARVGTMLNRESVRSRLSSQQGLSFTEFTYQLLQAYDFYHL YKHFGCTIQIGGSDQWGNIVAGLELIGKFQPDFSSNDSFGITTPLLTTSTGEKFGKSA GNAVWLDPQLTSVFDFYQYFLKVTDADVEKYLKLFTFMPLSEISDVMQQHREFPENRI AQHHLAAEVTEMVHSKSGVARANLMTTLLFGSDYSELKAEDVTTSLGNDPRLVRVAKS DILSMPIPKLAAKYGLVASNSASKMLVASRGLYLNNRSVTDVQYKASPEDLLDGNFLI IRAGKDKMMVLVVSDEDI JR316_0002323 MGSNKPRGLQAARKLRTDRKENRWADKTYKKRALGNIYKTSPTG GSSHAKGIVLEKVGVEAKQPNSAIRKCVRVQLIKNGKKVTAFVPNDGCLNFVDENDEV LISGFGRRGKAKGDIPGVRFKVVKVSGVGLLALWKEKKEKPRS JR316_0002324 MFHPAPAPPVPPRGGMRSPITPVFNVPHKTPCDDNSVDLSRLLA RKPPPPPVRSKNFVPATQIVTHIRPVAFHPPPLNLSSKPVVVEEDPVQIVATPYPEIP SCLQCRDFSKVDAHAALFPRTSVHSLQNLALGLEEPFEDEVDKARVIFTWLHCNIAYD AVSFLTGNVQPSTPESTLASGLAVCEGYAGLFEQLGELMGLQVHTVSGHGKGYSYQPL KAGDAIPEKSSGHAWNCILLNGEWHLVDPCWGAGVLTGSGTYEAKFSPHWFISSPSEF GRTHFPTDPSFQLTPEQTTWEEYILAPETPVITSDFHLYGYHPMFLQPSEKHVPERQF VQFSVSKRCQHASMAVADNYLLVISTNDKDFVPLAFSEELDAWTVNIFTPRNGDITLY IVNSVNAQDARGLSIEGFGKAKGRKVMGFKGLAMWSVAHL JR316_0002325 MSAPKTIFASKTTFDALAVDSGEETEEEHLSDAENAQGSAPKEP VKPTKSAIKKAQRVARMEARQREKQNKAAARRKEASGASSDDTPADPKCLNPVVEEAL IEPPVTGRTPELTFPTEAALQEKDSSNGPTFVSKESPTIEKEGSVAPKTSPALPIPKP KVGSRISENHISVASPPSNGSVSKSVPEKDGAVDSEQIKKRQNVLTRVIWTFIMIGGF IGLLLLGHTYMILLVMLCQTLVYREVTALFSLKTATPESRDVEPLKGRDPWSKTLNWY FFAVTNYFLYGESIIYYFKHVVFADANLLPFATNHRMISFTLYIIGFMGFVMSLKKGY LKQQFGLFCWVHMSLLLIVVSSHFIVNNILEGLIWFWVPASLVICNDCFAYIWGVTVG RTPLIKLSPKKTVEGFVGAFISTMIFSVFWGAYFMRFNYMICPVHDLGVSAWNSTQCT PNPVFVWKTWKLWQPLSSFLNSVTGHTVNTISYAPYQFHLLVLAGFASLVAPFGGFFA SGFKRAFNIKDFGHSIPGHGGMTDRMDCQFLMGIFTYVYYSSLIRVHHVTVGGILQTI VSGLTVDQQLELINDLSRYLEGQGIVVS JR316_0002326 MAPAATIEYASNAPQPLQYPRLSKHHLLPSSDTPDYIRLILTSK VYEILKETPLVLATNLSAKLGNQIWLKREDMQEVFSFKIRGAYNFMANLSEEERWKGV ITCSAGNHAQGVALSGSRLGIPCTIVMPQGTPDIKVRNVARLGAKVVLHGADFDEAKA ECARLASVHGLIFVPPYDDPLVIAGQGTVGMEVLKQLPESEQLSAIFAAVGGGGLVAG ISEYVKRIGNPNTKVIGVETVDGDAMARSLEKGDRVTLHEVGPFSDGTAVKIVGEEPF RICKQNLDSVVKADNDEICAAIKDIFEETRSVTEPAGALALAGLKHYIIENNLIGSQK KFVVVVSGANMNFDRLRFVAERAELGEGREALLSVDIPERPGSFIALHSIIHPRAVTE FIYRYNVSGDRAHVLLSFKLQSTSRQTEVRQILWSLNEADMKGFDISDDEMAKSHVRY MIGGCQKVPNERVFRFAFPERPGALRKFLLGIQKGWNISLFHYRNHGGDLGKVLAGIQ VPDNESADFLAFLEKLDYAYVEETGNSVYKRFLQGLNLTLKNSVQGVGTPLLQSYSTT EVTVLLDHLPVPSFMPNSPMISDIEASTKATGSNGHESDSLMNGNHLHKDENHSMSDD DDLPLSQTTRTDATSLPPRNLKRKKPVYAESSSDDDIPLASSPAKPTKANGNVKPKKE PSNSDDNSSNLGNAPTKRRASNGRAKPARKKVKEESDASDKDNDAAPVPKARSTTRKR KPKVESDSEAETVTSKKAPTKSRRKKIKGEEDKSESETPKPKKARKTKKEEDDKSPVK TKGKKKQEKEEEPEEVFRWWDADPNGDGTIKWTTLEHNGVIFPPPYEPLPVNVKMRYN GQPVHLSVEAEEVAGFYAALLETDHARDATFNKNFFEDWKTVMKKHPPLDGTQITQFE LCDFRPMYEHFEAEKAKKKAMTAEEKKAAKKARDEMEAKYTTCLLDGRKEKVGNFRVE PPGLFRGRGDHPKKGALKFRVRPEDITLNIGQNAPIPVPNMPGQWKAISHDNTVTWLA NWTENINGNHKYVFLAAGSSLKGQSDMQKFEKARELKNHVERIRQNYEVDLKSKVMAD RQRATAMYFIDKLALRAGNEKGEDEADTVGCCSLRCEHVTLESPNFIIFDFLGKDSIR YYNRVPVEAQVFKNIRIFKENKNDDDNLFDRVTTSSLNKHLTNEMKGLTAKVFRTFNA SITFQRLLDAEDLKNATLQEKLNAYNSANREVAILCNHQRSAPKTHDQSMEKMRYKHR TFKYERMKLRHALFKLDPKYKKKPKYKDDESDLDDDWIAEHENNLKAKEIEKAEKKFA KENEKLVEEGAKPQKDSVLKGRIADIEEEFKQLAKERGTGKAAMKRERSAEKIEDAID KLTDKIKSFKLQIDDRDAGKEVALGTSKINYLDPRITAAWCQIHDVPIEKIFSKTLVT KFPWAMEVEKEWKF JR316_0002327 MAQASSMGPPLSPRETRRSGRRSAPSASASASKSPDSDQPPRDK VASSRGSQSSATIRNTRKLKLEEWEDAIDVPYPPSAGASASGSNNGSNKAKRKAKDKD KSKTFTSNSAEDVETASVDGQAQDLPEEEEEQGITRCVCGSAEDDPDAGEFMVQCETC KVWQHGLCMGYQSEDQVHDDDYYCELCRPELHVELLKKLANKRPRQTSAASRQDPSAN SRVSRSHSPSHLLKQQSKRRNTMNSRDAAFDESLKEIIEATAAEAAAAEPTSTNDTNV IPSESSEASKRKRKRTEDDTIMKKRTRSASTTSEVQSETAPPPDLAVTDKAVSTKNSG NKNKRGGARKPVTVDSASAEADDAIPTGKRPTNNPRSRNLATAKRPPLSHSISHGSGA LAHEHGTRRNQIGTGGASTGISAADARAYRNSHAYAVSQQTLFTSWNLPDYLSHLEPM LPTHIPQPLEVRSGTSGVTPGSRGQSAELTMERGVKVKWPAKRMSVGDMNKRVRALVE WVGREQANALDRGRRREALEASLREQQNNSNNMVEEGEDILMLSDPPGSTGAPQTAKI AVEPMLMSESALEMSAQTMKMMEELMEELIGFQERFGPGAKSRDRERRIAT JR316_0002328 MSASNLRDSWSRSNPYELQVSDILSDGDTSSEVDDIGPGGDYSA RMAELFEGVDPESIAHKGEDDDDEDEEFLYEGVDSSAVGGYDEQLREVLGEDSDEEGE TGTMRTGPIGISSPPFSQDENARPTLGNDHSPTESLNGNLTPELGEPSSAGLNVSDFK LARPFLHPTVSRLRSYTPQLTNLRSNDSSATSHSHLYEGVSPSPSHFSSLSRISSVSN ARSVSSTNDKDAEINTVHKTRRVFKWTDLHIITRTMFSKASQKASHVLGAPLLGFPTT LAANGLVCIGTTEGKVVVHDFSQSLICVCESKTLGSALGPVTAIALSHDQTFVASGHA SGYIQLYNLKQPHNPVRSVPPTTLIAVSSGRKEGHIQGSRIVSVGFIAGRHTALVSAD EHGLSFFHSLGKILFVEASDILRILGRYPESIVPASSATQSKLQNMTTSVPTFFPRED SQGRRKSRHTVLAMSPLPLGSVPYSTDSHYVVALLTPTKLVVVGLKPTPRTWFKCPRE PEEGGQWKSKSKWVGTLAWYPSILRPGYAGEEAPNNMADSSTAPMLVYSWGSSMHLIK VYERQIKQTVNNSKTGKNNEIEIGAITYEQTRKWNAHEDILALQWLNHNQVVVITHNN LGIYDIKLSRLVEQAAFNSLTLVSPRTHGDDDPGQESHIVSHSIRVYKGKIFVLKKDR LMVGTLLTWADLILSLVENGDFLQAIDLARSYYTGEAPGNRNNLPDDDAERKVVVGEK LRSLMDASAQYAFSEDRMTDNTHSTPDNRGVDRTSVFEGLVSVCCRASVALGDFEYLF EDLFQKYDDSGIASIYLRQLEPFILGNQIRYVPPRITQRLVALHQQDGRPEYVERIIW HMDPSCLDLNQAIHLCQRFHLYDALIFIYTSAMRDYVAPVVELLGLIRKVQQFRKLKS DLLIKTGSVLDANSSMESTIINAYKIYPYLSNVLSGLTYPSEEPLNGEDAYKAKRDIY NFVFFGRSSVWPPGDGGMLVLTSNEEGGIEPTYPYARQLLLFDSESFLHSLDIAFEDA YLNDESQTINRSIIVRIILEIVASGQLPQEDITMVNIFIARNVPKYPQFLHITSSSLH SVLIGLAADLDPKTREDRQLAAEYLLSAYNPHDSERIAALFERAGFFRILRTWHYHEK RWTKLLSTYIDDPDISSRELIGQIATVITDASKAQKGHISDDLVVIVSKSLPRLLRVD IPGTARMIDTFIPDLHQKALESFGGQANADHSRYEYLRSVLFDQSNEEDVGDVRLRRS SEHLPTGLHQTFFDLECQFHPTEVIPSLQALSLDNLHLDEILETCEIHQVYDAVIWAT NWQGRPQKALSKAETFQTRIMHTLLTDLKGNADSAYIDQELSSLQAIANVCKDICMEH SQYSSSDVPLEDMWFELLNSEIRCVQTISSSAHLLLSKCSTNEPSDHLHGNVEKIMTS LRTLVQATFSSLVSITSTSAVSFPRLFKRLVNATPSSSGAHYTEFRMILLGMLESYRS DEDLLLMLKHLIDRDLFDTIERVTRERACGWAAVQAICQFCRQPFGQMQGSGSMVIAS KPITISRTGIIFHEGCKPTPDVVHI JR316_0002329 MSTNSDTDLSFRDPFRLDRLADELATRESTHPAPLRLSGEINST LELPEHVPLSHNDQFLSAKDFNVEQFLLSRAHISLPDLRTELRQYLAKLKEELVKLIN DDYEAFISLSTDLRDEGARLERLKYPLESLKGSALESKTALQAIQNDIHDKLTKRARL REEKALLHLLLKISESVLRLESLLLISSPDQISKESMEMNNAKFLIFPSHSEDHSDEK LRGNRAKHLGRVSAEYTQLLYYVRKAQDEECAFTREIQWRIDRIHATLSSDLDQLFAH TLALITNSKSDYKVSDLEKNKWMADLTECLKTYDALGLWRDAEDVIRREVVRPFVKKT IYPGALAESHSPIVPRTPFHSSGASAPPMTSTLSTHQIPYTPFTAFVPRTNLYYSMDA STEMPQAQLLENTDDPLTRLLNRILRFVERDISRIMVTSEKVSMKSGSSRSTLRTSLL SETSRCIDNDEFQIMANVIWAEIAQSIMSDLGTVVFAAGRANEFHKHYETTQAFIRSL EHLAPSIEAVRAMRQHPLYLAFERRWQLPVYFQLRWKEIVGALEDTFAAETVVQTTRK GGSYIMPQGSAAWMSMTACWSSEIYIPELSHRFWRLTLQGTVSQNQTRGTHPLEPVTD ATASDDVFLQRCSAAIIDIKTMETNVGILWRQTISVIIPDASVEESLEMESALQESIS QLTSIIPSTCKDIVTILTKRCCEGLLHVRSIPSQFRAMSNKRMPAEPSYFMGTVLRPI KHYFAIGVADGPGSPLKDSFLKEISSEIFDNVTQKYIGYLMAMKKTEEGLKKLKKGKK STFSLFGNAPNLNDEAKDEERIRTQMILDVEAFGKDGESLSVEVGTNKHFLALKEMVY ASDI JR316_0002330 MKTCPSDNTADVDQSSFWSSGSLHWDSHRIGWAIAGACTVATLV ISVLSVLSHCRSYTNPKHQRQIIRILYMPPVYAVISFLSYRFFRDYTYYSFVEVVYEA VTLSAFLLLLIEFVADKSSVQNNVMVGKEKKNLLFPFCFWRYRPTKPYFMHTIKWSVL QYVIVRPAISVIGMICQAKGVLCESEGFNSHFANVYLEVVDLASISVALYGLLLFYAL TKDDLVGKKPLAKFLCIKLIVMFTWYQSFVFSALQNRVIHGTNFWTSTNIANGLNALA ICIEMIFFALFMWWAYPSREYKQSLGTRATSIWQPLWDSVNYSDFAREIIFSLMYFIH LTKHTASYSIPAKRPSFNSAFLLDGHKSPSIERPSISGPALEDRSLANLPDADHV JR316_0002331 MTSSERAAFVVAALIETILFVASIFGLIGAIIKKQLFTQIYAYI VYVHLFLNLVAAAYLTFEVSRTTSNAKNLACQVAIKDPQAQDQCTGFLNFAAWVYALI AITIIFVELYGAVIVTRYLNQLKREKRSARAMRMDTESAFQLKNRGTTGHLYTRLPDP APEHELPPLQTYRLESSDVEFNPYIETSIPSNYQQGIAPSLAEAEYGSWNRGQITQEA KESAREVKDPNSLVSLSTQEQNFTTQKKQ JR316_0002332 MTSTVPWDRIRTCKVAIRGPPELNVSSPVMNKRFLAQRLHIVHS LQALLFQLQTLSFIISPSIWVYFLRVVIQAHCSRPKEIFFNAPLPYLYWLMLVTNAPI FWSHLRRGPSEGRTIVLDFVGMETAPPAYLPSKLQLFLLDLVIISIQTVLLTVVFETS VYRDSSDLEAPDLLLPEDVPSLSIPLFQSPANNRPSTTPLTVSPKLPSESGTAHGRDL PLILDIRFSFLLNQLSQPNLGLSISPHPDTNKIGLIRNQHPYVINGLGAKSGQNPARK KNQKLC JR316_0002333 MLNLNLLGICIAIVAALIFYFFYWNRFIAYVIGQTIRILSWNQE SPIIRIDIGSIHFSILAGRILLKDVSYHSSNQTVKVVKGQIQWRYWIRRPTLDDDISP SRGENGKEAFPQRSCRIQLTFEGVEWFIYNRTAAYDTILEEIDRTSRPTSRSSSHYRL FRRFSRQGDTSILYPPSAFRSSIYVPKSIQQTLKWLRSQLPTLDPKDLLPFGIEIRTG AIVLGNPSTPHLLVSEFQSASGTFGIVPSRSKHDLYKQVLNLKFRKPSIRLVHNDDYV DPMTVVGSLVHGRLKHHSTLRKPYFYQPYRAFVKIWRQMNLYSIMNDYFSTRRIQRAN TLPVRPSTTSPKLKKGTDEDTPIGIDFSTFEYAIERKILEAPILDLTYYVDIVGEVPP QPNIDHRHGSGVYDVHAGDTPPEWGFDIVIYGGLLRYGPWADRQRIELQRIFFPPSYL DLAVTPILKPGEKRVWANLQVFIELRDSTTLHVPFREASKDWQWDGKVEYPQRPRVRE AASIIVDVGDRSSINYIMPMVVGPTGYESTFEVHLDTVSITSSLNDIHILSAESCRVR GELPSPIRWDALRTWSIAVYLRQPVLFLLRDHIDMFTDLARDWVAGPPTEYQKFIPMI YTFKLEMHHFQLNLYANDQNIVDKPLIKDENALLSLTGPHLKNTMTIPSNKFRPESTS IPFYITTPHVSVKMSLPRWNTHARYAPQDGIDLLEADLLSISGSYLYFSSVMAEYVEQ LKIQFDLRGVVFRAYGWSIRYFMVLRDNYLGSFTHFQTLSEYLDKRKKNAPVGDPVAQ KYRPGKANMLQVELFLHVNDSNILLPIPLIGMATHATDGTIPTNGTSRHLNFHVPEFQ LQLRLHDYYMEMSLNLETITGTIYTPPSIPDNALNERIVLIDGIDVTANRLFGPQPRT ATYVCMWEISVGKVKASLTANDANVLASAIHSFRLNFVDVVNAPAHDFMPAVDPDVTF YKVTVKPIELTWKSERAALYVQLPHGLVFDSNDLGAHQYRSVMALRIHQLNASVLLAS STERKNQWLEAASVLFDMDLDIYSSPTGHRGMTRKQLLFIEEQDKPTGRAKFMFNQLR QRIQENDSLDQRLHRGGVFLPQPSLPGAFCDNSTSYPSLQSHTHRTRPPSWRLSTFTN LSDSEEEEAISEVDRDARLARTRTSTPAPETRTDDVTMSSGDESDDADLTDGDSIWSD IEDIDGDGISSMLLFYSSIVKQYSSNWVRSSDSWDGAAFTSTRNSASFLSDFDNNETE TCFRPVFECTHVTLPEDLPKDTGVTAFRVNFNKKINVLFTPLLIPAVACFEEDINNIA LTHGMVIDSLLVTCLSQAKGPAKMGYRILDLNVTSLTVQMLQHIGVSDEATLGGDINH EALTPRNLDITAITKVAIRGARLLGTFGRQIQPNIDVVIDRFIGTVDVSIDKRTLDSS MADPTIVALTISSSVLKISQHSMRQNHGVIDIRLGNRGPEIITATATAMSLSGMHFMR RAKTLQRDQLSNKRAMIGNLLKVTEKHPVIDSLSITQPSYLVQTGIPHVLRTDATFRL LYHLRNTLWSMDEDENSLLHQSTGKVQLQELLTLVQSRLTLLDQDICSVDHLSSISFF LSDEKPNHLEQSTKYSLSVLTLLVGTSTITILAPSGKTSSRLNIDNLAVEVQPKLSQL IQFNFNNPSSASQTSLRSKTSRSVQQAVIVLSVHEITLIVSPHLMYFAQHVLRVTRQF QSSQVNVSTPKYGRGSWEKSYNSSSKLWHIELIGTVHRLRIQAGAENLIVVMGIQGLQ TASTMLTLNKRTIQSMNHSILFNQVFLQARSPANPAKENEQDILASLAFNTARISVIA KPEDTTTNLKLVFALAGLQLHVPRSALRLYHFVGEWRADYLPGMEAAVKTLLSEYNSG PRRPYSPPVSRSSSKTFLVQIHGQITHFEISLQVMHGTWLSWEVNKTVAYIDSSPSKR NGGQYAFGLQITSMIFTVSSKPNIREAMSSSRVKLVFPPASLAGYSDGIKVHTLVLFE FLELKVKPSHWDTLLSVQQKFGQDFNDLVALMQQKRQKTPISRKSKPATPKMSFQYEG HIKMQGFRIGLEGVASSVLLECQNIVGEISNTDRWSWGLDLSDLALSLAPRTHGLSDS AFNRDQRSAFVIVDFKFNGSGSGQGNEKKIGLIVSKVHAVMQPSSIGELGDFIDNLQI EMLERQNQRASELATFKEKAQRILKTFDVNIGEVQTVNESWFQDMVIDISIQSVGIAF PLIYDEELTFAHIARKEINPIRAFLFSIQSIHFGIHRGDSGQAIMQHLSFQFISRFRQ SVTEDFSAESHRTRNHLLYPKMTAQLRSTRTGSSTKIWIDADGKERVERLSANTPRTP FPSTPLSSGSKLSVPEKSIKTIPASQISANLVFHSGKVRLYSASASNLFKTKTISVTP PWELTDEQISDLGAEIFELPIVSVWAEYRAMPASQKIRKESDPEPSVLIFNSTVHSSN NILRPILLPFLTELVDHVETRLRKIGLNSPKSSSVLLPDFSSTDFLPRTEEDENSISS LQICFSLRIDKSKLELTCQPDVNVVAGLHWDSGGFVLNMTPGARKVSFFGSVGGLTVG LKHGFLSEDCVKLDARDLAFSVSLQRMDSESGHSISIVLDTEFLGGVRFSRLQDILCF KAVWLDRIPLFNQAPQDAKTPLRTADTELADVSSLQGRMSTIVLVRIRKIKLEVDLGQ SISKIGLELADSVLRMKLTDDINDVFLFIGDVSTTALGNLSGHAQVSSCVFQTTRRSE IGIWDDLGRGKMLELKLTSGPLVIELESDRQKLLHYRAQPLGVEVYDDWSRAHPQGGK TSRPLQLSFTVTCPEIVAAVTVGTIPKLLSHANKFKANLDAQRQGAFRESQTFRATRT PKPDNPLSAVAEAMLQSAKSRFKETDMGLSYIIRQHMSLRLDTLRLIVFPRTMRDVEI AHFIARDVAAQLNGLLSTDMAPGSRDLVLSFSSMIISRYTQVTHPPVALSDSTRERTE PEWPDSLFKDAIEATIVGLPSMKMHMLSEEVIEETGKILTYDFYSQFVRRVGMKAFED IYITLNVSLYSWLTVLRKNLARELDQVRATEDWRTSISNMSTTMISGASARKKKVPDP LSLVDSPRSASLPSAGTAGLSPYAPSSARHGWLDHNRSPQIVNEQYLSASPSPLQSES IMIHFPPVKDIIEDTPASRAQPKRATITYRPRERHIERLTMRQLGEATPDVMHPFFMK KAGFNLEDSLPQYVNEYAVVPLEEIMEVLLKLYSQQLLVGTKRPLFVNR JR316_0002334 MWQQDNANLYASSSNYYPQQLQQEPLQFYSQPQEYYVASRTSLD GQVQGSIAQQPSGFGGNMQPQGGWWTAFGTGGFEGEPPLLEAELGINFQHIRDKSLTV LNPLRRVDEHIMDDADLAGPIFFCFCFGICLLFSGKSNFNYIYGVGLFGSASLYTLLN LMSEQGIDAYRVASVLGYCLLPMVGVGAISVTMALDDTFGYLLSVLSILWCTYAASGI FVAVLRLSDQRLLVAYPVGLLYGCFALLSIFNGRPLEK JR316_0002335 MNEYIEESIKRHGRRLDHFERKRKREAREAHKASAYAQKAFGIK GKLLHAKRHAEKIQMKKTLKAHDERNVKQPDSGTVPDGALPTYLLDREGQKDAKTLSS AIKQKRKDKAAKFAVPLPKVRGIAEDEMFKVLKTGKSKSKAWKRMVTKATFVGEGFTR KPVKMERFIRPMALRYKKANVTHPDLKATFQLQILGVKKNPQSPMYTQLGVMTKGTVI EVNVSELGLVTSGGKVVFGKYAQITNNPENDGCINAVLLV JR316_0002336 MSSAFTGAYNSPYNQNLGSFRFEPTSSYLSSNEQLASSSSAAPA STWHRVVHPAANAIETKSTQKPKIIKHTPEELEHMNETLNRFRNRLLQDQAHVTRPDV DSPFIDEVDIINRLLPYHLFQHPKDDLDVVIDRKGKEKAHNLNWMDEIQETKLALECA KRCEAIRDRWRKLKIRSGQRSSPDDQSYYLAQVILDAERAENAWLGNELRSARTEAER IEREKRANNNMTRMSHFSATPQTPMPAVQAQYYRGYPYAYTQAYGSSATPPTVSTFSV AGPTPSNYTPSQASAAIPVQLPVASLPALHALGIVPVPAASLPPEGHHQTPAVLRGST ANGTILSLEINVSLLQSTQMSGLAMVLNSLVTRNNAANSARSGSPSASNQVDSANLMS K JR316_0002337 MASHQGEVSTYLASLNIPTHEAGSLAPTSSSRKPLNFYIDMPEP DSPVDMDPQQAPDQQGRSAPAPYRANSSPIISHTEASTPTERTHLETPNIARSYGTLP TRRRGLSKSSTPRRNLSQLPTIPPLQRTRTSSFSHLPFTTSPFRDLSFARLSTRPISS YDEPLHTKDGIVSDADAKVNGIRVWYTSFTSIDWLHDAIKDSARFSKLRRRQSIRSRL RLAFDKSLGWIIVTIVGFLTAIVAFLVVRSEQLLFDMKEGYCLTGWWKARRFCCPTID NGELGGTFFGASCLPWKTWSEVLSPRGGADKDSLVEYASYACIAITLAATSCLLTIYL TNSTTFVTRKESGSGSRSDNAKGAKSSAEPKRKVMFYAAGSGIPEIKTILSGFVIHGY LGGRTLFTKSVGLALSVASGLSLGKEGPFVHIASCVGNIVSRITTKYENNEAKRREIL SASCAAGVAVAFGAPIGGTLFSLEEVSYFFPPKVMWRSFFCAMIAAITLKILDPFGTG KLVLFQVTYDKDWHAWELFPFVILGVIGGIYGAYFSKLNYHWSKNVRNKTFLASHPIV EVLLITLVTSVLCFLNPYTRMGGTELIYNLFAECRTGSRNTHSGLCIIDPGSFTQVWP VARSILVAMLVKAGLTVVTFGIKLPAGIFIPTLGVGACAGRVMGIAMQWIQTKHPNSV MFNACGGDMDCIIPGLYAMVGAAATLSGVTRTTVSLAVIMFELTDTLTYAVPVMLSVL VAKTVADALEPKGIYDLVIELNQLPYLDSKHEYLWGNLQINDVMYRDADVIRVDKKNT VSSLKAQVMSLTSSGSDDGGFPLVRKDINDDGYRMVGYIGLNELEHALALVSDDSQDE VQFHTAYSHPMASSSISSLQDSGVGTASSSLDPFDFTPYMDQAPLTLVNNSPMELVHQ VFVKLGARYVIVTDTDGLYEGVIDKKTWLAFLTNLEEKS JR316_0002338 MANAGLGVPIKLLHESLGHIITVELKTGQLYRGKLAEAEDNLNI SLKDITVTGRDGRVSQLDQVYIRGSSNELDQML JR316_0002339 MFSRPLLKSRSVLAAAIARRTVSINRPAPLPLPQDDQREYELLL RNAQTPLAPSNDLAVHPDARTPITPEFEGDVNPTTGELGGPKREPVNRWAADPGGDWS FKGRVSDF JR316_0002340 MFQIKTLNDLHNPSENEIQLCDRLLTPDFFPNSVWVMGLRAYFT QAVVQFEKILAIDPHRIDDIDIYSNILYVTGNNLKLSKLAHEFLALDKDRPEVCCLIG NHYSLRIEHEKAVKYFRRATQLDRTYLSAWTLMGHEYVEMKNSHAAIEAYRRAVDVNR KDYRAWYGLGQAYELLSMHHYALHYYQRATALRPYDVRLWQAQGMCYEEIGRLREAVE CYKRALIPADPHEITINLKLAKIHRTLEEHSEAVAYHRRVVEVCQADSRPVQDFSKSI LEVAEYEMQIPNDDGDLILAKDYLEVVATSNAEDVVRATDLLKRVKAMIEKKKKLG JR316_0002341 MTIHSLYIYDRHCVCVFYQDWHRTKRPKPAVEGGILPAVSQAVS PSQQNPNDGNLTLSSSFSSPRNTLSSTTGIVVAMNDGNQLPNAPQMQLSTGSTVSSSS GLPFDEEAKLVYGVVISLRNMIRKLSGRDEQFVNYRTSAYKLHLFETITGFKFVMLSD PKTDSLRFVLRQIYVGPFLEYVVRNPLVKMDSREQGIDNEYFRASVDRLIRGLSVFL JR316_0002342 MSSNASSQPHRSVPPAQSRDASGPSRFFPNPNPSPVHILAPNSS PLSNGESYSRAYSPANGVQHSPIPSISNTNYANAWPSVRRNFTVDPLTASSGFTSSSN SNHAPPRRQWGSDELPNHEEGPPRKRINRGPSEDPSTSLEFPPSPEFQRVGERRHFST ISVDSMSVSSEESLPTSDTRFNMFLMTHPGENKSKVHAAWVQAGCDNRRADAFLRDPA WSPVLPVSTTMDKDALGRVKEIDEASKAQRAAVKEKGRKSMIYANRPGLESKAPATPP PSKSIIDLTTPSYSTPTSPLTPVVRAPRRQRAKKLVIHSDDESGYEEPASDERDDMTS EARLEYAYEARALEYLNTSQSDALQELTGCTPEQANVIIELRPFSSAEDIRNKLGQGK KKAGPTGISPRMFEDCTTMLKGYGSVDNVLESCEKIGSTLRTTISSWIPDKKSNVDES LDDGALSLLSIKPLKKGNAQNHLTTQPRLIAQGVSLKEYQLLGVNWLHLLYRSNLSCI LADEMGLGKTIQVISFLAYLKEQGKKGPHLIVVPSSTLENWCREFAKFAPSVAIQTYY ADKNDRPALRETLINTQRCRSKTSEGWEVLITTYNLAQGDDRDRKFFRKIEWDCCVYD EGHVLKNFQSQRYQSLMKFGSNWRLLLTGTPLQNNLQELVTIMFQSLLNFILPDQFAG SIDSLRAIFKVKGDSKVTLLSQERISRAKKMMTPFVLRRRKDQVLKDLPKKTERIEWC EMTELQRSIYRDALQRSRKTVVEAQLDESSESSSSKKSRVGHRPKDKLYAENSSNVLM DLRKAASHPMLFRTRFTDDTLSRIAKQLLKEPDFKKRGALYDLVKEDMSVMTDSELQV FCATYKSTQKYLQDQSCYIDAGKVQVLLKLLQTYRHDGRKVLIFSQFTQILDILQAVL RNHHVKFLVLTGSTPVDVRQTLVDEFTEDDSIPVFLLSTKAGGMGINLTAASVVIMFD QDFNPHNDRQAQDRAYRIGQKRDVEVVKLISKGTIEEDMLRLGETKLALDEAVAGDGD ETDGSAPEREMKVSLLKTLRKQYEMEGKPTL JR316_0002343 MPQPNSLVRLGRHGRNSTGGCRSLGALARIPSPLVRSNHLSSLQ VKFILFYLEAVFCIIKMSEASTTPDALTLLLASAITLAIFVPLTGVLVRFRANYNPKG LQLDSEGGAAPHTGPVVHSYIGMMSRVYRLEGWAGLYKGLVPTALSTFAVSLVILFAM DTQGPRHGKYRAPETGILGTLFYSLLMLVISLPTSIITYRSITTPHKLSYFNAVGALR VLLTPTERRRPWIIYLTPGLMAAESLHVCIIVLFLGPMRRMLLPGLSERGVILGNISL VRLVIYLIIFCGTVLALTPLEVIATRLAIQRNHASAEYNSVSQEVDGDAEDTVEYSGT DEDVIGLRHEGDPYLGLVDCAKRIIDEEGWMTLYRAWWITMLGGLGSSFV JR316_0002344 MSAENAPRKKRIASDTTPVPDGDHRKRRRNRTTQSCLNCHTSKR MCDRKRPACARCTQLGLTGLCVYEVDDPSQRLDAQDESSRLIKRVAELEGVIRELKNK PHPRWVQSSQPGGSDESSSSNAPSPPISSPSSDRGDSSPRQNSSLNPTTPPKTSLYPL SIQSSANYDTRRKSPYLLSPLESTPSPGLMTPTDEYPFSSAGIAGQGGNQDYDLTTMF LNYPGLIGLNDGGFSPVHEARPHKHHSCSCLHDPTSYNTMLELSVRLRKASDVLSQSS CHHNGTYCHLHQRISELDSFTINALSDITHTPRGMPPESQSQGYHPSQMYSQRMPSNM RGSSLNVRPWDMMSSNASSPAPLDDSFMSWEPPRRS JR316_0002345 MASHLTILLPNVYHNPAHDPVCKLTPKELQEGFDAVYEDLYCEL AKFGHLLELHVCDNVGDHLIGNVYARYEWETEAQAAVDSCNERWYAGRPLYAELSPVT DFREACCRQNENGECNRGGFCNFMHLRLASKDLVSSLRAGQRLERRLNPAKTEGGGGG WEPSKREGGRGRSASPSRRGGNPGEDRWTRK JR316_0002346 MIFLVIILVLFLYRAFSILPNKSRKPKRLSVGKNSCSIAVFLGS GGHTNEALLLISALDVDRYGPRTYIVSSGDDLSVQKAIKFESQISEGNKSNYYMLSIP RARRVHQSLLTVPASTAYSFIYCVYCLAFRPLFDPNTPGYPDVLLLNGPGTCFVLCLA VYVNKFLGLHAPLIIYIETFARVKSLSLSAKLIRPLADRSVGFVLKAI JR316_0002347 MDDLDTIAAQLGEDLINKILANESIDEISTALQLGAPIWYQNAA EGISPLHAAAYARNHDLVKLLINEGAVWNAVDYLKNTAGDIALSFNDETIYKEIRDAG IRSELLLGLLEKKSSSDSFRLILCAEDTTASGSSDAFLNSRLKYTVDENGQDICVLNV EGQDIGVMMGWERNIMNETVHRLCDQHPNATNLKILNIGFGLGIVDNFFQSLPHPPAE HFIIEAHPDVLNFMRERGWYDRKGVRILEGRWQDFVESPELLNSGGFDVIYTDTFSED YNALRQFFEHLPDLLADGESSFSFFNGLGATNAFFYDIYTRISELHLADVGLDVNWSD VDVGFDDREGRWGETREYFSLPIYRLPVGKMKSM JR316_0002348 MSSTSASTSTAPTHRHALTAVEKQRSQLEKLLKDPAKPVYIPPP PKEKTIRPAREMMKNVQGSSAGAGSGEFHVYKASRRREYERLKLLEEASNKEIEDAEF ERRRRETEELANAKTVKNRAKRQKRKERAKTKGTRKDESEAPAQETSDLPIKKRRIVN GEEMVFRKPTEASDDSDDEGQLLREPKNIETLSQHAPEPASIAIEPSRITILEDD JR316_0002349 MDKHLPLYRPGTTRPTALKSLLVAILVVTATVFSVGIAFHWRQN TASHVPLNAAQIIQQCQALYVLPGPPSDFHERTQSDRYVVGTPPTLIRNATIWTGGDS GHEVIMGDILIDKGIIKQVGTFQQSVLNTYKDLLVVVDAAGAWVTPGIIDVHSHLGVD SAPALRGSDDTNSLKGLVLPWLRSLDGLNTHDDAYQLTVSGGVTTANILPGSADAIGA QSFYICHWLYNKPILSLGGQAFTIKLRPTSERSSSAMVLEPPHSLNGTHVDQSRPRWR QMKHACGENPSRVYSNTRMDTIWAFREAYNTARKMKEKQDQYCSKALSGQWKNLGEFP DDLQWEALVDVLRGRVKVHNHCYEAVDLDGMVRLTNEFKFSIAAFHHAHETYLVPDLL KKAYGPTPAIALFATNARYKREAYRGSEFAPRILAENGLRVVMKSDHPVMNSRHLLHD AQQAHYYGLPHNLALSSVISTPANVLGYDHRIGYMKQDVVIWDSHPLSLGAAPKQVYI DGVAQLKKPHVSKKPSDSQKIPKTPNFDQEAKETLEYDGLPPLEPIQSKSDTIVFTNI DSVFTRQGQKIIHAFSSARKGEAGVVVVKSGEIICIGASLDCTHAHTDSDADVFDLEG GSISPALISFGSRLGLNHIDAEPSTNDGSVHDPLKSDWPSLLGEGSIIRAVDGLQFST RDALLAYRSGVTVGVTAPSSSGFLDGLGTAFNTGSSHRLATGAVVQDFTALHISIDST KPSVSTQIAALRRLLNGGGEGELKDLFADVVKGNLPLVIQVQSADTMASLINLKFETE KIHGHSIHITFVGANEAHLLAKEIGNACVGVVLRPSRPFPATWKSKRILPGPPLTRDS SIMALVEHNVTVAVGVEEQWASRNLRFDVAWSALEADGRLSPQDAIALASTNLESLLG VRVPNLDLVAVKGGSLLDFKGKVVGLISPGRGLVDLVG JR316_0002350 MSATVPPVVIPASANNIIVNTSQRGNPVLECVRNVGKEFGDIVA DYQVGRTTGVLFLRQDPLFEEAGHYLTTYKQYEFKSPELIKERAEKDYRSILRSALIS ISKVNKTDVETLRTSFGSFGNISKATSGQLHNLPGFGQVKVKNIKNAFEKPFRNQATG SLISLSSQAGPQSHTGSGMNGSQARREGSPVWDIELDLDNGSDELENMAPIKKHGDKT JR316_0002351 MHLYYSRASKVGFLLLILLYCIIGGLNEQQVRPVSTSYATTEAI ARCLQLSLRPAHPENFHNRTDSDRFDTDTPPVLIQNARMWTGRNNGTEVIKGEILVDK GIIQSIGHLPRSLLENYGKKLLILDAKGAWVTPGLIDVHSHIGVDSIPEFEGTTDTNS FRGIIQPWLRSIDGLNTHDNAYPLSIAGGVTTSLILPGSANAIGGQAFVIKHRETSEK SPSSMILEPPFQMDSSNSNTNLPPRWRHINMATRIKERQDKYCTRVFSNDVENLGEFP DALEWEALVDVLRGRVKVHTHCYEAVDVDSFIRISNEFKFPIAVFHHTSEAYLVPNLI KNGYGHAPAIALFATFARYKREAYRSSVFAPRILAQQGLTVLMKSDHPAMNSRYLMYE AQQAYFYGLPQNLALLSVTSNAAEVLGMGHRIGYLDKDLVIWDSHPLALGATPVQVLI DGVLQLQTPHITHKPSAFQKSPKVPNFDDEARRVVEYEGLPPLSPSRIFHDTTVVFKN VNSVYYVKDRSIGKLQTSASHLGSMTVVTRNGIIVCHGSDIECMGLDLKDTIMEVVDL EGGSLSPALVSFGYPLGLVNIRMEPSTNDGSLFDPLLGIIPKVLGGDTTVIRAFDGLQ FGTRNALLAYRSGVSIGVTAPLGEGFLVGLATAFSLGALHKLEDGAIIQEVTSVHVSI GHSFPQSVSTQIAVLRKLLLGDIEGDAKSWFGKVSSVTILCFTQGFASNFYNQGKIPL VVEADSADVIATLLVLKKEVEVETGNTVTLTIYGGAEAHLLAKELANQNVGVILNRSR PFPRNWDQRRIIPGPPISKNNTIVELLANNITVGIGCDNFFGAAQNLPFDLAWAAIES GGLLTEQEALAIGSTNVLNLLGVEYDQTTFDLVATRGGNHLGMNRKVVAMVSPRQKVI MVKNTKKPAVNHGKSITSFFARTPKTASQEAASSSSGTTSSSSSLSKQVKTSAINSDV TMGSGVVPLSSTKSPAPEASAFSPSSLQQAEIRSPSENSFVSPLKRLREPDRKPEIKI LATRQRSESLKSDDPRHRKFDSDSDGETNNITVFVTATHITQNRKKVRLSPPEKESLA VIPSSQSEEELVLKETAIPAAKAILDNHKQSYFHISDPEKQIGVPMDIDNSTKDAPLP ADNDFPNEEPTVQRQLPSVEQMLVPPISDLPSLPSTPQILDPDAKTALIIAEIKAKAY AETAPSPEPVSITFKEELDNTSSEDDDLVSSLLRKTMKQKPNPNEVSIQPTRRSTRHT VRNKSDSSPSKRPLQKTKDQQKATPKKLESNPIKEMLKERRAAEKRGGGSDLFRKADL IAKGINSDSQEYGDMDVEDARIDWDTAAETARNMTWFTEGVSPQHPDLIGSEQISEDD EQSAIAGKVKRKGQIIVDILEAGKVMQQEKEYSHFREGISIWESSHSTVDTEEDHILV EELLRYYQSMEEGTGINLLKLCLQQHALRSGVLAESAAHSLERFWGTTCNKSDSTIQF AQVLETLHHLRMDSCLLTSQGWDAPVSRPLSIVNITQRDNVIRRLLLLVGACARSKRI KVTEIPNMSLALLLLGMDLEASPDLIEDITRTVNLVCNCLREEDQDIVNVLCEKILHC IESYTPINKARVISMLSAGSDITHHIASVVSYNVIISNNNDPVLSTRPPLSDIIDELV EYSGKNGKPAGKFAINNKTNYDDVGFYTFILGVAVSDIRGYVADDLESKSKAASLSPL ESPVKFGERQGSDLQMLIDSLENLHNKISDTRAAHLERSRAKTNIKGLSMTIFYQQRF WQRNGAGKGKTLSEYFKKK JR316_0002352 MAPRQNDQMDVDSDSDISIDLEHHNKSKGKGKTKVGDKRKQDKG KGKAKDTQAYTWEASFTRSWETVQEDEAGTLQTTVEDLLARGRRRRLLAPAAAIRRTI IRHLILILDLSSAMMDRDMRPTRFDLMLQYAREFITEWFDQNPLGQIGVVGMRAGLGE RVGEMSGNPQEVLKSIADRHKLEPAGEPSLQNAIEMARGSMNHLPTHSSREILLVFGS LTTCDPGNIHDTLDECIKNKVRISIVAIAAEMKICRDLCDKTGGQFGVAMNEGHFKDL LFELVPPPAQRAISRAAGAGATNPAADLMMMGFPTRLPDASPPSLCVCHSEMKSQGFL CPRCMSKVCDVPTDCDICGLMIVSSPHLARSYHHLFPVKPYDAV JR316_0002353 MSSTSVPSPISTSPSAHVSPRHANPIIAFIIGLSIILLASVLNA AGLNLTKLDHVRTSSIPKSARRKDWMRPLWLLGMLLYILSQLIGSTLALEYMRAEYVA PLGSTSLVFNFLFARFLVGTPVTSTDIYGTIVVILGVIGIVAFGSINSGLTNETDVKH ITYLWRRGGWLGYFFTMSAALLLVLIFTHRLDFILASRTDMAAVPFSAARSSQGSGLP PPNTSFKHRRSLLVRFFGAFISIKAAWDAVISWVTDRLEVWVAPKDDTQIAWTLGIGW ACCGGGLAGGCLVFAKATVKLLSGSLSHENPGNQFGHVAPIFTIILLFITAVLQIICL NRGLKVYDSTLVVPVFYGVYTATGWLNSLIFNDEVSAYKSWTLFLISVSILILISGVV LLTHKKPEPVTGKIKSTTLPRRRRRASKGPKTVSRQGGAIEDAGSEHGDREDQILWAV SNESDEEDDFSETVEEDEDVDHHQHPLHQELPTGRITGTGTKHSVAPRGARSEINECT VLVGSESHDSDQLEIQRRDDRNTANRRRSMDPFRDDADDDEHELDEFTSIASRSGIPQ R JR316_0002354 MSVHDHIFVRNAPFSNLPPELLDALNQTYFLHLLVTEPHKVIPP GKSLLSMMTRAKLQVPENAQNQHKHAHIEHRVKEVAHMAFWNEIPRIRKLYLDLHESL VTLFPPNHHVLTSLSLPFPPTSSPLLSTLSFLAELVSALRQRCAPVRDAEVDILLESL SNPPQIITPLDGVAHSSTSSTASLAQFVIEKFKIILKLAENMKSDLNAFVFGTMTEDQ LQGILLTEVKARERDLVLRIWGGKDVVRGKWRTWISDIPSTNPGIPVEHIWIKKLFQA LESDRPIFCTLPSATPSRSADGQPLDNSETDEHPTQQKMNELPPQLFFSAPLFLYVQN CIQAIVISAVLRSLTRLSAPIPSRNTNPTLAQGAPPSEDNDFMMRIWTLLKGEIDEEH GNSHNNSEADQDLGEHTKVSNLAEEVIHARRQFLQRSPTSPELSTAEEQRLRDAVGRT LSYTDPVFQLLRKRLMNALQTQILSLSSSHTPIKTIPIKMQTGHNLLEERAGKRPRLN SPHSALPSTNSYLSREINWTTEARISGFDNVVLQQAIMEVLRKIVDAVGWVEGVWGDI V JR316_0002355 MSGIEGHKGSMMCTPARRRGRHTLEQRRQHIVIIPDIWVASWLN TRQSTYLVVTYSTESGNEVNFEALAAS JR316_0002356 MVLDDSAQESALKHLVNGSVDHLRSILNTVQTPPTLLSKFIEAH KDLIKYRTHTVKVDGQTLTTSAVVAAARHSASVKLDDSKKVKERVDKSRQVVVDKVAT GVSIYGLSTGFGGSADTRTDQPLMLGHALLQHQHMGITPVSGNPPVVLPLQDPSTSTT MPESWVRAAILIRMNSLIRGHSGVRWELIEKMNELLTADVIPVIPLRGSISASGAGTL VGNASIQVYDGPRAFGPRQKVPSCEGLKNHSIEPIALASKEHLGLVNGTAVSAGVAAL AIDDAMHLALLAQVCTAMGTEALNGTRGSYASFIHSIARPHPGQVECAKNIYDLLHGS KLATLHEEEVGLNEDRYSLRQDRYPLRTSPQFLGPQIEDILSALTAVTQECNSTTDNP LVESETGIIHHGGNFQAMAITNAMEKTRLALHHIGKLLFSQSTELCNPAMNNGLPPSL AATDPSLNYHGKGIDIASASYVAELGYLAAPVSTHIQSAEMHNQAVNSLALISARATI TSLDVLSMLIASYLYLLCQALDLRTLRSELLLGIKAILDEELTSSFGTFVEASKYELL SSQLFASMEQTFDKTTVMDSADQMRAISSSTTPAILGFFNGVDPASCPSLNDITQFQS RIATKARNLHHDLRHEFLTGARGPTPASGQLGKTKGMYEFVRKTLGIKMHGLENFTQF PNAIGTDDVSIGQNISKIYEAIRDGQVKGVVLSMLA JR316_0002357 MSSIRSHSTTPQPPEKGAVDAKDHKYDNSLNGKGQSHEGHSHSI FGHSHSHGEEGHSKDAEQIIAALKGKGDRGSYITLVGLFSNVALTGVKGLAGWYMHSA SLLADAGHSLSDLLGDFVTLFCWKLSRRPPSERYPYGFAKFETLGTTTISLLLIGGAL GIGFHSYHIFITALGETAVALPPGQLQEILQTVSSTATFHPSIGHQHVHSVDPNAAWF ALLGILSKEWLYRITKAVADEEKSPVLLANAIHHRSDAYSSLVAFFAILGTWFFPAFP LDPIGGLIVSVVIFRQGFGLLAGAWGDLTDAGVSPRTKQSLSKVLEPLLDKSSKPSET ALPSLLSIHHLRARHAGSLMFVDLTAEVKGSITVTQATALEEKIEKTLKAARKEITEV RVTFRPSSEKPPV JR316_0002358 MADVAVTDSASPGGMNRKPPSLNTSFALGGHVRRQSTISSGSSD DDEACSPVLSALDSSAALILVHDQDEPTSPLDFDDDEDANNGDDQDIVSPMFEVRKST VFPPLPPSLVFLFLLAPFLRLGALELPNCQIPLKYGLPALLLSALATAFARQIWYMLA RYLRKADMTDIILDTFAKGRGKDMRRALMRGVVRIGSSTITMGVSVTYLRYSIYILLP LLGKKHPVISYFILAPFIGIIIGYLSYARSLGSRRILYATWLSLLTYICWLGCTIYAH CNGLLAKESGWLGSASIHQSLITSAFSFCSSSTLPLYASLKITSNPLSTGKSPRSRSF RVLSFLSIVTAVLLLLPSVILAAFPNQTAVSSHPWQVAPPIENITTVPSILVSTSPVV GNATSIPLLNSIPSLSPPLPPKIVLPRVHIQTVRHVLAAVTLILGTPSIIITIPPLAM PIRSAKFNVSRMSTILLVVFFALIPPSVYSTSETGDSKSYIRSSMFFAPLTVLMLLMS FIGTYFLPALLHGLQHFVKRPLAIVVPPRTPLLQTESAGGDFSSRQSNPRAIYDELLL RKERALQQKQSRKRIIWDIGVWVLLGASGTGVVFTVVAFIKSW JR316_0002359 MSTLDTSVMNSSPLSGFYSWTDTLKGVFYGPGSVKTALPKLLNI LGVKKALVVTGKSLYHKTDVVKRVEDILKEYNAWGGTFYEIGEHSPISGIRNGIKEYR QTGCDCIVAVGGGSPVDASKAILYNIQLEVGGKTPPQIAIPTTLSAAEYSIGAGFTND EGVKVAVSSQDLAPSGIILDAELTLATPERLWLSTGIRALDHTVENLYRPLVSHPVKI LCYAAMADLVKYLPLSKADPESVDVRQRLQIASWMSLWPMKLEKYSALGLSHALGHKL GARYSIPHGITSCLTLAPTVLLKSELASQEDKQALADSLFYLRVPSTGSLEGDVRGLS AIINELVIELGLKSTLSEYKVPKEDLVSIAGQALGNEEHPDVPRVVKLLEGLY JR316_0002360 MASKRKSWDFTKLESLSTKDSYRTLVSAIVPRPIALVSTQAKDG NVPNLAPFSLSPRRPKDTRNNILATREFTISIISEGFAEAANSTSVESPADTDEWLIS GLTKENSDIVKPPFVRESAVAMECELYSFQDISVPGSEVPTVTVVLGLIKKLHVREAV LCEDGITVDPAKLRPVARLGGTTYGRLLEGFDLPRPSWRELRDEYPKLPRP JR316_0002361 MKGKEEVCDQFGTSGSTCKVSHVFGTFLEPLEPQLAHNIALHQA TLHLPSPTPPYPLVINYIPYPFSSSEGGSLASLVLPVRSIFVPQSSMTDQETHSSRAE QRMLAVARLKRAASLPRMKDGRRPPMHVEAVSEGEKSQNGEERAISDGEAAKTQDEIA SELQELSSTQMQDERGTTPEPDSDHLPQSTNPTVDVELEADTELEERSLSPSTILKKR RTRSRSRSRGSKDFKAKIKATQSIIPQVGGDLPSDENASQAPVIHPIPPPLLSPVPLF PFLKQSAFLRSPAATSPDMSLFYPGTSPPTPLPTLEDLQRGLMRSNSAGSSAAGRRMA MHKLTGGTETYDPSPSPTPPSLMSKLSRNNTVSGGERIAARQNMLSRLGTRITKEADA DGASGAEDRGAPSPTPKRRRRRSRRASATPCSNPIVSDSDFNSTNPNTPALPSTPLPV LPNHFAELRAQSTTPNQQSSSRTESSERIIDATPPPLPSAALAQSGDEPEPERQEHTR RRSVLIEDPDEEERDPPDQRFAASPLPGTPQRTFQSIEALRIQHSSDTPSNGSSDSGP PSGVGVPIFLSQRAPSRNEMFPSSPFMTPLKEKSLNDEDEEQVLYPASTVRPRTPYAT ISDSYDREISWIASPVPEIRMPIDDDDEEDEDIEQEVNVQVEAEEDEGPLSSTSSNGF SPDVYENDDRTSSSSKSVLVESETSPDNVYIPASPSSVGVALHAPAEEAGSPQFFPTR LSVASQRSPGHADYSEWEERFTSNDSAKRIEPASATAWEKVKSTFSRAGSSTGRRSRT NSIARERRDHTDSSISRESGASLISAKTDKGDNGGFTNQPHAPPLMQSPSASASILSL APHVPPRSSVSPIPPPSSADMSKYQNAKLFPFPGMLRLEEERRQKGQLPSSASTPDVT TPNAYEEAQTSYSYSTTPIQSPDLNRERKLSPRNSDNLTARYAADSTNPASPQYPEYL DVSPSPQQNGSGTGYNLKLPTTLPGVKQWLSKNSKKKPPTPSAALPGSGSFSALPVIE TPSLQIASKKPSISDIFGKKSSEVNDWEESANVPSIPGNEINAGSLSVHGPTNGIALN GSTSISPTLEVNSSSKSRNLVPLDLAAGRGSPYKFPHQPGSAPRVATPDPSSLSDYPP PTASESSSTSSSQYSLKVPQGLVVLERLEENLARGSRNTLWSSALDDPPRKLILSSPV LQVVNPSTVKDRFLFLFNDILVIAKPVTYDQDNLMDTYKLSLPDRKYTVKSVVQLRNL RFCADRSEARGKPPSFDRNPLIRSFITQFAKDPEHAITTLFTKSNAPDDPVLLAQLLF RTLELDRSRLGEYLGRKTSKPVLKSYLDSFGFAGLRIDVALRVFLLSMNVSGHSNSYS ALEHLLESFGSRWYEANAKFVAYDKDMAVRLVWALVQLNDRLHGGIVDEPGPTDHIRR NVTSKEFLDAFRRHDMRFLVTDEFLLDLYKSIYHEHLCQACPTDGWQDTPITIKRPLP TRLTYKMQSEPIVFRLPQADPLLSIELYGQDIEFDPPVLNFTKSSEASFRVTGRSLGS KTIILCRSGPNAVKYSGLPLSHTIVVERAFMRNTFQLAFSQSGVKRKYMFSVDDPIIR NEWATSLRRHIESSINASLTPGGPISTGYPSFNKAADAVAFKVLQETLIGSGAPTNSH RPNGSLSTQNSGVNSHLNMSTSSYISPLQTRSKSRSQIYHRHGAGKNELDLDQRSPSR SYESNDYSPGSSDQANTPEQRLDSVLWTARDLEIHCQQNSSISLVLSLLQVGVPSESP PVQ JR316_0002362 MLNVHQSPSAKPDERHHRQQRSAKHTKLTSDTTTADVPWQQMTQ TYTWVMEEEFIKINHKRTCKTEDWVRQQQYFFSVKEDQCDKTRTRPRKHRRGDCEEVA YIFGVDAEDWKRQEERVRRLMHEREKARARIQEELRRIETRFQQKRDADRRAREEAQR RATEKQAKEKRDRARLDRLIVEAWATYEKEWAALAASSEPLTFSRVPWPLILPPRDTN DITRDAIVALLFSPLHSQHQTRKDRIRSAQLRWHPDRFRRFLGRVAEQERATVEEGVG VVARCLNEMMEKEKKMKAR JR316_0002363 MFSRFARLPLRRCLHTSQRPATAPVSLGKAARSVLCASAVATAY LAWHMSREANELALDSPLTSRSTEKHPASNATRPVHAPLVPAPSASSSDSDAFVPDEP SDTADTTLTPSDPQGEIPASDGDVPPTGGQPAASGAFNPETGEINWDCPCLGGMAHGP CGPEFREAFSCFVFSEAEPKGINCVEKFQNMQNCFRAHPEVYADEIMDDDDEPADVDS RDHSDVSKNQEESPSELATTSKPHIDATPAASVTTDSS JR316_0002364 MSKPDFKIILKQEEDRLRLLHPTPADVPGCISVFDDYLSCGAIR SQVKAVYRFGKRSSCEQKFQDFKFCLSLKTMHPEEQREAWIRRRAEWWAHRRQAKSSE DVWDIRERPLENFPKHITEELMRESQPRPLQFFDFRTPPERAIETQLDGKIERVAFIN LLLQHQIQRMPPRGAKRQRDSSPVPATSSPRQRTPTRRNSQSSLPPSSPPAPFSDTDD SVDDRDAVQDVDEDEDAEGEDLFGETLEADYAPNEVLDRYSDAGIDDDENVEELSAAA RRAAEAKMARRDRAERDGKKGQRAARRSRAPAFLGSDADMEDEGIDELGLASMKMRTR KQYDERRDADDLDGVDDEIPLEQLSDIKAKSIVEWIAHDRVRRSIARHFRHFLMTYVD EHGASVYGQRIRSLGETNAESLEISYLHLASSKPILAYFLINSPTAMLEIFDEIALDA IVVHYPAYKRIHSEVHVRVADLPLGSTLRDLRRANLNNLVRVSGVVTRRTGVFPQLKY VKFDCRKCGAVLGPFFQDASREVRISYCANCESKGPFTVNSEQTVYRNYQKMTLQESP GSVPAGRLPRHREVILLWDLIDSAKPGEEVEVTGVYRNNFDASLNSKNGFPVFSTIIE ANHINKKEDLFAAFRLTEEDEKEIRNLAKDERIRKRIIKSIAPSIYGHEDIKTAIALS LFGGVPKDINHKHRIRGDINVLLLGDPGTAKSQFLKYVEKTAHRSVFATGQGASAVGL TASVRKDPITQEWTLEGGALVLADKGTCLIDEFDKMNDADRTSIHEAMEQQSISISKA GIVTSLQARCAIIAAANPIRGRYNPTIPFAQNVELTEPILSRFDVLCVVKDTVDPVMD ELLARFVVGSHLRSHPKFDKETEEIDVGTTLDADIIPQDVLRKYIMYAKEKIRPKLFD LDQEKLARLFADLRRESMATGSYPITVRHLESMIRMAEASAKMALREYVRSDDIDLAI EVAVGSFVSAQKLSIKKTLQRGFRKYLTQSKDHEELLSFLLGNLVKEKARFYQLQRHE QPDNVVVKISELEEKAKEHEIYDVSPFLRSKLFKTNGYILRDKAIEKHFRVD JR316_0002365 MSLPRMVGPLTKLPNHLAITPGVDVNGVWVAAANHLVTGDLKTF STIANVSSVRLPGYWIHKNGTSIKVASPPLPGEKVIYQLHGGAYVRLSAHPTDPTANI SKGYVKHIDSVNRVFSIEYRLASSKPYTVAFPFPTQLLDALAGYNYLVNVVGFSPENI ILSGDSAGGNLAYALTRYLTEYQNSTDVKLPAAPSALILLSPWLDLGTTTQSIPNGSA TRFVSSDYIAPESGADYAKISFCGPHGLGAAEINPYISPASLHPNLVVDFKKFPRTFI VAGGAEVLYDSINIFKDRMIKDLGEGNGVEPGEGKVRYFEAPDGVHDYLVFSWHEPER TDTLNEINRWVAASQ JR316_0002366 MAYDTPSTMKPEEVAAFMVRAGVKKHQDRFAAVFLKAFMAGAML SFGGLLSEVLQGGAGGLTSSNPGIVKVLGGFVFPVGLVMIVLQGQELLTSNMMVFPMA LAKRAIPWWSLPVNWIIVFFGNLAGSLFFAAILVHYSGIVSVDPYATFIKTFAIHKAH DPQWHQIFLRGIGCNWLVSVAVWQAAGAKDTISKVFAIWIPIWIFVACGFDHVVANMF SVPLGIMFGAPLTVNQYIKKSLIASLIGNIIGALFVALPAVYSYLGDDQLAAAENGEM NDRSSASSHGAEKDRKE JR316_0002367 MSDTPKLRSSIDRPDLRKISNPSPVHSKIQHSPSLPNIFFPSYS HTGLDAKGMSAIAQSFRCRPSAPPSPRMDSADDSVDKTVRPRHVKRRTERDQPHSLLT PPLTPSSSIRTTTSDSTSYDARQVLCSDDTHYDEEIFDLDEESTRVLLLGNVNRHLFA EDLKLAIVDIIHSAIAEKKVGEDVIKERAAHPFPPDEFLKGINERHLASKGIIPVVFF DVRMAKLAKELLTVTDCDRLSQCIDKVVDKNGHRLGLSGRFVTLEELTESMGYSTFLD SVDGQFTLVVQKDKVDVAFHENTTQALEKDLTAESSASANLREGINVRTIQNVLKSIG SVRSFKFIRQHEDKDGHISFFYTVEYHDSRVASIACKELSNQTVLGMKFSTLRKNSSP NPDQQSNSNTLLRTTPFSNTPSAGESFGDLNFGSAGSKSNIRQRFNFPPANGNLSKER EVQDIIYQNPNPVPGRESSSPQYFYNTQFVNTTSHPAYIPESFAGHPSSLTACYDGAC WYCPSTRPVVNNQSYHPYVVPPTPSPVYYPSIAASPIPTVINSPMSPPPPFFPYEYMD PQIQAMPSVSPWVVDPAIPGVAPGPAAFMPLVAMHGLSPVPYYMQDEAYLPTPRQYHP ATRRGHSSDVSLRQQHLSNVIERDIEPPVSPPPFAHISESTPEPERTESQFSRSLVSH ESMSSTGANPVSGQTDKHQLNLAKIAEGGDTRTTVMIKNIPNKMSDVDLTSYIAKVCP RRIDFLYLRMDFKNKCNMGYAFVNFISVEDLLHFANERLGQKWNMFSSEKVLQMSYAT YQGKEALIEKFKNSGIMDEREAWRPRIFYSSGKDQGLPEPFPAPTHIGRKERSSFNRR TLFPPGANSHTHQMGLLHNTPRRYMEDTRTLQHRSGMDRNSSHLREGRTTESGGPRHE DRVDKSATSKITPFRRGG JR316_0002368 MPLYEMLCITSHIPTYKHIRELVGQAAMHIMNNGGVVRNINSWG TKTLPQRMKRHGSPQSAGDYWTLHFDSSPRTLRSLNGIMRSDPRVLRWTVLKLADRVE DLSIKGEKVILPHKPTVQDIID JR316_0002369 MLRRPKKLVKLVTFDALHTIITPRLPIHEQYSRVFSPYVGDLDP TKIKQSFRVALKCVQKEHPFYNKGAQNWWQDVIRRTAIGAGADEKALDAHLAEITRKL MKRFSSDEGYKVFEDTLTTISRLREQTDIKTAIISNGDTRLRSVLESLNLTTLFDAII LSEEEGVEKPSPKIFERTLQMVNQNIVEHEGSIRPSQCLHVGDELVSDYQGASNVGWH ALLLRRTGADGEQEHKEHGEDLKGIQTISSLGGVINWIQTGEV JR316_0002370 MRAFTWIWLALGSLLANVDALSISGDPCAAIAGKKWVLPKEARA CLSSFPLDPLIKANVLEVVSKTLAFHTSTNYQIQAPPPFDNDVHEDLVADLERIRAQA YASEFDFHKDIYYSFKRVNDGHCGVYNYCYDSLYVTYLPLPLVLLTAGDGSQNVHIAP EAFRVASAEFKDGIDFWQETLPGHLKGQLASLSGAKVFLIDGKDPFVAVNESANTMGG SQSFGTRQNSFFASYSRGASGWQYSMGNFATKAHPLVDSVELTVQRVNHTTNDTFTIP YRSRFGSASREFVDSVSYRANNCVATNTTNGVDLYSDSISAQAYQDSTPPISFFQQQP SVNPEESKKLPMNVVLDGLSLTDIDLPEHLQPSLPSLNQSYSVAQFYILNDNLTGVLA LGSFSAKNFTLFGASLLEGLLELKAAGVKRLIVDVTNNGGGYICIAHWLHRIIIGPKD STEPQAGLDSAARSGPLAQLIVQRIADGADPKDMLIYSPTQWSNASHHQFPPQENWLK PTNVVINGHNDSFSQRLGQECQPFEWAAPDYALFDPQNILIVSNGKCGSSCSLFSITM AKLEGVRTVVFGGKADVRQQYCGTVGGQSLGFSSIDTDIKSTKLKNHTLAPPDFLTNS VQGITWRLGYGIEDRNAPEEWQSHYANISIPLTFETVNNPVAIWEHIARKHFAEQLSF VLQTNPLDIQ JR316_0002371 MKAVSSSTSNTLKVIFSLTFTMISCTPALSGDSLILRGRPGPQG QPPKERVLHLADLSAPRIGTATREDEPWAFESREFLRQLAVGKEITFTSIHSLPTNDD IPRDLGNAEIGGVDLTTELLKSGWAKLKEIKREATEDDIKKKELEAEAKASGKGIWNP HGQKARTVHHAMPTDSQAFVTEWKGKQLDALVEQVRDGTTLRVRLFMPDGDHQMVNIA LAGVRSARASSKQGEPSEPWGEEAKFFTESRLLHRPVKVQILSLPTSTATPFQTSATA AAPPPASIFIGTVIHPAGNVAEHLVASGLARVVDWHAGMLAIGGGMERLRAAERSAKE RRLCLYANSPAVTSAFKGSNGATSNGHSRTFDATVVRIWSGDQLSVLEKDTNIERRLQ LSSTRGPKLADARQAFYAQEAREFLRKKLIGKNVKVHIDFIRPREGEFEERECATIRY GGHGANIAEQLVEKGLASVVRHKRDDEDRSSDYDKLMAAEQIAVTEQRGIHSGKEVPA PKQPLNISETSGRATQFLNGFKRLGRIPAVVDYVAAGSRFNRPFAKGYSGIRAPRTAR NSSEKGEPYGAEAYDFASRRYMQRDVEFEVDTIDKSGGFIGSLYVNKTENVALTLVKE GLATIHAFSAESLPWARQLFEAEEEAKQARRNIWSTYDEESSKAAEAAAAAENESGPL KTEYLDVIVSDVRTKNGFQFSVQVLNTQGIAALEKLMREFSIHHRGAVASPPGFSPKG GDLVSAKFSDGAWYRAKIRRASPIKKEAEVTFIDYGNQDTVAFSDIRPLDAQFRSLPG QAQDARLSFVKLAGPESDYHVEAVERFRTICEGRKLVANIDYKEGPLLHLRLIDPSNP AVAEDPLACINADLVAEGLASIDKRGCKYFSSYPQVLKKLQLSVAEAKRDRAGMFEFG DVEEDE JR316_0002372 MSPGVPHSSNESRLTIGPKTMKDLLEHFPVSRGARSDPQLVWTF NDDQVSLRSMESAVDSRGRGQLSTEISITTEEFDTYDLYEEPTTISFHLREFTATIAF ADSMSFPMEVRFTEPAAPLFIDVEGDMLEALFVISTSNVPAGVTTAQHHSQRYNARKR ERAQSTSETPRFKRPMKAAQPIGPGNDYNKSSSSSRENSHMDGSMPPPSIIPNRGSYN LQPHSTSRTAGSSSVYDKLREVKKEPLFLPSSQMSEANLDVLQSTGLGLENMDAEELT ELLEGEGEEVDFSHISQQPPGESQHAGGIGEGGFDHSMQVDQDDSFELEGLPATQERE NAHKTFQPLFDD JR316_0002373 MSGNSSLDPYTEQAQNNDVTTQEKIAGLKEIIKSTETAMLTSRS SDGSFHSRAMSPVHPHSETDLTLTFFANSVSHKFEEIEHDSHVNVSFLNPTTTSWASF SGRATVTQDPAEIKKYWSTATSAWFGDLKDGIHKGDSNDPRVALIQVVPDEIRYWYAT KGKVGRAIEIGVGAMTGKTSTPGELRTITSNEIKLTQGLHTK JR316_0002374 MSHSDHTRDPCPWVILNDFGGAFSMGAVGGGIWYGIKGARNSPR GERFVGAISSMKARAPVTGGNFGVWGGMFSTFDCAIKGWRQKEDAWNAIISGFMTGGC LALRSGPRSALGSAIACGILLGVFEGVGVLMSRVFSEGQRPQMAPLPGAISPQPTSSS PQ JR316_0002375 MNPTPKPHQSVQRLNKAYVQVPDSPVSLANYRAIGTSPHPVPSS SLKENTPLSPFQLAMSQQHTLSFTSTKRKLADRDASSFVFDGVHISSSKKSRLSTSTA SMAPLNSKESKPVLPTTNTCPEFPNGFVYCHQCNKKRDVSATLRCTVVEKYQTTKDKA IKERLCVNKYCKQCLKNRYNKDIEILRSQSQTPRKTMSSHFNNIPKPKHKELKTTSKS AKKPKADIASGKFKDIPKQKPKLKPLPTLKWTPVPVKLTQDQADERIFIREFILRFSE SLDPVIAKSHIDELEHIGGFLRAPEEDDTVGWVNDMCVKAILVSLFGLLAKDYDNETA RLIKVAIKDLRASGVNLNKLWAILATLRANIADASSVEGSATSAAPSDTGIPLNFPDP SPPPVSGMANSRSLRSLRQSGDSVNIVNSIQMIPVITSLIHFALGTSLIREDIEQSLK DSKDFVRDAKEATRIENERWEKVRSTLESLPKDKAQKEENRMRRSTHKDQITNIDNCL KIVSKSFVPRFTTLGTDDEGRVYYTLSPGATEREAAFEYLEVASSDKPMKPKKRGRVL SKEHQRELRQWSWFVAVWGKRPLLSPAEKAVSVKEVDHDDSDNEEEENIDEERWWGFY EPEEINKIAEYISIKSGLDDDADAPVNQPASLLNSKSTQSNKEKTSLPLSPRQEQLKR LVSELRDYASLLEWRLREDKCTLVNRFLGPDGSAKGKTKSSTTAISVEQFYH JR316_0002376 MNSLYTSGVRQTNSLQADMERLRNGDTSSALIGQISASLSAMQR TIDDYDSMTKREIIKAKQEKAQMRVQKFRADYADLRSQFERLRGEAAAAQQEANRAEL ISASSASASLSSASDSRRRFQNTVPTNSTLHPGLRPQHEIVSESPFRGSTPQPGMGGR EFRALDEHSFIQSTDTKLDEFLAQGREVLDNLRDQRNILKGTQRRLLDTANTLGLSRD VIGWIEKRSTQDTYIFLGGAVFTFFCFYLIWRYLG JR316_0002377 MASLLERMNIPTNSLGPVRSKQSNRGASAPYNRANRAPRGDVDS QWSHDLFESHNSLSARLNVTPTAPKANLNPIAQKAIRDATTGSRTDSLSIKGAGSMGN VVEVTGLVGGTTAEDVAAIFKRCGQITDQKSMSNNRNDPRIRITFKTAASATSAVQKF NNQPADGKILSVKIVGASATGTSLGGRLGGSDGLDIVRDEGSVDVLMNTGEDTGSKMR SDSLLNSDPRAQVLLAPPGADPADYTQTPETRGGGRRGGRGRGGARSGRGRKRGGNNG GRWLFLFAVLYAAALLFGMVFFIIMYSDLESDYINPIDFCNKLNQFVIPEYAAHAFLA LLFLLTGQWASLLWNVPLLAYNINKVVNKAHMYDATEIFRTLPQHQKEAYFKTAFYLL SFFFYLYKMIVSFIAEGDA JR316_0002378 MGGAGWLFLFAVLMAAGLLFCMVFFIIMFSDLECDYINPIDLCN KLNQFVLPEIGAHTSLATLFLLSGQWIAFLLNAPLVVYNVHKVQTRGHMYDATEIFRS LSRHKQETFIKLGFYLLSFFYYLYRMIVALIAESE JR316_0002379 MSLYLCVDCGGSKTAVVICNPVGTIVGRATGGPSNIAYLTPQSF NKTVKAAVIAALQIALPDSPDVSLPVVGKSPFHAAWFGVSGADSPAAIARIAAPLSEL LGLPLGPKLVIANDTHLLAAPIRMYSDVTHAVAVIAGTGSITVSFTEVDGKIEELGRV GGWGWILGDEGGGYDVGRQALRQILLANDKSSITGVPLPKSMLIDRVLEIFGATTVLE ILTGVYLPDPPPGQTNPTGETNEHLEREKRISTLSPIVFEAAFKHHDPLAMDVVKATA SHLVAQIALLLGDGVESSARTVKASESVISFGGSLVGVEAYRQLILTDLAQRGHVFKR SIVIDDAAAIGGTALAAAFTD JR316_0002380 MYPDFLSDRAGSAFSWESSKFTLENGLGHSDSVYVDEKLVLSKV FANSMRPSNIRPYFYRAKGSFEKDDITITTLITSNRFKVFARLVEKYRGPISVTVHVK DVAEHVEAVLESLRDMYISSQTMMTFVDVHLVVDAFDRQFNTWRNIARLFARTDFVMM LDIDFYPCTDFRSVIRRSSTISDKLYAGRAALVVPAFEYVDFHEGTNYAAFPTKKMDL LHLVNNHRIDMFHASWAPGHNSTDYNHFYSAPSGEVYKVTRYQSAYEPYVIFKKDGPP WCDERFVGYGGNKAACLFEMYLAGVSFYVLADHFIVHQNHLYEENARKNERRRNRKLY ADFKEESCLRYLKSYHDLNMLRSDLAENALAECRKLKSVVRAVPKYFQSHTEGVIP JR316_0002381 MSSGRKGYIDLAARMDALFCNSTPQLALLLLLSSLLLKQPINYI TFVYTPLLLTSQSMAPRVLICSTIVWAHEDVERLLGDIAEVVYVDSPDRKSFLAEFGP GGKYHNTVGIYRENESSKKLGVFDKELIDGLPSSVRWIAHNGAGYDPVDVHACKARGI YLSNTPGAVDDATATTALYLLISTLRQYSVAERSLRELKWKPQGLNKRTHDVTGKTLG ILGLGGIGTRLAVLAHAFPMRVIYHSRHKSPNAPEFCEYFENVEEMLAQTDVLSVHVP LRQETIGLVGEKWIRALKPGAIIINTARGKVIDEEAMIRALEDGHLAAAGLDVFPNEP EVNPRLLEFPQVTLLPHMGTENQDTQRQMEVRALTNLRDFLNTGMGKDLVIEFKAPQG ETKVKQKL JR316_0002382 MPANQSPMSDTTEVQSGISATIFELQEAFTALSSCTTEFANFHP KSDSTQREIEACSRRILEHDREMKGLLDKTKSELVDDIKRAAEASVRAKIVNLVRQEV MRQVKEQVDEQIKEHLPESLQQQADEGKRQVEEMKISLRNS JR316_0002383 MSIQSQPPNTRGGLSRRDSTGRTSPESIHGLANSSNMFAAGIGE ALQAFLATAKAPNARPITVEFGSRVMAVGRQRMLAMNGRNALLYMKSKFGLLNSTTAL YLQATFEGDEERFVEVDLDSWEELVVYMQRLRIIT JR316_0002384 MPATLDMPNQVSSLAQVQRAYQRLTHSELVLFLKVRNLPTSGTD LELAARLSNHDLHTYHFPSAVLDRASTSSPTLTTKHRQSMTPDLPVELLANIMDHVGD WELAKAVGVPTSLSQPSEWSRATRTDRAVITGHLAYIRNAQPSAENPLTKIGATLAIR FGYVNVLEYFLSQHHKMFLDVFDDDLIPIKASRHGRLNVLSWWKHGFEQHPDLIPPPK RGSVAEAVDRASRNGHVVSLDWWLSWGHPFEYTEAALEYASSKNQIAVLDWWKKQHKE KGLPLKIGRAMDMASTAGHVEVLEWWAGSQLDPKYDRHALQHASCHGKVEVLQWWLGS GLPLIFDQEALTGATRHNRPEVLEWWDKSGLPINYRMCDIEEALEESIIPGDDAREWW RKKGVDFNANDKEWMKLQSLN JR316_0002385 MVFPFARLPLELALEVLYLAASTGWSANDRSQSRIYHTATSLCL VSSNFRQIAMRHLLHTVILNSHKNVVLFLRSLRQQNSYASKRSRLSIDYPRLIRHLWI SECLPPLMDSPEDDFIDYRSFYHIISKVDTLGFNFDALHLLYEALGDEHKAYLRRWDC RRVTLGINPPRWNGLVSTSSGAAFLREITHLTLWYPTYDGVSRFPCGQVPQWIASVPF KHMPNLTHFAFTLVSTSTSPSTSVLVYKLPTSSSSLKKGATQFLEWASSSDPFAFGFV FHLDINEPTTGSIPKEDWELAYYKGENDIWSAASS JR316_0002386 MPRDIDRKALRDLLSDDSDSDVGIYEYPEITQHRRDDYDISPGH RIGDFANSRRHSHHQTVGEPSSGLDFSRSLFGRTVEEASLDFLDQVRPQRRRRHDGTP SLTSDDTRTGSSSSRFDSPGPQPHRRRSPSRQSWRHNNQVSDSTPHIRVYPDDANVTL PSIRELDQNINGVSTPAPPSPPGYNSRREYGQRKLSLTPRVAVPEPSSWHSGRHHGED DQTVNSPIPRFVSPEPHVRPRYPTRDTNLRTNYPSSHSATQDNIYNDRHPSREFEQRT ENLNHRFSADLHSVSRYADTQRSTRRHYANTTNDLSDSSPSLFPNRYPDQRTEYSNAR NVSPDQHSSFSNNNKRTEQRRKHQLDDNRVVSPKPLIATLYNNYPYAPDSPLPLSTPR ALDNWPEQINDDSISPKSPLGSFFPDLLEQEGDLDNPCGLIPPDSPSSPKAVNSQPER RNNYSLTRVLSSDPSSPLQHVGVESDHIADLPPTRLATPIPVLVRTSVPPTGTLALPT PTPLHSDAGVNQSRPQFTTPASTLVPVISNREFEHRTKSFPLPVPRPILSPVNSNRES DQRTRCSPFTPDSCVVDRNGHSESGAPCPSVSPAVGLQSSTTSINSNRVPGQQRYLPA PRVVSPEISSFPQHSQERSPNSIASLSNNLNIRDDSQTDPAENNNTLTWDFSSVDLNT APTVEALSATLPQQSSNKRGPSPTVFERLKASKVPKAPKQPKAPKPGKTPRSGLPFAE EDEAVELTGDVNAVVKTLPTPPPATVPKSKQSKMVKKAPPTASFMCEYCASAFTRNHD KNRHIKSIHQEQTLSAIKSRTCPCCNEVLSREDAFRRHIIKMPDSCIRLAELRSMPLT PDQKSRLQKMHADGIPPKFPFDELYYMYRRG JR316_0002387 MAFDLSSLEPKIHQILSAPGTDLTTISAKRVRRQLLEIDPYVTA EFLKEHKEEIDSIIGAVFEQVSGNQGTGAEAETHSDSAEPTQDEKPPTSRKRKQQNDE DDALDEDSEDTKVKRSPPQKKSKKPSKNGRELSDAELARKLSSEINGRATRTGGKARS SSSVAKKGSRAKKSAATVDSDDDSEDGARKKQRKKAAASGTSTGAKGGFGKEFALSEP LAALVQVDKLSRPQVVKQLWVYIKGNELQNPDNKREIICDGNLRAIFGVDKIDMFKMN KVLGQHLHEIE JR316_0002388 MESKNKLCARKATWLAFGLIALAASISSAQDTAQPNSILEAIHT VQDITTTAEQSTPSEAARAYKQALSTLTSLKAHPSQHTFDPALASGNGNSKSIFSSFL PNLQGQGPIGSLVRILMKLHNSVNRVTNVLSPEGLGLGSKKKDEERRRKAIKVIDLLE LSAELGNTDALFTLGQVSLFPPTQHFIMNPQLAYRAFSSHAAQTGNATSQSYISFFHA TGFKDVVPVDQGKAQLYTTFAANGGDKGAQMTLGYRYWSGIGTSESCDSALSWYGSAA EQAMAKFMSGPPGGRTLPQTATRLSDLNGGIFGPGASVASTGINAQRPAVKAGVARAS GETWEDVLEYYLFNAERGEIDFAYRLGKIFYQGSIYATPGGIASGSEGVGAIPRNYRL ARRYFLLIARQLWPHDPPSTTQIKDDKRPVNHATLAAGYLGRMYLRGEGVAVDYSMAK AWFERGAEHGDRECHNGLGIIYRDGLGVKPDTKKSVAHFNIAAGQELAEAQVNIGKYH YNRGELTLATTYFETAIRYGSPFEAYYYLGEIHSAQASNTALPSHLSSSSCAMAVSFY KLVAERGVWEDDLLRDAEIAWMSGTDQGKELAMLKWQIAAERGYEIAQNNLAYVLDQD KSILRMTRFAPTTFSKDAARLALTNWIRAAGQRNIDALVKVADYYYHGLGVPEDESDQ SRLEKAAKYYQSASDTQLSALAMWNLGWMYENGVGVPQDFHLAKRHYDLALETNSEAY LPVILSLGKLYVRSIWHTLMGGQGGLSLWGSDEEITKPAQGKQIEDGTLAPGGEEAVD DEYQEEEDGPWYFGKAKEEFQRRSGQITDSRRPRREEEDPIQWARERRDADRERDSEL GPEDYFDGMRAGNRDDAELDEFGETMVLVILCLVISILLYIRTRIVERMRRDQREQQA GDQQGPANGPDGGLFPPPGDPARNDWAILR JR316_0002389 MPEDSALVVGVLSQLLGSSEVTSIEILPGGLNDWETIDLPPDTP RPSSRFPFLFVEGNLGIPQKVLYALYLATASISWRSASVDNAIKASSVIIILNPAHQT ALNARKSLITQGHLDPEKELVLLELIARGSPECAKQSVIWDHRRWCLSQIFGLMGACQ TTQPLQFWGSSEEMQLYPKIGPTAVQRELALVQHTCETYPRNYHSWTYWHFIIDVCYA SVCSTDNSARQQEFLGIIVAECKRLRHWVEQHVSDYSAMHQLSQTHNLLDHLKTRGML TSDIDGVFTSSILIDHALSLLISYPSHESLWMYLRIALLNETSTNHSVILDKLERQIP PSNLKRQFFKWLSTTIPLPEAYTRPKECNEY JR316_0002390 MDHNAGPLVWIDCEMTGLDYKKDKIIEIAVLITNGNLDIVDEGL QYVIKTDKSYLDG JR316_0002391 MDLPPRFTIDDAEKGTSDQGYPVAVTLPMVYSKPSLSATETLSS LPAPHALPTPSEKHPSLPPPFKRNLVSSSPAKRLKKRASRWIRFQLWFNTYRKFFTFV MAMNLSGIALAIFNVWSYPRKYTGAFVLGNLLTAILMRNELFGRFLYLTVNTLFAKWT PLPFRLACTSVLQHLGGIHSGCATSGFLWLIFRVVTILIHHKNNHDAVLKYSPQRVRK ASPLHGLVTWIFVVLGDSYDLETHSWNPDGFHIVRQQDFWFAFGMTVFVIIPWFTIRE VKVDVEIPSPKVAIIRFERGMQQGLLARISRGSIMEYHAFGIISEGIHSKEHYLICGV QGDFTRSLVENPPTHLWTRQLKFAGVSNTSTLYKKGIRVCTGTGLGAALSTCLQNPNW YLIWIGSDQEKTFGPTISRLISKNLEPERVTLWDSKQRGGRPDTMKLIKEVYDSWGAE VVFITSNLQGNTEMMEGCKEAGIPAFGTLWDF JR316_0002392 MSLLRLPRSYGARSLLRPCIRAFHATRAPAIAFSKPIRAVAAPA VGSYVPKDGEHVINSPSELARKISAKVLPKIPRPDVRRVVVVGSGGLSIGQAGEFDYS GSQALKALHEEGVEAILINPNIATWQTSHQLASEVYFLPITADYVAYVLEKERPDGIL LTFGGQSALNVGIALDKMGVLERLGVKVLGTPIRTLEVSEDRELFVEALKEIGVSVAQ STAVSTVNAALDAAAVIGYPVILRSAFTLGGLGSGFANNPDELRDLSAKSLSLSPQVL IERSMKGWKELEYEVVRDAADNTIICCNMENFDPLGTHTGDSIVVAPSQTLPDEEYHM LRSVALKVIRHLGVVGECNIQYALNPNSMEYCVIEVNARALASKATGYPLAYTAAKIA LGHTLPELPNAVTKTTTACFEPSLDYIVTKIPKWDLAKFSSQVNREVGSSMKSVGEVM AIGRTFEESLQKAIRQVDPNWTGFEVVITPEDLDRALTKPTDMRLFAIAYAMYRKGYT VDHLHDLTKIDKWYLYKIDNIVQTRHALTAAGTIENIDHELMIRAKKMGFADSQIAAC VGTTEDIVRAHRKSLGITPFVKRIDTLAAEYPAHTNYLYTTYNASEHDVDFEEHGTMV LGSGVYRIGSSVEFDWCAVTCARKLRDMGKRTIMINYNPETVSTDFDEADRLYFEELG YERVMDIYELEQAQGVIVSVGGQLPQNIALRLKNNGVNVLGTDPKQIDTAEDRHKFSS VLDKIGVDQPEWTEATSLEAAKAFANKVSYPVLIRPSYVLSGAAMNVVYEESALEYNL SAAANVSPLHPVVITKFIDGAQEIDIDAVGHQGKLIVHAVSEHVENAGVHSGDATLVL PPYTLPEVDMARLKTIAEKVAAAFEISGPFNMQIIRKESDVPNEESTLKVIECNLRAS RSFPFVSKVLGHNFIDTATAAIVGQGVLAPIDIMKEPRDYTSIKVAQFSWTRLGGADP FLGVEMASTGEVACFGKDVHEAYWASLLSTTGFKPPKLGSGVLIGGDTSKPEMATISK QLVELGFKLYCSSPIVEEFLNDIPYVTAKRIFFPKTDKRKLREVFDEYDIQCVINLAK SRGTDFVDEDYVARRNAVDFGLPLLNNAKTAQLFVESLAKKIPQGGLRGYTEGHIPSE VRSWREFVGKRA JR316_0002393 MVLLKHEKVVIVGAGCFGISTAYHLLQRGYTDVTVLDRSTVLPA PDAASNDLNRVVRSSYDDAFYARLAREAILSWKDRKAWGDTYHESGVVVLGDSGPGKE TYADKSLQNDIAMGATVKVLKDSNAIRSLFPPQVNTGSFENCAGYLNSDGGWANAGQG LAIMIGKVRDLSGKVVPGKSVVKILREEDGLTGVECSDGTVVEASLVIIASGSWTPSL FPELGLESMCLATGQCVAMIQLSDSEADVYRDCPVVVDFSSGFYIFPPNAGNVVKMAF HSAGFTHFDETNGTISTPRTLTSNPTDGLLIPRDSLQDMRTYLGKIYPDLTRKPFSAT RLCWYNDSPDGNWVIGRHPKNQNIIIATGGSGHAYKFLPVIGSLVADVVADELKAELV AKFALDRVISQVDASRSGAAKKLDINTFYSIEDLQPR JR316_0002394 MTVISNSSSETRIAPRRLSTAAEFLSRESVVRIKAEEPNSPISP RSIPPRNFSKFVQRRPQPTALVEEFIQSFKELEAYKNGKPVVVDGQNLTIAAVTAAAR YNSTVSLDESPALKAKVAKSRKVIVNKIDAGLSVYGVSTGFGGSADTRTDQPILLGNA LLQHQQSGVLPSSTKTLNVLPLQDPLGSSSMPEAWVRGAILIRMNSLIRGHSGVRWEL IEKMNELLRENITPLVPLRGTISASGDLTALSYIAGALIGNPSIRVFDGPAAFSGREI VSSSKALEAHDITPIPLASKEHLGILNGTAFSASVASLALNEAIHLTLLSQVCTAMGT EALAGTRGSFDAFINCVARPHPGQIETARNIWNLLDGSTFADTEKKEVTIAEDEGVLR QDRYSLRTAPQFIGPQVEDLIQSLKTLTIECNSTTDNPLIDGEEGVVHNGGNFQAMAV TNAMEKTRLSIHHLGKILFAQCAELMNPAQNRGLPPSLAATDPSLDYHCKGIDIASAA YVAELGYLANPVSTHIQSAEMHNQAVNSLALISARATITSLDILSILISSYLYAICQA LDLRSLQHEFYEGLAKISEEEFSASFGSDIPIEHSMKIKRTLFTVMQDTFNSTSTMDA RERMHKVAASSSTALLDFFTGSSFINENVVTSCLTAIPSFRSRVAERLFTLLDGLRND YLSGARGPAPASPYLNNTRPVYEFVRITLGIRMHGSENYHRFEQGPGVEDVTVGQNVS LIHEAIRDGKMQSVIVNLFESLQ JR316_0002395 MVRLVTCGCEIFVLLSLLFRLEELRPSIVAKMGRAKQTRKFATV KRMLNPNDIRLKENQLKQKKKEEEEKAKAVRRVPQVASSLFFQHNTALVPPYRVLIDT NFINFSLQNKLELISGMMDCLYAKCIPCVTDCVMAELEKLGHRYRVALRVARDPRFER LRCSHTGTYADDCLVQRVTAHKCFIVATCDRELRRRIRQIPGVPLMYIVKKRYAIERL PDQGAPS JR316_0002396 MSNEDFPQEEYKYSWNPSSSMLLQDFLTKFKPSMVQNDGSKPWI WVRGSENPKSSQDYAEAYAEASELLKKVTEKVESIKNDESIPTRSNKKTGAKSKKEVR EQVQAEATEQLKEIAIKHGYVCGKWLIFAAADKVDQIWSAIAKSLVSGPLHATPAYLA KVSTSPQHETPNAQHLICVYLPDVYDKASVTEVMKLLLRNHGVNLSGVKSDLYTHIGI DSKHPSGVPSTDLKNAFFAELNASKSLPKSEAAKATIVNASDCNDENTQSKDEVKPKP KPKPKLKRKMDDPFASEEEEDGTTKIVVGETKSKTKAKPKLKSKAAEDPFATDDEDNK IEQKIKRPAKLGTKRSQSPHGSDEGATNPKKKKVSKSD JR316_0002397 MSAPYQFTPRIPSAPHSTPFSASSHMNSKTSVLRPPVHNPFDKF TQPEFDAWIGGITGALKRALGQDDEELDSNDHSLNKSRNLIRSRPELQHYRDVDDDAL YDETMDDSFAEIKARRAAGKGKARDPREGPGLGKGNSMQPIEIVSSDEEDAEEAAEVE LSVAVLEDSDEDQSPGEQEEGEHYEEPYSGDMGQPSSRYLQSIDQSSRANDVATEEEE YVSEDEEYEEGLDHEEGAEYEEFDDDEEYDNEEESEPPRPAKAVVDEIIEIDSDSDGE VDDEELSEEDQPSSLHKTRKKPLEDEEEEEGEEGSGGYDEYDEEEEQALEREERPGTS QLYLRQQIRHSPDTQYEDDEGDDVVDADAEADEEEDDEIQEIQPPQNDTSFPPKSFTN PDQDRHIELPDRWEGPRTYAEDYYSGGDIRIPTGAKLDAHHLGPLDGETEANIRREAS IDSSMEQRNEDDTSFPPHDIRLERPVDIVDPWESSRIYAQDFYAGGSIHLPRGTHTSA HYMGPMDVDYVEQLKSNTAPKHPSEETQPPSDNTSFPDGNTNEDIPVEIQDPWTGPQT YAEDYYSGGEVRATPGVPVDPALLSGTHGVEDDIDNFLTPGALTPSQGPSEENTEGVY DDEVDVREDRDEGTILPPSTNLFVPPSQSRPIPTDAEVIAVDDSDNEEDHSLSPANQE HAAVGSFYNFRHSPVHEGPHKQGQACEEASHELDELNEDIPMALSNSYDSKEPEASAS DRNFEAETGESQLQPSKIKPDIFHEQSDNNVTLEGHRQLNPRPLGADNVDSKRRSHEL MATESAPRMEMTDSTSKDPPAEVDSIRHKTQVDSETPQEREGAGHTEAETDPSGVDEV ATEGDFGADSDIDSMLRAHEPSTATITDMQRHLATVGNIEGNDEDIRSTPGLEDMGDS STSAIPITSELDFPDTQPLAQFSEIEGESIPVDDQVEIESSHDFIPSLSSRKVFEPLQ TEGTAEMSKESISSVPLSQGNNATPLGADVDADGEVDDGYGLDDIDVQSTMSEGKSIS KEIFIVDEAKTEGRLSVEPTDFGMNIDVVSIASQEDIDGHNDVEANSVGSEGTSPVAE VPTLHVENTTAISTSESGVQSSQLSSIPTLTDPSVPNSLHPNVDFSQHSEATSMTTRT AINDEFASDAKVVTAVDADILNSTNHTIAYPKNISVGGQNIESSIFTISPTLQQEPAT APSVSSDAGAPADEASSPAPSDIVSEAATPSSIPHRAPSVSMKMTLARKATDPILMAD PYPYCLSTPGPSTYHLNEDDGAEVAFQYPPEPETLLEQQINRQLTNIGQDTSLTSLSS AFVTEGSITKRKRTISPEPLQKSGASPRPQKAKGRKGPKPDRKGKGHAIKVVMPEPNN EETIVVKPRQSAKNREPNRAASVVSIQESQISSGASATYKLLQPNSRSSSVSSIVQES RSLINQPSPTATKPNIFYPAPVVNLDTLFHAHGQKKKTLALAALHSSSPRSQPKRPQP SRLPSSSTMPDVQSPTISTPTPTAISPPLPPVNLDVPAVKSETPDTAKTSISVKTETS SAKADSPTKTTTTPLRSNFSTPVTRSHCRYHRISLPREEGGTRINFLVPGCSLNDREL MEEEEIVDHGDATVDDSRNMLKDIENLGFSLDLIGIIRQLVGLDILREQEVFYLPHPG EVVTWKKPLLNKTASEKAAMVRAPGEGSSQAGSPGGYSGPGIIVPLSKRHSVSRSSFS STPSLDSNYLRRSAPSSKADHSDEEEPAAKRTRSSPDESSEVGAPESQSKNRTLKSRR SKRVDLNYEPAEDEPEESDGEIVSSRKRRKPARGVKRSRVSEVLPTGVQDEEREVKKL KSDATNPADTESQSASVDIPTTERS JR316_0002398 MPNMPPDKKPSKTPSSSKDLIPVNGKRELLAKSQPKREDRGPKP TTSKALVLRNGKYGSQGTGELIHLGRMTGREKLDLLADNLVQESKKAFLNPFRLEKCL KIAESQCDAYVDDIAKLRDPDLFRYAIESELKARTEPTRSDTRKTPSHVAKVVATRVH NAYMLASAWKLASDILIQMTSDGLRDSNVKTMLQSNSNMRNQYLALYDIVDELVMMCQ DRFAVLATTTPHYAKYFKLKTTEGADPSETQYVFDWADLRKAADSFLDSIIIELCFPR GAYPKAILYRILHDSIEEAPRETKRFPQELWNAVGDLSNCVELQEILSAPLFGPEGEA WLKSPRQMPDEYEAWIDAQIYSSRASELFSSFKDTIYPLERTRRPEVIDKLWNQIDQN YVSISGEHIDKLWGLEEVINVKPQWSAFAVVPGGSDLDGYSPSSVRGAKKKPLAITAG DESSDDSMPGLQSVSNTSDDDDDDDDDSEYSEDEYNSDGYNTDEEDELRELYKEAMNA AHEADWFDTSNTPAGIDPFLQEDDRKGNPFLKILGSLRGRMFSSSPKLKTTTKTEPRS GPIRGAFRATPSGAPKSIPKAMPPKPAPVPTTPVSPAATPAAASKSQKATVEEVEDEE NIAMAAAAAKKKKKKSKKKKKPATPEIPPSSSPPSAVSVPSPVISSATPSLKKSASVS SNASSVKTSTPSIPSAFMSSTSTLPIGETTAQSAHSYLQSLNIGTEKKLKKRPDHASI FSTSSDIKKPSVFSKLSGKDKEKNKEDEMSAAKRSWFSKLSKKTSVLMHQMLKTDEDK TGGRSPMKWEQFLKLMREMGFEYDPSTAGSSVRFDPPNKADRPITFHKRTAHTFGIWM LHTHIVLIAHPDPTLQPIMLKEFAKKLKRYYGWNEDDLLRI JR316_0002399 MADNIVNTNHTSLMTEDTHSFDAIDDRGGQVHRPTSSSLNALGP VVPESYPADRPFGGHASNPTTPGERLPGAFPQTKEAEHVSSLSRDADYVKEAASSALE TAKDYVYSAGEVVGGYLPKSVAAYLPTNGSPRQGDEASQHHESSARRNETFTESHSYT PSGPEVHEDSSKEKNTLESVGITGTRVIEDRRLVGDTPNPSKSTTQDNNEPIRPQDNS LTLPRFGTTGIDYSISNNRSGAASTVSKQYDGASTDASTRTLAGSRIDTWSHAGTATS STKEADVRNRDGTMKTSSSAADSCQRNHTIQDKEHSDFSNKADRPEFEHHPEEPHLLT VPPVSKSAHKSAAPHVNSPPVTRGREHFAAVEKSTKPRSDSKPFSHQDSLGSTVATPG HDGHAEPSGKGKENVSDVATNANSYAPTSAETGALAGAVTGTDTSSMHPTVNNPPTTD DTGAWAAKVVQGLDTPAAERNVNAQQTVGHLTPTAQVNTGGTDGNTPIISKEIADEHR TDSSVLAKTKGGSATKTKFMDKLRGEIKVLSGKLEKSPEKVQEGRRMMGKPTLKN JR316_0002400 MSHSTIGTSSFLLSKYSRSYPVKRTLGQKAQIPSQAGPETTAEW QHFTNPIIRLILDVKSTADSEIESVKLRILWELNYGIENGANQQDVEDLELLSFSALA SGAPRKNQSEGLPLKAVYRDTVVGIRYLHSREDGVPVPSHAAEFIEMIKPVCPCKLNP MVLPPLPVPSQIPALANRTTTQKNLTSVPSASTLPNRAPSFACPLPALPVAPQAQNVI RYTTPFLNQNQASTQTSSPLALHISSDRMLFQALDARSTDDQYPLQNTPMHDHIPTAI NSSAPQQGIVTPGPQKPNTTKISTAFSLGHLPSSSLPSLPTPSSSNPAINGLLPAQIN IAECRTGSQIFQTVCDQTSLYNMSNSALERFVGEIIHEEGFIQLASSV JR316_0002401 MAYHQPVTLPPEIWNQILSEIPRTQVSDFLGTCSLFHDIIVKFL FASIKVYFIGSETGLEMLNTSHVDWTEEIAMKLMITLANALLFTPNLHTFRWIGNGPA LDNLVGECLPDNLKKLVVQSSLPLESIQHLRNVTSLHLPMPFFFPDDEEAHDRLVYDY SIQEYTQLFLGDILHFVSPNLQSLRITAIQVRDVPIRIFNTLIDLEILSTLGNQEELV GLDIVFHHASNLQSLTLVGLFVPEIFSFLPPHSLASLPLLTSFRFSWEDLSLVLDTVG ESEFQALCRFLHGRSLIRRLYLRLPMMRWMQTSRLLSVIRDLPGIEVLGLHTGRDILV DADIIETLARSLPMKLHALHIAINWGGGNLLPLVDAIGKLPHLTFLHLYGVVVRLPIL LEDLAHEAPGLKMIGLNRALWDIVRVGSEIIKNKYPRWRIKFCVEEDFLCADDAWLFK YN JR316_0002402 MLYEQVHRVTSDKSDKRLLLGELSRTIDPNTITSPSVLAVPTNE DAILTQISILTGKIKSIDLDASSIAESLDHIQDTKIVVSSPRRDHMSDLPGTEDLKGS IWSKVSKMLRIQLASLDFGLIGKQVEDLVERLITVRERLHIDLLSRRKCVHIIARATG YPEIIPGEATS JR316_0002403 MASTIINGTLQENSRTLAPTSVGSQVLLMSVVSVVTILLFNILR PKNKIIYEPKVKYHEGAKPPPRISDSLFGWLPPLIHTKEPELLDKIGLDAVAFLRFLR LLRWLFTAITILTCGILLPINVVYNLRNVKSTKRDILSMLTIRDVSGTFLYAHIVVTY LITFLIIGFVDVHWKAIIHLRHTWFRSPEYLQSFYARTLQVRRVPKKLQSDEGLTAIF QSVKVPYPTTSVHIGRKVGKLPELIEYHNQTVREFEEILVKYLKGGKIKAKRPTIRTG ATCGIGGVKRDAIDFYTAKLQRTEAAIEEYRNQIDTRKAENYGFASMAAVPYAHVVAQ MIAGKHPKGTQITLAPNPKDIIWNNMNKTDVELARNRTIGFAWLVAVCFFNTVPLLII SVLANLDSMRAYVSFLEQWFESSPLSFAFISGVLPPAISGLFGFFLPIIMRWLTKFMG ALTHSKLDRAVVARYFSFLIISQLIIFTLLGVIFQSVTQIIKQIGKSSFKEIIDNLHE LPATIHSTYINQSSYWLTFFPLRGFLAIFDLAQIINLVWLSFKTHVFGRTPRDIREWT QPPEFQYAVYYSNILFMGAVGFVFAPLAPLVPLAAAIVFWMNSWVYKYQLMFVYVSKV ESGGFRYFTPTQEEINLAKVYSERADAKGNKLQKRFGHPALHAELFTPMLHKNMMPLL SEVYQGKIGNDSAKLGEYGGQTMEAQIVAGGIKIAAIDQRDLEYDPALYQRDRGELDW DQRSIATTAAFESSSNLHVANSQYYPHPAQAINGYDKYLAMGPGSTSTHEIELAPMDS MEEPLLSPGSLASHKQGFVSQQSFMSLPPSAAQSQATLREAPLHRPQDRAYSPSPAYM STDNLAHGVSPISPYPNTAGSDTSHQSYFSSQRQSSGNILSGQQIRTPSPGPNVAMQY PPQQLQHGRQSSATVLAGQQPRNGSPTQYQRSQSPGPNQAYPPQQHTRQSSGNMLAGR AASPGPYQAYNQQQQQQHARQISGNVLANGRSTSPGPSQAYSAQLQQHARQTSGNMLA DTRSRSPGPYQSYTPTQQPHSRQMSGNPLGDYQRAPSTSPQQGYSQPPPGLQGSAHAQ YQQQQQQSGQTPNNNNMAGRGAHRTHQ JR316_0002404 MPNKLKLKRTPEEEEQGRLRKERRREKRKRKHDHYSAHGSSSSK KAHIDKDEDGPSRRWASSDEDDSESGPQPTASSSHIPPSQSYSHKPDHDAIKAQIEED MFRDKMFEAMGEDERLDSVEAQFNDFAHVPDRWRTARSKAQSRGDILEDSDFFKLDPR HMDDEEYTEWIRAGMYRKTHAAEYAEHERRKAAQAARRAAEKARKAETVRLEQMAEEE RKRKKKERESRKLDDARELYHSRWLTLLTTPGESDTGQSNVQVLTFDDIPWPIASANR SRADTTRPNRQIGVSDLTTEAVASFLLPNSGANDTPNKELGTRLRKERKDKLRETFLR FHPDKFEGRFIWRFREEDRERVREAIGQVSRVLNELMVNGI JR316_0002405 MSSETKARFAAYKKSLASMSARTGTPLPSLLLSFGILHELTAIV PLVGFFYGARTLGFGERVIAGVTSSQSDSSQLSWAKQKMNIWVEEGDRWAARIGRRYG VFGYDKHAPGVVDDIEEMRHSSGHIAGDVANAVFAYGATKALLPLRIGISVYFSPMFS RNIVEPIRKTVIRTFRRNL JR316_0002406 MYASRRISSRRSAQVYRHLIKSRLVTTTASTENNQLAARPPLRT AYLKAWEPIKNVADVYALLQALERKYGKVIDAHFAKDFEDPMRNQLIAWIIFEDPKSL QRIPPDGADIVVPTANVTCEQHEISLADLEPLSQSLDYEKEYEFPPTSPEQKGKVIGC RVSRAEFDYSTNQKETIFQVTPKGVAFSFLRFGGFHNLTPLDLPRDIAEGQLFANPTL DNVRMRAALQLCSNITGLPNPALAQKERQKSSSAESSPIAALLFGEGASSQASQTNTP SPIPPKPTSTKAATSKPKHVEVTPPPPKEKDAATIAKELADQESLKRQLEVARRLAQS SSTATRRKQPKPQPKVAKPKSPQFFADEFLPDEENAAKQKVGVLDKLWGIFGRK JR316_0002407 MSQAELFLSRDLDGSLDAFISHARPQPDPIATSQEVRDRGSLFV ANIYRATTPEEARARLNHLKHTVHRQRKATHEISAWRCMVLKQGKSGLSGPEDFELVQ GSKDDGESWAGGKVLKVMEDLAVIDAVVIVSRWYGGIMLGPVRFTHIETCATEVCKEF KRSEELQDCITTLRTLDDLLSVQREKLAALNNNESSGTNVPSSPAIHAIPRAAKKSDY SGIDLSKAKRLIHARENAIKGVKALISKKASMS JR316_0002408 MGSTRLYSKGRVLGHKRAKRNSRPNTSLIQIEGVATKEDAQFYL GKRVAFVYKAKREIQGSKIRVIWGRVTRPHGSSGVVKSKFRSNLPPQAFGASVRVMLY PSTI JR316_0002409 MLHLYRQHTPRFARTSLAIHSTKDGILSVPSRRKASGYAKFNWE DPLNLESQLTDEEIAIRKISCLEFYMDGVLKSLTLEFNHDIIPEMGKLGLLGPTIQGY GCAGVSNVAYGLIAREIERVDSGYRSTASVQSSLVMHPINEFGTDAQKEKYLPRLAKG EIVGAFGLTEPNHGSDPAGMETTAEEVDGGFIINGSKTWISNAPVAYGISWGVMGALE DCIERTRAYALERHQFNRPLASFQLVQKKLVDAQTEVAYGLQASLQVGRLKDQGKLAP EMISMVKRNNCGKALQHSRVVLDILGGNACADEYHVGRHVANLQVTNTYEGTNDIHAL ILGKAMTDIPAFAN JR316_0002410 MKRSSITRRSLSPSSNSSTASTPRKRPRSRYDDSLSEEEQTPLK VYVLQEKLASNEVDELFHMIESNGASAAGISKTRKLHLELCNNPVAADVIVTKIRMKK RFERHLQWDLARQKCIVTPEWIYDSVKQGKPVQCGCYAAISELHDETVEHCPDSEEGK DDTRGTLHHQISVYSPRHEEQAVKPTHPRVIENWRSKYACTRASPLVCVNQTLVAELG VLGRSRELEGMGINALSYERAVAIREFIKNGYIEEARRTRLSERYQALSEFATVYGIG PSNARKLYDLGLRNMDDLERYYDVTADSTLVTLAASHVTPNGRKIVTKNKVPDMSIQV SLILRNEFEIPISREEVAEMHRIIMLELDKIQPGCVSTVVGGFRRGKPQSNDVDIVFS YPDLEKGPSIIKGLCTRFTKHLYDHGGSYPYLSSFHAHDALRTTHWDSLEKALTVFVL PNPGDEETKRLHRRLDLIFATPEAYWTAVIGWSGSKMFERDLRLWAKAEKGMKFDSSG INRRHDSKLFIPRSEEEVFNILGLDWIDPTMRNADV JR316_0002411 MSASPHLTESFTNPEGYTFPHGRLSTVLQNPNKTPIVLVACGSF SPVTYLHLRMFEMAKDYVRQNTDFEILGGYLSPVSDMYKKPGLLSARHRVNMCTLAAE DSDTWLMVDPWEAFQSYQRTAIVLDHFDHEINTVLGGVQTASGEKRKVRIMLLAGSDL IGTMSEPGVWSYSDLEHILGRYGCLIVERAGTGMDQATDNLARWRSNIYLISQLIQND VSSTKVRLFLRRGLSVRYLLPNSVVDYIEQNGLYQDETTSNLSANSNPDKGKEREPVA GASKKEVH JR316_0002412 MSTLQGPSGVGHGGNNKSLLLSTGSFVEATPLTEDEIARMEKSL IRRVDWRMLPLLGTLSALSLVDRSNLGLARIVGMDHALHLSVGARYSIVTLIYFIPYI ALQLPSNVFLRRLGAINWLAFLVVSWGLVQLSMGFVPTWGYLALCRVLLGAFEAGFFP AMVYIITTWYTRHEVQTRIAAFYIVGVVVGGFSAIFAYVLSLLRGRLGVSGWAWIFII EGAITVAFGIIAWFFLPGFPDQNTFLNEEETAFILQRVEKDRGDSMPDILTKDKIVLH LLDWTIWAYGIMYMCATLPAYAISFFVTNILRGMGWSITASLLLSAPPYLFAALSILL FAWVSDKYRQRAVLIAIQSVITIIGLVLTAYTKQAGWRYAG JR316_0002413 MAQDLLYDEKNLENSKESSISSKLESANTEDDVAFERKTMRYVD WRILPVLALVYSFALIDRINMGAAQTAGMGVDLKLLVHNRFSIVNCLYFVPYTILQLP GNLVLRYFGVRNWLTFIVLAWGAVQLGMGFVHSWGYLVLCRVLLGIFEASFFPSLLFI ISTWYTRHEVHVRLSIFYLLSVTAGGLSPILAYVFSLLKGRGGLAGWRYIFIIEGAIT MFLAIITWLFIPAFPDQNTFLTEKQTAVVLRRIDEDRGDAIPDPITFQKVRKHLSDWT LWAYGTMFLCAAMPSYAQSYFLPSILKGMGWSQTKALLLSAPPYGPSIISTMIVAYYS DKQKHRCGYIVLGTMVCLTGLCLTAFAKHNGVRYFGTYLINAGNSAAIPTILAYASNN VVSHSKRSVQSAMTVSLGGIGGIMATTVFRTQDAPHYYPGLGASIGSQALLLTLLAAT TLRFLYLNKATREGKRKEPLEGQPGFYYTL JR316_0002414 MPKVPLSLPMSQIIASDAPLLSPTDSQGNWDMQQPLFSPTSDNF SYPYYTLPPSPPNSQGDHLPDSPVPATRMLKMRMTPDSSQDLCLPTHQLFDLTEPARP PTPPSRSPSLCADHSAPSGHISDASSCGAKRSASPAPSATKKRAVGERISSKDFVPPD VSGLSKREARLVKNRAAAFLSRQRKREEFECMEVRVAELEQENARLLALTQSGSSLST PQHTTPDMHLLSEVEQLKAQLAAARERELSLSAQLASTSAIRDVPVKVEATEQFSLAS PPRSTTSSLPSAHKSGASLGLMVLLCALPTLLSMRMQSPAPTSFAIPNPFPASSASAY DYNSFLPNDYDWSKTTTGGSLMDLDSDNRHHKVSTIRKLEFTGTDSPELGSLGDLDIS FDTIPSDDGKIRVRIHPPSSSPSSRSGSPGASSFDAVKSEISQSSLAMWSGAEAESNL QASFSSQFSSLSSYSTSSSDPFLGVPSTDYSMPFSPDGTLRYGHVDGMSAALNYGQLS DPNFGLGSEYSIPDGTGAKRRVRIALKSMPQAGGEGGEWEVQIC JR316_0002415 MTSTNALICFTNCLLPQEDGSLVARDLWIDEASGTILNAQETFY MRRERPDRIIDVGGNILSPGFLDIQINGAYNFDFSVYENDDEKYRQGMKMIAERIVET GVTSYVYLLSTQEKSIYPKLLSLLKPFSTQTSATLLGWHAEGPFIDLTKRGAHAPAYL LPAVDGFKSFEDVYGAENLVDGGEWVKPNNDPAVRLITAAPEIPGVMTALDEFNKRGI AFSIGHSVATTEIATAAVQHGARLITHLFNAMPQLHHRDPSIIGLLGASPHLSSPTPL APQLPCALPESIPSFELPGAQVIESPTEKEESAFQTSSEAFDDSGIITPKREGTILNG HAPSSKQPFKKQSRPSLHLDKGQIADMAFERPYYGLIVDGIHCHPNSVRLAYSSYPEG CILITDAMKILDPNLKDGIHEWRDGKRFLKDGAKLFIEGTTTLAGSVVTLDHCVRNLS RFTGCSLGEAIKCATYNPARCLGIENKKGTLRPGAHADLIILDRQGIVLSTWVKGVKH PIIVTASRVARGASQNESRGAPSHDRSAVTVITRAVARLSVTVLTSQHFNKLKAEPLG QMRSPIPSFRISAKDSEPIAPDLPPELLSYVFQLAQPCQYTHNKVPRIEITVSHVCGY WRAVALTEPRLWNHISIHSNRSLKRIPTYLERSGPTLLLDIDVDVYHYDKTLLAIDAI PKATLFQKAVGAYILQNIHRIRRLSCICYSKQTLINIMRPISKSTAPGIQSLVMKYDI CSDEGNHIQNNRSTPVLNGGAPRLWYLESDMIDTRSNLDSLRNLTTLDLHIVAGTTRH TYDSFVEMLKAPRALQYLSIEGNINMNTWPLHTGGPQFVLKKLTGLRLISLGIVAAKF LLSVSAPQLKSLWLDTNSDNFHFLFDAPQMVVTDGRSKFPALKYLTTLSGSLADCVKF SEIFPTVTHLHLARPFFSAVNTLQKALEDGWASMDTLVFTMFRESRSARDRLYGILHA ILVHRRTHGRPIRRFLLDRDHFQDMMTNFPTISANVEAHVIESTNYDEVWWNKADKQE L JR316_0002416 MNVNPSTNHLPGRVPIWAPHKEPLPPGFQEEDRPIYEQNKRWEG YMTSAMESCPVKTVLAGGAGFGIGAFFSLMSASFAYEDPYLRSQTQAQATLNTTQKAS AIFKEMGKGMWTSGKSFGKIGALFAGIECYRAKNDIYNSVSAGFVSGGILARNSGPKA AVGGGLAFAAFSAAIDLLFLRREPADED JR316_0002417 MHSQTHSHNDKTKRSNSVSIRLTESAVFLRTDGAPVRRGAIPDP RTSLVRGLLILDLVKPTKLTSIEVELSATTANAWPEGIGARRIDVTEEHRVFRATTTY FNAGKSQHPTRRTASIGPGILYSQQYNQNLDFDNSSGDLEDDFEDDWDYLRDQASRAD TSVTRFARSRSRDARRTSTSEFNHRNGRSSSIGLQPSQASPHTSQTTQVDEPSPVPPV AAPVATSSFRASFMDSRTRPNRRMSIDNGQFQRMPLYEAYHQEDEDLQRLAPIPPYSP FPPSPMEHNVFLMDRQNSGQADSPMFSGLRYSQNHGSQTNLSPSIQPNHLEPSLSRHT GLPNPIMEHGELEASTLGQQHPSDNENHDQAPRDILTASSMRISRLPHSPRALPYTSP MLDTRLSASSSMSSSSSSSHPPHTPLTAQDSPTIGSNHERGRKGSRFSFAAVSNIFMD AVRPKLSTSKERGLAGPRESSVDGNSLHHHRRGRTMERECATDLHEDLTEENEGSRGR GSTERGRHVLGRILKDMEHKPRSDGWKEFKKGTYTYPISFTIPANAPPTMQCDYGSVG WKLKASVHRPGAFKSKMTAVREVITVACPTEEDTEDTENIIVERQWEQQLQYLISISG RSFYIGGTVPVTFTFMPLTKMRIHRLSVYIEERVDYYTNMRRIARTDPLTRFTLLSIK GEGKGADPILPLDSDSPDAFRQSPLYSLVSHPSSEVDLSELASNLMGPGPWTFHQDLQ LPKSCNTMHFTNKNRRSNIVVSHMLKVVIRVERGDDVHVDGRTGKRKLFDIVVQTPVL ILSCRCNPEWTSLPRYDAVFDDSQTITPNCPCQVARVKAQAEATSRAMSNVSSVLDRV TSRQSSDSSGASAAETTVVSPSTTFSLMRLNQHDALLRSNDLYERLMSGQETESGEAP PAYDASSTSPHGIPISPAPARTSSANEVAVV JR316_0002418 MSGFVSTGDPLIDALLAAGLIGPFVTYSDVSASTVFLYDYLLTL SMEIDLIWRSPWNVIKIIFIIQRYLPFIDTCFLTIYRQLGKLTIAQCEILPYFNGFMY MFGLALSEILLSIRVWAIWDRNMKLAYFQAVLFVIIWSPAFYAMYAYVSSLRYANPPA NLLDYRGCFIVDAKEYVLWSWVGVLLWNTVTLTFTLIHSFRSYRAGLVSGLAAVIYRD GAYFYVYLFIFSALNIVFTTTLQARI JR316_0002419 MRFALLVAAFVVSACAKTIVITVGGNTTSDPGAVFNPQTVVASA GDVVMFNFTQGNHTATQSTFASPCTAVHFTNSSINGFDTSFRDTHNGTAITQFTLPIT DNTTTIWFFDWNTCPEGGVGGINLNDSSLETLEGITRNAIRLNGTGSSSSSSSASHTG SSTQSATSPTSSANNVDNSSSADRAVVLGLSGVLPALAMLLALSL JR316_0002420 MESELSNLALIIDAMHGVSMVTRAEVAAAALFVWDYFITIGMEV DLVWTSRWNSIKVLFLIQRYLPFIDTCILTLYRDLVPRGHDECTSFQKATGFLYITGF AVSELLLTMRVWAVWNRSKALGYLLPMAFIAVWVPAYVFMFFFANSLQFSKEPPLPGI TGCFVIHASNLVIWCWTSLIIWNTITLILMLIPGWRAYKIGVNSTLSTIVYRDGTFYY IYLFILSVLNIVLAIAAAPTRRFVLTSMERCLHSMLATRVILHMRDHARRPQLEWVGG EFSTGAVEFATGGHISAVPQNIEADPMAFKMKPIH JR316_0002421 MSDDPSYQHLHPSIQAESSTPYSSKEQEKSYGCAFLQMVRSQME DYPTTNGEYLDAIFTHREILYSYPAAHWECARAFTDIAYMLEKRAWRADREADTEAVS AFRHEAWVIASSLAPIPINPVEPTATTMSSGHAETKSTTLDRLNL JR316_0002422 MRRYFFAKKFEGILEAVAAQKATRLVETARKQHRPWPHRFIAFL QGRHISVEDEDQVEEGAKKGDGNAIRKLRPDMIRRMDDAPKLVNPSGWVSEGRAPSIR KGSVSSTRLQLKTISSPSDPNSDSDGDRADSQNRDIVIDFVEPPRRPRRLSDPGHPSR PNSPTSTKMHRFETVADAAPSPSGSPKRFPRTQTVEFAPPPKRPARLGQTIPENSTIR EEPQGASRHFFHTSTMPQSLNTYPTSHSHHSIHSHHTIHPATSLTRDFGGFPSLFSII KQIVKRLFPTLERKLTRTVTIPATVSLTPGLPGSEPGKKQVPYITFEAVVGRNSAFHL LTSEQLDEIGGVEYRALNALLWIVPLYHFGIQLIAFTVIAPYISAHRWDSIFNEQIRP LNRICWCLSKYLHNPAIDSIPVGVRVLAGVMQAAAVRAAGFAIVPLAALAPAVKVLSY CHEVGILFEAGNIAFLLSLISVRSTNVYEEQSLGVFNPLDHDIEDEQDFVASGPRMNV WSRYLAMHARKQLAFVFELVSAYGTVGLSLGIPDQNYSFVGAMHTLSKLIICIVMIRG RHRGLPVAIDRAIMLPSEFKKNKDDDDKNITNVDSSTDSRPFVYSETMNSRVPPDMRQ RTRRGSRKWSVGSGQMGNKNDDEGEGTAHIPDHDSNKRSS JR316_0002423 MSKSSTATAPKNPTPLPAKSAWAKGPPQTATAPSPRSQSPAPST PTHQTHSRRPSTLGQGIPIKDGVSVPRNNVGAVKQGSAVTFGSIDDVSAPISSSPAAA PSLKAEVVKSFGTVPATGHVNGKASISSRASVATPAASSSSASSSSSTATPAAASSAA ALPKPKIDIKKMFQNPTSAPASNPPPDTSSPSQRNVNLPVQQSPLHQSQSVHTPLTPH SFSTFVPRLPQNTGPNGGPPRSPQYPRQLPNGNGPRPQGGQNGGPSTGMPSPRLGPHP HNGQPSQMAPAPQMQPQMPAQMPMGMPWGGYYPYPPDQQYMYSAQWYPSMPMQQPHGQ HQPPTPGLPPHGGMPMSPRNPPASLQTPGTPILSQALPNPTHAPHPPPVLSHPTHSMG GLTSPPTTPSTSSIPNRLNAASNAFVPRQPSRVTLKKEDGTEVKLENLKSPAPSSNTS APTPQGSAYRQGSPGTPTRRPASVRIESEDQRKIRLAEEENKEKEKARLKAEAEEKAR KEKEEAERKVREAEEKKRQEEEAEKERIRKEEEEKEAERLRREEEERARKEAEEREAQ RLREEEERKRQEEEAAEAKRIAEEKAKKEAEERAEQERIEKEKAEQARLAKEAEEERL RIEEEEKRRLEEEAAKSAKEPEPELDGKSESTLEEGEVVEDNNTDAKDDGKDKPKESL RINTGATSPTVDRRQRPGPLDLTNAKNSNIPAPQTALATARIISDISSVQYPAGVSSP RPDLNENAKEGKFRYDRDFLLQFMSICKEKPAMLPPLDAIGLEPADQSQHQMTRTQSG RRTGPGGAPASRQSSIGLGFPAGTFNKGSGSLSSMGQFSTVGASKLSSQERFEAARPV SVSGASGMQFTNRPQPMTRTTSQGGPGGPLRDRTRSKRGEKRGENNKAAGGNAGGQQG HGGAFNNYHQQQQQQNLEPVAPLQATANRWDRKAIQADADSPEMVDRKVKGLLNKLTM EKFDSISDQIIAWANKSEKEKDGRTLIQVIRLVFEKATDEATWSEMYARLCRKMMEQI SPKVQDDGIKNNEGKPIAGGQLFRKYLLNRCQEDFERGWVAKEATAAAAASKALEDEA IKAAHEKGKADGKDEEVALYSDEYYAAQKAKRQGLGLIKFIGELFKLQMLTERIMHEC VKKLLGNVDNPEEEEIESLCKLLGTVGGILDTPKARAHLDVYFARMKELIKSPNVTPR MQYMLQDLVELRERKWVARNAVAAPTTIAAIHENAAKEKAAAEKESYQRQISMSRGGS RRGGDRNDFNQVNPDGWAVAGGGSGPSRPPPKAGDLSNFGKISKAQPMTFGPSSVFAG KKGAENKRESISRTSSSSNMFSMLSAQGAESSEPATKPAEPAQRKRLVLQPRSKPVES EATELETASPVAGSESDSDEEAASEVEMSEADALKKIKEDLKEFFAVRNLEEAEVYFK IPAQHHHTLVDKFVSSAVESKEADAKLVSDFFEAAASKELCTAQAFEDGFTPIAEVID DIAIDAPKAFQLFALMIKGAKLDDDRRQRLASKSMDSDKLLALLQ JR316_0002424 MTAESPLTWQSITLEQIPKLHLWQLIELSEARKRDLKAENVADR AKQRGSFEDQSAQHGTPTTNDNVNSRLEPRVAECSTAGPSRSPKRSVKGHPYSRSARG KTSNRKAVIAEGRSPTSVALNSTSGSGYNDAAQEEAIREILEVINQYNKELTELENQH NDVLQTAVNARLISARLKEKILFERKKTDKLVGFLTRWQKSHLGSSFDELPVEEPLEP EHAIDEELGADMLARLKRDFEKVLTKKQFSQLKRRFVAVEQKKKRQQSRKGKEKDSGH DEASAVRNKGKGKGKAKEVDMSALSPAAKKRLVSDYLKENPAILRSIEESDLNLAIER SRNDILNGGRSGGNSSGHAGASGSRAHSPKASGSGTRRLDNVVERGRGIDAVDEEHPR KRRRIHLDTSEPSPPKDSSPPSFLAKTLSFARYLFVTKSDDFNDAAQEPDTRISNI JR316_0002425 MKANLHGQYNTAFAQKTWVEWRVLRGAIISGVETREQYYDYFRF QNRYPNDVNSCSLPLNNYHNKSFCAPKPLNQKPPPIAHHYIETMPRARRAAKKDIHPK TLNIDYLQTLTRLQIQGLAKNYGVKANLRTEQIITDILAKADLRRSQSPKTSPKRFSN ATKKPEEPTALEEPLVEEESVPALRTTRRTTKRASPDQPSNETKKSSKRARGRRAIAQ PPNPNEHSADIQNREDVKRHIDPEKQQARKDRVSQVSINARQISGAQTDNAGSTTNSK KPTTQDIHELHKVLVELGEERDKLRNKLNESKLSLAYAMDALESGALLLEEMKFRRQL YEREVIYRLLQVDDLESMRADARKDWQKYLEEEGWNDWTPELS JR316_0002426 MAGRSQRRLLSLTALTRPRPSGSHTHATVIAGRQYTIPANHGTT TPNERNKNVASPKKPTHTTRKQTPVSHIPSPTTFEYPQARHEVHEGTAAISMPFNPPG GGPSGPGTSGGGFSFTNSPVLDAILTTAIGLGAGGIAYVKWYKKNVLDKIEDAFAAGY DPALELAKAHVVKRAPPDEDATDPIFTEVEPWTHSLRRKEQDMIDPIIHGEEAGHYFM LLGPKGSGKGTMIFESMAKCNAEGVSMCDAHPDLEVFRLRLGKALNFEYNEDTQTGLF QRRDPREGGPALDIERAMNKLEKVALRCAPVRNKPLVLVINNVHFFQNDEEGRNMLLQ LQQKAEAWAASGVLTMVFSSDDFWPFHVMRRNGSRMHASFLLSDRDLNSEEALHAAAR IRHDTGRLTSIENPELQKAVSLVGGRLSYLNKVSKAKDMVGMAKHLLEVEKAWLQSQI GLIPDCDDDVMDEQKWSSCSWLLLHEFVKLREEQIAAREEAGESTDNLPLPSIPYWRC RQIMTRADFMEELDRLNIISIDIQHDVRPDSNLILHAAQEVTQEEGFDDLLNNVRDRI DEIESLHRTRELTFKDLGKGDMVRLAVDKRGEELLATINDDDD JR316_0002427 MSFGLTIGTERAQALQASIQDELMKRGYSTEPDPVMAEFITIMV INNKTPAQVSLELEDKPDFTDWIFAEAAKGASVSDVTPQSPPAKPETPADPTPARDAP PHLPNDSSRTNAPRSGVYQHAISQALPSSSSQKRTASARSPSPSHPNKSRRTDLPTGP RAMYRDGSGPNGNPHPNTRSLIERVGGPAGRNKNFQRDDIQARIDNIVGNAPESTMMM PPQGFPMGMDMQALAANMASPLMLQEMMMNQMALMAQMASTMGIINPANGQFNAPGFA PPGMQGDMGMFPNNINNSFPHQQQQPGSNGVINGAGRGRGGARGGRGTGRGRGGPLGG GSSDRPVGNINSSPAPPTNAGTSAAIPIVAPTPVTPAPSTSAPSAAARAAAPPVQAQA PATYAIPERPQSPTLCKFALKCTNAHCRYSHPSPVATAESGIVLSNEACDKGKNCKDK DCIKAHVSPAVLNPQAEHSIPNATAPMPPTHHNPVPCRFGAACTRPGCTFNHPPRQTQ FATQCRFGAACTRAQCAFQHPEGRVLPSTFHRGLSTTGPIVNVPTPETGSMGVSQNRS MTFNNPASSAKQKLEQQVKEAEERKNKAEKAVKDAEAAATSKKADAKPVSITA JR316_0002429 MASHNSASGAPRRSYAVPPASHPPAKNDPYHGQESLAKLAARFI THLFACPEYPQSATQSQAKLPYFIAYALHRTKLHAAVTFAALVLLQRLKARFPSARGS SGHRLFISAYMISSKVMCDDTYSNKSWCIVAQGMFSLREVNQMEREMCTYLDWELTVD DPILTNFENAVRTDFAQSKAQYPNYPTTFVSKRAARAEASSTSTPFQEKSGTTSPVPG FPAERNPAPKIPGAPGTPTKGPWGADPNTPDTPSPTFSNTTSPTSSGSPATPVGGPET NPKIRGIDTSPHFGLTDGVPATHPLKPKMFAFAVPSGW JR316_0002430 MSAYGDIKRTVATSALPTTIWTRFSPQNANTGESGTDQFISVHH LTLGMASALPGLLGYLGTVFAKEIEDGLTYPQEGEMEQKTFEAYFFAADVFVGIVGES LPRTASGERVRSGDQAAVQDIDAARGTRTWEECVAGYYYIKPNYPGRSSHICNAGFVV PPVQRKKGYGSLLSQSYVHYAPRLGYQGSVFNLVYVNNVASVRLWEKLGFTKVGRIPR AGRLRTADGQGEEYVDAWVVYKSFY JR316_0002431 MTALNLIAEYVLRKLSQHRPLFVAIQGPQGSGKSYLATHVQSLL QKPPHSLRVAVLSIDDLYLPHKDLVLLAAANSDNPLLNGRGQPGTHDVDLGVQILSAL KTGNSTIELPRFDKSLHSGEGDRLPVDGTGTIVVQPPRIDVVIFEGWCVGFSPISEQE ILSRWRGVWDSERRKLNRGLDNICRPADLKIINEYLKQYSRLWSFFDIFVQLKPEEPP FSHVSRYEVVYKWRLDQEHNMKSRNGGKGMSDTAVQSFVDRYIPGYVFFGDFPPLRHE ENLEGTSIQAVSGLSIVLDEARNVIASSTF JR316_0002432 MMSDTGSGVEPSPVQNISAQYAKIQRQYQQILDRWTPHVLQRWL STAGLLVVFMLRIIIAQGYAVHAHAIYLLNLLLAFLQPKFDPSLQDDLMADEIEEGGD PVSPLPSQRDDEFRPFVRRLPEWQFWLSSTRATIIALFCTFSSAFDVPVYWPILVVYF FTLFALTMRRQIQHMIKYKYIPFDIGRKARYGGAK JR316_0002434 MSPTSKRPSSPEHSSFTPIFFLLIAVRLWISFTDVSEILKNDQQ LSSPLTSFSQLKEGIFLFNHDIDPYSGGSFRHSPLLLSFFATVLPSSRRLASALWTAS DAVGAWALVEIWRARQNLRRSSRDLLVAASYLLNPYLFLPSLALSTSSLENTALLLSI MFACNGKASASLFLLSSVIHLSFSSGVMLLPILLLLITDPHSHIASPKPLSTPLKKAY PLLGEFLFYMGILTLISTFVAGSWSWIPQTWGATLTLPDLAPNTGLWWYFFTEMFDHF RPFFLMVFTVHLFIYVVPVCLKFQHDALYAVFIMLGILGTFKPYTTLSDPGLFLTVFA IFPEIYPYMRYPIVTVLLHLHASLLMPLFHHLWLSQGTGNANFFYASTLVFACANGAA LVDCIWPGLRIAIGPHVEGYSIVQE JR316_0002435 MPDDVAEEKVKALQALGAEVQKVRPASIVDKKQNLAKQQASNYG QTDIIDEKNLEMNIRHISHTFESPSTSVLVAEPPQHVHFEESQGNGLSNDNNQARGYF ADQFENKSNFYAHYNGTGPEIWRQTSGRIDAFVAGAGTGGTVAGTGQYLKSMNQDLLV AIADPDGSGLYNKVKYGVMFDRKESEGTKRRHQVDTVVEGIGINRLTRNIELALPILD DAFRITDAEAVAMSRYLVKHDGLFLGSSSACNLVACVKLAKQKGWTHGKTIVTILCDS GTRHYSKNSHATPRNDDYLRNANIPIDPKIMEDMLQTDSRP JR316_0002436 MSNITPVKKTFLAAPYFAVVGASKDTTKYGTRILKWYQARTFNV TPVHPKEKELEGLSTIPTIGELPSPKETSISIITPPKVTLSILQQAKDLEVPALWLQP GAEDDAVIDYVKANGLEDKVIYGGPCLLVEGDDIARSFNSTISAAWYTGWHATDFPLS NVSWSKYTHLTYAFAITTPDVNILSLDSSDVKLLPQFVSLAKQHGVKASISIGGWTGS RYFSSNVRTATNRTAFVKTVTSLAEKYNLDGVDFDWEFPGIQGIGCNLVDPNDTNNFL AFLHELRNTPTGKNLILSAATFDTPWVDSTGLPSMNISEFSQVLDYIAIMNYDVKSNA SVGAGPSSPLDDSCAPVGARFGSAVSAVKDWTSAGMPAHQIVLGVPAYGHSFVAAIPY LGGSNTTLQNPQFLYYPPYAVNASRRGDRWDGDGGLDVCGVLEGPGGIYTYWGLMEEG FLNQDGSVKDGILSRFDNCSQTPSLYNKTSGIYVSYDNAQSFAIKGGFIQAAGLKGFA IWEAGGDFNDTLLDSILNATQNGGPNLVSSWNTTQGGNNLPHSSGFSERLEGAQAVWH ALLFLVVIALYAS JR316_0002437 MDTGEQPEEEWDDDEDYDDDDDADIDAEAQEIARRLGEQLWADI TKVTAENAASAETVPIPIEGRNIPTASGGSHAAAAQVISHLPRKEEAIVATMKAILTL VENDPLAKSTLVSTTIPNGPNVHDILLQCSTLGTISSGIAGPLSQVIVTLARSTSLFG NLKQSNASAIQLDKGKRKREFDDGNYQPTYAFKRPYMPDFDLQNQVVEAVRIISQALG TSPSQALDPALVSSIRLQLHQVFLFAVTSAAVAGPTMHALQEIGGLIQVIGVLSGIQI GHSPDNIPHPHGQSFGANASYPWSQNQHSATTDIGTAVYPCLVVGCHKVFSRLYTLRA HQRSHASHRPYRCSVCPASFARNHDLKRHLKLHDKKAWKCEGCHKIFSRRDAIKRHKT GTKNRGPKSEICLMADIIEVQLDGEEGEDTLREERRAKIWNGIVVNEASGVSTSNIQN HFRDIKTIDEGEINPAVIAHIQSSVLGLHGLLQVLVGNALGNPVGHPSTVPMDPSAGQ ATLASVIARAQSQSIPASTFVTSPLSGNGTFGEASDNSVGTNAPTSDENLVLPQTAGE NPEIPQPPHTSLSMYGLSDEQAQLLEIAIASAASAAQAQAEAEAALEEEEEDYDQDDN DYDDSEMEQDKEPVPE JR316_0002438 MSKTVKDLTAGTAGGIAQVLVGQPFDIVKVRMQTAPKGTYNGMV HCAGGILKNEGPLAFYKGTLTPLLGIGVCVSIQFGALEYAKRIFASQNVALGRGGEGG KVLTGQQLFAAGVFAGLSNGVVSGPVEHIRIRLQTQSNTNPLYNGPWDAIKKIYGQSG IAGIYKGQAVTLLREASGYGVYFLTYEKLVQREMAKKNIRRDEIPLINAVGYGAAAGY ALWAIIYPIDMIKSRMQTDGFSPATGQKYKSTLDCVRTVWRTEGPGAFIRGIVPTLIR SPFANGATFVGFEMAMRVLDKL JR316_0002439 MLSNVVTGSLLRSSPLKLTTPSWPKMSLTPECDCEHTQPITTYY HRRTVTPQLPPELWLEIFEFATYVHSQSTITPLDPFTPRHVCRSALGANSPSLASRTK LVLVLVSKEWRNIALQLLYRHIEVRSIHRAQLILRALQHGVSNHEPYGQWTRHIEIFT FTRGANDIRYLHAIYKILELCPELRILSGNWTNLIHVDFLQAVAKLLGPSLRALFWKE TTPKPLRQSKFLTATTPEFLGAFQSLRTLDLHHFLGSEPNTWSEPPTPKLPYLQDLIL SMRPECLKVATFIAMPSLRNLTLQTTGWCIESEKLLANFLKINGAFITTVDVPLPLEF ETESQIREPTTPYVNVNIFLNQDLCPILDTISFPANAMQITSHIHRTLRRIGFRGCKL DGLYPQKSSTLRDHLMAINFDKYPRLQVIQIIGFLVDAHAERIARDIFIWWAERFESE MGVAFLDGEAVLWQYDVDQDKIAPTPIEG JR316_0002440 MLASCSLAAILAACLHFTNAVDVYLSPSALYLHSDLSPEDASTA LSRHLGLEAFEPLWGNSDPVYSEELFVGQGPKSALLVTVEERDAPVLSTYLHQASTNF ASIFSSFQFGQSNDVASLVSFFKTSEQPAFAAVELSKLHDIGEQGGRYSEEYLDIADE IRALLQQLIDDDRFTVAVLTYTSPSVNKRAAPQETQAPLPPSDKLPPQQPIGSISTCF TTLDACNNGTSTCSGRGQCLQASKAGRTCFVCSCGITTTGEGNKIKTDHWAGESCERK DISGPFVLLTGTVIVIILLIVGSISLLYTVGDQPLPSTLLATAVPTKKE JR316_0002441 MQRATITGIRIRSPADARVIFHSVYLNMFPMVTRRLDTEERSLI STGSVYVWEERGLRWASKDGLMASLTYIRLFSSILTPYPSGIRWGPSRVREGFLFYHE KPSSQQLYADSLSPSYDPMRSVLIKQTYTVYVDTPRGRRKWHLIAYFTEDTVSRLRSI DDIPQLANLAVPHGKYKSARSAKGRPDHIFNPDSESSVFSRLEYVPYAPRPSSPPTAS PTSTHPSHTDTWPPESPAHEEQHIPHRPGPASSSSSDDGKRERSLQPLHYLEMNAPPR RDPADERILMLLTARRAQGFGDGPLLGP JR316_0002442 MSFPRLNSRSPPRSSTTFVRSYLPPSSSMSLPPQLANVLAAFHP RKPKQRATFVALIILVCLSSYIFIANSASFSPAMALRRSDSAAAEQLAIALETIQNSR LAGGSGHKHLKGGHHRKMSLKLDQAQELAAVTSFLASLPQNVIPPSVDPSIPIDPSLV LDFDTRGPRAREEVKAMVEDVWLRNPVFLWSKVYSPASREIKSILADLYLRPAPTIID VDIRDDADVLIPMLARLTSYPELPVLLIGGKPVDTSVDNIRALEKSGELQKMITEAGS LINGSKKKKNRK JR316_0002443 MVNERVHISDRYFRPRAGTGGSLPLGSTGPSGSKTRQRHGSRIQ RFLQRLRMWFMLSTRGRRTLAAVVFFFSVLLCIFILEPHKVYPSNRFALREFNIRRWG AAKGAEVSSEAVNGPATRKFRGECCIGFVLLKPSRLNGRNHADNLRNDTSYITSWSNA GFTNQFMGYVNMIYLGLLTDRVPILPPFAPDHHISSSAGIIPFGDLFDLDHLRKQLRT PILEWRDVKALPSRYSADPYSTREVEPLGCWTTRKESEGNPIRAENVVHHLGLDVSYT RVPSHTRLDPSNPEETHVVFPHLAALIYPIDPIADPESFRTLAPSPGGHWDTPESQLS CFDTMYYATSGAKAFEWQYSWSPVWRTVGRHVRFTREIEDLGYQYLSNVFGLDEAEEV PPFIIVHIRRGDFAMFCSHSGQVDCFPPLSTYKKRVDDVKMELMERDIPVTNVVVVSD EKSPRFWANVKNQEWFYINHTAERTLERFGEWYPPLVDIVVQSYAFGFVGTEDSTFSL VGQRRVEDWNGGVTMNVKVQVGY JR316_0002444 MLSRIKSLIFLTVLLVTAVSLAYSSILLLFAPPSRNIYQYPPSS KPPLNPLHCIPPPQNPLIKPALRENIAKTKESPPLKEWDSSKYLKGPPTDNHYYEANQ LMSYTNMIYLGMISGRVPIIPPFGPDHHISLDAGVVPFGNIFNMSRARDLMRYPLLEW RDVKKLPSTSSSEIPLPEEREELGCWSTRHGNDPLPLRVKWVMDHLKLDISYTRAPTE ARNNPSDGNDDFLIFHKMMQFIFPRKPRPPPNGHYEIMMESPLGRRLLPDEHMSCFDF LYYVTTGETYEYKFAWSPAWTKVATHLHFTDDLVKMVEGYLARAFGTSENNIPQFIAV HIRRGDFANQCWDTPGNCLAPLSTFEKRVEKIRRELRDKHNKRVKHVLVTSDEKDPEF WDEVQELGWVTINHEEERTFKKYGEWYLPIVEFVAQSMAVGFVGTHDSTFSLVSARRV EDWNNGPTSYVQHFEKE JR316_0002445 MQSLADHGVYNVQKGRILKHDKIVKDVIATGLHNLTAGEKNLLA KYNLSFKKLQLRRKMKPVTDVATYLEQIAHSDTPPADQDVKTEPDTIEDPDLNAIFSS NLEDMDESDYTTLPRLTEDDVAFDMDEIDADDEEVDTDESISSDEGDFEWD JR316_0002446 MSGQYALKHSKGVNTIRRRRFTLGAILLVGVLLFFFVPWEIPLS FGTTLSRANVARLTKSKKGKVGEIYGLLHLVTGDHEQEHVLSNAVQLDPTLPIDLSFY GGQTALDWSVERDYIDTKYPVIVFSKTYCRFSQRAKDLIATYNLEPPPHIVEVDMRDD GNVIKTLLTRLTGQSTFPNILLQGKSIGGSDRLQELHDQKLLKKMFQEAGANPN JR316_0002447 MSGPLLQRRNVEYVLFDMDGLMIDSEKIYTIATNEVLGKFGKEM TWDMKAGCMGKPEREAAIHTLSFFPGIPITVDEFLVERNRVQDTLYHTVSLLPGISKL VHHLKKHNIPMAVATSSRRRNFEMKTALHQEFFSLFDGKIVCGDDRQYNMKGKPAPDI FLVAATVLGKNVGKLDEVPEAIHLVERAKGLVFEDALPGIQAGKSAGMSVVWVPDPNL LKLTHQTEEVPDQVLASLEDFKPEEWGLPPYDS JR316_0002448 MSFSSGSSFHEVILQQLGGTFDHGRPAKLRQLCSATSPHNNNTV AVSNVLAAILSSWGPNILSGSLHGHIRTQPNNASAFTLAVNVIKAQFLQYRRRIHALE AEVEDLRHDNVMYHSDVGALESELSNMRVNYYTEVSQRHQYEELAKKDDELVKNLQME IALLKRKIALAEQEKDENNFLKAENGILQAELEAVRSTHSRADLLKCEELRALKAVLE EERSQAELDEHEIKLLKTEVDVLRENHRRAELDKCQIKLLKAEISSLKEEHTQAEHFI RAMVDIKACGSVLSGAAQAVLAGGDAEDVLVEAVKLASSRKGSPWSRIIPAVVGPRAP EHYLSAIEIALKARKELQERRKAAKFWKLAALASTANTGIITPSSSQISDICDDMVKG QRYPEVNEPLPAEPPVSYTAKTDENLPPTKVNAEALTIGSTPDSIYESAEPPVGSFAS FQSESTQTIKVSTPALQRNGSVDEHTENQSFAFAERTPSESINPVLLGIQTTQAIIED IRESFTVVEQSTKLAENENAHVESTTQSKAVFLPQSKVTSESALQDESVLQDENVLQD ESVLQDESVLQDESVQDESVLQPEEILRHTLSHSNNSDPPSSITESEGAVAPDIIEAD RETQSSSPSFNSERSTTPIRSITAPHSRTPSKISRPTSAKSKPATPVMDRTRPRLISP LKSPSPNTFLKSSRVAEFLQTSRKNSELFVKPLKTVGRPTSPIRSRLSTSRTNVLQRP ALQTFNLNVPASATKEQKAKEADKKTRSSVKLESVGNQALPKPINKDIRKRRGVIMIE RVDINGSSNIDKVTNTHEIQDKVQDMPRFPSSVSTDVFSDQSNTKVKEGDVKINMESK SKDAIKLTSGNESQFLSPGPFPEAKLADQERKPLEIAVSPTAKIGSRLVEQFSDGDLA SLETNLVDEDSDSDCEDIRRIQVEIDDRPIDLTVLPHIKRALSEENHQKLNQRTDIKD GHDEPSHKKGQTHDVELGSTSAPHLPDLITPRTSRPATPFSKSPSRSLDINDGERLSP STSGSSYTTSSSGSVSIPTKKASRLPLRGLAQHLRSGSSSVKPANITAALSSLPLAIT RTQLPSLGVSSTVEGDKPLAYGTKKPTSKPLVGSLDTRTQGSINPDTTAIPHTPPKKG VPLSGYKQASSISSGTIYQRTPAVAEKNSSKSSMGQSFIRRLSNSPLSKPKPNSTTPS VRCDITHLAPPSSPPSPGSLTKPRSHTINTVSPLPPTLSTPSPEALRAKSTKPRSHTV NSYSPTTRSPLSRLAADASAEYNANFTPTKDTRSNQHSPPVKSLAPKSSLSPRTGVAT SNSPASPSPLRVRDKSSLIKRQGSQSSSEEVNPAPPTAAFMRPAVSPASNTKRMTNSI APLIPNWSPATANGGGLTPKQCRSMFRNSLSMVVR JR316_0002449 MSNYPVPPPAYGPTGSNKTTNYHTIQEESREPLLAGSSRNGGTG GYYDQPGEGDLPDDFKYGVSVSESSPEIRNAFIRKVYMILREQGATTVLGGLISQSPE AIFWVQTHTWIFYITLIGTLVNLGLLYWKRHTHPWNLVLLSTFTLLEASTLGVAISFY QTTLVLQALLITTGVFLGLSLFTLQSKYEFDGMGPFLFGGLMALCMTGLVGIFIPFSR TMDLVMAIGGCLIFSGYIVYDTYIINKRLSPDEYIMGSISLYLE JR316_0002450 MSLPHPHRPTRDELLNVATGFWQRMRIRFKWFTVRGFRKFNADD ISAFITWFVMSQTLWILIGTTTFFSVVFAVVNSLQLQRYVARAISDYLTSETGITIIF ETAIVPKWKDSRLSFKNVYVSRRPTQVTLGESLEKRHSHMAAVGYDVSNHPALHRFEG DDDEFSKTALHDEDFNYTMFDITIDSVDVTLSLKRWLDGKGLVEDAVVRGVRGIVDRR NVFWDPDNPLDPALFRHESRPGDFELESLQLEDVLVTVYQPGDFRPYTSSIFRADIRL FRKRWMFYDFLCAENVVGQFDNCLFSLHKPQSIGRTNEKDLKDGDWARMSRIRIDGVN IDHLQNSTTMEGPISWITSGKVDAVLDIKFPRDPENDLALNVILGEIADAISTSLSTD ITRIPGQRELAKPPLTVPPEEEAEEETLGDYKPKVVIDIDLRFRDLKAAVPIFTSDLS YVNYALIRPIVAFMNANRTLVPIHCRVIKDLNEFDGAWTVMFFFSGLMDEISLKTYDA LAYHVSQSHMNRQRMKAVSLWSLQRTASAVMSTLRYMTDPMSAQMKDAYLNGQLQSGL FNAIPLGSEF JR316_0002451 MGYSSDSTLPAGVVAEEIVKHDGINLDLSSRKKIVIMPMLNKEA TARSKSGAPPKTLILGTSVFSRMKVTKKNQRINADAASNKQHLTRPCVEKVGDMETED VLSSIEFECDPKAAIPMATSACTKGADAVECEAALQSRKVEINPLPLEQDEPSPYADE YAKCGCRLDETQCPRCRILKLTDM JR316_0002452 MVIVAKEQAAPTEVYKRVPAKTTPYSVCMGCNGSIQGRACVHPG KATWHSDCYDENETQSGKQTVSAAFAKVS JR316_0002453 MHKPSVDGFNFGKPALPLATSTTVPQAPPAINFATFEFKKIGQP PSLLQRMKDPGPGTNISNESNEESRSPSPDLEGELLISDSTADSKNQGRSLFARIAIP ESTTGAIDKMTDDKSTLTRENSDTTIQPTQPNDQNSSGSSVVIERHTANVALNSDLAR SSKTPLSSHSGINTIASFSGASSSKHHGHILQLFNISQGSEFSAASIPRNGSSNVDVN ADASSTVQPSSRESRVSQPLDASLIDDTPSSSASSGVPLFSDLVNQMNMTLSDYRPIN PDVLQLLDSCRAQFTELSSSMQTVSEIAQSLANATQNCVRLLQPFHNRLNTLFSDVEG LDRHKRLFDNVKVISSQMDQRIKIDAQTRCEQERQKIQSGTSNSAGTSCNSFTGPPKP NLTDREQLIAANEQLAAMAARKQAMEEEQQANRRAKEAEMAAELARMDAENAANAERH RAAYETAKRKQEELARMVAQKKFHSLQETQTAPKNQTEENEKREAERASKKALLEEKL AAEREERDRRVRMEQEERKRKEEEEATIARQHAETLEEKIHQDALKEQDKRRQQVSAQ KQLALKENAKVAVAQKRNKASQDLASPTKHSNNVAASPYVSQLSVPSNKPIPVNVIPQ TAAANSVQVSKGNGMQNLQYRQAPTTMSSNISSKGQPDGPSQPNSTLNNPPIGTGIYV STIRPSSGLPSPPSEMIQPHSAAMHTLAKDATAGGQGQVKLGSSQIKPPLVHANLPVK PLSLPSAIEHADVSVHKQGYYHPGGLVSPCPQISPMLGDAQKANLARLSEHHGTCSRQ QEDDNQDVKKIKPLAKSERGQVPQQPAPTSAALPPSIQTDSLSSIVKTEIPDDIEIPR LSMPSSPSTPKLNVEDNLPTFETTSSGPHASQPVLPSRFPQLSSKISSNVSRQDLPTE ASTNASSEAQLVQNQTFKASAIPQMASSQTIRRPIGNNDFFDQPNHRTRSGISSAEAL RSTPAEANSLAARRRDTTRAPQNIDHYSPSPSPRPRTPPTRPRQINRRGDHYSPPRSP EFFSGPPRRRSGGGNHSRGVSPVGHGENIRSNNGISMASEPNSQYARKRPRDDQDHGG PPLRRQRADNDRTTERYEIGEHSLVLPQQPDPQREEWSRVANYTPSPSPEPALEPANF NHGRSGYRGSQGRPNVAQRRHPNEHNSALTSNPSNYNNHEYNSIPYQRQNYRESNSDF QPLLLSRFTDQGRTTTPPSEPAQHYPASQHRVARGRSTRHPNPRPNYHHSSLGQRMNT NHKNSLIYRIEQAPPAQE JR316_0002454 MSWAGFKKSVNRAGTTLLQKTGQIERTVDREFADEEAKYRIFEK ECQALQKDSKAYWDAMRAMTAAQSRIAETLETFYSAADRTSEGAMAGHAYKRSVDDLD TTFTRELDVPYRTTISEPLGKMCAYFPVVNEHIAKRNKKLLDYDSARSKLKKLIDKPS EDPTKLPKAQQENDECKEMFDMLNDQLIAELPQLLDLRVPYFDPSFEAMIRMQAKFAE EGYEKLSGVQRYFADNVRDDYAAGQLDAQVEGILQEMRELSICGGN JR316_0002455 MNDMTSCRVLRRSSRLLSVSNNVATDTPIDAEKPVDRETTSSIL QKRKRSVQEASQDQSSGVLAPKTYTGSKKRNLQAPKEQMSVSTANDDDELPSRVGQSK AKRIRERKPSPVYVIPDVQRKETSFRGRLEWVKDLGRKNVQDLLTLIQWNEDNHIRFF RVSSEMFPFASHGVHGYSLEFCAPELAKAGDLAKKYGHRLTTHPGQFTQLGSPKPAVV DAAIRDLAYHAQMLDLMGLDQDSVMIIHGGGVYGDKLATLERLKQSIKNLPDNIRNRL VLENDEMCYNAEDLLPICEELSIPLVFDYHHDKIFPSSIPPAKIIERANVIWQRRGIK PKQHLSEQRPGAVTVMERRAHADRCENLPDDLPDDMASLRPAKANPTMHTAGRKSNKR ARANLEKEAIDKAEAEEENEIDDDCVSSRSSCGSDSEMFIERQNGAAGRST JR316_0002456 MHIFGLRRTKSIASKNNSKHLDSPPSSATSSKLQLVPNLPNPAR PSPSPSTSPVLPNNHPTQPVSSAHTSSPTKRAIASKISHRDVLDDRSKTVQSASPSPI DTQAYQGLTPAPPRSPRASKPTYSELYTQNDLSTFSFGAAPAVASSSVSQLPVDPLTR LPEDDEISLTPSALQDTTPRPSVVGAQPPLVHNLQRPTHNNWDSQNRLNPASRGARAA GKAKAHDSDSASSHTFGTSSASASVSSLSRPRGDNSRAASRTSGYSSTTPQTSANDLT SDEDDDMIGRHPLPPEPRPPGPPFRYTSPDQESSLFLSEEEFDGEGYVEEYEPDIEIE SVVPDSDRGTIDYMNDSQESFQPIQTERRGSAAMAIPKKQFDSTYHDSFQDNNSSAWE FDYSNRRGSKSMIERSFIQGAESSHGHSLPPAPASVPESEGDWQNLRKRSMTAQRDNN LAPVPAATPSFSVSSSSTQFSSNNGSTPAPDAVPATDSWFIGAGINGLVDIDSSEMQD IVDTDVGGRFFRKGSTNSAARRTSTVSSTDIIHKNITTSWETERDRERRLKWSSFKAK EGDEQANRRQNGERERPSIANLFPRTSTSTSTDVRTNGSSLFLDKPDTKHKEKEKTGS SKAKWTGMAPDSEEIWYNSHLGKHKVQRKNAPATEPGKPQQQRLQAQHLRSPNGGRDQ PDGPNITIHKHSKAAAFSLSRSHRRTTSSSTTGQATGSGGSRNLTSSGGQVSSRDSTG NNRKKSNMILLATRRVQKAYTSTNTTRKLDTHGLLDDNGRTSPQPQHAESSRRAAERE HDRHRSAKTKDKESRTRDKEAKAKDKERKEKSRSGEGSSSKRLTSKSEGKLREVVAAE TPLPDSSESSAGSVSYAISSGDSGTMVDSSSGTSPDQTQVTDPTGSNGSIEPSIRSQE TASSDRTISRGIYKGYLKPRRRGDYDSYDDLGEDEEDDSSDGISGIPRPPTRTPHRET YAALPPEVFEAAHQEPSSTGLFSSWKKPKLDGTSRIIESSYKPPWPVSHPRTNSETRK GIVDDLNSSFQDVGLLPATGEIKGSSHSGQRRKHDQHPKKSKRNGNSQNPDQPDIFDS VPDEALYMLLPLYPSDTDPYSSRKYPFTMPTIPAHARQYLMIFYKTYDPRHPTEENGK SRSGEKKRAHESADTTTDKSVLLHTFHITARVFSYRDLQGSGVRIPEVGLAVCGPLEE AYNSIPLCQRKDQYVIGVCHSRESGIQFIPDGFEKMGLTMAGPNVPETSDEEDNSPID PLRDMLTPIGRAVVEMAWVGALAVSSFNPNHS JR316_0002457 MESYFAQSKLLWSPIQPRTAFVEVLRREINRKHGLNLKTYHDLH KYSIEDYTFWLDLWHFLGIISSVPPSKDAILEPGKYPEIPNWFPTARLNYAENLLYRK DDAIALTGAGESGALIHISFRELNERVRAMSTALRVNGLQVGDRVAAIVTNSVNAVVV AIATAAVGGIFSSTATDMGTQGILDRYRQIQPKFIFAETETLYAGKIINLLPKVAEVV GDLSTQGLQQAILLPSRISGKELRIPNMSKSTTLASFLKADDKSPMKFEQLPFGQPLY ILYSSGTSGKPKCIIHSAGGVLLNTKKCVKVGYNLSSEDTYFQYTTTGWMMWTFMLTG LACGSRIILYDGSPFHPSVETYLKFISDQGVTTLGTSPRFLSEVQGRGIKPLELGSFE SLRTMGVTGAIFTPPMFEWTQEAFGKHVHLVSTSGGTDICSSFVTGTPSEPVYSGEIQ VKDLGMKVEVFDPSGQNIEHTGLPGELVCTRPHPSLPLGFWGDESGQKLRDAYFNMYP GVWRQGDFIVVNPETKGLMILGRSDGVLNPSGVRFGSGEIYTIMEQFSTHVDDSLCIG QRRQHDKDERVLLFLKMRPGCKFTPEFEASIKQAIRKGLSARHVPAYIFEVTDIPYTV NGKKIEIAVKQIVSGSNLQPSGTVANPESLQLYYKYRDIESVVGAVKAKL JR316_0002458 MSTTTLPNGNDTLPATGGGGVTTANGHVAGNASSPSKSTERIQI VNEEKQFTPDLGSQIDKWGLRDVGFAYNIVSVFGSQSTGKSTLLNRLFGTTFDVMDES RRQQTTKGIWMCRAKDMNVMVMDVEGTDGRERGEDQDFERKSALFSLASSEILIVNLW EHQVGLYQGANMGLLKTVFEVNLGLFGKKAKDGTSGRTLLLFVIRDHIGQTPLANLEA TLTSDLTAIWEGLSKPADLKDLKLSDYFDLAFTALPHKILAADKFESEVLNLRTRFAD KSASNYLFKPAYHKRIPADGVAFYMEGIWEQVQTNKDLDLPTQQELLAQFRCDEISAV ALTEFHDQAKSQKRPVEAGKVVEGLGALMKNWRSNALARYDRDASRYHKGVYGRKRVD LIANIDATLSPLYLGQLKNLHKSCLAAFKKEMLDGLKGEGYSFADVVAKARRTCEGTF TSAAKEATIEETEWSWEEELQLLKEEIGVVADQCRKDETKKMINQIERNVKKQLSEPV ELHLNRPSKGMWDSVLMTFRDVLGKAESAYLIKATSFNCTEEENASSLASLRKRAWLA LRAKIDEQTAEQAFLSKLRNHFEERFRYDEQGVPRVWKPEDDIDGAFKKAKDQTLELV PLYSRISPEDSSLEYDLPSEPSDSLLESEDFDFTASLTVFSETKAVDLTNKFRKDADA YYVEAKRSTVSSIAQIPYWMYGVLVVLGWNEAMAVLFNPLYFTFLLIVLAASYITIQL GLVGPLFQVVKTVGGEVQRQAVARLREQFSEPALAPAVPAMTRAEENAAEQEVKDELR SRQL JR316_0002459 MSANWNAPPPTYDAHSSSTTLTPYLQLSHLLSLTWLAYPILSLI FVAFRLQLSLADAQNAVAGAKDDLLASCKAAEQAATSASSMPRFMAIATNKQFADAVN GSANAARATLVLALTVMEAIINFIVDIYRSTFLCFLELVVRGGLAILIGAVNELNDLI GTVTNGLRTSIQNDISTANNVIKSAIDAINKVNPFGDIKAPQITVPSLDGLQNVSLPS SFTDSLTKLNASLPSISDLKDKVESIIDTPFELLKKDINDTFAGINFQPDGLPVPELS TIKFCDNLDTSVIDDIGRDFIKTAKIGVVVLVLLALLLIGLNCLLTWYKWRCMRNHLQ YTREAWISDPTMVHAKPTSSSPQITMSDHNLMMLNANSEHPLITRITNQISARFRLTP AQHTHTQWFFNYIFHAPALACFLIGFFGLLSVQIQLMAIGPLVSKYQARSQSTVSDFS SIIATSINDSMYNQSSLYANEVNGQVASIQSTINDGLFGWVNGTTTTLNATINGFYDD IQNTVTTIFGGTILESPVNEFLKCFIGGKVDAIESALTFLHDNLKIDMPRVNQTALVL SPDSVNEAAQPIAAAAIGGGTDADGNDDGGLLIRLVNSYAASLRKERVMFAIFMGLWG IVILMGLSVVLWHSVIRPMREKRSRRKWEAEQRVGLENIGPYPAGSGNEKDGNGNGGV FARSFSPLPSPRGSAFKPFWGSRSNSPAGQRVPQLSPDASQESLPRGQEASALASSEE FGAHLPPAQMERKQTGAAKLLAVGKKAVRRERLKKDGTEEELAVPLSPTLVHEPNRRA NDNDTNAPWYTRIAALLSGKKQGNGDNDSSRSSGSDYWDPTAMVQADKTQDKPKLQVY TQRGIDKYGPPPPQRYQTHTWTPPNQVRAPSPPQPQTQTRGRRQSAAISWPPSPPVTH ADWTRVMAPATPPQVNVSQQPAISSVAEFNFPPPPIGVPIINRERQQVVSVPNDVGPV YEDSVARAPPHVATTPILPVPLYTSFENQTQQSQQQRTPRPHPTFPQLEVAGRRRKGS SPPPPLKSPRMLQPQFALSPPPLQDKHRRASSTGTAWRVTNFVPGDATSSAHTSTASL AAMALAPKVYAGQQSKEDITTASSNLTRLLTAPRQGHARQSSSINPFITPFDDEHRVQ VVDDPGAADLRKSMQTNPFVHAI JR316_0002460 MVYLETSELGFSFRFKRPINRLASCLNSCRLRLSSTWPQLRSNR FTRAPSHKERKPSTTEDTEGSISKPVQKPLLPVFDNNASLDTDGTPLPTGWVPCIDSA NRKFYANNYTRTTLWKSKPTTLDLGDKNRNLKDLPTGWVTGVTTDSRICFVNRNTQTQ SWTDPRSPPGWSKRFDEEGRPYFVDYNNLTATWDDPMQNMFATDPLDIFMRKILFFNR RNSIRIQEGASEISVRKSVIRKDTFAFLRKIQETPRASLRRYPRVTFKDDLDCKEPVQ EWLDLVRDDLLQEDGPFEIDDTGSFKKLKRSLWTRILMVFCHKYGWLCGMAVFHGFFV DPRLASILHGDLTRISPVTERNPVNFGHTKILDPKDYLLLGFCNVVDRQMFEGYSLIE LERLFGRVTTLQKEYCATYTMFDEKIEKRTDKDSMAVNGSSISSKSDIHLDWFWKIAG SWAPEEQRALFTYVTGSVRIPASDLIKVMKTPDGNIQRVTIPGKKVKREALPLRDIDV PQHILFIPPFENYEAMERALRSVVFDDDKAVGKTYLGYECM JR316_0002461 MNNNLILESIILISSQKDELGRVTVLTSPGRQRSGLTTGDAHRH IGVQQICYEYIKVQVALTYSLSTYLTQVNQSTHSIVPSFMLQLGSSKRSSSSRFKRPL IRVAVGLSGCGLRFIFTWPRLRSKGFSQAQFPKKRKPGTRMHVEGSDPMPVYADKTSL LSSLDNNMSPNSDNVPLPTGWVLCIDGTNRRFYANHHTRTTLWKGTPMASDVSKENPD LEELPPGWAIGVTESSQIYFIDHITQTTTWTDPRLPSGWEKRYDHKCRPYFVDHSSRT TTWDDPRQTTFSTDPLSMYMRKVLFLNRKHCNKTRRGVFEIRIRKGYIVPDTFSVLSK IKKPRNILRRYPHVTFKDDPDCEEPVQEWLNLLLDVLFEPRLGFFVLDGNGFLEINTS FSTPSFFKVFTYVGWIYGMAVFHGYLVDPRLITILHRNLSCNGAITEQNAQMSSHSYI TDPQGSFLRGFYDVIDRRSLKGYSLIELERLFGGVTTLHKEYCATYTISDEKIGTGSD KDSTAVNASSVSSESDIHLDWFWKIASSWAPEGQQAIFVYVTGSVRVPATDLIKIMKT PDGGIQRVSVPGNKLKRGALPVRDDDVPQHILFIPPFDDYEEMERTLRSIVFDVDDEI DKTSRKDVCMGGRGGVQSTQKVREGSIIKQ JR316_0002462 MAWSSLKHCNIKGTNNAAKAPNGNMTLLIDNVGDNTPSESAAYN QDDGRWHPKVSAYRLSVITTTIAFGTAKAISFQTGNTFISTTLEWAAGTVIATIFFSV SSYDSIDRGQSNLPNCLAWFFEVESLNCVWSTFEFLFTFKRPRYSSKECVHDLHAYAS HPLFTYYQLLVCTTVSTFGLSKAMLAYFTNQSVVMNWMDWTFAVPTTTIFYILGLYEY NNNARIWPSFYLYDRKRALSLGLMSSIGITISLACILGISVFGFYYVWGSPDILEKPS RHDSKDSKEFVIPHLRRRLNSLKISDVALRTTFKYTVHIVVIS JR316_0002463 MPESSTKARHDREPSSSPPKHVSGSQDPRTQLNPMGVHEEGLPR GWEIALDSKGRKYYIDHNTGTTTWTRPPYDGSSAYPEDSPLPAGWVCRIDSKGRKYYV DHNTRTTTWIHPPPFDVVEEIEGLGPLPPGWEIRVVPGKMSTYFVDHNTRTTTWEDPR RKLDVTDPFSKLRRKVLYLNSRHRLKLQPGVFEIRVKKSRIVQDSFSIFSKLRSLADL RRRPSVVFEDDLMCRNPVNTWLDLLLDTFFHPDLGFFLPDGSTGQLKINPSSSTTIPD YLNYFKFIGQLHGLAIFHGYLLDPKLVPLFYPSLCGTSDNPKDTSDTTNTSKQRHSLL DGFYDLIGRRSFIGYSQAEVEQVFGGITVLEKDRCSTYTIADETIEGSSEPDIHLEWF WAITKSWTPERQHALFVFLTGLERVPVTDQIKVMKAPDGDIQRVTILGNTERSVPRKY DDAPEHILFIPPFNSYEEMENCLISSIVDCSWRDPDTTE JR316_0002464 MRNVIYVIVPALSLLNMGVEAATIAKTLTSNHSSDGLHVRKIVH DRQFHNADFGLDSEHIARNQIDDVVEKDGKISTGDGNGSSLDLGANREFFETVAGETN TGSTSTTPPYVKVDTPEPSVDTVTSKGSSITGSKIVTSNMASGSPSSTSSDSGVVTIT NDSSSPSDPGVVSDSSSSNTNVNPTGIVKDGSALSNSGTGEAGSTVASLVGSEDGVLT EVSSLVTSLLTPAVGQYVNFPSTDLLYGTSGNENPSGTFAASVANSNVAISQPAKRQD AESETEDDNSSEAIDTMELDPMSSSLQKASQSLKSAMVVPQSFERRMIKVKMPRASDV NDETVGVDIADEPLVKESLPNVATKRDDDSSDQQVPSDASIEDLD JR316_0002465 MASHSTPSSSTSSISYPAPDPPVILPEDYTDNGRPTSSSRSFLS STPAPGSAIEVHTLQHEYQLHVKLPGFSWDGITLATKRRRILHVVADRWDHGGGHFER RVAFGYDADLTQVKADFHSDLLRVTIPRRTSAVASMQSAMYAPIGRSS JR316_0002466 MHASNLPTQTDLLEKYRGLVTLGHIKYDEEQIRAVMKLRRLHKE LIDYAPPAHSANLLGPLAHPPCEDPEQEKPWWVFSEKEMETKQFGKALVTLRGHAEEL GALETPKGLLLTGPPGSGKSFLVDLWFQSVPTPYKVRKHYSQLVLEIYRGVWEETKRR MGAQNQIVSEERGPWNKNIRGQMERLLRAGSLPIRWGTFRRVSAEYTNPSIAFMVAKR LLLRHWLLVFDEIQLLDVSSANLLADVLTWYWRMGGVIVGTSNKVPDELYRNGVQRER LEPFVEALKLRCPVTVLDGQKDWREVQSASETDGRSWFTFDQRSAFNDIVERYYPVDK ESTSRMLRVFGRTIYIPSASGQLCKFSFSELCNETLGPADYLTIASNFSVIVITDIPI LPLSLKDQARRFISLIDALYESRCRIICLGETTPDKLFFPESSAHSEVDMMMAESVAE TQDIYRPNISSYDTPQMREAPSVPKVALSIDTLSIFSGEEEQFAFKRALSRLSEMTSA AYNRTNQWSPLPLSDRRWETELPHTGTVESSSAFIAKAEPRASAEYAQQHQLTQPRPE APSRSATYDSDDFATEASCETVGPSFKRPQAPRINADHVWGVREDWGPGAKAWGLGPK LYARPEKRTKLETDTEVKRSVERTPKD JR316_0002467 MSEAETRPAAAPRYSHSKRHASFNSPREVPLPSPTHTDSNPFAL FSAPTEPPRQPLTRQLSADSLIQPPRPPISRVNSDRAYPPSRPFSLPQTVPPRPAEPA PPPPTPPVPQPDDLPSTRFSSASLPPPSQIPFHTLPRANSDLAMPHRFPPLDEISSSS SSSSRDSINTSDSSDNASSSAPTSVRSTSDNPSSPSKSRISDSQSTNDASHLPRPQPR PVSPFRAPQPPPQRRPPPTPSDFHTAMRRFMTPSSSSAPSATSLPTSYPPPEPPVILP YDSADDSTSFSASNSSSSTAPASTSSRSQPPYVPFLSHAPPPPDSWIQVETTMNEYRL NVRLPGFSREGITLATKRRRILHVVADSWENGGGHFERRISFGYDADLVQVRAEFDGV MLRIVVPRRTSPVVWQSQSSRSGGIGYSGPSYGYSRA JR316_0002468 MSGQQRTSMGAGTLRHGSSSSHSSHSHPGGAGAGAGTMNGTENT YDSASSPSSAAAAERHPNPCLTPAQRLIERYERLNTPPPPQTPPSERSTFRREYRTDD ASASVERRYSAGTGALRTPLDAESHRTSGSGMKKDRSPIRQSLKNLFSVLKKGAGGLT KKRPEERESLLALGAAGVGLAGAVHPYSEDSVRGINKALPPLADASGRPKKKMTGSLH YLTHSSSSPDSISWAACSVTLEPETHKLQVSSFTPASGNLPGEGMELHIHEIALSRCV NIRSLSTAQLTSKEAQLLLEEGGTQGIDALKVFEILFEEGSGRSNEKFAARSVRERAG WIGAIWDAILPIQDAKEYRPRTDQIQDAACAPSVCQQPVKYPVSSPRSDAPVSPTYLE RSLPALPPKSPISPPATLPLSLPPVAPLFTPKKGPSLHLDLSEFKTPISPPHTSQRTS HNRGQLTPSERVISPSIYPPTSRPVSTFIASPISPTSGLTVGSGSPCPNSPSIRNLSQ LSVVRQRLAQIERNHSELSAESRYSSACTTPTSGPVSPAGSGWSKREAVFYNAGTRTG VMSRSSSKAGSSKVVSPLNQVSTPDFSRKTEDSGQGKDDVTPKAKSQHKSKTATDSTP TWTMDLCSKDDMRKLSREVTSIRNVLGRETGNRSVQEIVIGLEQRAQGDKKDLRAIKD TLKVLGDQVAEVVETTKTRTMADAPPPSTIPLEREKSEKEDRKIVQALNEVKERLYTD LPVLVSKLQDIQNAQEKVASSAIVNATSQPAAVPPEDLSKSMDPKLLLDKLEEVKKLC QSPERGNTDDTIKNEVTGLQESLTKLLAIVQEDGNKQTLLAQQQADSVRYLNELNSWL ESFVNNGTSQIQGISANIERLCNDLGAGPSRPGTPGARSNLVNDIRQLVAGMKARDQN FASLQAAVHGLLEVLTVSQTQQGADSQAIAGLMERQRHAQEAMFRAFTSEISGEIKGE RLRFVDAMKEATAINVQLHVEQFKQELNKEVMAMTEEVGRLHREKQQIENQISDLFSF YSKHKQAEMVPLQFNLFLHTLIAEDS JR316_0002469 MSGDLKFRRWPMRASIGRGSLLTNYFSQNCVCVFHGIGFIFDVF QYVGGDAHTVPFDQAPLAVVRARDFMQKRVLQALNIPAQFNEVLSAAYMERQKMAFHS DNEKGLGPVVAGLSLGSPALMHFRLLAKHDPERRKRGILLSIVLRHGDILVMDGNAVQ NYYEHTVVPTNFRIAATARQINADHA JR316_0002470 MRNPIDIYDKSIANQEPLRSLFEKQIISGANNISADDIDRCAQD LIRNNLDAVNAWLELSFPANNGPNGQTILANNASARFFIEFHWINRSKGFHHARRFLD EERTRRKWRFRTGCVIQKGSKVASDKAQAKRPGSLSANAAPSHVMVSLKSNKNIHTKS DTVRCGSAPVPKYKNPHNLVALTSDPRRSTGSVMETSSTVPPKSSSSPTYHRSERLYS SQNKRKFWTTNPSSINNIESSVTSVHPSKRLRTVCDADGGTSKISAGSVKKKWVPVHA APSLLYVRKLRPRKANQDLSQDLHQSSTPNILTMDNQRVKNLDNTSLFSNDQQTKGTG SVPKLVPLDNSIAGDTKDVVLHEAIMDADETQTDGATWRVKGAKVTTNTDSKLHEWQE PRRSPRIREIESKDMNTCMSVTLLSSNTQNATGGPASNSRPRKKKECDLRSSQISGAL SRKRKKSSESNMKQYRIKLDSSSLPVESATQKGEQSTSVNGKPINDGFNFHSAKSHRK KMDNTIHLSVPSSVVDAKSFTKKKQTKNRFRKISASVTGSAINQRFKSDNVPITSQER DSDALLFDRQSERSKQSDKLDFFQSLDIQTNKVDLPVRTLPLMEVTVPTPAGIQHSAT CQGDMTTSLVPHSVSSVNSEHTVAEASTAVLESNAVQSNSMASECPSTSAYHVNQHVE ILEEELQQPDAGFSSKPFPIYLREVGMDDENTSIMPFDDDMNLPADLTYLEAEDVENE QDDVIDTLCRFPKTPLQFLPPLWAESRQEICESFDWFRSYQGGVYHAHNVAKGYLLGG FPARRDTFAHGGRLIISHGGGKAESIHSKQGQLSSQPATDQLAQDKSVRALWNTYQNN RPLVLLVDERYALFPYDLKAKNVSYAVLGFYTISDAWELTCPYFDKGIPWWHKEASDE SCFSVPSAANDDLNDTARAEIIAEGCNATGFTTTVMSQWSNNHDAASELKGIISHKAD DEDDIDSKK JR316_0002471 MSSLRLLSSAARRATSFSLTRRGYADVSDKLNLSLTLPHKTVFN SQDVVQVNIPAESGDMGILSNHVPSIEALRPGVVEVIESSGTQKFFVSAGFATVHPNN KLTINVVEGAPLEDFSLEAVRSNLQEALKTASGSGSEADKLEARIEADVYESIQNALA K JR316_0002472 MGLLYLGTPLVWEEAKKYADHVRNHGITQFLHIWDRFKDRQGDE LLWGDEVEYMVVSFDDKEKNAKLSLRQTEILAKLSAIVDDIGADCPDNISIPKFHPEY GRFMLESTPGSPYTGSIPDLLSVESNMRYRRNLARRHLNPNEIPVTFTSFPRLGVPGQ FTEPYFSPSDAVSSHSLFLPEEITNPHARFPTLTANIRRRRGSKVAINLPIYVDEKTP RPFIDPSIPWDRDIYPEDSEAKLGAAKPDHIYLDAMGFGMGCSCLQLTFQACNLGDAR RMYDGLIPIGPILLALTAASPIWRGYLADVDCRWNVIAGSVDDRTEEERGLKPLKENK FVIPKSRYDSVDLYISDDWINRPEYNDNPLPYDEIIYDRLRRHGLDDLLSRHISHLFI RDPLVIFSETIDQDDTSSSDHFENIQSTNWQTLRFKPPPPNSPIGWRVEFRSMEVQMT DFENAAFAVFVVLLSRAILAFNLNLYIPISKVDENMRRAQLRDASVSNKFFFRKDIYT NTSSTSSTASSSGGSSPIDGMPKKKEKKMKNCFPPRPQPENGFTHRFPVEEEYEEMTM NEIMNGKGVDFPGLLPLINAYLDTLDIELGDMVKIRRYLDLVRRRSDGTLLTPATWIR NFVKSHPDYKKDSVVSQEINYDLLKAVDEIERGVRKATDLLPVDYEGGNTDRGSIGF JR316_0002473 MSFGPVCQQCKEPLQIDGSLIDLAPSAYDMIVASLPSSSTPIST SNKVLEQSDTGQGSPSKAAWHHAKSSSKSFGSNLSSRAQGKQAQRSTPLPNESFVLLQ DSIIRNIPSPIPSPTRTRRSTTIKPKSTPTKTVARNEPQSRTQEQDHADPSPLSHHLR STARLFNLLSTRTDIDHPLCAECTQILLTNLQRKLDETKKERDGYIAFEKEVRKEKEK EAQTPFSKEETERRIERLKQEEAIAIEQLKEAEREREQLDFELQQLETSEKALELEEA EFWQSYNDHTLGLEHQASQLAALRAAYVSGVATLEKLERTNVYNDAFCIGHDGVFGTI NGLRLGRVPGIPVEWAEINAAWGQTLLLLYTIARKLDYTFENYRLVPMGSFSRIEKTT GDKANYELYGSGDLHFGRLLHNRRFDIAMVAFLDCLKHLMDHVKSQDSTVDFPHQISK DKIGDVSVKLQFNQEEAWTRSLRHVLLALKICLKWATNGANG JR316_0002474 MTASRIFALLIGIDSYKSGNVWNLHSCVEDAKKMKRWLANELNV PKDHICLLLDHHATKNKIEDSFMAHLVNNPSIEPGDSIFLYFAGHGSCMPAPVGWFLG QEEKKKGTVEVLCPYDHDTKSHHGRVSGISDRSLYAMLDDLASVKGDNITLFFDCCFS PNQNSVNDRDRSITRWTKTTKATSEDLYRDLWVGARGKLQNSSYGFFNPLSVHTLLAA CPPGHKAVEGKDGGRFTSCFLEAASHMPLYRTTYTALIDYLLEIDGESQRFVCFGSQK GKVLFNQVPFTPDSLFTPTTFDKVSKLLKVNLGTIHGVVEGSEISLHLHNYRCSFNPP IALSVVSEVNPTSCVARIKLQNIDIPELCWAKVIQWNNRRPFCVYFKSTLLSLLRIFK LKKSFPKEQDSVTPFKSGVSISRVTNPNTADLLLSLRQRCSVLAQKNAVYPEKDRHFV EIEDKSPLAVIDDAARFNLHLLRHNVDNPLRDLVEMEISRLDPISWSKVGHSYLLNGR ATLIHQNGAVYDIALHNKSKIDIWPYLFYMDPNCYRSTLIYKPDSYQCAPLPRDGSLS IGAGRFGAEALSSAGKNNVNLAYLKLFLSSVPVEMELLEQDPLPYWIDQKTQVARNIL TDSGREIIWDTALASLTFLRHPDGNS JR316_0002475 MASYLSTEQPIKFSQETESLVKRLAGPSSGKAGLAKDQTEINRI ISDASKGSKFYENEKKKDKELTIKINRILKQRDEAINGVDIAKIEAAVDQMINKIESQ RDLTQIIVHVDMDAFYALIRNQSITLYCEEHSMTAEECVKEMRETVFRETKLTVSAGI APNKMLAKICSDKNKPNGQFQLEFDSESIKSFMHDLSIRKVPGIGRVNERLLDAIGIK TCGDIYTQRAVLSLMDKQFGLVFLLRTYLGIASNTVEAYRREERKSIGAERTFPSLRN IQQIHEKLAEVADELERDMEENGWAGRTVTLKFKLNTYQVFTRAKSLNHWVTKKEELF EIGKELLAPELPVTIRLIGLRVTKLKDLRDTGTNGIKRFFEPASKNGSPRKRAKLSNE ELEDHDYMEEAMPGYHEHDETDYKLEDDSFEGDEIIDLTNIQENLDTSISPSRRPPHS TSNAHASASDLGPPSRPRSNSEAKPFSPKSIKAVETHECPICSKTLETDNQGLNAHVD FCLSRGAIMEAQGSATKIIKSTATYKGWPKPEPRKKFNAKPENPKKPGSSKRGK JR316_0002476 MNRAFSTINTVLKAMSNPSLKDFSTCEISDALIKLSVPHGGHIP DIHMTCPSPDVKLCSPAYTVQMVLASNTTAPKLTAHFVDTAPAGSVIVIDAPPEAKSA VWGGLMTAGAQARGVTGVVISGRCRDLAEHRALGFPVFSRGHSTLGQSPFTRPSAINI ELTITPQGPGADSFPAVTIQPGDWIVADEDGIVCVPRDLEAQVVDLAFKGREIDARCM EDIKAGKGIQQSFKQHRGK JR316_0002477 MNPRSPPARPHIGIHSSHFSKNADWGEDDAWDSTSDSESPRQST LNSSWNRPPAASSTTAPKMVPRTSSNSSSSTLAFSYTHLQAPSSYPPKSDNSLAEAAP KNGWTMIRTSHGGKDGEGQSTQRAQTKDGEYDRYSTGSGDLDVEGDMILGDLEPEANA TDTNDAQIPLQSKPKHNYGSIRLDIDDIVTDPLNGIINRSRLRGSSPLPTYQSENKPI PSTHQRERSEKILRENSIRSNRRHKFVECLSSQDVNISELRKLAWAGIPNDLRPMAWQ LLLGYLPLPTPLRSSTLKRKRGEYQNMVDLAFARGREGLDQQIWHQIEIDVPRTRPGV RLWMHETTQRSLERILYVWAIRHPASGYVQGINDLVTPFFQVFLSAYIDSEPEEFDTS LLPSHALLAIEADSFWCLSRLLDGIQDNYIFAQPGIQRSVRRMAELVARIDAPLSAHL ESQNVEFMQFAFRWMNCLLMREISVQNTIRMWDTYLAEGPDAFSQFHLYVCSAFLVRW SDKLRKMDFQGIIMFLQSLPTQDWGDHEIEMLLSEAFVLNSIWHNAQSHFNGK JR316_0002478 MKRQADKQIARDDREEEEIEENPGQGFKKADENVLATRVIRGLP KRGGARAPDNIPSPTSSPLAPASTPGITTFNTGPIGTPVTSSPFSTGVAFKTTTETGD KLPNASNNFFSVKPSFSPFTTTLSQPSSGFSPSSNPFSNSPSSASNTSKTFASIISNS STANPLNPTPQTAHSSSSAKPFNDSVPEKYYTDLRGLNTSVLSAITKTVESDPFIDIS ELLEQYKTLRLKIQKDFDESSSLDPKPTPKSDMSAPVVSNTPAMPVPPASFTGFNAGK TTTMSPTPATTGSPKSSLFDFKAIGSGASNPFAVAKDATATPSVFGNSSSTTPIASSA TPPPTVPSFFAFSDSSTKTSTSSPFGTFGNPAPSVFNVGGTSTSTSNTKSVFGSLPTK ATTPFGGFGSTPTDKSDKPTSFNPFGGPDKPPGSFTFGKPSPSTEAPKTASLFGSGNS ASTFGGEKSSTENTTVEKPTSDDATPQTTVDNASPTTTEGADPATSGLMASINPHDED GEGEENEETTHSSRLKAYKLTKDAEGSMKWVNIGSGLLKLKKDKTSGARRVLLRNSTN GKVIMNFNLYSGLKPTQTKNSVTFMGHDAGTSQTYSVRMPTETDAQELKGALEREIAF VKAKEP JR316_0002479 MSTTSTATPGSRSSSPLTPEPSESPEPVTIQGELDLTSSWYLSM QAKSTLSPWPSEPSVYAPPHKSEEEQMLRLDDLIEQHAYEDSPSVFNSSLSYTSPPTY PQNSNNTPLTRDLQSESRNTNPLISSRHTPLSLTKPIPPPPASLPRKTDSSILNQRVV YPPKESCFNMPIMFPSIPEGGTKSRVETQVRVTVDLADSSSSSDPYKYDRIGSWKWLK LPQGTATKKRTRKQGKIDPDPQDMLHLSATITCASPPHNRVLSCSSCQSREAKRVAKK LAARVRPARSESEVEGDPSKPSRPKHHEDTTSIIQFNCAEILDFSTGSVVLPLRITCY CRHHREKVGFNVHFSMMDHAGRIVGSGMSRPIMITDDHKTSTANKPHELIGSFTAMEP PDWAQGSGMINELSSISDSRAPSRRKKELSSNTGPMKPRPKPYDSSSKPNRVSREGSV SSAPSPSTSYSPLPATRASTPFSNLQTGVVLESSTSNIPPPLHDGVHGSETSSPDTLA TPLDGNSDIQMPELSFGASDLQGDRRLSTASATQHPANLIMSTLPHSMPYMFFDPTQS SQTVQVQPPTIHRLIPNSGPTHGGIEVTVLGANFHPTVQLNCVFGDVAASSTQRWSDN TLVCVLPPRSMPGVVAVWFEGFAKADEQTHTPPSLFTYSDESDRALMELALQVVGLKM TGKIEDAKNVAMRIVGTAGSDNSDSNHGNNTGMMQMGTSPSIRPLLFNQGQGDIKDFE KQLLQLLTVLDTSIGSAAPNAIPTSQAISYPSATGQTLLHLSAFLGLSHVTRFLVNHG ADVDARDRNGFTPLHFAALSQSKLCSNILLQGGADVEIVNSLGKTAYEIATTGFFEEL PSSLYCDSDSDGEWSEDEDANLGDAEGDDDLPSRRVINRRVSRRLSRLSLLQSGRGTP RRSVDVSRAATPPPPPPPPPPPPTIDVGSEDDKKGEVDLNTADAKRAASFMEKMIQRT LAQIPAQGIIPNMPQLPLPHLPHLPDLPAVPWGALPQLPMVFPVFVPMMPGWPSFFGG EPGTGAPGERMHNEGEGGPPNMGAGAVALRAAQEWRATWEKWVALAVATTARQQTEEL PPPVYTPRAGDNESVQISAQTQDDAEAQEPSSSSTRPSSEIRPVGYDSTPVPDKVVES FGYQPPANQTQKKHHQDGPSNEDPSSMSPHK JR316_0002480 MYAKLTKILCASLSLACLVPKAAAASSHGPHLSRHAELAKRADS DVQLFRRVTGSRWTYYNVETGNAGSCGKKHVNTDFTVAMNAAQMNPGWCFKTIRMTQG GKTTTATVTDTCPGCPWGGLDLTEGLFGFFIGHWPVGGGVLSGDWEFTDAAPPPPPPK PTSKPPPPPPVTTNAKPKTTSSIVSHKPTSTSTKISSSTISKTSSSVSSSSTIASTSI DYLSGPASGLAIPTGSIDRTDSSPSNLQDLNQFLIQVGGLAMAAGAL JR316_0002481 MKIGASLVFSILALNIPCVISSGFWHYLNRHAHSLALHGAGGLQ LPKRAAGSTWKYYNVETGNPGSCGSKHVNTDFTVAMNVAQMNPDWCFKTIKLTQGGKS TTATISDTCMGCDYGGIDLTEGLFAFFVGYWPTQGGVLIGDWEFIDDTPPKPSALFSP PPVKTTKPLPLVPPLSTSTVTSHKPVASSAITPSTTRATSTSATANTTIIFSMSASST STPSSVGYLSGLASSLAVPTGSTKAINNTSNIEILNSVIIQLGGLVLAAGKD JR316_0002482 MFAKILCISLLAATLPVLSVSHNARHVNRHSDLAKRADSDIQVF KRFAGSHWTYYDVEETGNAGSCGKHHVNTDFTVAMNAAQMNPGWCFKTIVLTLGSKST TATISDTCPGCPYGGLDLTEGLFAFFLGRWPEGGGVFTGDWEFTDAIPAKPVSPPITT SKPTVTPKPAPASITTTSKHSSTTTSRKFSSSSILSFSNKVSSISSISSTSPVSPTSS INYLTGAASGLAIPTGTIDRTGSTPSNLQDLNELFVQLGGLAMVAASL JR316_0002483 MSPCPNYLTTRKVIAKSLASLSGSSASSYLSRGSVRLASSSTSA VSDSAKSEKDAQHAIPEPLTLLHKTRSLLPRIVGKGGPGFQQMAEVSHTLESVLSKAY QNGSCVSTPSLFNLSSGYLAQFPIPVEISELRSPSLPLTSSFSKVHETLRDILRSPTF LESDIRIIVCNPVTMPINAILEEHLPSKTILVLSSNFQKKELEAVVHQQMSRHNTHSL HPRTQSRDFHIISADPGRAIRAIRILQANPTSPSAIQKYSTDFVESQLSQVTKSLHDK LVPTKSMKTVQRKLGLERVQDVLHWFSVAILRTRNELDNAFLDQTSLKETLEEHRARI EVDIFQGRGQSDEKTPINIVSEAIKQAERDMRPVMDRLTWWQMIWRVDEISNIVATAV ERTWCSNLEKKLILETGHLAALQNETSESALSLLSSHPTVATAVLKNTLLQIKRSPGY YLTPESLTQPISSRRNQIIEYPTMRLHITGQRAVLGMTGGIVGGAGIGWAGWLGWLLG SGEGMLGFIGIDAGTAMGVGMMSAVASIRWAVGRWEKSKSRWWQDLARVGEGLERDLK VKLQTTMERQVVILGETACDGMEKSIRAQEKELADVVARIEEIQAGVDEVLHGSQCKP JR316_0002484 MSPKKSLTATNLAIYQQLDCDLYIHNVYNDTGSSGPHAATASPS ELSKAHFKRGLDWEAYLYSWLDASQLLLKVPSVPLDGPSSLLENILADDRKHFFITGL SFWPPKAGLADRFSSFRNEPLTFGLAKPDLLEIKQTDTGIYWRVIDAKASKHVKTSHH IQIYFYTLCLNYLLTQPHFRNADSAGIWLAPQDGFDVFPPSMDDIKTITISLLSPTLD TLLFRDLPKIISKPVERVKWHYNPLCRGCRFESECRPRAQAQGELGSMPNISIDDAKT LQDLLRISRVSSIPNSGVRLPDIEELHQLVGNTTKFETIAKSSPIIVKRAKHILGLPK KTRMQNVAAYSPAIEAVRRNNVQVSQLPEDVAKKDVAIVLSVVNDPSSPNSNGDYFCV TTHCKIANIVLPTSILCSASAIIVELAGLLRLVDAVLKDCGSPCTSQFYVWSSSEQSL LQTHIINAALTSTSNDQDIRMCIGALAQGASLLQTTFQPILLSGALLKFLGKGKLLKA EYKACLERMGLSSEGTVEILKKRVDNEVRRLQESTAPGYQQRRKELGQLPRVVVLKKE IERQLALPIPGYWDLPECISILLPGAEACPSEEQIFAAYKDPRDAHELGKLLLRRNTC VFYLLNELRNRALSTNGTSLLVNDAKILSTQFMDLCRETHIRKLFFMQQFEVLTKLSE LWQARIDACPEAPTLEFCNVIQGINGVEYVFRLVSGAVDVPSSDRDYAFYDKLLVLDT PGVSGMEDSVPVEALFDDLGVSGLVFPLNRYTRASWYQQHGRVQNELLLADVRNVYAD RDRPHTLVSLRTWGTATMKFEKGAIYRLSPRLIDFNTAKVLSSLFEIDLHWGSEEEFY EDDNSHPHRDIPFLQLIVEPTSLGKVAVARHYVKIEREIQKLFRDLKDLGNSVAGTLV LKASQHTATQRILSNRLSVIWGPPGTGKTYTISLSLLRLIEVERRQCGPQQKVVFVTA VTHAAIEACRNKLVHLMDAYRSIDDLPSKWLDDVKIEVVSKGSDHPAPSKCGSNVQIY AGTIYQLYNFTKKCSIQVDCLIIDEAGQISLGSISLVLRSLSPHGRIIIAGDSEQLAP ILSGQYPLLKSNVLFGSVLDCIMFSKSATAFAEKSRSSLPSSDDDADMTSTQGTIVQL IENFRLNPDLGEFVSTIYSRQFKPQKFQARQLAAALGTLAEGGCQYPNPSVQQNITSA VQKFFVALSDVMLRRPQSVLAEPEVHSINPFALLKGTSQLAVAHRPVSLSLIQLRSWS AHTPLIPYELHVHGEAAVAASLVASLQKCCPNDDIFVATPHRIQREAVKAALTRANSQ LPMDVAFEKMHLASGDRKPNVIVDTIERLQGSEAAFVIWMAVVHHCDPVFFVFKERYK IAQFLNDATSFEYERHAKDTRPNGEVYHEVSSSSDTEVRNAHTIATSEPSGCSPNSTT LASSDDIQWFLAFTCIIMVLLGFLGFTNFSRSLPLNDKLLHFLCFCIATIVFYFIVDV EESSRRIWFWRYSGLIFTTITCGFFGGIMSEVVQSALPYKVFDWGDIFANLLGSTLGL VVAYYLERYYRHRREARKALLLSKQNNQHLFVQQIARLYRPISSSYSDLEEDEEDIDS TQLLPTHNGHTQAKHAGKSTRLTDVWDEREELFDIGDGDDENDQSQLQVGHSLQRPEM PRIVISHL JR316_0002485 MFPPSTAQAGDAPIRSTDNDAAVARLSAVQKGYLNDPYIKYLVP RAHLISPRPPLINIGTYVRSAGIDALVDQWIELSARGGLRCQIISLGSGSDTRFWRIA ARQGGTALHSEKYHLLPADLRLSPSDTIEPMLFSANTEYPSTLDPSLPTLLLFECVLV YMEPQASSRLLEYFIKVFERAQRGVLGCVIYEMFGLNDAFGRVMINNLKERHIALPGA LPYTTKESLSNRFLRAGFIAAVAVTLDEIKKAYIAREELERISKVEFLDETEELDLVL AHYAISWGLFLGSRELGSHWGHWGLTKKS JR316_0002486 MPGLDYFSNSKDVASTKSTSTDTVVETAPGVYYNARLDPKNFLE GPLSLNPATRLRQMLARPGIVVAPGICDGISARCALEAGFNCMYQSGAATTASRLGQP DLAIATMNDFVQAAQMVCSLDPTVPVIADADTGFGGPINVARTVRQYVKAGVAAMHIE DQVQTKRCGHLMGKQVVSREEFLTRIRAAVIARDSIPGGSDFVIIGRTDSAQVLGMEE AITRLKLAADAGADVCFIEGVKTEELLRSTVAALAPKPVLVNVISGGLTPSFTSQEAE TMGAKIIIFSLVSSVAMVHAVRAAMRSLKKTGTDFTSAQGMDPKSFFEVMGLNEVIEL DAKAGGSAFQVV JR316_0002487 MSFISGETLAEHASRDSCWIIVHSKVYDVTDFLDEHPGGSKIIL KYAGKDATEAYEPIHPPNAITDNLPIEKHIGAIDPSTIVKAIKEVTEEDKRRQALMDA RPPLDEILNLHDFEAVAKAVLPPKAWAYYSSASDDEITIRENRAAFQRVWFRPRILRD VTSVDWSTTILGCKSSLPIYISATALGKLGHPDGELNLTRAAAKHGVIQMIPTLASCS FDEIVDAATPGQPLFLQLYVNRDREITKKYVQHAEKRGVKALFITVDAPQLGRREKDM RMKFVDDGAGAKVQENQKDVKKDQGVARAISSFIDPSLSWKDIPWFRSITNMHIILKG VATPEDAILAYEAGCQGIVLSNHGGRQLDTSRSGLENLVDIVAALKTKGPWPNPNFAV FVDGGVRRASDVLKAIALGASAVGVGRGFLYAFSSYGQEGVERAIEILRDEFEMNMRL LGARSISEIVPEMVDASALRSHAGLTPTDNLYNTTYQPLSLAAFKNKL JR316_0002488 MSSIFRGLWASLRFILSPLTWLFSITTAEEVRRAIEPPPKINSV QKVVDSSENKKYYNPRLDPKNYLAGPLSLNPATRLRQLLARPGIIVAPGICDGISARC AIEAGFECLYQSGAATTASRMGQPDLAIATLNDFVEAGEMVSSINPTIPVIADADTGF GGPANVARTVKQYIQAGIAGLHIEDQVQTKRCGHLMGKQVVSREEFLTRIRAAVIARD SIPGGSDFVIIGRTDSAQVLGMEEAITRLKLAADAGADVCFIEGVKTEELLRSTVAAL APKPVLVNVISGGLTPSFTSSEAERMGAKIIIFSLVSCVAMVHGVRAAMKSLKVTGTD FSTAKGMDPKSFFEVMGLDEVVSLDAKAGGTAFKLV JR316_0002489 MLSVTSNLTHVQQGGSKIILKYAGKDATQEYDPIHPPDAIENNL PPEKHLGPVEPNTVEKVEVRITDEEMARQERMSNRPPLDEILNLHDFESIARQVMPEK AWAYYSSAADDEITHRENHSAYHRIWFRPQILVDVTKVDWSTRILGHKSSMPVYITAT ALGKLGHPDGELNLTRAAARHNVIQMIPTLASCSFDEIVDAAQPGQVQFLQLYVNKDR NITKRLVQHAEQRGIKGLFITVDAPQLGRREKDMRMKFTAEDPSEVVKSGSDGIDRSQ GAARAISDALKAYDLGLAGVVLSNHGGRQLDFARSGVEVLVEVVTNLKQKRGLSFPND KFQLFVDGGVRRATDVIKAIALGATAVGIGRPFIYAFSSYGEQGVDKALKILQDEFEM NMRLIGAKSIQDITPDMVDATNISSHIASTPTDRLYDSNYESMQHAKLREIKSKM JR316_0002490 MPPSPAIPAPWVQDVDQEELLKAYEPEFGGDILAIIRLMTRPKR WALHMIYPPLKTYVKDRIALIGDADAFVMYDNYRRPLANKTLEQSLVAGDNLECFIPG GEDVTRQRIRMMYEDQWIQNLWHHDFDEEVTKILEEF JR316_0002491 MPGLEFYSKTETAPPSNSRSESRPEAREDLNDGPSRPGVYYNAR LDPKNYLEGPLSVNAATRLRQMLARPGIVVAPGICDGISARCAIEAGFDCMYQRYTFC RGLKFLLAYTDLRFSGAATTASRLGQPDLAIATLNDFVGAAQMVCSINPSIPVIADAD TGFGGPVNVARTVRQYISAGVAGMHIEDQVQTKRCGHLMGKQVVSREEFLTRIRAAVI ARDSIPGGSDFVIIGRTDSAQVLGMEEAITRLKLAADAGADVCFIEGVKTAELLQSTV AALAPKPVLVNVISGGLTPSFTYHEAEKLGAKIIIFSLVSCVAMVHSVRAAMQSLKKT GTDFTSAKGMDPKSFFEVMGLNEVIELDAKAGGSAFNVV JR316_0002492 MNSLLRPADFRVCRSVQLSTALRTSTYSKFPTIVLSANRNQLFL RHLSHSPSRTAEATVSVSEAESTKHTVTEDPFVYHGPLTSAFHRLKLFSLGSFGVSLA LSPFIFIVDSALPLNARIALAGIAVGTSGMSTTLVAWCAKPYVTEMHRFRPDGVGCAE EVEMTTYTMTLQPRFTKVYDPSFLIETRRPLARWELAQRISLTRSRTDAVGTNVHPSP GEEETVAETRDSKGEVLGRWIVKWEENGAGTCHEVGHVVR JR316_0002493 MDLPIYYSKAEFIETDTGNKVSRRATIAGPQNIILGGKTIISSG AIIRGDLRRTGPGHAVVISLGRYCLVGEGCVMRPPYKTYRGNFNYYPMKIGDHVHIGA NTIVEAAQIGNHVEIGKNCVIGKFTIIKDCARIADNSIIPPNTVVPALSLFSGSPGRF QEDLPESTQEMVEAQTKQYYTRFQALDQ JR316_0002494 MLSARLSSSSRATQYAGLTRSMIVRNMNSKVNGPVIGIDLGTTN SCVAVMEGKTARVIENAEGARTTPSVVAFTKHGERLVGLPAKRQAVVNSANTVFAFKR LIGRQFKDKEVQDDMRHWPFTLVPKSDGRPAVQVDNGGKKQEFAAEELSSMVLSKMKE TAEQFLNKKVNHAVVTVPAYFNDAQRQATKNAGQIAGLEVLRVINEPTAAALAYGLDR ADNAVIAVYDLGGGTFDISILEMQKGVFEVKSTNGDTHLGGEDFDIVLVEHILNEFKK ETGVDLRGDQMAIQRVREAAEKAKIELSSTSQTEINLPFITADAAGPKHINTKLMRSQ FESLVGPLIQRTVEPCKKALSDAGVKANEINEVILVGGMTRMPRVGETVKSIFGREPS KGVNPDEAVAIGAAIQGGVLAGNVTDILLLDVTPLSLGIETLGGIMTKLISRNTTIPT KKSQVFSTAADGQTAIEVKIYQGERELVRDNKLLGNFNLVGIPPAPKGVPQIEITFDI DADGIVNVSAKDKATGKDQSMTIASSSGLSDKDIEKMVSDAEQFAEADKARRALIEEA NKADSVCADTEKAMNEFKDQLDATEKDKVSKLVTELRELATKGQSGDASITADQIREK IGETQNASLGLFQKVYEKRAAENSSSEQPASENKEEKKD JR316_0002495 MSGASPKIGGEYGDIRAAIDIENLNAYLSKHTNIKTPVEVKQFK FGQSNPTYFLTDAAKTRFVLRKKPAGQLLSQTAHQVEREYAMLAALHRYNIKPTTPPS KRVPVPEPIILCEDSSVVGTPFYIMEFLDGRIFTDTKMLEVSPKDRRECWLSAISALA SLGAVSPSEVGLSNFGPSTPYFPRQIKSLSRVSAAQAEAVDVESGKKTGDIPFFHELI AWYKQNLPDESKTGLRIVHGDYKLDNLIFHPTENRVIGILDWELCTLGSPLADLGNLT MPWSVDSNNIPEQGRFFMHGFKNTTKDVPISLEDLEREYCRLSNRPYPIDEMIFVRSW MLFRALQLVMRDDKQAQKERIYMSLVSRCSVD JR316_0002496 MFANSLTREVSLKVGPVILEVLVKHYFDRLKGDADSAGLEGNLK QDDVLYHEAFTIVKSFLNASSFHTIEELQAFSNTRTPSPPWTHMVRVLVPMSCCEDAA PYLITALGGEDVAQRLVGGVKWWQVRGVNGVDAQWLTARKDWQDAKRRHKKRQQQSKK NGPLNPSSPVGDVFPDSSSPTDGAFSSSTPEGEDQDKNEGTYEKNMDEMRCILYLHGG GYYFGSVDQERYSIQRFARKINGRVFAINYRLAPQYPFPCGLQDALAAYLYLIRPPAG SAHRAVDPGHIIISGDSAGGGLSLALLQVIRDTGLPAPAGGVLISPWCDLTHSFPSVH INTQTVRRIPFIEELTSSKIQQDIIPDSGLSFHKPSLLWPPPSEDLSNRVHASLRFRI RQAFKSDESARQTQESTFSEAQGDAPVTPVAPSKALFDPEKVILQTESGETIEVTQQL QFYARNSMLGHPLVSPVMAYLGGLPPLLFIAGDKEVLRDEIIYTAHKAAYPEKFPVQD RTRDLCPHLDGVEKRYKATSVHLQVYDDSPHILPVLFSFTTPAKFCFRGIASFSKFVT GLATVPSSSSSNSPTPTPPPISRRQSLFAGFKSTPRRHEKEQYVPALVVNGTAFADPP EDKGPAQPKGVKPVLQRSFSSHLSRAGSILRRQTSSPGMIVTSSETSARNQTLSRDVG SPTSRGSVTSSDVGGHRFQTSPAHSITPATEELFAGDMAVYQGIKDIHSWECRMIRER ISTAGISRPLEPETELDAIQMPPERIGIFGEKTTTRFFKERALFEKKFAKVYKAVEKQ RRRNLERAKTDTIKKLGILKQSIRRDGAAAVNGNKKVLRENVLASPGWGWAWALDGEY PPPSSIVSRRDTEEAQKLADIADKAVLSGDQTFSGNNLWAVVINFLTATPGRQTHTLY TKASVESSEGTSSEAGTSTSGENRAPRKQRSKLSLFHLWKRSSSGERQRELS JR316_0002497 MGIPDEQIFPHATAAAAETVAKHQEPQDLIFYSGWFCPYVQRAW ITLEEKDIPYQYKEVNPYKKEAHFLALNPKGLVPAAEYKGRALYESLVLCEFFEDAYP SHKPNLLPNDPVDRAVARIWLDHISKTFIPAHHRLLQLQDPEKQHQALQDTYASLKKL ADNIKGPYFFGEEFSIVDVAIAPWIVRDWVVQEHRGFKREDVSPGWKAYSELVEKRDS VVKTTSLREHTVEIYGRYLRDEAQSVAAKAIRAGGVIP JR316_0002498 MVPAASQALLYSDFEQAALLPGFQSGQEATRRGEDFLQPTRPSS RLGFNRTILSTNSPEAFPDSRAVQWSHSLFQSVGISDLRLSSIKPHLQAKADVKAMEP ATLTPVGTGQECQTLNANNPKSQDFVRNSVSRDCIGSPVAPSKSPKGPPPPVSRLCFP FVTTSSRRNKAPPSPPPTRHKYSCFPSPMSPSSTGRSLPKHQRHNYRQHGYSRLALQQ IKWFWSTREEDWEGYTMYHHDALPYEGVPPKGNQSLPTSRPSTPPRLLQTDVFSEDLP SLTIHPRRGDISALRDPYCVHIDRCFANVPTWTIGKTIWMHEMHMVTDERNTDFRLSS HEDVSDAESECEFEMSTSTGFSDDSDSTLVESESETDLPNILASKLDIKASNQFESGQ LFEGGSSLLIGSHLPCNISNLDVGHWFRTVNVLHAKASPRFTARTKKGRPLNWYRRWE LLVELSRGAWDRTHQFFETPAPAPLLEYGLCASNTRRFFLMHKEMDSEDDA JR316_0002499 MNSYPPELLAQLAPVMFVAGLDLPPAPPPASPSTPAPVSKPHDA FQVLTLRLREALLAQRKVAIWQPEKNKSFQVILVDRDVKFPPRKLVAPDDPQYSAAHS PLSPLTPTSPLHPDGLIAPIWIRKHTSLLPSVFVLFLRIFEYPPHVSRTPLDLPDADR ERDRETEERKKDTELAADVAARKKITNERGIKLTVVLMASRKMLDDPSLDARLTFIRR QSGLDSRAALFVLSPVSASELNEFVRSLQQALYEPAVEYYTAHSKRVRRKRNRHSQAI SSYPNTAVALGGLPIARPLRPEGWTVRYEYKMACFAEFRSEDEVALKHYQDAYEMLTI MFGSTAILPPRTKRWAEAKVLADCINIKIVKLYLYNNEHALALSHHNAHIRVFGDFSR GWGIGEETFEFWSWIARQHRVLAELLEQGTRSNLVLPVHKPVPASAAASQQTTRPSHN VEYDAVRSLGINPSHALQHPGFYYYVAARCTEMRRERFMAIADAELSQKPIALSPGYS NEKKVEHLAIINELYTKAYELFKKYSSASNQGQGRLTLWIAYRIAQTYYEAGKFDMAV RFFERIAKTYRRERWNSMLRPLLSTWYACAQQLGDVELSIKLLVEMLGHDATDLEDSD SLEEDLVTVLKSTVPATPDETLVIDLADAQPIFDSDVIFWSPEVKVGEQVAFQVTLTA PSTIGISSIPFSWVALHFGDDVSPVIVEHQSSEKKDDVQVVQIGQVIISENERPTVKA DLRWSKAGSIIFTGSISSELSSIVLSIEENGWKINITSEPRAARSNPRLPARWLSSLD PLRFIHAPREDYSSTLVKLREHHLHIAFHHRSPSYLDEDYPIEVEVTNTDTRELDVIV NFLLQPTEIDDAVNWMVVDDVRSTSLVKGIHLGVLVPGTSAVKTLHLFNTGAGGDRVV DVSVQTRTKIALKTPDDEREDDGDNDGPETEADDEGRTDSRNDTMEHLKLLVVPTVKA IQITNNVVYRRALDPWSGLADLRTFDESFWDSRQGGEALVNFVMTCAGPWSIIIQRIE LERLDNSHAYIINSSTDIDDEEYLAGDEYCASSRIAFSLNEEEDTKQEVIEGPGRYVV TWRRYVSSHDLRVFQKGELGPTAVSFFPLPSLQPPLNDLVALLDVPPKATLHVPVSFS ITIRNYHPTRSANIVVQLEGDSLDAFVVSGLRNARVPVLLPGSEEKLVWRMIPIECGY VKIPRIKVIDRRKPLSPSQSAGEPGVPADVSTGDTIKVIDLRWDARKASAVHGDPESA MPKETSAEEADEKPFTILVLP JR316_0002500 MALIEIIANDRLGRKVRVKCSPDDTVGDLKKLIAAQTGTDASKI QLKKWYTTYKDHITLADYEIHDGMSLEMY JR316_0002501 MPVSRPTSTKRPQTPLTRLMKTIDIQGAQPAQEGVEHFSYVVCF PDEAEDDAESSADFVARRPNTSRGFRFASVESNGLYSNDFIKDKFLLLTKGAQKLIPT AMAEALLRRRLS JR316_0002502 MSLYEGSIDDNDPQIIYSDGWELVTSDGNWHGTMHSTSTIVSSA RVRFTGTRIAFICTIPTGDGQLSQASFVVDGGAPVRIAHATTPPVQWQTTFWDSGPLP YGSHLVTMTNTGNDAYLRLDRIDYDPTTGNAPSSRAAVPQTKTVVGGTATVQSTVTSV VVVSESSGAVSSSSSVTSTGGQSLQTGTVAVPTNSNTSPEPSSSAGAKLNDSDTGSSP DAQKSSSATPPVAAIVGCVLGALLAIALIALVLLFYRRKKSQRAMSAYLDESAIHGGG GTGAQGLVGSRWRGVKRTMPTPFSIARGSTSNLAPQSNTEPSLDTSPTGESSNISLTS LSSPFNYYDSSRGVVSASASVYHHASESSSQAHGSYGSYMYQYNGAAGAGSSVGGQIT GGSSSKHAEFVAEQQHQYNQRQQQQQQMQQIDPRAVVFGRAGTLSNRALPLPPPPSQS QLQSQSHHQHYEESDAGGAPSSVGPFMDEVPPPAYPGCVVHNLNNQGGGGATQMSGSG JR316_0002503 MFAHRPLALYRPVSLLAVALLFSACLLFLFVSLSLTIIKPIYLF SLVSTAPPPESAPLSLATELRFGIWGFCAYNALGVTPLCVGPTLGYTIPSFVATDVPG LSQPIVDAVQQALFAILILHPITAAVSLFPLFFSLFLASHPMAITALILSVVTALLAS AALAIDLALVLVARSQLKNVADGSIHFAFNFGPAFWMIMVGTILTWLALIALSARACY CLGVRR JR316_0002504 MSAILVLCSLLHIVAADDSTSEFQHDPYLAFRPQFARSLPVQIM LTGVVLTLVAVLFIHLMFTAQYHWPLAPVNYVLQLSGVTTLLISLIATIHVVLSATFA ESEKWPYMLSYIAVNVPPLDIDTNTEGWSVAERATWLVMNASTSGLIQITHIQFLTLL YPSKLEGRLIFALLGPLAVVAAVMQLLPISGNTYVNNIASAVRNVCNATLSLLFTLSL FIWGLLVNRRQAWRTDGGTAVFGCAALSLAVVSTALNFLYVHKEEEFVWLPALMWAVV LWQSFLGWWWWVGAGSGGAFASEDENMEDKLRRQAKRDARRREKREKKKEMGRLRSTM LARSESRNGTGMLAVPTSSASASGQSLSRSSNAYLRHGVSPSSIAPREISQTNSSEPL TASPTSPRTNTNTNTNSTSLSDTTTSASSYASSSLRTRPSGRLPQYLPGVVWRWYASL RREHNAAARVQAAERVERMREIGAVPAGSAGAGPSASAAGPGSGEMGIEMTDVSTRNA EDDPLTVEKAAARNASANNNKNNNANASGAAGARRGQVEVVGWGWGWEGFGWRRRQRR AERDTDAQMERDFEFERQGRSRGRTRRRRGAEENGSGSQWSSASSSDPSDEEEEEEEE DDMYVSDEDRRARRRERERERGRDRVRRRTAAAQQHQEQEAQAQAQVVPNAPTGAEPV AATRPRSVWWWGPLWRWRLQDSTVYR JR316_0002505 MACSPLASIRQVLELGHPFVPTHRDAVEGLHLPGVRPVHAQAQP EENEEVQDRDDASDESHAGRGEAGPVQGQILQAQNQQAPVVNPHPNPNANAAHGGDGF IKAKLRALAAAFRRLFPVMVLERWIRQVIRNQ JR316_0002506 MSDPLLPPGLPLPPSIQGSGIRAEVLGFPSLSKHIEDHLPGNEV LDEDQRKLYLATGAMEGNLYQFIKARKGRALAGGLVSSILFQTLLGLNHIHSNGYFHR DLIPENILITTQGLFNYLSILTNTTTAPDAVEEKDVVVIVKISDFGLARELGSEGPYT EYVTVRWYRSPEVLLLSRNYTSAIDMWAFGAIAAEVLNLSPLFPGNSIIDQCMKICEV LGSPSDEARLDENGDRLDGGAWPEGIRLAQIVGYQFPAIVPRPFSSFFEPTVPASLLS CIKNLLEYDPGKRLTSQACLSHIYFIETSSHREVPADLQRH JR316_0002507 MNDLPTEQFGNTLSSEAVTSSTVLPGYNVPVMEHPTSSTAENTV TSSLSPISINNDVGVEKSSVYINQIKEPLGNLTNQTMQIALLGEPGTKQEISELREQI RGQDLKHKEGLIEIQNILDEILDRQVQETMREKVEQEITNQIDELVREQVAECLKAHI PQELQDEVAMSKKELEELNLRLHNSESRRTNGNLRHNKTDDLLATMLKADGTVSAQYP KDLKELFQLDGDTTKALMNEYELPEPTTSRDHNLNRFMQFCGVRYQLVTRVPSYVFYH D JR316_0002508 MLGLWARSCQRPPVSIFINLKGSNSPLDSTQKYHVQVAFSIRNW VFRDINASYITRSPLRRFNSTKATPPTPRPPELLPKRSLLSRFIPSSLTSKDANGSSS FGKIMSLAKPEWKPLTMAIGLLLVSSAVSMSVPFTIGKLIDFFSTTNPQIPFGLSVWQ ASGGLMLLFTLGATANAGRAILMRLSGQRIVGRLRERTYAAALRQEVEFVERGEGDVL SRLTTDTSIVGESVTQNLSDGLRAIVMSTVGLGAMIYVSPKLTMLMLAVVPPVSLGAV FYGRYLKQLSNKTQEAMGEMTKIASESLTALRTVQSYNAIPQEEEKFHQKILKVLALA RKEAIASGIFFGSTGWSGNITLLALLSYGGTLVSHGDISMGDLTSLLLYTVYVGSGLQ MLTTFFSSIMRGVGAGVRVFEVLDRKPEIPYGTGEEVPGNQFETIKFERVHFEYPSRR GIEILKDFNLELKAGESVAIVGESGGGKSSIHSLLLRYYDPVQGKITCDGKDIRDFST TSWRSIIGVVPQDPVLFTGTIASNIAFGNPGATREDIEHAAREANCEFVWGMPNGFDT EIGRLSLSGGQRQRLAIARALLKKPVILALDEATSSLDATSERRVNDAVDKILRSRQT TVLFVAHRLSTIARAERIVVLEGGRITESGTYRELVGRKDSRFRVLMAAQLNAAAGEK LYLGEEIETEQDEDDDLEITEEEGGSSVSEKTRSASS JR316_0002509 MSTEAFTESWLEGPKATQFYTRTYPASTSPPKAVVVFVHGFAEH IGRYSHFHPILASRGISVFAYDQRGFGLTGQDTTGKKSKSSAYGKTSWKEQMLDIDWA LGHVKTSFKGVPIFLMGHSMGGGEVLGFCAQGENGPYKSTLSSLSGVISTSPLILTTT PAPKFQLWIGSKLSAILPSTLIPVGVKAEQLSHDPEVNGAYLKDPLVKQSGSLKGVSD MLANGEKLLSSDYANWPKYLPVLILHGTEDKVTSHKASKELQSKLPADFKELSLYEGG FHELQNEPDGVKEKLADEIIAFIEKHLSTSATYVVQTEMVPVESSTEPTIPTEASVEP TGVSPARAKM JR316_0002510 MSDLLGGDFSSSFQSNNSDEIDFERAASAFPDISLDGDTDIPSA PPAAGRSNSGFAFDEFDGTGFDQRDSAVRVTGDDEIDKFESDFPDIDVPQQHYVPPQQ TSFTPTFAPQPQPSAFSSTPILSQTIEDEPQVIKDWREKQQKEINARDEASKIRREET IDKAERAIEEFYENYAKKKERSIRDNKNSESEYLEELRSSLASGTTWDRICNLVELQN SQSKTIARTGAGTTDLSRFKEVLLRLKREGDAAPGAAGY JR316_0002511 MANNDSNQEILEQDKGSESQQKSRLMKEPHSAPNSMNASNNISV RSSDLSTAQGVSEISKIDEEARIYAEKARSLMQNCLAQAAFKDLDHAIHLLRQVIKDQ PFRVNALETLAHALCIRCAYKSQPIDLQESLDLYLKVNHNPPAEDPTPLVDVNHEYTD TLVEIAIKSLSNFTSSISSNSLDIIYSYLQTSLAVLRPREFPEHLWALHHLALAVYSR FQQSKSIDDLDNVILCLQRGFRCENGNPEHPRMRQLLGIVMIERYASLGDPSDLEKAS NLLKNDSDITSVVFVVDNPPKEVETFHNLLKRIKDATLPDYDQAIHDLRQTILRMPVD HDDFPISLISLAALLISQSKGGSVGHYIDQAIILNKRALPLLPAEHNSRSSVILNLVV ALQIRSEQKRQRHDLDYAILLLKNSLACIGTSQLDQPRFFHSLAVSLEMLFEHTDELA CLDEAIVAYRQAIQCLDQSDEKRPTFVNDLATALQTRFRQVGERGDMEEVVLLRQESI ELLPHFPFDPDRLALLVRLVAALQTLFRQTRKLEDLDKVISNLRSIIDYLPAFHEMRG EVTFHLADSLASRYQMTQQQEDLEEEILNHRKFLRLSGADDPNIPKCLNNLGCALSSR FHLSNQKKDLDEAIYSHRKALKHCPPLHPDRLSSLINLANSLERRFQHSGQRADLDEA ISLNRIAESVENAPQSTRATVLNNLANALETLFAQTGHMEDLNQSIMLHRKALELRPK SDPDHASSLNNLGHVLHTRFKHNGQITDLDAAIQIQKDSLELHPPTHPNRPYSLDNLA TALTERFQNTGISTDLEEAVLLHREALKLRTTSQFDRSLSLNNLAYTLQARFEVTSEQ ENLEEAIALHKAALELRSGNHPSRHMSLNNLANALMTLFDQVGRLDDLNEAIELHKQA LERRAAPHPDRASSLMNLAGALGTHFTQTGQRRSLDEAIICYREALLIFPKSHPLRPM CLNNLANRLISSRSSEIFGQQDNIVEEVISLVAEALKLRSPPHPDWSLSVFHLSGLLE AQGENLPTVDQYNLLNKSVILCKSAIDLLPDQHVHRQAYVGRLAGLLNARFKISRQIE DIDKAILLQRQELLSQGPSHPDRVIFLTNLAGALQARFYYGADHNASDFQESVALNRQ ALDIYPFQHPGRFTCWANLGKVFHQAYTILGNPLHLEESLSSFALAMECPSRRPTSSF DTATLWSEIADKSHHDSALMAYSMALRCLTEMASFSLDVFKRQNILRSVSDGLARKAA QSAISRAHLDQAVMLLEEGRGVFWSQILRLRSPLDKLQIKAPELADKLKTVASELERG SYRSNVNIPDFESKISLEREASRFRRLDEDWRNCVEQARNLEGFEDFLKTPGLASLKA AALNTPIVFLLPNEQHSDCLILTSSGIHHLSLKDVSLKILRNLVDLVDYNAMRTTASR SLSNQTGVETSVEEVLNTVAEELDITRDRSAGAFSRRPRRTRPSPNAIFAYVLKILWF DVVKPVIDFLGFQKSDVDDLPILQWCPTGIFTFLPLHAAGCYNIDGTSNECASDFIIS SYTPTATLLLPQEEPPLSNQPFKMLAVIDDGLPFAREELAKIRSQTSSDPESMVELGV ANKPATIETICSILQTASIAHFACHGIQDQSSPLDSALLVGDGRLSLSKVMQFQPQNV SIAFLCACETGMGDSIIPDEAMSLGASLLFSGYRSVVATMCIMNLKGHALFRKMTDED GPVISEAFYEYLFRGADGARASRPNIRKSARALHTAALKLRLSGVEFRRWVPFIHLGK GD JR316_0002512 MDPFASYLRRSAEGTKRPRSSDRSALGSLPQGWVNTQSNQDSPQ HDSPLHASAEALLLLNNPHFHHEKSAEPYLFSEEKENANNQFGGIPITAPVPLSYAVR GKAALRSILSIGEDDDEDGINGDSVPQMLNDSVPSSFPHIEITAPTPSPILPIVPLFN IPEDTALIRNQPWPEVIGGPATRPFVRSISPSTLMALSEPCLLAWCFDPNLDFSANPA ENSVGVQQVYNYPIAEAVYEVPISTDCMIPATPRNVWGY JR316_0002513 MRFSLATFTLLGLFPFAFARSPEHRPTKPKFPPGPPPKISCTLS ASGGDDAPNFLKAVNACPTVTIPKSTTLNIETRLNMTGLRNKHISLEGTIKFSPDIPY WSGNGFFIPFQTQITFWLLGGENILFDGGGTLDGSGQVNFSDDPSNIGVYSSTLNSRF GGTLCRYSFLALSLPLLKRTKRLISASNSSLLRPITLTVFQAKNVLIENINMINSPEW HNLVNEGQNISYNNININAVSNSSHNAANTDGWNVYRSDNVAILNSVIVNGDDCVAFK PNATNVLVENLDCTGSQSLGQFPGMFDIVENVLAKNIKMTKAQNGARIKAWAGPNVGS GIVKNITFENFLETAVDNPIIIDQCYMTNATACAQFPSNTFIQDIWFTNITGTGTKST VASLSCSPDGRCSDINLNDISLSFPSGTTSFFCQNVNITGDASDLFPICKTT JR316_0002514 MLEVQTGPAMEIVQPCAPVILKPDGVGKPIDSPKPKKHKRFYMP EGDIIIQVENTLFRLKLAVLHEHSPVLRSTITPLQSGRALPIVGYNNKRPLILHQITE IDFVRLCSILFPSPDKPAKIFHTVDALLSVLRVATSFQMERVRNIATDQLDDLPIDPI RKIAIWEEFHLDPDLLLSAFATLCQRSEPLTLPMTMSLGIRNFTKVAASRDLYRQRVG CCGCRTSLSSQESQSIANEIVSAIFVKQPPRRLDKSLL JR316_0002515 MPLNIPALLVPFQLSIFPRLVIPALVVHDIRQVDFQALRRAGYR GAIFDKDNCLTLPHKDTLIPELQEAWKSCKETFGERNVLIVSNSAGTHLDAGGIQAES VSHHLGVPVLSHKAMKPAYSCITAIRGYFKSLPDPVEDNELIVVGDRVFTDLVLANRM RMQYQRRSSKTRPLPDASNENQESCPVPQGPLSIWTKGVWERESMLMRKMEYGLISLM EGLTVPPKEEFVNVGAFVKPFPVRKDAKPTGLLAFLKFMYKREI JR316_0002516 MEFFSQTYVALRGPTGAPQTPTEIISKLSDRLSPATLLADRRAA VLSLKGLARDCRQEVGEMALPGLLDVLFNDAEVDAEIGKAVLETLDTLCDTEDVTSGS RESGFKYTDKVVASDKTTHFLFALLADSYVRLPTLQFLTTLLHNRRQVIQSYFLTAPA GPGSIIAVLEDKRDVIRNEAITMVQALISQSPDIQKVLAFEGAFEKLFNIITQEGGVD GGLVAQSALTCVDGLLRFNNSNQSYFRETSLPPVLCALLQFPVSLDIQTTAPQEFALQ FWDTDKQANTSLIVGIMGMLVGSKGHGVQETLPYTRCLIEMALASNAPTALKIQALRL LPTNINFPLSEISLTPYVPVPDTNGEEWDRLELASALDALIELAIHGEYNGLDAGKRT KESLELRTAAASVFENFVRKDEIRQAIVQSMVPPEDPDSPQRSRTTPLLHALASPPNT ASALEPADVISTHIATLMFSHLLRSSPRAKASARLIIPGPLQPTHDQASNFFVPADGT PAEPAQEEPEDEDEPQTLLQILTENLSLALLSRSRTNASDREAREWDRYVVGYLCLLS QWLWEDPKSVKDFLDAGGLGVLVEPINQTSEVDSVVPGLCSFLLGICYEYNRQPGEIT RATIHPIINRLSVDMLIGQMARFREDERFKSVGPDSIVLSFPPPSLQPSVKPAVGTLD EGEIWFDWAFVDFWKSNYYTVQRGFSTDPDQLSSSAGMHLSEELNGHHADLLSGQSAE ATMLIASLRDVIRQQTGEIESLQKRLNEVNNVESQVAELQKQVESLHSQLASSETKRK DVEKEQEDLLVLLDEVTSKRRKDKARLREAGLEVSEDEADDDDDDDDEE JR316_0002517 MLLAGFETTATSLTWTLFELALHADVQDRLRQEIHQKEREIKAR GDIAFTPNDFEDMPYLTAVLKESLRFNPAAYYIYRQPSKDEVIPLSTPITSPSGKILN EIVVPKNTKITISINGYNRNKAIFGHDSQLFDPDRWLTPGRVNKSVNVGVYGNSITFS GGIRSCIGWRFAVMELQAFLVELVGNFEFSPTPEASMIRREACLVMAPTIEGHVKKTR YLPLLVKKAKRAL JR316_0002518 MRNSKGHLNGPTSTKGLGLSHKRSGFLSRISLGCTSINSFDSED FTPEISQLVNEKTISVDHLQLYQPRTFVPSVAYSPAAVRLDSNEEERAFEAFLQEYPE YQLTWILDTLRRTDFARLERADETYVDYMGGSLYPESLIRAHTDFLNGSILGNTHSVS NTSKLSLKCADEARQSVLSHFSAPSDYTVVFTANASGALKLVAESYPFTQGSSLVLGT DAHNSVHGIREFAKAKNAEVAYIPSTSRGGFDVQTAKNILLQHRPRSTDTSPSLFVQT SQSNVTNSKTPLSMTEYAASLGYHVVVDGAALAPTSIVNLSQYPIDAMAVSFYKMFGY PTGVGALIVKKSFLAQLKRPWFAGGTVDVVQVPGDIMTRAHEMHEQFEDGTINYLQLS AITNGLRFLSAYLPFLPLRLSSLIFYLTSTLSKIRHDTSGRPVVQILSRAPTKRLRSV GDQSDAGSILALLFFNASGEMIPNSFIEYAASLQNISLRTGCMCNPGGAAAILDIVEE MGRLYPGVTLQDFEDKMGRELGVVRISLGIATNFHDAWRVIQFVASIGNEFKRQKLWK QWAATRSDTGHGHAS JR316_0002519 MADQHTTFKPKEVHGLKKIPPYWYPYTTMTKLRWIGRELLEVVS TEFRDRSMEYYRYALESGVTTVNGKIARPDTILKDGDRVENVVHRHEPPVTSKPVKVL LHDVEREFIVVDKPGSIPVHASGRYYRNSLIEILVNDFGYEKCYPVNRLDRLTSGCMI IPLSASRARQLTAEFMNGTVRKEYVARVKGKFPSEEIVCEEPLLTVDRQMGLNIVHPE GKHAKTVFNLIRYDENTDSSVVRCRPFTGRSHQLRVHLQFLGHPIANDPVYSEKKIWG ENVGKGGIDVTPSENREAPAPPSHLQALVNSVGSPESLSKSSENVSGDSAATKLLPRE TGEDIGMGSPVPLSSEAVGVITRLRNMKDEDEDWSRWRDVVFRAKGLMRPKDLVIKPP PPQNKRKKGGRAEVVSQPPSVTDPDVLDSHHSVEGTAVCADADTSPGPAATSNALLAE DPTPTPTEEAASGSPSQLTLDEVTEKISAAPYTGLDVGPSNDPIYCPECYLPLHPDPK PEKLYIFLHALKYTISLGEFSTEMPDWAAEGFEWDQT JR316_0002520 MSTAHRPTWDPAQAKDVKGGSRQFSVRDMAAHTKLKFRQVGQTS ANEVKKRDLRAELLAAEQEARNKKRKAEGKPPLVEDTPAPADEESNKRRKLLEEALEL DKDDDDEEEEEEKDKGDDDDESEEDSDEEEDDTAELLRELEKIKRERAAEKERLEREQ SESAAASREAEIATANPLLNLAAALGQQTQGGVNTTVPGTFQVKKRWDDGKFSHLRDY NTYFDPISAISADLIFKNQAMTQKSTQGNFVNDLLRTEFHKFVFYHLKFVYIWLTLNC IEGNLWPSLLRLDIVSFSQPICLTSSLY JR316_0002521 MAHDFTLFDRPDLNLRTSARRRLPHPTSASATSSTLQNGVAHFS PEFVTYSEFLGILDDGQGPIANGVQPSSLLSFMAENLNPLNTYESDPFAAGPSRPRTH AYEIFPSPTPEYETGWIKDVFGKDQYVGHGRIEEVEDDDAEGNASIEEHNDIEAPRTR RLDTPLSFPFTNDVDRHAESDLPHNASPPPRPRPRKLPSLKATSDFQSVKAVSSAVPG MAPPVNSAPGLSTVLDDPTEVSLVKENINLLSLCAEDVKFMNTFFLAQSQDLSQHIDA CSQIEEYLRSLRDTLTHRVQMGAEEWNVRSSSWHQKYSKRLLSLRTTLQRLTRVRQLI ETQPLRPRQIQAILTKLKEHEAKIKDLASKYSVSFDRLRLRHLHFLLLQSHNESKAQK AQKTRLMSRASFERQWEASKAFRAGLRHAFNDLRQEFYNNPRAGRHTTAP JR316_0002522 MTALQLDSEESSNMVPGIKHRCLRRRSLRIYELLDPKRPVDVLS FDVGQIRAIVEMDGRIREGKHTTSIPAGYSEFAARFNEYTTGPERFATLSYAHGKLET YTPGIPVVWEQFLIDDSLVGWNSESSSSVREPTALLSQLPGSFHHTQQPLVSQLSDVG KIEFPQLQALSAYMKGALETTDQLAHFWIKRSGAIEHDYASRLATLAERTMGEKEPSE FRDAIDGFRVEAARQAMNHHTLAMQIALELEARCLNLRFDQILHWREVYEPGVKKLEE MLLQGRKPQTGISAEWKRQYRNVRHSLDLTRLQSMKNSIQSPFRLVTVSDFSPKPESQ PVQELRGHGREWEALLSSCRKMEVNRSQFMKDLLSSFTDMLGSVRGSQDESHTMIIRS FDLFRPKEVVEEFHKNFSAAGENHSSQNFSKDNGAKIPVQEYSLSPSNVMSPRPLPPP PTLIAPPSNRKFILVYLLCEPILIPISSVKNKGKEKETQFEIHDNASSNANPWPGQTH TIHDKKPGMRVHNQTQVQKDMISPGPKHTSIANVPTTLPLLSISRSTSLTGNRTVRRM PLPPHRREDHQQLHPLVTAPVYTAQATRPKLPKLNTQFDS JR316_0002523 MAARGHSATSLQRYATAKQPDIDLFNGSTSRDYCNSFWGLSDAG PNIMFARMRGASKTTDELRNFWNERSIIEEEYANRLAKLAKAPIGGDEIGELRNSLDT LRIETEKLAESHLELAQQMRLDLEGPTAQFHQKQVNHRRTIQAPLERKFKEKQVQESY VKKSREKYEADCLRINSYAQQATYMQGSDLQKVQQKLIRTKQTMQGNERDFAKFTKEL SEMLRTWEKEWKDFCDSCQDLEEERIDFMKDIIWAYANDISIVCVSDDQSCERIRTAL DQLEPEKDVENFVNEYGTGNSIATPAEFTPSNGHLDPQLPDSPVIRAVDYQRVTRRAA PAYHSDAAALTNNHPTSTSTDASSTHRSTNDINGRTDSPYDDTLSYTSQKASPPITSP PNQPIPPVPEAASAVAASSSSSSRPTPATTTTPSPAVAPKSNHRDSLPMLPQNSTSRA PARSQTPPPPLPQQGNRILFYVKALYDYTATIHEEFDFQAGDVIAVTATPDDGWWSGE LLDEARRVEGRNVFPSNFVCLF JR316_0002524 MPPTRTSNKNAEASSSSSKPDAKSKRQYQRRTQEHDSNAVPGVQ KVKAALRQARRLLAKDKLAADVRVETERRIKALEAELNQAEAAKKERAFAVRYHKIKF FERQKVTRKLRQTKKGLEAASNDAEKKKLSSELQDLRVDLNYILHYPKMKKYISLFPP EVRKGEAVSAASEAEKKKTDKERAEVRKWIREQMEQGDLPGEPEIELGSQHGKSRAQK WPQEKNAASAPSMSKEEVEERDEFFDDDDEDSS JR316_0002525 MTALKFMEGFWVTPDGQIIPRPLAFWAPHHRHWLLPLYFVLSIA WSLELYAVTHLEELAFWLFLLHQGPGKREWFHSWEFRSWYYGSIVAILGMPLTTLVSR REIEKTQGWIFLVGASASTTTTLAFLYVLARFPGFIRYVKEGGAEPDVVIRLATFYSL NRIRVMFRFLFTVPLLIIGIDAVKPPFPVINDPFAVDFLIMMGGIGCFISSAITLLIF FPRSITRESGYKAKIVTPHNTKAPSVGAPLPHYYHPHDQEPSSPKTHTVATPVSATHM SSFRFPNEQRSPREVQSTNSQAGYPRRSAESETSPGYESDAESIALASPSAVHFQSMT PRQESSQTATLRPTSGGRSDDTVWERHDDYSSTTRRQHADGPFVYNRQGVIVATIPAS GPASEEEEQRSNDRERAATPSLLHPYLVNFTSPIDLLDTPHAERPPLHPHISPGHAHM V JR316_0002526 MSFGKTITLSDGSKIPQIGLGTWLSKPHEVEKAVEIAVRNGYRH LDLAMVYRNQDEVGAALKKVIPSVVKREELFITSKLWNSAHQPQEVEKELNETLTQLG LEYLDLYLVHWPVAFASGRGLFPPHPTKEGQVELDTETSLVDTWKAMIKIPKSKVRSL GVSNFTIEHLEAIINATGVVPIVNQIEAHPLLPQDDLVEYCKEKNIHITAYSPLGNNV LGKPKLTDHDVIIETASKLNATPAQVLIAWGAARGYSVIPKSVQEHRILSNFQQIELS PEDYAKVSSIVEGNRTRFNIPYTTCDPVWDINLYEEEDEKPATHRVKIQ JR316_0002527 MASSDRRKLGLLNLTHGVTNGDSSTFSPVLCTPTESPTASPSHD SQIVEEEERAVRRLLESWMDRLQLISVLAIFFASTEATLLSITMPQDQYNPDLSIASQ AANVGLTGGLLLHILAAFISFLGGFFLIKYRIMWAKVEQDTVIMRRNHGSGSGSTDAF FPSPTAAERSDIDLGVGGAGKLYTATGNMSGIPQYQYAQPMMTTQHRVQEASADSTSH FCFTRPPPSLTESPRKRSRRRSIWVLKEYPSVYSSNHNRVTSGAGATTRGSGSGQATV NDLPGRKLHPLPTKLLQRFHVLCVILTLLGFVFSLLGIVCNVWERLPHVVGIACTIFV CTTLVIVLGMIFIPDLDPEDNLVYPSS JR316_0002528 MPPPKSSSGMGYERKKRNLTAIPITSPMAASSSSNYRYGNQHSA SIPGRSPSPPASAYFPLLSGDNTTRLRPTPDADAHFAYSTTLRRHQSESAAALASPAV FAAAVNAEATSLWTRAVNTITGRQTNEYQVVENGRETPPASREQSKDTASGKFAHMSA EATISYFRSSGTDGLLHTDINTLREHHGYNEFSVSSPEPLLLKFAKTIYESPLILLLC ASATISAIMGNIDDAVSITVAVLIVLTVGFVQERRSEKSLEALNKLVPHHCHVIREGE AIHVLANELVPGDLVKFATGDRIPADIRLVDAIDLEVDESSLTGETEARRKDTATCRF EHGAAPGEPVALAERTCIVYMGTLVRNGRGTGIVIATGAETEFGVIFSMMQDVEERRT PLQLNMDELAKKLSFMSFGVIGVICLIGVLQKRSWLEMFTIGVSLAVAAIPEGLPIVT TVTLALGVLRMAKRKAIVKKLHSVESLGSVSVICSDKTGTLTKNEQTVTEAYAVDETL FLDPSSSIPYTGTVSPAIKRALDIGALCNNASLIRNEDGVYVGQSTDVALLNVLQLFG VPDRRETFKRLAEKSFNSEQKYMAVSGIHEDGSPHLQVNGSPREMYYIKGSIEAIIDR CKFYYVSEESTPALDANTKNVIMTRANATAARGLRVIAMAFGYGSANPVNGLNGNGNT SSLGSTPHGSRAPSPGPNGAGDKAGEKTNLVFVGFQAMLDPPRKGVADSINLLQSGGV QVVMITGDAEPTALSIAQKLGLRVGRLGAGSGGAAGVSSAHCLTGKAIDQMTKAQLKE RVGSVSVFARTTPKHKMAIVEAFQARGKIVAMTGDGVNDAPALKMADIGISMGKSGTD VAKEAADMILVDDNFSTILPAVEEGKSIFHNIQNFLSFQLSTAAAALTLISLSTMLGL DNPLNAMQILFINILMDGPPSQSLGVDPVDPAVMRRPPRKKNAPIITKRLLCRVLFSA SIIVVGTLFVYVFALKDEDMSRREQTMTFTAFVFLDLVSAIQNRGLGCALFQNKMLIT TVSISFLTQLALVYVGFMQKIFQTDALGMHDLGIILGLAGVSLVLHEGRRWYERRIDA DDTYATVMEELA JR316_0002529 MEDTVASAGTTTPSNSHSIPHSPRSVRVHPSPSPLSSSSQLPPP TLPVRSPLRPPARSISNNSATPTLDSDAPLSPKTPPGTDEQDQTVTLPMTTHPMPSTP ALRIQMRDREREREREKDRDRDRENAYPYLNELIDPLLATIDSFSVDLLPAKQERERE KDRNAVKEFAPREREREIEKELPERPETPLSIDLEAEDDSSHSHSQHPENDQDDALAN PTNFTTSASAAAYMSSYMSSLASTSTSSPSTSTSTSISSSSRSSAPTSITSLSSVSEP QPQPRPQKHDTTATTTTKPPQAMSKRYHAMHELLSSERAYASDLALIREVHIPLALGQ TPMLQNIPLSPPPASGSSFAPASTPNLTSASSTSASAFTSTTTTTSNPTSGSSSTRTL STASDSSTASLGPAMAPEDVKVIFGNVEELALLSDAFADRLEVALGSVLDGGAEGDDT IGALFLECVPMWERPYKQYITRHPSALQHLQNLPQTPALTAYLAYTQRVASALTHAWD LASLLIKPVQRLLKYPLLLHTIIDETPDSHPDKENLKAAKERIEELARNVNEGRRRAE VVKDVLTSKGVKKPSAPVGVSAGVSLSKVKSLRHGGVTAATMRVGSSDALDGSRPGGT GGGSEAALVDALQGELKQIEVFAQQFARNVVDWGKMMSNMMLALRTWALSFAKVIGLA LDPHAASDAAGQQQHSEAFDAFVAVIREKLMPLSADLEAAINERLLKDLAHLLGTMTQ PLKLLASMGEQEPYHYHLLTMPLSAKNRPPAALLAASTNYLALRGQLAAELPTYLQLL HRGFSVLIRRLAELQTRFWRDVKEHWAELWDMLRVESELNVGWEETCAVWCARWADVD EVVKTLAITGPVPHLQPTPRTYFYTYQLPPSGAATPAAAAAVVASPLVAQQQQQQQPT ELAEYFAYPNFYMPMVPAPATPKDRRESGSSGNSNSKLEKHNSKSSGHSGKGAHNSNG NNKDNAAAAATVQSMFAALEPAHSPVHHKKSTINSSSFASSPAPAPAPATASSSTANV ASPSGGFVPSMVSSSLFGGGASMYSVSGPLPLGSVPVPRDKKEKERDSRGRGRGASDA SSNKAGYGTSGRRPASQDRQYPHYPQSPPPPPPLPQQHMARSPPPQSPPIPARRRTQG HVALADEFAEYVAMHGGALPPPYEGAREYPYSPPSGSGGNGTASSRQGISRMKSMPVS LGGHGNGHVQVDEREERVYRQEPEWEEYDAYAPQHQQLRPQERGRDAREHEQQRDREF DGKRLTKSPKRRSKEQTHSRKRSGSVKSITAFFTSSNPNISSTAAAAASAAAAPQTTA SSDPQPLTSAQRDSWASKPAKYFCQVIHPCKPPPSISYYSFPFFTLREGELYEVLQEA GHPSIHPKLPLYVDDGEDCLLLCRDGRGVVGWALASFLEPVDIVG JR316_0002530 MDRGISSAKYLVYYYCEQAMKFFIVFSALLASASTVLASPAEDT TSSLQFAQDGTAPNGCHWEGTAPFCAGSCAQGYTEIDRGGCGDGACCITGIKTLCCTQ AAAAAAKKKIANAKPI JR316_0002531 MPPTVEPLSKELYEELGSSVRGQVYRRDEPGFADYSTIFNGNVV SASKAVVCPLDAEDVSKIVLFCVKHSLSPSVKAGGYGTAGWAIGGDIIIDLSKLAEVE IEPPNEDGTFTSLRDVASVNSKGKKVQSNLSVASSGKRRREEDANLRHYDSASLAVAS FLRGPAFPPRSSKQEDGPSPSVRRRIDHHESSSSSLSPAPVISQTSSGSSNSGSDFRE QSSSTVGTTPSPPPEDCATSGNGANALYLPPSIGAPPGANPFGYLDTTNNFPSIPPPT VLPSSYNSLPVMSSWGTPSDSLISNGPFSFGDAQMQIPAEVEPIYPHAYVTFGAGMRQ KEIDTYTARQKLEARYITGSGDGIPYHVPFAAHPVGSSIMLLGGFGFLSRLHGLSVDN LVEVEMVLADGRIVVASEDEYTDLFYAVRGAGSCFGIATRYKAKAYPVPVVFAGNLLY RFNKATAPSLIKHFRDCVKGAPRELYANVLLTAGPAGKDSLVVIQMCYVGPEDKGKEY LQALSSWNGESCLLNEVNEKSFLHQQDSVAQVLRGKAGRQWFIRSALISSLPDDIINE TVLQFADTPVGCTWLFELAGGAISDFENTCIPKSQREASFTIAALHQWEMDIDDDRCI DSAEEWIAGTLKPVHVGGPLPSFLGRHEPAERVKACFGANWSRLCEIKGFYDPKNLFK NSFWPLDAEGEIVEPQTHEPPTPEFLTTSVRGQKRKIFT JR316_0002532 MSSNPSDDDKSEVLLSCRYGDLEDVQDYVNKFGAPSLTDVRDEN KNTILHMICANGHLDLLSYLLPLVSSELLSAQNVSGSTPLHWAALNTHLDIAKKLVLF DGGPGRDLIDIKNNAGLSPLGEAEIAGWDEGAKWFVEVMNLESEGSKEEEEATLDTED RSNREIEVEIEDADGQIAKMTISGSTPNAASEASVS JR316_0002533 MPLVTIFTRHDPLKSEAFTFLAGSLGSLSLTLWFTPVLWTFPSF LNNLRAEGVDTETIVRLTKFSELNLIRVVFRFLFTVPLLILGVDGVRPHNHVNESMLW TDFLTTVAGLGCCISSGITLVIFFPRSIEGEIAARDAAKERKRTRSAGYSASAADMDS LHRQPTTFQNNQVSTGGTYLLTSSPVKQTLSLDGHHDQSNDIAFHIGHNTWDNFDEER DIPAALPAMKPLRKKQGHDIEMSTVDTLSESNLSAHNLRVSGVNPMISNFRSPIDFRG VDASGNNSRLTFSSRKT JR316_0002534 MCKHILNAQVSIRAPCCKQWYDCPECHAESQSHKLAKTTEMVFM CKKCKKAFRKDMTVYEESDEFCPHCDNHYVIEAKTPQAVLAVEGEDARVDARMLKDDR MKQIQKQSVFDLDDDDFVDRLG JR316_0002535 MPMFIPSFSPSLGLVALLAAAVSSATACEDGHTQFHAKVFTPSI PLPPPTRQLDWGDINIIHTTDSHGWLLGHQKTSYPEPNYSGTLGDFASFVAHMKEIAI ERDVDLLLVDSGDLHDGTGLTDGFPAGGIDAHDANQFIKQLPYDVMAIGNHELYVYAN ALDMHRNFAPSLKGRYLSSNANITVFNENGQAVSVPVGERFAKFKTRKGRKVTSLGVL FNFTRNSHNTTIQKVSDMVKENWFVDAIEEEPDFFLLVGHMPIDLDSEWSIVFNAVRA VHPTTPILIFGGMSLSLSNQRILLTVDIPFVALASILKFPKILTVQLDGRSMSLESGS TLLDRMDEAVKKILRSAAGIWTQIVPPSRKFHTGKNEFDYDTLQGENITMGLKQLAEK FDLSFQFGIAPHDFTISQAPFPSNGSLLSLYIENAVPAALAINNTRASIPNLVITNSG AQRFDIYSGPFTRNDQLTASPFVNTFLFIPNVPFSVASKVLQGLNDAGENQSRGLLKS RERELYGSGYVDMIYNRWLAEQDRRGGLERRAAQNLTLGYVTTDACPGVGDDILHAPL PSYSIPEFISSIPPVVADDAPIDLIFVSFIETDLLQILNSVQTDKTFVTADVSLYSSV LSNEVLGLYAQYNWN JR316_0002536 MSDPSPIDFASAQICQATLDFLNSNNESDGSDDGGGSPPLSESS NEQANSDTEQVDNESLPRKRMRGIHTDPAEARKWYLWIDKILDLFLWLLRINGVNDVP SVKAMQGINKALQNLCGIETKEYKGKLGNVYFVNSLSQILAQEMSNPQVRPFLYFYPE DTGKSISETYQASGWLREINPEDGTPMIRLNNNDFFIFEPTMLIDGRCCIPIQWFLRE GVFYAKAWLMEDTPSGWVVSEDREIKITQSQLLKNFIQLSKDHLLYNLSHPSRIFVNR CAIHSGPGLSKWTRTDPTIGNRWRVLAKGYRVYSLPLWMYCDDTSGNQSKKWNKHNSY LFILAGLPRKKSSQEYNIHFLCTSDIAPPLEMLDGVVDQLQLAQKHGIWVWDCIHKEA VMIFPPVFALLGDNPMHSEFACHIGMQGKYFCQICWAKGVDSQECPHKNLPHDSCARP NFPTLSIHSDVDSEDTFTRPSHKRRRYKESMESMLRRIGKPRRKKETMATLDSFLEQA KMIGTKSKLRAAKTETGIKDVFQDFFIEKLFKSYKGKVSTQAKEKALKAAVDKLPGDI KSPVWKLGLDPHQDTPVKILHVVLLGFVKYFWRDLVQNQVTPAKKQTLIIRLNSLSVA GLGIPTLNGSTLVNYAGSLTGRDFRIIAQVAPFVIYNMVSQEVYDAWVSLSTLVPVIW QPAISNIDEYLPRLEADIKYFLLKTATWTCAWFNKTKFHIILHLPEHVRRFGPAILFA TESFESFNAIIRAKSIHSNHQAPSHDIARGFAQGNRIRHLLSGGFFLPQELYQSWKKD PTNVANSEWRTAGPGGLHLIDAPDSTPASYLGLQKPAASKAGSCKSNGTDPQPFHRTL CGQKLPNIVLNTAASQQLYVTNSQVYLRNEDLCTIGQFVIAQIHPSQPPLIGCVREIL QQVGSPNHLQNRPDGILIQTALHQPPSHILPAGQLQPVFMLRLILQQEWSFIPWSCLL CTVNTQHDCQRHGCQANGLQYIYQEQIQTDQTKATILHQNSLDDMLLLNMCQMRDAAH LQSFHLHSAPLNEDAIIQRSVAQAIVQRKVGEASQSSTSKPTKTPTAALRQHAQSPLG TPTLAATPSGGVSLPQHASAGTSSGGVSSPRRSRQASVVYPGQITLDFR JR316_0002537 MFAYSSSAHSHVLIPSTDDTSTPSVHNIWTPSIHDASKNRSTDD TWMQFTNNTSTPTALIHDVSTPSTRESMTSIHDVSTPSTRESTTSAHNASTPSTCESM LSAHNASTLSTRESMLSVYNASTPSTRESTTSAHDVSIPSTRESTTSAHNASTPSTRE SMLSVHNASTPSTRESTTSAHDVSIPSTRESTTSAHNASTPSTRESMLSAHDALTPSV DNMLTPPIVPSQSSTKLSLSLPQRDPSVQTASLKRPYNGLSNSHRSRKYQRTLQYNKH GHTPKPSLLSMLPSCQPEALNVKLDTLPATQGAYGAKPTKPHKSHLYSLDAIKALGFR IIPWDRCTPVPFVSEDGRIFMVLAGRPKDPAYERATEEAFDLLREAGHTTVFTHKDYS ENRGHYPALNIGVTHGVGTHSPLNRVQRHPKITAKLLQSESIQQMASFASSAFATWSP KVYNHYKLYMDKIFANDSTLVRLFRRSIFPAATFNLGSVVCTIPHFDIKNCPYGWCAI QSLGKFNAKKGGHFVVWGLKVAIEFPAGSTILMLSAVLEHSNTSIEHGEERASFTQYA SGGLFRWVDYGYRTEKELKRTNPKLYQEQMELRPTRWKRGLGMLCTLQDLISKAAFEV SGQV JR316_0002538 MSTNTLDNCLPSRLLSPKTPSPKKSFRKHNQDEDDEAPKKYLST LNAGDTPGSTCQSDLEDQPVVSKMPEKNDHSSKVPRNKTTISLNRAYPRSRRDLEAEI TVWREIAIEATREAVAYKNQL JR316_0002539 MLACQFGAEVRLHKALTATQTHLQGLGQLSSFLADYELQKDENF VLSNAQKMAIKSTCIKELLKPSRQSFKTLHIDVEAKMKKKPADNYLDNILNRLGREAK WMAHIQTVCTIQRNTLRKEIIQSITPGPKFTSVQEFAAGLIVKYCLPTSESGANSNTA YLSKHVLLWRFFWDHSSMFSAFELEKDDNNSEEENNDQDFGTPSTDNSSDSGHSATKN VNCKKQTFPLGKLAVGWLMAKTIGPKLINGSRGLTMSWEIIQLS JR316_0002540 MLLLTPSFVLGLLGSLAAVSTTSACEDGHAHDHAKRTTPSVQLT PPTRQLEWGDINIIHTTDSHGWLLGHQKSSFPEPNYSGTFGDFASFVTHMKELAIERD TDLLLVDSGDLHDGTGLTDGFPAGGIDAHDANQFIKQLPYDIMAIGNHELYIYANALD MHQNFAPSLKGRYLSSNVNITVLNEKGQVVSVPVGERFAKFKTRKGRKVTSLGVLFDF TGNDHNTKVQKVSDMVKETWFAEAIKEEPDFFLLVGHMPIARDDWPLVFNAVRAVHPT TPILILGGHTHIRDCLQLDGRSMSLESGRYMETIGWLSAKLDKKGSKKNITFSRRYLD PNRVTFEFHTRKNSFNFDTLQGESITKGLNNLAKKFDLSFQFGTAPHDFTISQAPFPS NDSLLSLFIENAVPVALAINNTRASIPNIMITNSGSQRFDIYAGPFTKNDQLTASPFD DSFLFIPNVPFSIANKVLPALNEAGANERRELLEERERELYGRGHVDMVYNRWLEEQD RRSGIERRAAQNLTLGYVTSDACPGVGDDVLHAPLPFFPTPDFIGSVPPTVADDAPID LVFVDFIETQLLQILNSVQSDKTFTTADVSLYSPILATQAAAGHIFGCVWPILGYNKT PYIEHEAEAK JR316_0002541 MLLPTTYTLALLQLLRSFPVVSACPDHDSNDHEHALNHGHSHTH AAARRAEPSILLAPPTRPLEWGDINFIHTTDSHGWLLGHQKASFPEPNYSGDFGDFAS FVAHMKELALERDVDLLLIDSGDLHDGTGLTDGFPPGGIDAHDANQFIKKLPYDVMAI GNHELYLYPNALDMYVHSDTQQTLKILIDIAVYRHQNFAPSLKGRYLTSNVNITLLND AGQVVSVPIGERFVKFKTRKGRKVTSLGVLFDFTSNDRNTTVQKVVDMVQESWFHEAI QEEPDFFLLVGHMPVSRDDWPLVFNPIRALHPTTPILIFGGHTHIRDCLQFDGRSMSL ESGRYMETVGWLSAKLDKKGSTKNITFSRRYLDPNRVTYEFHTRKTEHNFDTPLRKSI TKGLNALAQRFDLSFLFGTAPHDFTISRSPYTSETSLPRLFIEQAVPVALAINNTRAS IPNLIISNTGSLRFDIYAGEFTKNDQLTISPFTNGFVYIPNVPLGLANRVVPALNDPE QANVKRHYHGTLEYKRRLDEAFRRYGVERREAANLTLGYVTSDSCPGIGDDIIHAPLP SFDVPDYVSSVPPQLADDAPIDLVFRDFDEPAVLQILNSAQTTTEYTPDDVFSYSPVL ATQVLGLYAQAAWN JR316_0002542 MSITRFKLVFFSPKESTSKILDHLFRACPKTLGNIGEYERCAFV TRGTGQFMPKQTANPTIGSAGQLEFVEEDRVEVLVSDATSTREEVKQAIKELKNIHPY EEVAYDVYKLEDLRRHRFILCSAHTY JR316_0002543 MNLSLYSVQAFIVLDTEGNRVLAKYYRPKSHPQGESKNLLTLKE QKAFEKGLWQKTKKAGGDIILYDSHLIVYKHSLDLILYFIAGPTENELMLYSALSSLT DALSILLRNALEKRAVLENLDLVLLCLDETIDDGIIVDTDSAAIASRVSRPRADTTEI VINEQTLMSAYLTVKEKMQQRIGQL JR316_0002544 MRAAQKLYIHPELSDSEVWATDAYNRSGKTRLAAVVAVFWTSVL STVAVCQFMGYLDVRALQTNPWFSAIL JR316_0002545 MSARRQPSTTSLSKFARTNSPISQNRSLDFCNSFWGIGDGGVDV LFARMRGATRTMEELKVFWKERAAIEDEYAKRLAKLAKMTLGRDEIGELRNSLDTVRL ETDRQAGYHLNLSQQIRTELEGPAAAFHARQLHHKKTYQTTIEKEFKTKQTQESYVNK AREKYEQDCLRINSFTAQASLVQGKDLEKIQLKLERTQQTVQTNEREFASFSKALQDT VQKWEQDWKSFCDSCQDLEEQRMEFMKDNIWGYANAVSTVCVADDESCEHIRVALEQV EPDKDMENFVRDYGTGNQIPDPPAFVNYQAPDAIPSSSARPTSRIANFARATTRELPL RSSSMPPDDEPSINTAGIGAGGGGTRRADSYISDSEVSRQPTRNGAGSSSQSQQPHIN GNSSHHATSSVGSNSAAASSQQAVRRQSTVSPPFTQQQQLQRVLQDPHAETIDPTAET YIKVGNQAYKVDLNNDPQQQASGSSASRQNTTASPSKQNGGVDPLMKQLEELKNAVST SGSVRRNTLHKPKTGSTGAEPKPGHASTPSISSRSVGPASSSLSLPGGSSAHAGGSGS QNRSPSPARDYRNSAEIVVGAHPSASRPVSPAAPTAAFMLPKSGTPVGAEIVQEVLAD YQQSLPGERKSISRSNSVNREHAHTMSQGSIAAPANAGQNLARPPSQLGHAGIGAHGG SRSNSPQPISRGPSPAPNNSVGITGRNSLASPTQAGPGVARAPSPNTVGIALDPSGRV LHDEMAQRYQQQQQLQQQQQQHQIPRQVIPPQTVQPTYQPPPPPVQQQPSPIQQQPVQ RRNSYVNPLAAPVQGYAGMTPPPPPQAAYQTPSPQPSYVQQQQQQSVPPVYNQPPAQQ YQVPPQQQQQQRYGAPQQQQPQQQAVYGNMGMNGVQRGYYGNQTPPQQQPVQQVQQQG RVVQQQQQQPQQLRGYQQQQQQPSQLAYARDPSPVGRSPSPQPPPQITDDGSNVLFYV KALYDYTATIDEEFDFQAGDIIAVTATPEDGWWSGELLDEARRQKGRNVFPSNFVCLF JR316_0002546 MAQKQNFPPFFLWLSIIISAISLGLTIPEARSLPIFVALMFFTA CYHSTLLVSSLVNSRSHASTNGRSTFYMDPLEGAHVGWTLGFTMFWLTVFTLKFGAVV KGIAEEDKDIVLGILSGVESILNIQLLCWTIIKWRKAGTLWQSSYGLRAADDDLESGE MDDLIDEEDIMEIVQFLAEHMQRAGLTHCQHQCQHSNSHQYFHETPVKDDMLDREKEN IRIFPPA JR316_0002547 MLSESQNTLAWKISLTFIHALAISSGCIRVSQRWRKKLMWWDDY AVIPPIVCNFIGILLFWLQFKNHNTITWDTVDEDSNTFLFSWWLYFFVGYSMLWGSRL SLSLTLARLFMPGNPCRKLALVLSGATSLLYIASILVTTLGCRGSPWWKLKIETCFTT TTTVSFGRLIATLFALEFISDLFLIAGPLTLLWRIKFPPTERLLILSLFSSSILTLLA SILYAILYYATTFRRRSDSRLLFTAMGHIQACLSLLAANILVVTMLIYKTIRKWQAAA QRPARPRVNSARSHKAVAAMGAPVQNSLDDQTTTTESITPQATILLSLTVLSEIRSYP GSPMDAYGHFAIRSAELSRGTSHNNDQTSNISVEERESRVQ JR316_0002548 MDDSQAAFVQYPSYFEIDMMKRSMETAGGPDAKKARWSPTSAFS AQNNGTAVQNNSSRSDAFANYGYGPNANIAQPAFNSSQANGASFAGSPLYSTPSLAVN TQTNGNVMNAQLSPNTAAAFAVQQQQQQQQQQQQQQQQQQGSPNGTYNAFNAYNMLGM GMPGMGMLGSFPYNGQMGNFAQNFAQQRLPSLNLNIPSAQAGAYSPVALTAALNASSN ATGRTVYVGNLPATASVDELLNLVHFGPLESIRVLPEKSCVFLSFLDGATAAAFHADA TIKKLSLHGQELKIGWGKPSPVPAQVALAISQSNASRNVYVGGLDEGMTEEQLRDELS RFGLIDQVKIVRDKNIGFVHFLSISIATKVVNTLPTEPAWAGKRVNYGKDRCAYIPKS QQAAAQQAQAAAAQSLVAQQAMSPQQANFAPFNNYTGAPFTPVDIMGAGNMANGMGVQ AMNRTVYLGNIHPETTTEDLCNAIRGGVLQSIRYMQDKHIAFVTFVDPAAAFTFFQVA SYQGLTLNNRRLKIGWGKNSGPLPPTLALAVHSGATRNVYIGNVEDYEAFTEDKLKRD FGEYGDIELVNFLKEKNCAFVNFTNISNAIKAIDAIKNKPEYANLRIAHGKDRCANPP RSGPQGGSGAKRMASGNGANGGDAMGVGLGVGALGDGNDDADAAFLNGDDEHVDMHVD GIQTNGATL JR316_0002549 MTVNPAAWKGSTFVRLGHRWATKRMWWDDWIAIIPLLGNILDVV IMWLDLGGGVESNSMNVLFSPWFGTFLFYIIVCAWYNMVFKNCVNNKGALVGLYATMV YFLLVISPLTMLWKIKLPRTQRRLVLALFSSSLVPLLASVVYCVIWGLSSRIGPDFLL ILRIMSQLQAGISLLVCNLLVVTMLFYRIVRREIVPDPAAERPPQPQAAPSTEKQISE STRNTSTLQTQLRVEEVNLEAQSTIITQQSSLSPSLVLTSIYDESTQQSSFPNSSVHE STFSRYDEENNINASQFSWSFSEKSKASHSPSLPP JR316_0002550 MSPPQPSQHTVAWEVSTLLLQIVCAGSTTVRLVHRWRTRRMWWD DWIVFIPLSVDVFLVVIDWLAATAVALYIPNVLMPIFECENQLVKWYRMPLIDCVKNR PAPSAAVFTSLALDFVADILLIVTPLTMLWKIKLPKRERTLILTLFSSSILSLFASTA FCIVWALNARFGAQSRMVIRMVGQIEAAIALLVSNLVVVTMMFYCILTRETISAEDKE ASPRTVCSLPTTSQTEGTGGTSQKESYTNVATEKAKPNEAPESVESQTTSSSEQDSTT DISFTTIFEDSIQNSSMFCDSYSKSYGTRSQFSGMYEEDGYSCGSSDSRFPAWSFSTK SAKSS JR316_0002551 MTSFYVSENISFEIPWEDVKSDRAKFLDDPNILARNDTSRKQLR EPQYTPRSGRVVAGNKRVAQYRNTQGYKSGGGQVLQSITATPALNHSSFEELRVECYA QSQIAKGCPPSVVDPVKAPWAVIPPVYNAFLDTSDDQKETDTVLTDVTMKD JR316_0002552 MSFSDIRYILASDTLLASFIPLIILVIGYKHFSFNVSNLRDLLS VGYRKRPVYGYFSLPRAYFSFDQYAQLSARELSKMRASYRKLGRANEKIGFKIGYPKK LDRLQEEIANNATITAGITSLALDEFPSLKTNVDAPIDPNSADLGRVRESLKHYVRDW SSEGKPERARIFAPILKLLQKVPANSRQDLKVLVPGCGLGRLAWEISELGFDTTANEL SYFMILALRFLCSEKTTKSVNQHNLAPYAHWFSHQLTNESLFRQISFPDVLPRFSSNF HLAEGDFLELKAPLSHTSHLKGYDFIITLFFIDTSLDVLATLNHIYNLLKPGGTWVNL GPLLWTGGGQAKLELSLEEVLLAVEEIGFILNAGKTGAGSRKTIECEYTGDKNAMMRW IYKAEFWVATKPQSL JR316_0002553 MFKLLALCSAFIAATAVLALPTAQDVLKSLIYVGFNTPDPQIQY ELDLNNTMVDAWTNEVVNTHNKYRAQYRAPPVTWSNDLYAGSYHYHIGLDPIFL JR316_0002554 MRELAPIFHAVGRKVQQSLIMKANEKPTKVDMLSWMTRLSLELV GKAGLGYTFDDLTDNSVEHPYEQAAKQLAGSYSQNAFLRTIITPKITTIGSPAFRKFV VEHMPLKFVQEMRRIVQVMHNTSIDIFKSKKALIHWEGQNVSRNGKGSDIITALMRAN VTASTIDKLADDEVISHIKVIHTLALHQDVQVKLREEIRQARKISGEQGFTHDEIMSL PLLDAVIKETLRLYPPTVTTQRTARRDTVLPLATPIQGINGDAISHIDVPKGTTIVVS IIGSNRNQTIWGVDSQEWKPERWLQPLPESLINARVPGVYSHMMTFLAGVRACVGFKF AELEMKVVLALLVEALEFSLGTDTIIWHMNAIAQPYLESNSNAPTMPILITVAKA JR316_0002555 MAKCIKISTFFALIALITSVSALPSSQSVDVEPAELIKSRAADD SWMNSVISSHNTNRAAYGASAVTWNANLYPGALSWAQACKFQHSGGNYGENLYAASGS SNIMADGMKAWMSEASKYDYNNPGFSSATGHFTQVVWKATTQVACASANCPAGTIFPT LPATYLVCRYSPPGNVMGQFRQNVGRHV JR316_0002556 MNEKTDILLLGATGYTGGLITRYLSVHPQRAHFSLALGARSADK LKKFAQELGLSGSVKFVQVDVTKEDEVEAAVKSTRVVINTVGPYWLWGTPVVRSCVRN GVHYVDLTGETTFIRRIITEFDYFATKTGSIIVPACGFDSIPSDITAYLANKTLKSLG PTPTGEYLAAGESTSAVMTVGGVSGGTVASMMVALEAVPKNETKESMLPYSISPFIGL KTQPFRFLYNLVIPGSKTLTGGHWVMAASNKAIVERSFGLLEIQNLTAKNKDSQIARY GPQFSYHEFMVTSGAVSAIVLTATLLIGFGLLLVKPIRHVAKAFLPKSGDGPTDEDMQ KGFLKMTNITTSTSSPSLKVETVIKGKGDPGYLLTAIMISESALCFLLPPVSESSKIQ PGKIGNIHSLPPLAQKGGVLTPVTAFGDVLIQRLEESGRFEFSSSVVNDAIHKKDA JR316_0002557 MKVTVTFVAIAAGSLVLGAMIDAPMGLKKGHNATAFNNTKPILN PVPQKSYNTVCQDDAKKNGIKNPQNWVWSDEKEYCVPNALVSSGPLARRGWINASRTV ANSSQRTTVMGKPVHGLGAGRLAAFAAALLEEAAGKDIVGPDAIQASHWLKVLNGVTR QRPIAKAIATSNASLIRNATCTGSVEGLVQPFES JR316_0002558 MKFLLVVLTSALSVCHAISIHSRTNGGLIVNTVQGTVSGTFVTP TVRQFLGIPYASAGRWQPPTSPPKRRSILSATSYSDTCPQNLAPANLEFLKLAGGQGI DIPESESCLTANIWAPSLNRKQKTAVLIWIYGGAFQFGSSNLPIYNGQNFVRDNEDIT IVTFNYRLNIFGQPNAPQLVSKTGSQNFGLLDIEAAVQWVHENIAAFGGDPDRISLFG QSAGSTATDAYAFSHPQDKIVKGIIQQSGNLGLVTNGLLANPTLDETTWNNLASSLGC GKTANSAQLSCMKEIPSRTLENAVIKTGSNFNLVTDNITIFSDIASRSAAGNFLKVPV LGGSTLNEGDIFTVASQLISPSGVALPFVTEILSDIQTQTTFTCPAGASAQIRLNAKV PTWRYQYQAVFPNISPRPDLRSYHASEIPIVFGTFNTTPSVPSTPTEILLSHYMQSAW VAFARNPSEGLVNFGWPLYDPTSPTLVQIGNAANATGMVLTTGSLLDTTCGNVPELLE FSGQLSANFA JR316_0002559 MAQPMMLALAKLISDSVAKVDQLCIEQGVIFPSLDDPFTTESES IKLHPEVAEAANYIISAAAQLIAILRPVPVTLSTSAIHVHVSSALRVVVDSNVVEILR EAGPQGLHVKKISEKNGVEAGKLEITPDVFATNRISSALDTGKPYEELVKKTDESVKS SGFLYEALTYSSSEKAPSPPSPFNLAFNTELHIFSWLAQKGNEYRLQRFGIAFDGFDK MLPVNGVTKGYRWGSLPKGSIVVDVGGGVGSESMKIAKTFPDLKVIIQDAEGVVANGV KTNALQFYETRFPEGLSSAHDFFTPNPVTNARVFFMRFILHDWPDATCVKILKNLREV AAPDTELIINECLIQYACSTESDISKSIPGGRFKPPPSPLLPNLGYARIFHYLIDLQM AIVAHGVERTVEQYASILQKSGWKLKEVLRMPESAYSLHKLVAVPQPE JR316_0002560 MTSTLPPIVQAFSGAIGSVSANTLTYPLDLVTTRLQLDSPQKSR RRGGIYGAALTFRRIFRDYGLDAFYDGLWADSCATLLAKPSKTRSSGLYKPSMFEELV LGFIAGVASRAVSTPLNIVTLKLQTEREDEEEESASSKSMGMIDVVKSIYKQQGLAGF WRGNVFGSYIEMEWG JR316_0002561 MYRRLLTIAKSSRFRSIQTASHALKLTPPSANLHPWEAFFGGAI SNSIAVMLLYPLILGKKRVQMSSSASIQDVLVDAYRGEDFLAHRKIHECEEEKPEDRG IVGVKGLYQGLQIKLITVFLSQGVTFLVKGRIEQLVIAAYLAKLRRSAAKV JR316_0002562 MSIAHGIRTLGVLGAGQMGTGIAMVAALRAKVPVLLHDRSSEQV TKGLSLVDKLLAKDVSKGRLTNEEAKEARDRITVVGSEAGIKGLRDVDMVIEAVSESL PLKQSIFGSFAAELRPDAILATNTSSISITKIAAASIPNGLSAASEVGKKSASRVVGL HFFNPVPVMKLVELISALQTSEETLERARSFAVACGKEVTVSKDVPGFVSNALLMPFI NEAIMCLEKGTATREDIDTTLKLGMNHPMGPLQLDTCLAIQQTLYEGTRDSKYRPSIL LERMVDAQWYGKKNGKGFYEYK JR316_0002563 MNLFRLLGDLSHLASILILLHKIQTSRSCRGISFKTQMLYVIVF VTRYLDLFFRYVSLYNSVMKLFFITSSCYILYLMKFKYRPTHDPSIDTFKIEYLIGPS VLFALLFHYHFWVSELLWSFSIWLEAVAILPQLFMLQRTGEAETITTHYLAALGAYRA LYIPNWIYRYFTEGLVDPIAVTAGIVQTGLYIDFFYVYFTKVLQGQKFELPA JR316_0002564 MKFSAILASALLATQSVSAHYIFKTVIAGDKSSTAAVRQPQSVE PFHGITSPDTTCNHNPSAAQETVEVPAGGKLGFQLSNNMYHQGPVSIYLGKAPGSAAD WDGSGQNWFKIAEWGASNLNPLQFSSVGMSEFLTSIPENTPPGEYLARIEQIALHLGT GVPEIFVSCAQIKVTGGGSGTPPTVSIPGYIQDNDPGVTVDIYNNKGKPYTVPGPAVW RG JR316_0002565 MQFFTAILLAVSLVLPTTLAAPRPLRAVQRFSGETSGKYIVKFK SGASRKNFIQKHGLKNKVAEWDLLNGFAGNFDDAVLDELRLDEDVEYIAEDGIMHTMA TVTQTNAPWGLQRISQDAKLSSTSTTALTYTYKYDSTAGAGVDIYVVDTGIYTAHSDF GGRARWGASFNNLGNSDGNGHGTHCAGTAGGTRYGVAKAANLIAVKVLSDSGSGATSG IVSGLNWVLTQARASGRPSVVSMSLGGSASTALDNAVTSLTAAGVHVVVAAGNSNTNA ANTSPARAPSAITVGASTIGDVRSSFSNYGAVVDIFAPGTGITSTWIGSTTATNNISG TSMATPHVAGLVAYLIAKDGNVSPAAMATKLQSLAVKGVLTSIPSGTVNSLANNS JR316_0002566 MQPENQWNSEDSRTTLLREEGESMKRKIRSVWAGFVEFALRDNV LEVAVGLIIATAFTGVVNSLVTDVILPPISLLPFMSRNLEEKFLVLRKGPHYLKPHGY NTRQQAVDDGAVVLTYGVFIDQFVNFIGIGLVLYTIANVYGYFSRDSIIRHTVKCTYC RKEISSKAKRCPMCTSWLDGREDVETSALPLSRPGQA JR316_0002567 MSKELVESFNALPRRAKIPSKRTSNEWHFDVRYIQIEPNPSHVV YFLQPHSQLTHMERLPIGLATNQDGLDFFPETAKDSAPVLAKGILHAFVNNISKNDMH PNTSEPYAPWKLSTNDRSLATAVGNELKRLGVLPEALCNIVFCGDTHIRLAQEAFDRD FQRLKVARGLQGIVAAAIRTPECIGFSNYQVPPALRPVSSAAAALDAELTDEIRHMNH ILQYIQVWQKGLPSEGGEYDSSKFGDVVYSEMEIIKARLEEKPESVINAAADRGDADA ALDYGIRLTVGLGCKANRKRSREYLIKAAYSPNASPMVQQMAHAILIQWYMENVDGSI RSRYLFAASHHCNIAARLCTTLSPAQSPASPAILWFMSKTFHMMADRYPELYYWYKDA VRAFEAREKEVQQAREKMVKKRLKHTTRYRCAAPNCDIEADTGSKLSRCSGPCDEDKK PYYCSKECQREDWKNHKPFCRPGAECSIIDNGTKLDITSTAPINKSEDGALQVPITIP NGETVMLSSSSMDAKMLKEIQDLSLKRNIRHR JR316_0002568 MKMSKELVEAFNALPRRAKTPSGRVSNEWHFDIRYIQMEPGPSH VVYFIQPQSQFTHMERLPVGLASNQSGLVFFPETAKDAAPVLAKGILHAFINNMGMND KRMYPNAPAPYAPWKLSTNDKSLAAAVGNELKRLGVRPEALCTIGISGQSTTRLAQES FARFFETLKMACGLEGIVAASIEAPQSIGFENYQVPPALRRSSFDDDTDDEGKQLNAV LQYYNVWSRGVPSDGVEYDAKRSGDEMYKQMEIIKARLQEKPESVVNAAADRGDAEAA LDYGIRLMVGLGCKANRKRCREYLIKAAYSPNASPLVQEMAHSILIHWYLESVDGKIR TRYAFAAAHHCETAAKLCPTLSSAPAPGSPGVLFFMSRTFNKVSERYPEFYYWYKQSI HALKAREMEMEKGRAKMLKKRLKNTIRYRCAAPNCDIEADTGSKLSRCSGPCDDDKKP YYCSKKCQRDDWKNHKPFCLPGAECSIIDNGTKYDMAATAPANKSESGALQVPISLNN GETIMISSSTMDAEMLKGIQDISLKMNRRGQ JR316_0002569 MSKDLVEKFNALPRNPRSPSGWAPNEWHFDVRYVQLEPNPAHVV FFFQPQSHLIYTLRLPIDLASDEVNLKFFPETAKEAAPTVVKGILRAFVDNMGRNDRR MYPEPPSALAPWKLMTDDKALATAVGHELKRVGVKSEALWDISISSETVVDGAMQTFG LFFRNLVNVCVLDDTMAAIVQTPGPIVWEGCRVPKAVSEKRNDLDDEENSDFRVMLEY TTLWATVLPSDGTEYEAKRYQENREREFTRIETLLKEKPERIINAAADRGDADAALDY GMRLTVGLGCKRNRKRARDYLVKAAHSESASKTIKAMAHGVLIEWYLHSDRITPRYAF AASHHCNEAAQLCAEVSGSDVCASPAVLWFMKKTFKTLAEDVPEFYIWFIEAVDALEA RDRQYEENQAKIARKRALNASRYRCAAPGCEIEADKGSKLSRCSGPCDIDKKPYYCTQ ECQREDWRNHKPFCRPGAESSVIDDGTKYDLAETSPARKTVDGALMIPITFRESGKTL MVCSTTMDAKMLKEMKRYNEMDIGQA JR316_0002570 MKRTILLASISVALTWNRCNALINRKALVSRFNPTRNASSLTTP MQVGNGNFAFGADVTGLQTFQPFGILSSWGWKNDSLPLGVTQADVDDYKGVSWLNHGR PVQYDFGGGNPIEQWLISNPNRVNLGRIGLQFMDAEGNILTNVTENDLRKVSQKLDLW TGIISSSFELEGAKVLVEVACAQDTDVVGIRITSGLVKDGRLGMFLDFPWNDGSSKFS APFVGNWSVPEKHTSVLTVSSSKIYEPGKVQAEITHEMDGNSFFTSLAGDDMKVTRLS PSTHRYIVRPSKSQSNVFSIAVAFGNATAINGAISSPSDIFESSRDTWQGYWLNHGFV DVLTGSSDSRAPELQRRIILSRYLMKVNEAGSTPPQESGLTNNGWVSFLKMYFWHSIH WALWSNWDLLSRSNDIYSRFLRSSIQRAQIQQGWPAGARWPKMTDPSGRSAPGEINNL LIWEQPHPLVFAEYEYRAFPTAATLQKWEDVVKETADWMAAFAWWNETSGVYDIGPPM YIVSEDTSPNVTRNAAFELSYWRFGLGLAETWMQKLGKEVPVQWTNVRNKLAKLPSSN GTYDVYEGIEPDFWTDPAFTSNHPALVGLHGWLPPTQGLDINMAKATAEKVWASWNAT DFWGWDFPMLAMSAARNGNPDKAIDWLLSPLFTFDDVGMPGGGVQVPTPYFPGAGALL LAVAMMSAGWDGSTAHAPGFPEDGWKVEYEGISKAL JR316_0002571 MADIVVEKRKSTPKIEFEDRKRIQFHVISVKGLPQSTGWQTMSS ISVSVESLETRDSFATEQKKSGSLISWGENMPQLDLKDSSKVRFELKSQVLWKNKTVL GSTDTLTVGQLLKMQSEAGEDRDAHVDLLVSTKSSATNSPKQAVLSIIVRQTAMKDRN SVITAADQSRFVEMCSRQSSEELRAFVGKMVRFRKALEDTPKVFVRRIIENPVTKNIN KNTVALSSSLNEAFTPFESLSARHMGKQSEHTMQMMGEMMCGVVKALVSVENFLRMQD VFAKDKLEFKVTYWIKYFEDCAKFLLEKDTKRPVPLINKTSRRIALQSPLVANGELPK HNMLPMEIPATYSSGSYDRPDLVRLVAEWIFSPSFRETGRPDSPNAGSRRSSSHSVHT SSGITANNVFCLSGAPGCGKTQLAAHIMDWLRDMGCLGGYFSFDLDSSSSAKRTPSQI LDALPMTIIHQISVAEPDAEGHLKAAFTKAQASAAIHGNLEERFEKLFVGPMREFEKG RVAPLWNPLEPLVFVIDGVGSRTGVGECDSAEAKAEYQRMVEVLAGFLSSKAMSRVPQ YIKFLVLCRPETGLARMLSQTGTGYVSEMGHIVQYVESSSFSSPPSPLPTPSPGSTTE SGIYGPTFFNGNTSGPPSPNPKVSIQAF JR316_0002572 MFFAAQIWWDFGADAYVNTNKHIRLTRNRPSQMGWLWSRLALTP SNYVIEVEFKVSGDSSHLFGDGLAMWITKERAQPGPVFGNRDQFTGLGIILDTYANSK HSYSFPRIVGIIGDGQMKYDFGNDGDSQALGSCSANFRRTNVATKLKVTYVKDSFLDA RDDWTDCFRIEKPSLPTAPFLGFSAMTGDVSDAHDIISVTSYSAIVSQPDEPRNKHKK STLFASSGEGNPGTWLGFFFKLFLLAGVGAGGYYGWKEYKRRQRYSGFGGGMGMGGMG NMGGSVRSPVSAGGTGMGGYGMRSAGVNGGFGDYSSKRY JR316_0002573 MAPWTPQPAGLQEILQTIHESTATSATVQRNITEKLNQFTRAPE YVAYLAYILSSMPQEEDRIRTIAGYLLKNNARLILSAPQEVAEFVKSAVLSAFNDTSI MIRNAASQDIVAFLGVLEPRNWPECLQHLVLALDSTDFDRQEAAFNALEKACEDYPRK MDVEINGTRPLDFMIPKFLSLSDHPSAKMRSHAVACLSYFVPINCQSLFVHIDAFIAC LFKRASDDDPSVRRHVCQALVLLLAARPEKLMPEMSNVAQYMLYSTKDKNENVALEAC EFWLTFAEDQELAPYLHPLLDQVGPTLLDCMVYGDDDLLWLEGDAEEDNAAVPDKDTD IKPRHYGGSKSHGYERETNGEEAQEAPKTRIGAYGQELLDSDDEEDYDLDDDDFADEM TTEWNLRKCAAAALDVLAVRFSGDLLKVLLGPLREKLWSQDWLQRESGILALGAMAEG CIEAIEPHLPTLVPYLINTLNDPKPLVRSITCWTLGRYASWCTQPKSEEHKNQYFIPT MEGLLRMVLDNNKRVQEAGCSAFATLEEDAGPALAPYLEPVLRNLVIAFDKYQHKNML ILYDAVGTLADAVGKALQNPVYVEILMPPLTGRWAKLKDDDEDLIPLLECLASVTIAM GPAFLPYAGPVFDRCNNIIHNSLLAYQQYQQNPDLDEPDKAFLVVALDLLSGLIQGLG MGLEPLLTASNPNLLSLLTVCLKHPQAPVRQSAYALVGDLAMGCFPLLRPAMPGIMQE LILQLDPEPKFEFVSASNNAAWSVGEVALRYGRDEPEFQQWVLPLISRLIPILLHPKA PRSLHENAAVSIGRIGLMHPNLVAPHLPEFAQAWCQALYEIRDNEEKDSAFRGLCTLV QTNPAGMAKSLLWFCNSIIRWNQPSPELNNMFQTLLQGFKQHDEAGWAAQVSSFPPVI QERLAARYGV JR316_0002574 MAGSVLKRVLVLHGYSQNAVVFSKRLGALRKERKDLEFVFVNAP HILQAAEMFASEQASMADTQSDPNTALRGWWKANKEKTKVEGLEESLSLIRDVLKEQR FDLERPHLYPAFLEDGKPLHPPFQFCVAVSGFRLKDPFCDPVFEQKYTTPTLHVLGRT DVVVVEERSRSLVDVSANARVEEHDGGHFVPSKGNWRKFLVNWMMDPSAPHFAPSTTS AMSQPGSGAATPVGTGADNKEALLMKL JR316_0002575 MSRNSNKKPVGFATHIIAGGIAGGCEALACQPLDTIKVRMQLSK SGLTPGTKPRGFIATGAYIVKRETPLALYKGLGAVLSGIVPKMAIRFASFEAYKGWLA DKQTGKTSIGNIFIAGLGAGVTEAVAVVTPMEVVKIRLQAQQHSLADPLEAPRYRNAG HAVYTIIREEGISTLYRGVSLTALRQATNQGANFTAYQEIKKLAHKYQPDLVELPSYQ HMMIGLISGAMGPFSNAPIDTIKTRLQKAKATPGQSSFQRIFAIAADMWKMEGVRSFY KGITPRVLRVAPGQAIVFAVYERVSGVIETISPRVREDDGYSE JR316_0002576 MNVNFTFDPQPQAKHRLRDDALKIFEDYWHQNIGQTPSHVMRRI LTNQVKQIPGHEYYTSALTKSWFERRVKKWRQSGAPSSTLTARAIEQLTVLLRDQPEP SQVAVETWASMLASHGVAYQDVINWVNTNKLNVNKPPTNTRLPTPADTASPSHSPSLP PSSPVINHTETQNNNYPSTTSVKRELLQSPTLAPLIIPNATVTQHTNVYVPSHQAAGR YPPSSSASLASNSSISSSRPPPTPVSPVGAAVQSASAFPARIKNLSHPNANTAEHSSV KVVDPRLLRQQQQQQQQQQQQQQQQQQQSLPTHSSAQPQECSKPTITPLFHAILQGVA DATSTPTTQDTARPLSSTEFNQMFELYEEQMNQLMQSLENS JR316_0002577 MSWNGTNGSVAGSGVSGTRSRVRASARSVFIDDAEEVNDLKKRT RRSAQVVESTADTLEFERAALLANKQVELEQVVDRHDDLVRELFHMENFTMMLSYDPA EAKKDRTVVFREYKSKYDLIDNVTPSAGPSRQTRGRHNERRQILSNPSISSSLSQPQP SRRKGKSKMNSSEIMETLHNAATKGKGKRKEQEQEPTKESISSAPRIITRYPPEPISA PISVSVLPIVHDLGSASIPSPPAENLAPSTSKFATPSHEALGEQPAIVADTTSTVPED SVVVVNRKSEQHVTVPNSKTISNVVPIPATSAPSPPSPTVINQPAADVVAVSAPVDLP EFVVRRKSARNVKEPDTVSIPIKSNNASPIVAAAPFVDLTTLGKPHSVTGKKRRHSDH EKQAVSLDVMNNAGKTSSNAHTNDMAPCFTETPEESISTNLKRRSERFNIPTPGSVQP KAPKIKLKPPVADEDRAPLREPLAIQSNVVLPSPSKIKRIKLIVRRPPPLISSPRQFP PEPKFNSSLPSFLSSYINIDEEDMTMSALEEEAKSEASIREQVVRFNREGRFIPGTDV LFGTEPPTVEHTSPQRSTTDTWDHIVEAVIARGKAKPKKSIGLQITSQISSKLQAHFD GLESRKAKAKEAEEKRLRKLAKSTMMIVIGEWKKAVYHLREQQRLEEEAEERRLGREH LDAILDQSGQLLETQQGDLLRGDMYGSRSSSGSMHDFDTDEDDDEADENDGEGDDGDD EDDDEEEDNDDENDDAGTQSLLGESSVNEMGVDNLDPLLSTPRSLASRATTEAFDSEH TELDDPEVSTAQLLNSGLDQRDDDMDSNNSFDRTLENLAIPEPESSPQRPLKVSVLHP SDLLKAGSSDIPMQGSEPLPESVSHNTLGLDYVSQSESDIGPENNEDVEPNDSTTRSG PPQAASASPKTNVLNTDRDVPPVLSDNAEDSEMVDLPSADNEIVDEPEAINVSKKPNT PEKDLPEFDGDLEAHIPEYLKPYAVAPVDWDPEAKITPPLLLRGVLRPYQQSGLEWLA SLHLNRLNGILADEMGLGKTIQTIALLAHLACDRGIWGPHLIVVPTSVLLNWEMEFKK FLPGFRVLSYHGSTKRRKELRQGWNDKHHFNVCITSYTLASRDAHIFKRKPWYYMILD EAHMIKNFKSQRWNILLMFRSFRRLLLTGTPLQNNLTELWALLQFLMSGANFANLKEF GEWFSNPLEKAIEMGGTYDDETMQRVSKLHTVLRPYLLRRLKRDVEKELPSKFDHLTL CPLSKRQRFLYDEFMSRAQTKDALESGVYQKIANVLMQLRKVCNHPDLFEVRPIVTSF AMTRSAIADYEIKELLVRRRLLMDEDETVDLNVVGLRFVDHQNVPLMTSIPTRNLNAT KLFPYYAETLDDPPPKDFRTVAGFKKYSAYVKKANDIARWNHLGYLNNIRCSRMPFYP EEMISVVERMTKPIVPLTNLNPSATYLDNVDRVNQAVKSYATRAKEMENLIDNFAFVT PAVVALDLPRLALSGYQDTIQAQPLDFDSVLHRATVKLQIAFPDPSLLQYDCGKLQRL ATLLREKKSGGHRVLIFTQMTRILDILEIFLNFHGYLYLRLDGATKIEDRQYITERFN ADPRIFCFIASSRSGGVGINLTGADTVIFYDSDFNPQMDKQCEDRAHRIGQIRDVHIY RFISQHTVEEAMLLKANQKRSLDDLVIQKGEFDWRTLFKDEGALTKALENFEDAEDRR AAMIAAREEISLVGADEDDFGEMAANNTRARSTPADEVDMTQDNLGDDVEMDGGVGPA GNEDREYGGGSVVDYMLAFIEDDWDFFEESRLYY JR316_0002578 MYDHRGSISTERTSASMDYSEEAVENKRVRRTPTRPKGTYRLAD FIIQRTLGTGSFGRVHLVRSKHNLRFYAIKVLNKERIVRMKQVEHTNNEQQMLASVQH PFIINLWGVFQDSGNLYMVMDFVPGGELFTLLRRSNRFPDPVAKFYAAEVALALNHLH DEDIVYRDLKPENILLNGDGHIKIADFGFAKSCETTTWTLCGTPDYLAPEIILQQRYN KSVDWYALGVLIYEMLSGLPPYHQTETNHMLLYERITRGPTHIKFPTAFNDNATDIIM KLMESDASKRYGNLHHGAGDVFAHPWFREVDWNRLAAREITAPYLPRINGDGDASAFD NYPEDNAAALYGLPGPDPHGSQFPDFEYTAPSL JR316_0002579 MPEKVDLPMFWGLDANSQDSMDALDWLTGTEAGKLLQAKNDDNL TKLEEIDKFYYNTAESLLLLHLQESKPTLMGFHHAFSLVSSRAFLVDAYHGLSMVPIA DVFNHGADNQVHLESDYNVCPECGSLQECPHDKDDLTDVEHKLASSENQEDNLDTSLL MVTNAGIPPDTEVFNTYGETLSNSQLLNQYGFMLETNDNMRLSWTMYNVVQELYTDLF LIERLPTTERRNIVTYMFQVLTALEVEEVEKLLSTQSDLVYYEDTKEEHFFVKYEGLS HPLWALLFVLSLIRYRQSNKNFDVDPAALALDVLKLQTTLEALEGDDEGQIYENDPVF NKSGKADKAAVELLRGMSNLSVALCDHRGRNSGKPGSFDYDLFKLLDELPKGNTRTRL AVSLVITERSILKNCQAGWQSMAKRISSTLPGLS JR316_0002580 MPRLPLVDILHRGVVYSLAGLTVYGVVMSVLIHRDTIRRGEVSV NRFNQTLQIMLERKALGLPLTKPKKEEISEEVEQTLAEQAQAIFKNRRPS JR316_0002581 MPVSNSPYSTELQIVTVSEARRFPVFAKRVLDSDPVRANLILPT LLKCYAAESKGQVMNGHLWIVVHVAGAVYFIASCTRGYMGDYPLFIYTPIPSDQLIPH YIRPALTMIATTLFHSRLISPRRIYSVFAQETVTLTFASVWTEITGIAPESTPYYHAK ISYATQDSVARSNRDVSPIRNAFCEMRPAVASDVVGIADLCFRFAKESEPFVLSWQAA LEEARILVQCGQVWVYTATFPGRPTAIASIVATTRNTDVAAAITKVYTHPDFRSQGCA ERLVRKVCFHLLQTKKYIVLYVGLDNRAANVYQKVGFLGIGQNAQPVPLVDNWLEIGF DRNQVKLGHW JR316_0002582 MSALFQWSLLAVSIASTAFSTPLSTSPNFQAPSSPLSVAPLVAT EHIYGSINNSYIVVLKDDIPSSLIQNHMNFLQAAHASDPLVGDDFAGVQQVYDGHING YAGRFTDSVVDQIRKMPEVAYVEKDQIVRTQEVQKGAPWGLARISHRPKLTFGTFTKY LYNSDGGDGVDVYVIDTGINVAHVEFEGRASWGKTVPANDVDDDGNGHGTHCAGTIAS KKYGVAKKANVIAVKVLGSNGSGSMSDVVSGVVWAANQAKVKAAAAAAELASTGKTKH KGSVANMSLGGGKSQALDDAVNKAVKGGLHFAVAAGNDNRDACNYSPAAAANAVTVGA STLGDERAYFSNHGECVDVFAPGLNIVSTFKGSNTAIATLSGTSMASPHTAGLLAYLL SIYPSKEFDPSFNSADELLSLQSQRVLSSPFTQSVSPSSLYAVVHRALPSFMTTYLPS PKFFDIVLGNADDVAPIPSTLTPAQLKKALIALSTPDVLSDLPAKTVNKLIFNNYTSS A JR316_0002583 MHDLVLSKKGPLFLWIVMGILTALFLVYSLVAREFYLGDGVLLF AAAKSPPLVSATTFHVTSGSNENYFYRDNITTAQLLLTSTTASNNVTRRLVAALPAGN NGALVYFLPQKEPSSVPLNLELVNGSMKSVSLADGNRGIQADMTLTANATLGVTIVGA VRALRDYVEGSGTMHEIFNYTLASHSPTEVRLHRQYINSTSVSPETHDVLSSTDNTIL KAKPSAQTFKSVDLYLSVPPGSSARFSVDARNESSPVINIVIPTVQRQPVAGMRPPTV DAKYNVRIQVVTNETSLAGLDVGDLFLSPVDAATDSVKTALQNLPADVSEQVSFLTFQ NKFTAGGWRFLTYFGRDSLIALRMLMPLLTPSAIEDALGAVIERANSTGALCHEETID LLLLPALQHYFVELPQGQNRSAQFLSKQATLQNGTYLDIINRIASYNVNRALPFFTAN PATSSQLLAFRPGETVGNWRDSNGGTGFGTIPFDVNVALVPANLRAIDSLARSGILSL QDLDVDGGATNIVQMAEKWESEAPGMFEVVVDADTAEQRLKNFVQAVDLDDSLLGNGT ATTGNVSFYALSLMPDGTPVEVLNSDLGFNLMYGTNVSAVFLQRVVDALTPYPRGLLT NIGMVVANPAYDSNTADIQLLDRTAYHGTVVWSFQQALMAGGLARQLKFCLTNTTSVD INPLPSPPPAWCGDAELVQSLQDAQTRLWASINGASDNIYSEVWSYAFDQSTKTFSVA DIAALSSGVESDAIQLWSYGFLGLIEPE JR316_0002584 MATANVRQFLGVPYASAGRWEAPTLPLNRTTIFKATKFSDACVQ NLAPSNLEFLKLSGGQGIGIPESEDCLTANIWVPSLNRKQKTAVLIWIHGGGFQWGTL ILSPKSNLAIYNGQNFVRDNDDIAIVTFNYRLNVFGQPNAPQLLSKTKSQNFGLLDID AAIQWVHTNIAAFGGDPERISLFGQSAGSMAVDAYSFMHPNDTIVKGTIQMSGTLIQG DSPGGLANATYEGDIWNSLAESLNCGNGKSKFNTQTFTKLLPILDPDDAQLACMKAVP FRTLEDAVMKFGAIVFKAFIDDITLFTDLPQRIASGKFLKVPLLSGSVLNENDIFVVA AELTTPPSIVFPLLTEVAADLQTQVAFTCPAGTNAQDRLNVNLPVWRYQYQAVFSDLS TRPELRSYHQAEVPIVFGTYDTAISAVKSTTTEVNLSKYMQKAWVAFARDPANGLTNY GWPTYNATSDSLVQLGGPANPSGLSLGQGSLLDHTCNHSDELLTFAIQLSSNFA JR316_0002585 MNLSLVLLSAFLLPVYAVGAPGLTVQTQQGPVVGTLVVPTVRQF LGIPYATADRWKPPHLPAVRKGVFQATKFGDSCIQELSPPNVEFLKLAGGLGINVTSS EDCMSVNIWAPSVERKQKTSVMIWIYGGGFVFGTSLCNMILTIDAEKSNIPVYDGQNF VRDNDDVTIVTFNYRTNIFGQPNAPQLGKDNLNFGLLDIDAAVQWVHANIANFGGDPD RIILFGQSAGAAATDAYTFAHPNDTIVKGKYRQARILAITLSDLTIQGVIQESGSISG SGVLVIASTSANQSAWNTVAASVGCGQAPTPSQFACMQKTEANTLENAVVSTGATFNL VIDNTTIFADTNARAANGQFLHVPLLGGTAANEDDIFIVAQELVTAGIVIPSVTEMLS DVETLLGFTCPAGVTALHRINANVPTWRYQHQAVFPDISTRPDLRAYHASEIPLVFGT YNVSDIPATQEEVALSRYIQSAWVAFARNPAQGLLDFGWPMYNPNTTSLAQLGNAANQ TGVVFTQGNLVDFACGNQAALIEIQNQLLGILGA JR316_0002586 MTENNFPTKHFNPVTEGLRTNDEVSAQNSGLTVSTQQGPVTGTL VLPTVRRFLGIPFATAKRWQAPELPAKRKGVFQANKFSDVCFQEMSAFNVGYLVLAQQ TQGINATSSEDCLTANIWSPSVDRKQKTAVMVWIYGGGFTFGSSNLPLYDGQNFVRDN DDVTIVTFNYRLNIFGQPNAPQLGAGKNVNFGLLDIDAAIQWVHANIENFGGDPDRIV IFGQSAGGVAVDAYAFSHPHDTIVKGSPGAASLAMLPGNHSDWTSVAVAVGCGANGTP QQFSCMQKVPAEKLAETVVSTGTSFSILIDGVTIFDDNPARLANGNFLKVPLLGGTTA NEDDVFQLDTELITLGFAPPVLTEMISDINTLVEETCLTGEAAVSRINANVPTWRYQL QAIFPDLTTRPELRAYHSTEIPLVFGTYNASTLGIPATPTEMALSRYMQSAWVAFARD PTEGLLNFGWPLYNPNTTSLAQLGNIANATGVVFTKANLIDFGCNNVAELTAIGNQLT AILFGG JR316_0002587 MLRPEPTEELIAETKADGMVPISTQKPKLQNDTDSEDEGEHVIS AFGDISLSNIDFLPGPPSGLSPTPKPCRGIISNDVMDQQPPAPTLRRKRPLGPVKASM RKKEPTFEMMVQEMQKSRRISRPSDIPSNGNGSLLTDLKNFGPEAVIARVDAVISSAG SHEGVQNNAPPKTSLTAKACAGVPDENGVGPVVAANQPKKNGLRGNAKLPKRLPIPRW DVDNESVNHGC JR316_0002588 MNTNPGSYVGEEDLDWEIVPFDWWNTSFMAVSWSYNYLQGSTPH RTRFQGSLFVSEKSTLGCSPSPVDLSPRVLSKARRSSEEDDELNLELVGVKFALEAVK AYEKDVGFDFLDLGILSSSLANKLDLSADVDEKDLQVGKDQWNEMLEELMNGPAFEST TDSDESLESSFIDSISSYGSIDSIPMPTTPKPKPYFSDVEIKTSSPSGSPDGNRRHAP HLSPTRSLSATASSFVPTFCSQLSDEPTQLALSSENPTTPPSLFSPSSAFANFTFPTL NPASPSATKVKKDDQGFFSDVQGDGSAAARSSSDLLPAFLQNPSQRNRSRKSRTREIV DQLRSQTAPDFTKSSPNLDISMITPNYASLSTSPTSEEDTTAAKPRLSVSEDGGGPSR LSTPWDDDDGWIDISQPVAMPEAEQKSKRTRELFLALTRRRTDSSSSGDPKETRLAVD SALPNPRDMSTSPSPAPSPSPSPLNTSTSNAAASSDGWIESKPNVPPEPQKKSKTAPS NPKDSHSHSRKRSSHHSSRTSISSTSSSHVQTFSAASPCYPQLSPTLIPHPHSQHMVS PQTAAFPYFFPAYPAVPMTSPYAAAFLQMPGYPMGMPMHGHGAHLVPTAGTVVHPMAG IQYMMPTLGAPTKPAMNMASAISSPNVTTSHRAKHTLW JR316_0002589 MRSWSILGTVALLLVSDSWAFKAHDFKTCSQSGFCRRGRALSAR AKEAKSSWVSPYRIQEDSINISPSQAVFTAAVKGLYEEINFGLEVRIQDDGVVRVRMD EVGGLRKRYDETASWALIAEPVISEDIKWAKSKGATRATYGQKKEFEVIVKHQPLQIS LLRNGKEQIVLNGDGLLHMEHFRNKVEPPTSTEEPEPVEGESAEEEAGTQKVLEVKTR PTAWFEGETEDALFEETFSSWTDSKPKGPESLSLDINFPNHGTVYGIPQHATRLALPS TAGEDPTFSDPYRLYNSDVFEYLASSPVSLYGSIPLMHAHSVDSTVAVFHVVGSETWI DVSHASDKSTQTHWISESGILDTFLLPGPTAEDVFKQYARLTGAPVLPAAWSLGYHQC RWNYVSSDDVRTVQKRFDEEDMPVDVFWLDIEYAEDHEYFMWKEKNFPDPVEMTNDVA ANGRKMVVIVDPHLKRDDNYPAFKQASELGILVKPKSGEGEYEGWCWPGSSSWTDFFN PASWDWWKGIFKPEGNPDKWTWTKSTTDIHIWNDMNEPSVFNGPEITMPKDNIHYGGW EHRDVHNINGMLYSNLTYQAVAERTNPPMRPFVLTRAFFAGSQRFGAMWTGDNLGTWE HMAVGIKMVLANGLGGLSFAGSDVGGFFGNPEPEMLVRWYQVGAFAPFFRAHAHIDTK RREPFLLDEPYKSIVKDILRLRYTLLPVWYTAFRETTVTGMPILRPQFIMFPKDKAGF DIDDQYYIGSTGLLVKPVTEKGATEAKVYLAEDEVYYDYLTNQAYRGAAKGKEITVPA ALHQLPLFLRGGSILATRERPRRASSLMKRDPFTLKIALSKSGSARGELYLDDGESYN HMQGDLIWREFSAKEHGKHGLRISSADLAAAKPDEAVDGVALKTYNPANEFVKSVADV RVEKIVVLGLRSKPRSVKVQGGGELVYEYTPGVAASDKKGGSPSVLEIKDPRVLIKED WSIVID JR316_0002590 MNVAIVNPAVILLAEEFNLKPVTATYQTTVAIGTSALGPLLLTP IANVYGRRPAYLLSVFLGFASAVGSAKATSFRTLIVARIFNGMGSSAALGLGAGTVVD LFFEHHRGRAMGIFALMSTNGAHLAPIVGGYVAQARGWRWTFWVGAILNGAFFVMACI FMPETIFDRPKERSTDENVLDDLKDSEERIEDAITGDVCKAPPMSLKTYIRRLWIWDL DKPATRKIKATDFLVKPLSMLKYPSVAFPALYYAVTYGFASIEPALTVATLFTKIYHF DTIRNGLANGVSLLVGATLGELCSGPVTDAMMARARRQALIRGETAAPEVRLQGIWTG AVTVPLGLLICKSFRSECECMAVACFGIQIVTSVCYTYSCSDCYRSRSNDVSQCFNFF RQVLGLTLGFYSIPFGEKIGFEWSFTFFAGICIVSFLPIVFLMYRGAKWRQYLGNPDS KSE JR316_0002591 MKFQGSFKFLLAYLSVALPALAQSSCGTLPSSINFSDSKLSSPF VFLNGTAVTTKEQFACRQAEISALFQKYELGTLPPKPSSVTGSVSGNTISVTASNGGT SISFTATITPPSGVSGKYPAIIAIGGMSIPSQAGVATITFNNDDIALQNDSTSRGKGK FFTLYGANHSAGAMIAWTWGISRIIDVLESSSTTHNIDTSKLGVTGCSRNGKGAFVAA AFEPRIALGLVQESGSGGAGCWRISKAMLQAGVSTQDAVEIVGENVWFSPNFNQYVNN LPALPFDHHMLAALVAPRGLLIIENDGIDWLGPESVWGCQTTGAKSYQALGISDSMGI SMVGNHAHCAMPSSQNGDVAAFVNRFLKGQSGVNTNIMHTDGANNAGFVPGNWVTWIV PTLSGDAIPPVGTSSTDAGGSSTVASSTSTATSTAPPATTTAPAPAPQQTKYGQCGGN GWTGPTVCQSGSTCKAVSPPYYSQCL JR316_0002592 MAIDSVSPEPTQETEERTALLDVERGPDSYGAAGTPHYLPSNSH QKRDILLKRIKYYIPSTAWIPSYSPSLFGGDFLAGITVASMLIPQSVSYATSLAKLSP VTGLFSASIPGIAYALLGTSKQLNVAPEAALSLLLGQAVSEIRHDYPDPHDGQADLVG LSVATMITLQVGLISFLLGFFRLGFIDVVLSRALLRGFISAVAVVIMIEQFIPMLGLT ALLHSADPETTYDKAIFLIKNAFTHSHVPTTLISFSALFTLVALRSIKNRFKNTWWIY RVPEVLVVVIVSTVLCEQLRWDKLGVNILGAVDVQNSSSFIEFPFRRSNFRFLRRTTS TAVVIAVVGFLDSIVAAKQNAARFGYSISPNRELVALGAANLAGSFIPGTLPAFGSIT SIGLVVYSLLAEAPHEILYYWNMGAWVDLTIMGLTFFLSIIWNIEVGVVVGLVVSLLL VVHRSSKTRMTILGRIPGTDRWKPLKENPEAEESVAGALIVRIRENLDFANTAQIKER LRRLELYGIHKSHPSEEPRRGQTSVLVFHLADVDSCDASAAQIFYELLEEYKNRGVGL FITHLRPTVEKTFIKAHIFDLLGSGAFRDNVADAMAIVEGSAYARMRESNYLGSR JR316_0002593 MGSNFHAVFYVLAIALVLFETCTANPLPLPQPGSQCIVGYCPKY FANFPPRGRRAPSPTIEFKTNAQRLAGGIPLNPPIFRMKNAPLWNRSPAPASPPQPRA SPDSARISTIERCGVVALRDDNDKLVGYVGSNAPDSAYIRVQEDLSDAVTVCFTTRVG RVRARNVPFFLASEWELRRAFPLLGLVQGVHNNDTNIGPQSTHYLIFGGVELPGTPPL TPAVTLNNSLSNFTSVERGVETSVWSVNTETGNMIAQWTNEDGSQPDTYIYSFRGILY ATGDPEALLSFFQGEATHLTMKFIET JR316_0002594 MWGQNEVHSIIGFRMHFLQILSKSLLAIYHTVRVDVTATSDGLT VQTAQGPVSGTLVTPSVRQFLGIPYAVAQRWEAPNNPPNRTSVLKATNYSFTCPQNLS PIYKGILLVAGGQGIDVPESEDCLTVNIWAPSVKRQQKTAVLIWIYGGGFQFGSSNLP EYGGEHFVNDHDDITVVTFNYRMNIFGQPNSPQLANRTLTQNFGLLDINAAIHWVYAN IGAFGGDPDRITIFGQSAGAFAVEAYTYAHLNDTIVKGAIEQSGNLGFATSGLLVSPA IDGTWNTLASKVGCGAVPDAAQLACMKAVPFRTLEDIIIQSGLTFNLLFDNITIFSDI PERAKAGKFLKVPLLGGSTANEGDILTVAAEVAGLSPPASPFVSEILSDVQTQVNFTC PAGSAAQDRVNAGVRTWRYQYQGVFPNISPRPDTRAYHLAEIPIIFGTYRTINPSILI TPNEIALSKYMQGAWVAFARNPGRGLIDYGWPLYDATTNTTVVLGNFVNPTGMEFTKG TILDSTCGNITQLLDFAALVSSA JR316_0002595 MTPKNENKSNLQGPSDSPITSGNHSANISNLEAGILLTSFGSDV ELMDPTTIAREMVGEFVECSVIDFIKTYLPFAPNKAQTQCIVQRLLQESPLAGGSPDI TAFNGWADITKAIASAAPEVGRQVNQFKYHNMPNKRLASGIRGLNNRIDAGFIRKGGE GCKELSTTDIAVVIEHRPLPKLYQHNALKAVSANVQIMNDDARRMFTFGITVESSEVI LWYHCRSHSAVSKRFNLVKKPHLLLRVLAAFSFATEEQLGYDPMITREQDGRYTFKLP DPTSSGFQNFRTIKTLSEYRTNNITGRMTRVYTVNKLDGEGKVVGGPLVLKDVWLDKS AKTEGEIQKEIFKDIEEFWARPTDIQEMKKIQDTHKHLVNSGEYKKYFLEIVLDHVGT VTTERPRASTLRRGLLLDSIWETDIPKLSSTSTVTSQGTGTSSTSVFSETRNTNAGTH PIEFENIDKVPVSHRPFDPKKRYQVIFKDVCRTVGQLKFLGEVVEVLRQTLIPLQLLL CAGWVHRDISSGNILAHRANLKANQQPWQAKLADFEYAKKFSHSYLTPRDPKTGTPYF MPLEVMFNSYLYDPRLQAAARGEYDIKQPLEDFIRERKKQRAALLQSKSPKYVIHNFQ HDLESVWWILLWTVTCRIYSNAAFAYGRRIFVNQNERRLCFTAVSILDELEKFLPTPK SEDDHTFAEMIENLRDFMNSHYISRVAGDNQGKPEHYVGIFNIFTICFNTMERTASDW AGIHLIVDISTQNQPVSVVPGRRKRTREEESIADARQVDGEERSEAKMPKIEETLEDR K JR316_0002596 MFYISASPRVVALRRMSPLQYQWGKRGNIMGYCKNLDDDNQPWR VILADLEYAKKFPPGEDYLPSLDPKTGTPYFMPVEIMTMMTLFSGNVCRELVASSTNK SSAGGCTGIFESSSSVSQEEDIYLRAQRKRQAAREKKEKEKDVERPKGVAHNFQHDLE STWRLVLWLITSRTGDKPAQEYSRQIFQNTMQFVPERWQAVICEIEEELRKCMGPLGD YFAGQLEDLRSAMLLTYIERERDDKTKEVSSYVPISQAFRTFFQDIEKTKDIWANIPL IAQNHYIDPAERKRPRPDDDEGFPGLIDDGAEPKMKLPKT JR316_0002597 MTSKNEHDLGSLKNLNPSNPITNHSAMSNSKSGVVIPDTPRSLR SFGGDAGLVDLRPTIAKEMDGEIVECSVEDFITTYLPFAPNEEQTQRLVQQLLQEQPP AGGKVATRSTKAPRPSILVGNKDQYTFRSYPNEKGDVKLSSHGSENVAFRGWSAIAEA IAAAAPAIGRQVTQFKYHDIPNKRIASDILGSNNRIDAGFIRNFAGTSSEPRTTDIAV VVEFKLATNLKQANARQAVSANVQIMNDDEPHLLIKVLAAFTFATEEQLGYDPLITRE QDGRYTFNLPDPTSSGPLQQFRTIGTLSEYRTNNITGRMARVYTVNKLDPEGKPVGEP LVLKDVWLDESAQTEWEIQKEIFKDIEKFWASSTDIQGMKELHDTHKRLVTSGEYKKY FLEIVLDHVGTVTTSRPAASTPKPGLLFSSTMKTHNPNISSTNNVTPQGTGTSSTSVF SGTRNTNAGTHPIEFDNVTPVPVAERPFKPKKRYQVVFKDVCLTVGQLTTLGEVVRVL RQTLIPLHLLLCAGWVHRDISSGNIMAHRADLKAAKQPWQAKLADLEYAKKFPSLNDR EAGDPKTGTPYFMPLEIMLKHYLFDPRTQEHRDAVARGDKPKPSKDKVRDKKKQKGDV SSQPDPTRHVIHNFQHDLESLWWILLWTVTCRIDSEAAFAYGRPIFVNQIIPTHERRK CFKAKSIVKELERFLPLPKTEDDDTLAEMIDNLRDFMNDAYVARISEGNEDKPEHYVE IFDNFTFCFNVIENTASDWADIPLIVRTATQAQPESVVPGRRKRTREEESIDARQVDG DERSEAKLPKTEESDEEE JR316_0002598 MAILQIISALTVLWISLGFLRRRLYPTVLENVPGPPGESWIAGS LNYKSDRLLVFDQKAMYHVLVKDYNIYEETDSFIEGNKIMFGHGIFTSLGDEHRRHRR MLNPVFSSAHMRQMDVFLNKVQNGPQEVDVVNWMTRLALELIGQSGLGYSFDELTETS PQHKYGLMSKKLVTMQGDEFVRDWVMPRLTRIGTPAFRKFLVDLMPFEAIAGMKEIVN VLHDTSVLIFETKKKALAEGDEAVQNQITFTIFVNPLSMKRNVLASDEDKLSDEEVLA QITSLTFAATDTTSGALSRILHQLAIHKDAQDRVREEIKEARRENGGQDIGYDELATL PYLDAVCRETLRLYPPISWVPREANEDVILPLSKPIRGLNGEEIREIPVPKGTNVSVS LLAANRDPDLWGPDALEWKPERWLNPLPEALVEAHVPGIYSHLMTFLGGGRSCLGFKF SQLEMKVVLTLLLENLEFSLSKQPIIWQMFAISTPNVDPDSVIPTMPMIISMAK JR316_0002599 MPPLPTIITSLAILWLSFRLIRRRLFPTALERIRGPPGESWITG SLSQLQHHKAWNFHQHIVDTYGSVVRTKGAFGADNLYLFDPKAMHHILVKDQHIYEET DSFIEGNKIMFGSGIFTSLGDEHRRHRKMLNPVFSSAHMRHMVPIFYDVTRKVKNLLV AKTQTGPQEIDVVSWMTRLAMEIIGQSGLGYTFDDLTENGVQHEYVVVSKRLVTMQGN EFIRDFVMPKIARIGTRSFRKFVVDIMPFAAVKEMKNIIDVLYRTSVEIFESKKEAIA KGDEALAAQVGKGKDIISILMKANMLADEGEKLSDAEVIAQITSLTFAATDTTSGALS RILHQLAMHPDVQAKLRQELNDARKANGAEDLDYDQLVSLPFLDAVCRETLRLYPPVS MVQRVTRQDAVLPLLNPIKDCNNNEIREIPLPKGTTVLVSILASNRDPNIWGPDAHEW KPERWLNPLPENLIAAHVPGIYSHLMTFLGGGRSCIGFKFSQLEMKAVISLLLQNLEF SLGRKKIIWQMFGIAQPNIDPDSVNPTMPMMISSVQCEA JR316_0002600 MSGYSFMQIFGQVMESQPSELQDDDDKSCPSAELTTNYPARIFR DLEGLGIAGFFQNLLSNLPLAATARVDGLTVQTVQGPVSGTLVSQNVRQFLGVPYAVA QRWEAPANPPNRTSVFKASNFSATCPQNLTPIFKEALVLAGGQGIDIPESEDCLTVNI WAPSIKRQQQTAVLVWIYGGGFQFGSVKSNLPQYSGENFVNDHDDITVVTFNYRLNIF GQPNSPQLANTTSSQNFGLLDINAAIHWVHANIGAFGGDPDRITIFGQSAGGLAVDAY TYAHPNDTVVKGAIEQSGNLGFATSGFIASSTIDGTWNTLASSVGCGNVSDSAQLACM KAVPFRTLRDVVSESGLTFNLLFDNITIFSDIQERAKAGNFLQVPLLGGSTLNEANVL TVAADVAGLSPPTSPFVSEVVSDVQTQVNWTCPAGSAAQDRVNAGVPTWRYQYQAVFS NISPRPDLGSYHLSEIPIVFGTYKTVNPSIPVTPNERALSKYIQGAWVAFAKNPRRGL IDYGWPLYNATTNSTVVLGNFMNSTGTVFTKGATLDSTCGSVTQLLDFAAFVTQV JR316_0002601 MILSTLFLVISCSFCVAIGLKSDGLIIHTAQGPVSGTLATPNVR QFLGIPYAVAERWEAPTNPPNHTSIFKASNYSDTCPQNLSPLNKGVLLVAGGQGINVS ESEDCLTANIWAPSIGRQQKTAVLIWIYGGGIQFGSSNLPQYNGEHFVNDHDDITVVT FNYRLNVFGQPNSPQLANRMLTQNFGLLDIDAAIHWVHANIGAFGGDPERITIFGQSA GALAVEAYTYAHPNDTIVKGAIEQSGNLGFATSGLLSSPTIDGTWNTLASKVGCGAVP DAAQLACMRAVPFRTLEDTVIRSGLMFNLLFDNITLFSDIPERAKAGKFLKVPLLGGS TANEGDILTVAAEVAGLSPPASPFVSEILSDVLTQVNFTCPAGSAAQDRVNAGVRTWR YQYQAVFPNISPRPDIRSYHLSEIPLIFGTYKTINPSIPITTNEISLSKYMQGAWVAF ARNPDRGLVDYGWPLYDAATNSTVVLGNFLNPTGIVFTKGTILDSTCGNATQLFDFAA QISKA JR316_0002602 MVKNTNPSIPTPTNNVVRGTTLVPDTPRSQKSFGRDVGVVQLRP AIAQEMDAELVRCPVTDFIETYLPFAPNDAEMAVLVEKLLKEINPSPVADGARITRNA AKPKSSILVGDEKAFRFRNYPKPGMITQSSHDSESSAYKGWLDIAHAIRNLKFYNDNR AANNFEYRNMPNKEIASDIKGSNNMIDAAFLRQNAHSKELRTTDIAVVIEQKRLKTAS KRREAVSANAQIMNDDDPGVLIRVFAAFLFAKEAELGYDPTIVREPDGHYTFKFPDPK RENHSLLYRTINTLSEYRSNNITGRMARVYTVTRLDDQGAPYGNHLVLKDVWLDTSAC TEEKIQESIFDDIETFWKNEKAPSVEMKKLKEKFKHLIENQEYKQYFLQIVLSFSGET TQEYPKSARIEKKILFDPSQKSAPPNPRSGTATPSSLVRDVGEDTRPPVDSEVEPRPI RGFEPKKQYRVIYNEVCKTVGKLETLGEVVDVLRQALIPLQLLFCAGWVHRDISSGNI MAYRQDLENGDQPWVVKLSDLEYAKKFPMPRCEPAADPKTGTPYFMPLEVMQKSYLFN AKQATLWAAPMVSASDIVGGALRATRKSDGLDASSKIVVHNFQHDLESLWWILVWTLT CRIGSDAAFAYGRTIFVNQMKPSYARAECFTDDAELLEKLIGFLPPSTSENSLPELVN VLRYLMNLHYVKRVGTRTHFNPEQYCSIYNDFDLVFDTMKATATEWSSIELITRKSTD SVEEESVAPIRKRQRADSEENVESQGDKRGDAAQSKPNKKKK JR316_0002604 MSNSKSGVVIPDTPRSLRSFGGDAGLVDLRPTIAKEMDGEIVEC SVEDFITTYLPFAPNEEQTQRLVQQLLQEQPPAGGKVATRSTKAPRPSILVGNKDQYT FRSYPNEKGDVKLSSHGSENVAFRGWSAIAEAIAAAAPAIGRQVTQFKYHDIPNKRIA SDILGSNNRIDAGFIRNFPGTSSEPRTTDIAVVVEFKLATNLKQANARQAVSANVQIM NDDVRRMFTFGITAESSEVTLWYHCRSHSAVSKRFNFEPHLLIKVLAAFTFATEEQLG YDPLITREQDGRYTFNLPDPTSSGPLQQFRTIGTLSEYRTNNITGRMARVYTVNKLDP EGKPVGEPLVLKDVWLDESAQTEWEIQKEIFKDIEKFWASSTDIQGMKELHDTHKRLV TSGEYKKYFLEIVLDHVGTVTTSRPAASTPKPGLLFSSTMKTHNPNISSTNNVTPQGT GTSSTSVFSGTRNTNAGTHPIEFDNVTPVPVAERPFKPKKRYQVVFKDVCLTVGQHTT LGEVVRVLRQTLIPLQLLLCANWVHRDISSGNIMAHKVELENDKEPWQAKLADLEYAK KFPQHDAHEKSVDPKTGTPYFMPLEIMLKRYLYHPRTRKHTTALERGEKAKPAKVVFR DRKKLRGVSSKPASTRHVIHNFQHDLESLWWILLWTVTCRIDSEAAYAYGRPIFVNQI IPTDERQDCFNAESILDELEQFLPAPKSEDEDTFAEMIDNLRNFMNDAYVARVSEGNQ NKPEHYVEIFANFNVCFDTMLETASDWAGLPLITRSATQGQPQSVVPGRRKRTREEES IADARLVDGEERSEAKLPKMEDNEEEE JR316_0002605 MQAYSDNPLLIKAPIGQQPYSLLPTSEQAQVDDLVRLRKKRRAR RFGHALVSTLCLGYLVYLASKYTGIFHHGHCGDSVEHDVVHTLSGIGYTIPSNVSLVH CVDGTQDRIETTVDSSQDLYESRSSFQLPLDSATIFLVSRGQNLHGAISVVTSEDQEV DSAKVVVSLYHTEKSQDWAKVCLLSRGQGENGVGIFTPIGRSGHHWDRRSLSYSIAVI LPALDSDKPLQINNLETDLPNTSHHVGDLSDVLFDRISLRATNAPMNLELLVTDSSSV ITTNGPITGTLHSSLQSVLTTTNGPIKVNVNLTSTEQSNATLIAHATNSPINAKINLL SSVGNGGTFFVSTTTTNSPLSVDFLTSPVDSTLHLVSKTTNGFASVALDPAYEGSYDI ATSRYLSARVHHKVPVPEDPSGKGRPREGHAIGSRNEVRGEIYWGRYDENRSNGTVFI RTTNSPVLLDV JR316_0002607 MGTTDLLLSVFGWTFIPDFATKHLLNFVYYQSPFRIVAAPPPGS PKHRRHYAITYAVVIFSYLSYTLFQSARTMPPNFYQILGVPPDVDENGLKFAFRAFAK RYHPDKPGVGKDGELLFMYVRDAFEALKDPVVRFAYDRFGPDVLGWRNQCKTTREFVR HGLLVSSGYHIVAGIILLFMSAIGRPSVISFWRYVLFAALLAGELSFILSPFPASQSS IHPPSPSLFSFLGSSVQPSETAALSLARNMAPRSFLQTIFPNRIPYQHILFLHQVFMF MSVAVSRVYPRFVTMFSEDGSGESKQLDALEKAVWERVYGTLAIADREASVILHTVLR SIMPSNSPTPAQPYHDPTLARMHPLSPSQTLEALEKVSPTIRDLIIEANIKNQTAGPI AVAWDAALRKALDALILEARTNSTAAQAQTNMDGAGVAETSSPTVSAAAVPITPRAKN FWEKDTASEADDLVTLVSESDTTLVNKGSESRPASPHKTLGRVPSTPRLASGGSGRVS PTKSHSPVRKASFGGGAGEF JR316_0002608 MPSDPITVLPASDSMVTLTHPTTTLWILELHNGVDNRLSHTLIN KGIKPALDVVEREWREQRQAGREDAGHGALIVVGRRDQDKFFSNGLNYEESLADPNFF QQTFNPMLTRLLTFPIPTIAAINGHCFAGGFVLAQACDYRVMTDGSTRNAWLCMNEIH FGAPYPLSVASLLTSKYGNTNLHRKIALEGHRFTPPEALKDGVIDYIVKGTTKDILKA AEEVANRVSVNAKAGCWGLIKRNIYKKLLDDVIQDIRLINPLIDAAAAKARL JR316_0002609 MRLFTSYALWTLAILAGLSTANAAVASDSSQCLAEICGEPLFGV ESSLVARSTPQKPCPSATATLKHRDLTNAERLGRGLPLKPPVRRGHAARSQVSPIPQV PEPEEPEPPTTEPEPKEVVYQGKIEVRKTDGTSLGYIYATNRASGLFTYGTVEGSALT ISFSLNEGVTSGTNLAITMLNSDGPLLGLVQGRDSTTVDIATGSFNYLYINGIELPGS SPGSVPITMPNGYSSNRAAESHIWNLDLTTGDLSPQWINSDGSSPVTKLWSQSNFLYA GADPAAFLAKYPSAVTPLTLHFVVDDQSST JR316_0002610 MRIFPLSLWLVGLLLGSIATNALSLYSTMFDDDDGDLCPAEICD EQLMNPAAIRHYSSEAKRPTRTTRRLTNAMRLALGLPLNPPKSRRADARPQVSPVPQT TYEGLIEVRNLTDSSSLGYISFRQRASGVFRIRPEVEHALIVTFSLDVGATFGSGIGF EMNNADGPLLGLVQGRENNGSDLSSGSANYLYFNGIGLPGSPPGSVPTELPNTFTLRT GEPRTAESSVWTVDLVTGQLSVVWTNSDGKPATPIHTWVQFNFLYAGGNPDLYQDLFP IYPLSLISLHFVPQTPS JR316_0002611 MEQQVHASSQSRLSTILEPQGTLTPSKIANVPPSYRLLYRGALS LPDSLLVLDGLTFAARLDSPSKQSTSHLLENPLALALESMRGRPTLRLMGTIALKDVY MDESGKVEMDIHPLATLSQIYFENMFCLQPFSSASTSKDPLPERSVIGVKVALGDSNG PETTQVVIFAQVSRFSDEKKVIRLQVGRIAPRPLPQHSLPRPPRPDDPIPRKPPAFFI RDLKRGGSLGNLKRVASGSTVGGGPLKRQKTAGIAADLGSGVRLGAVDVEGDRVFKVP ELPKQVKPTAKGKEKERDVFGDVSEVQRATVVKGKTKSDDTQNEAAFEKANKNAIKKS TTEYLARTKDPTGFIVKSHPDFKELFNFVYRGVCFALRVKMRSCTVDAALINRLIDVH AVMYLGGHGGSADGQGT JR316_0002612 MQATPSHMLVPIDTLTSSPTSSTGSASILDWNSNSDGELLQLSS PGTLTATPSPPPGTLSPSIVFSLPLADDSRLETPSDLEDPFLEQWEMTAPFFNEEAGA APIIRRGDSGAVADDDNTAPVDSEAVKPINSILPQPWEQLFRIRTALESLSFSSSDGN HSPRFVDDDGESAPTPSYTNPDSSIASTIIMPHLPDSLGSASMATTTKTRNGGVIETP SIPHSPVIEVAHPTVPNHNISSAMSSDPSTTTPAVALSTEEVEPEAISIGEYLQRKAL SADDLAIRAPSPGVEPSTRPSSTNSGLSYFSQPISPVIQSVHASTVEVNQGNDQNHSN HADAEEVAQSSPRTTERAATPTEHSILSWKPAQVSPISERGQMPYHCVNNLLCRLTRE ENAPMVHSNRPPVWPPSPPLLYPNSPNDDLPAKLSPRNSPTEGSAPASVSSSPASTRR APILPSVNYAIRSAPIPRVSKPSISRGSRFSLSPEFPRHTMPDFEILSVQSEQNPMRS QSEMSDIISSFRNSVNISAPHEEHGSPVGVSRPLPRSAFSASPESFHQELEVPQSPVS SIPQWLADSSRSSHFRASETTPATNHVPRSRPPLPPVPVSKVETEPTPQFALRSDLAR SPQTQHEYFAEAMPSGWGVRILPTPPPPPFSPQITVDEAVWHSPATSADTPISPPPPA LPSVTSYMPSGTNDWQWPSTNPPLNNPAPPPRPPPVFCSPTWQRTPFQGGQGSSAQYT GSTLPRDRKLPAPASPNWELPPRRLRFAPLPTPSQGAGRVGLGIGPTPVAVSFGTLPS SNFYSNPSYIYHGPYAHSPVIPQLYPNPPLPNVNPQPAIPLNVFSNNTYPSSKKYWFS DATVVFRVEDCLYRVHRHFFDRHSDTLSRMLATYWFDPTNHVFLPDVKKIEFERLLSI FYPTDLTKPDITTVSGWTSILALGQKWQMIQIKALAIQKLGPLTTAVEKIAIAKKYSF GSNHEWLLPAYTELCSRRSPLTLEEAEELDLPTVIKVWEVQNSILNLTYRGICNDTRI SDLVKEKFGFTSYSFWEFGPDGTHM JR316_0002614 MDRETVKVPIDSFIKSYLPFVPSQEAIDLIKKNFALPSSGAFTT TSNGSQQVACLFLQKIAQYVSSIDNLIPGREHNEYHYRQVSSNTMKSEIDGSTNSLDA CLMQGEESATPSTHNIAVVIEPNHRQAILANIQIMNDDIRRMFTYAIIIEADLVTLWY HSRSHSAVSEPFSVVKEPQLLLKVFILFLFASREELGYDPKVVHISKEQYVFRGIPNV KDRNVLETYKIVGTISKAGVNSFTGQMTRLFKVVKLNPITGKYGTKIYVLKDVWIDET EDPSSTKYFLSIENEYEGALTKPFAEGFYPIRLEDNSIETSASHANASLRTHVMDNAF RRSSVYDKFSQIDYTPKKQCRLVFNELCTTVGHLQTLGEVIDVLFQALIPLEMMLCAG WVHRDISSGNVLAYRKNLDNPKQPWSMVLGDLEYAKKYPPLKQLSSSSDFRVGTPYFM PIEIMMQRSLFDRNQENVVTKAIKKSNARKSHLEQVSPLDLGSSDSDDYDDYARFKED TLSGPKNATTVTWNGIVHNFQHDWESIWWLILWTITSRVNDAPEDTKSYARQIFQNSV EASPRRQKVFMESIQPDLEEHLGPLASYFAGPMDHMRKAMYKQYVLCEMREELANVSS YTPVTVSFRSFFIDILEHKDKWSSTRLDMQDQYIELLDRKRPLPDDIDNNAVRDATSK RYKAKYYNSQGSSSGGDGSAK JR316_0002615 MKAQIASRLWHAELPNQIRENFSAETMFECNLAVEVILQAFENQ EYTTWDAEEYLTHLSARCTGQNFTVEARLKDKFSPVHSALQYQTLPGTVVDSAGNILV VWNSLRDIETMIHKAVPLATSWRVNDSYFQHEPGWVQPGNINFSPAWFQQGHETSNPL EVSLDLCNPIGQEFIRDTTTSSALLGAILSIIHPEQYRAGMKFLQRLAAEPELVHKAE ILKQILTIWSSPFGVMTVISNRDTPYHRDNGSCYSWYDFLMPLGKGKHGRLELPGLGL RYKYDPMTLVAITGRLLQHGAVCDGDRAVIVYYMRRTVFEELGVQEAGWSTTYDLFAN LPATNAFDFEI JR316_0002616 MHWPPSVKMIFAIGLFHVHGHKTECLYNYASTYVPGVGIIDGEI LEPLWSVLNDTSRSTRSATTAHRAEVLDDHMGDSNWKKTINMAATIAAKFKRAREQSG ITDQFYRGITDQQDSGLINTWEDEISKAEADREQGVADAVGKVMASKVKTAAGRQEIE LHLSNMELTSNGATGKAAWISSGLKLEQAQLELRDHVRKLGKHPSTAQKLDLVNKRRS MRTRVEAFCRSAMTFMGEDVLEDIQGDIAPILDYEVSDNDDPDLGNVNITRADPERQP LPFPSTVKQDFFDGLDAGTNLILKGLRKLELQIRHGHAEDCLEAVRSALIQLSWQYKY QVRTADSVYMGTRAWDGVKLLNASWKLHRRLYNTNRQKMIYLSAGVRDEDNIRKQYPI LQVHDCKHSNAVSDPNICGGSSDRLSWIWRSRQGLDNDNQLYVNEFFRLNWLRARAQR NRWQEELALTKKEMEWTVRFYVYMAKTWRARHDFVPDRANAQKQIAMWNDLGRAADKV FRQINPEYPLTSSLNILVVSHLVEFMHLPRLFKPPVEDKHHLLTYDERRALAKVHVHI CGARIRAGYRLFIANGDSVSSVRRQKWMYLLDLEVAILLRVLGYCYVNGFQVDIPFFI SEILNQSVHAVLNRTLHHRAVLFESLNQSWSAWEDDEILNQTKSWGYWWRDGFAEGDE WQVAFVTVESQAREFWNKVVLPEYQQEVLRLNNQRKEAKEGASSSTSGPPRNQENNPK GKGKAAERTSVPTSGKHGSALTGKHGSAFSPPTGKPNPPTRVANTRDETSPIAISDHR PPYCPRCGQPILTGVMRELAHLRRNVADKMKNAHKAVTTSSAALGRYSVLEKMWIDSK ELPFSNGKGLSAKYKFQHPVHPSPDSWGAITAQARSFEVSKLKVASFYINDIFYSFIA VKELPFHPLWYHSPSSNTANIHLPTDTLPTPGSVSPPSNAHTSNAFLFNARSRPVFPG EEDDIDSTSDTTESSTPATFLEHLAQDFEEEADEESSAGDTTEESDASGNSEELSEDV SETPWDEES JR316_0002617 MGFKKVPDRGSDEEFPNVREASWSSGAKKRKRGRPRIHKLPAES SSTNLPQTTTYSFQNHEHNILEEEIPHYPGDLTDQQVLDNLRQLDDDRTGGKSQNDYL REWLPKREVYLGTMIGGEAPDPDLNGQCQQCQGMSGVWRCCQCFGSRILCSECLRRNH QFTPFHRVEKWTGLYFRPGALWEVGVKLYLGHNGKRCPYPTDPSHLGWDGGNNSSGSH GHGGNNSPVFEDEHGLDLDPLPASNDQESFTAQLLADPEVPHLVELDDGDDEDDDLFE EVDTTYLDQPRPKAADNNGIPFKAIVHTSRVHYLPVRTCTCRSVRLPSIDLQYLEMGL FAASFENVQTVFTVEVLEDFRMDNLECKTSAYQYYQKLRRLTSPAFPKKVLNRYRELR RLSREYRDLVLRRQYGEGHTREVVVPYYRHCHDSSPERMGINVSNPIDGGSDSPSQEV GMSTDPSLGHGGLDGPPVPQMTRTEDHPEVEDRRGKLALFCPACPQPGINLPDTWIDD ADRQVLVILQG JR316_0002618 MPTNASPDADPLPSTANATTHNGPRNGQTAEGDFPPEESNIWTT KEMRVLKKHVQPYKDTSKSSKADYIQNTVIPELQATWDHRYSRRARKEDKQLHKEWKV KKHRIFNWFRNHASNRIGVKLEGLNSRITFQTVFREEKLAEIDSEVALLSGNAARGSK DWMKFYQQGRKQVEARLTQEERDRFMEILEEWNKKGVSKSMKAKTQTSDLALDDVRIP SFGQLFPSELAAFRRAFVQYLVHISEIEKGVANPALPDASFHEKSLKFSSNGFPIVPS PIYGSTGKEVAYAQKSIIRIYMNKVYALAKDRPGSSVPWDALERRSAEMIDPEYWPSS IPVTDPSRLRLESTSAILKLWRDRQAQGDIPFKFSKVFGNGYDIMEPLYPSNLFDGLE AHPIPPPPAAITKRCLRQKAIRLQTQSSSNSESSNLSEFDNGRGSPASAMPRTTARFE VTPETDETPTDAPSRSSEPPSLPSRSSPTIVNPSSSPTPEVEEAPPKPARKIMPRKRT KPYVGTAEMDGPDEESSVPAPVKPKPTRRIQPRKKTKPYSDPLDTVEESGVQTGTTQD TDNTRAGRDVTCDAFVETVTSPDTDETRARRNVTSDALALQEASLLVVAGKRQRKKTL KA JR316_0002619 MASSSSTTATFAQRLADWEKTFTECYRNGESAFNAQLEQLYRDL VPLCQEHVRDAANFRLVDYVASPVVYSYKTSQGKDGKQVGRFEVDWANLHHQVANFKA YQQGQEAQRKRREEEEQEKRREKEEQEEEERQRVEERRKREEKKKREEEEERQREEEE ERQREEERRKREEEKQKVEERRKEERRKREQERKTREQERQKAEERRKREQEQEQETD EERNKEETEKRRAEKGKGKAVEPPVEDGPLAPADYRGPRTKKGEIIPHITASNMPGHP AYREKLERLAKSKQGKFRSKAIIGSHTDEDADADVDEDDEGDDQEAPPTTPTRKMLTR SAKKDANQDNISPIRKARSRSEKARQVPEGMVDMVERCTGCTKFKVPCHVKGETGTEP LVPVKHQSCESCKSRKIHCSFYPGRFYPGRNTVAGQFNLSTPLGSYGEVLKLEEGEDV PAKGKAGEGSFPEDVGELLVQLFERQGRLMERMDGLSASMTAINARIATFAETNLAVE KRMKTVEDSFQELKAEWTTAIEQVAGNTSLSVTMFNNIKQAIQDVQYVVGVLLEQDEQ RNPAPKQAAEPSKTEVEQESGPSRTREPTSAPQSPSPPPPPAPLPSLPPPPAAPILPS PPPPPPAPVLPSPPPPPPAPVFPAVSAPPTALFLPGSTPEAPSPPPAGRPSLPPVPPV LSLSPPPPLPAPRPRSSTSKAAPLSKGAPSSKAAPSSSSKAGPSSKAKPLSKAKPSSK AGPSGNGHSSELSDPSDSDEVEIVEEDVEIVASTLPASNIATKTRAGRKRKAETTLAE ASRSPKKPKAQKK JR316_0002620 MSSNISNSKESKRTARTDDLAPPPMPVIPDNLAPPPMPVIPANL APPPMPVISSNLAPPPMPVIRKDLAPPPMPVIPANLAPPPMPVIPANLAPPPMPVIRK DLAPPPMPVIPASLAPPPMPVIPPTLDPPPMPVIPDTLDPPPMPVIRDDAAPPLMAAH VSYTSVVDKIIMDSRPAISSERKQGLIPWAATPNYTEQQLRATYDQIIDSSVGDSSYD GKVTTFKNLRLHQLQSEILTYNALEEIDAGLAGIESSLEKHLYTGRDE JR316_0002621 MYVINKLSYVQRFRVGLVRYTQVTLSMGYLGLLHDVIAILRCVL VNPTYGSIMYYQSPAAQNKEGFYGAPAADVPDRKRLRSQCRKMMGALQMQLFIGGIVL AAYGNSLYSQDFDDQHKADVTAVLRQVF JR316_0002622 MAPVLAALDNNNAAIVEKLFGTPVAVFIGGTSGIGQGMAETFAR WRDGKAHIVIVGRNEAAAKDIISHFPKATGSETWSHKFVHCDVTLMKNVHIASQTILE KYPKINFLILSPGFFSTSGRDETLEGIDKTLAVHYYARWKFIDELLYALKKANEEGED ARVMSVLAAGRGGKIESNNLGLKKGYSFRTATEAATTYNDLMVESFQQQNPGIIFSHS FPGAVLTNIMSSAHMPWLRAVAPVANLIAKPFTVTQNQCAEYLWSGLLNGTSRIGKKG EDIGKTGYYGNDELRQKLWEHTEEVTKV JR316_0002623 MAPTRTYPLDTQRYDPDYHSSKKDDMVVKGVQEAHAAIVNGEVV SEGCPIPSVDSNSVAHIDGSQVICEKIQEKGGKMDSIRHIGARKLLRSLDCRRRTAAT LFDDTNSDVVKVPKSLASVSTHANVDTLTESSVHNSCGPEYSAYVTSNQVRLTEEWQY DATDIRTRSVIRTRKRSLHAAKNSKRGRISRMRSLDCMHNNSAFETSVDIFQNQTFGI HPDLVINVGENQSKKEDLIARLPKEECETKRVSFIEVGRQ JR316_0002624 MDKQPRLPPTFRSLYRLFLRTASATVLHHRQARRNLRQRWRPVF DAGAKVSRELQNAPTDASESWIKGREDWLRVWNKRMDNTLSFLYTASQSRGQPHKVTR NLAFMVGNERERLISKYARMHKWDPVSPKVVKPKLIEESKKAEQFQNNAKLAFDEVVR FAEASGGGMTLGTTEFPLVRLFRSYKPNNG JR316_0002625 MSASQSAVSTSSGVGRHELPSLENLPEITTRMTTVCLVSSPSSI YSSDEMEELENDQSSGVSGASSMPGTPGLTWDSAPSTSELPAEGLKSVFEDWDDPEIP SSAVYVDEDGSQVLLLTPQSTFFQPPPTPPPFGHAPFSNGIFPDNDIAMAEQVITLSA LSDSDVPRTPDTFENAEDGAMSGNGRILFVDTTYTSYNTEWSASVFFPPKLAEEAASW TPHDFVGGPDVPLDCIHSAGDISPVERWDEVCVVSKDMIPGTGELI JR316_0002626 MSPRSALLSHTSLRTGPVSSHQNIDPNNAIVDTRGKRRKSRTKG SRRELALEVGRPSALSQLVDVDISRKDPRDEVMRLREILKTVEKHAIMEAKRATELQR ANLEAQHRARILHENKLAAEQAADKANQEKRLYQFQLDTAQKEIERSQEAVRRIEKQR DEAEAEAARARDKARKLREEKLMLAAREEGRRMGFEAGFEHARAERQMHAARTKKPPI DPKPTHKRRSSMEPAPSVRVDKGKGKERAYSQQDNDSPPHDPPPNNTRRQNPPVRESI RGRDDDIISSPDSPVMSVSQLPLRNLPPTSRLSQAGPSQSSPLRPFKPPTETHSEPSE PSEPPVNHHRSPTPQKLQQQFQEQQQAPRPPSVRSTDIVTWSVTVPTPNEIVSNANES PHHNVATVPRDQWVTAQKRLDMPTPENMPPQLTLPPRDPPPNNQAKTMQKVVRLPLIQ RASFKQAASWYRSLSLRKKAKPVTDPVNEKPPSKTVPTPTTTPMSAPLYNESQPHAAV DEPIASAEMYGQPPQPPISWYQANRPIAPPAASSVRSQDFAYGRRRRGSDAMSMSTRV SEFDLLSTPYIPAQSVKSGKEGVKRVKEPKDNYLGVIKEDPSSRGNTPSTDRYNHGAY PPVEQMQTIPQPNFGQPSLHQQPSYGTLASSVQGKRHSRRPPPPTITVPDPDEELEPF GVAYARHNRSQSMPSGYTGGADIGRRPSRISEKTTPDTSIVIDVVPPSGMAPDIVQSP PHTGVNHLSPYHVYRPPSQASQRMAAMKSVTSLHTQGGSRLEPPSASTPAEHPMSVAD PRSKSSQSYTNYDQHQPQVPLRQPPTSSPAPSRAAGNQYAQDNSSNYTLQQQHEYLPQ QTQVQSSRAHSRASGQYYRDQTPSQTPYQQQQFLPPSSQALPPQPRPESVRSYASRRP NESLRPKKSQSSFVLHNPDPDMSASPSQLPNNASGLHLEHPKMMQRQKSSTSLRSVGS YSKYDPTEYVDPAFWSANGPGELIQPHGAGTSIYNGQERRSKYSSSLRT JR316_0002627 MNKGNYSVRSRPASTAGVPGTCVTASAPDTNSLALLVAFTSVYL RGQSMVPHLSAIAQSNAALVGGLKGAPVAVFLGGTSGIGQGLAETFARWRNGNAHIII LGRNEAAARDIIAHFPKPTASGASWSHEFIQCDATLMKNVRAAAEKILSKHPKVNYLV MTPGYFSTSGRDETSEGIDKKLAVHYYSRWRLTYDLLPALKKAKEEGEHVRVLSVYST GYGGPINEDDFGLKKTFSMKNALESAATYNDLMVEAFHERNPGIVFSHAFPGGVSTNL MSSARTPWMRAVAPVVNTLTKPFLVTQDQCAEYLWSGLLNTNIGAYRMGRRGENLGKQ GYHGNEKLRQKLWEHSKDATDV JR316_0002628 MAHMNQDCINLFRKSQNALEDKSTISIEEANRELALVLADLVVN HTILGTSLSSFPACADVQSAVDFLERSFPGFRDLEINKRYDEMPQIGGTLESTETEAG TKVKAFETVTAGEFMRAEAHVVTASRNGGAHQLVEIDILEVD JR316_0002629 MEREFIVCDLDQFMSSYLPFVPSEADVSSCISQCLRPDSMVVGT GDTTRFMLYLSPPDGSQSEMKTYRNLEKISASIAKFEYPGRTRNRFHYKHTPYASIAK STHKIDACFTADTFSSESKILNTYAMAVPMEHKVDPAQRYDSNTKVVSANKPKKLIKV FLSILFATEEGLGYDPLITREADSNYTFEIPQVNAPSRFFRTISPISVYNSNNSTGRM TRIYLAEDLSTNPPKRCVLKDVWIDSTEQTEGQIQQALFDDIEAFWKEEPATPTVPGD EDDINFFRSLHSDLVKSKRYKDFFLSIETDYASPALHDVAPKAVPVRGLLTAPAPATP LPPAFSNSGPVPDSARKSPTPRSSLRSAGTTRPMTKARGQLANSKPVAPRRYMPKKRY RVVFREICTPVGNLGKLGEVVDVLQQVLIPYKSTEAVSDGTMLNWQAKLSDLEYAKKF PPPDGYKGASDPKTGTPYFMAHEVLGRSYIFQPVATEARSVTSDESTNPFAPVSEMIV GVNREVVAHNFQHDLESIWWLLLWTMACRIDCKSSHAWARPIFQNVEDLTEERAHCFC YPINQAISVSFHKDISEFAKPMEKVRLIMHTAYFDRVSLRRHFAPAAYVKFHRLFRLF FMGIQLISTSDWREIPLIPDDLTVKRNLKILC JR316_0002630 MLRIATVAVLLETALSQQLNLQTNYSGSSFFDQWIFKTGNDATD FYGLPGNQGNVNWTDQAFAAAQNLTSVNAAGNVVIKVDDTTSAQPGGTFGRNSVQLLS KTQVLPGSLIILDAMHIPFGCSVWPAFWTTGGATWPNTGEIDIVENVNLSPHNQYALH TVDGCTHPTDGVEETGNLVSPNCFINATGQATNEGCLIADTSDNSFGQGFATNGGGAF ATLWNDDGIKIWFFPRSSIPSDALSANPNPSNWPTPTAFYPSSTCNPAQFFGPQTIIL VESLLSFSVLGRPFSQAVPLILYIGNERGAGTFQATCPNMGNCVDLVTVPSNYVNAFW EIRSITVFSNATSPSNNSGPSSGSGAGSGNSSNPGGDNTTQSSSLINTPVASWNLLAG MVLVSGLTLLSF JR316_0002631 MLPLSLLTAAQNKPMLVELKNGETLNGHLVNCDNFMNITLREVY QTNPDGDRFWKLKECYIRGSTIKYLRVPDTLLDVVKEEQNRARDMNRSARGGHMGGGE LRVVVLQEVVVVDVVDLCEEEEGAAEALNTFSYCSSVCFLYTLSIAV JR316_0002632 MELDLELMRSASPSLSRMEFDFDAIEEEDSPFPEVRASVSNIDD PDMPAMTIRMWFVGLLLCMVSSALNVFFNFRSPAPTIVPLALLLVSYPFGKFLAFTLP INTYRVPLPHLPRRMLPLHQPSFAPFRLITNLLLPLTYPRTLEFSLNPGPWNIKEHAS VYIMANVSTTSPYALNAIVVAEVFYHIKLGYWFGLTLVLATQLVGFGLAGLCRRFLVW PASMVWPQNLVACTLLNTLHAEDEDDGIGIVGYVGGGPGAKGMSRYRFFVITTLAGFV FFVLPGYLFEALSVFSFICWAAPNNVPVNQLFGVHSGLGMSVLTFDWTQISWIGSPLM VPWWAEVHIFVGFVLFYWILTPVLYYTNSWNLAYFPISANEPYDRFGNTYNVTRVLRS NDTFDEAAYNDYSPLYLPATYTITYLLAFALSTCVIVHTALYHGRTLLNGFKKIRVEK DDIHAKLMRNYPEVPDWWFLIAVGVFFSLGVVTVEVWSTDVPVWALLLSILLPITLNL LAQIIPGTLLPGKPLANMVFKSYAVQTLSAGTSFVQDLKLGHYVKVPPRATFMVQMVA TTLAAFVQVGVKEWMFSNVPDICQKDQPSQLTCPHNQVFYTASAVWGLIGPTRQFGTG SIYHPHLYAIIVGAFLPLPFFFWQRRYPKSWVRYVSTPVVLNGVSYIPPATGINYSSW FAVGFVFQYLIRKKNFAWWSKFNYVLSSALDSGTVISVMLIFFTLQFPKGGKIVLNWW GNTVYTETADWNRTPFLPIPDGGVVWKGWTPVPLPTPTAT JR316_0002633 MVLAEYLLLHIGDHPFPSVRPQIAHEMEREFVRCEFDAFMKAYL PFVPDDADVKECIDKKLRPRQLMGGTDASKLCFNHYRAPPTTASSEMETYKSIQDIAH AVGLYAHPTRKRNQFHYRDTPYSHITSETFGSTHKVDACFTSDPTPSRSNNKAMKLNT TSMAVPLGFKLSSSKRHEVIHFHLPEIFYVLTRSRFQNNKKIVSANVQIMNGDVRRMF TFGITIECDQVTLWFHCRSHSAISVPFSFVTEPKKLIKVFMSILFATDEARLRPVGND GGPVRHFQVIRPISIYRSNNITGRMSRIFKVTEVNSNPPSTFVLKDVWIEESANTEGQ IQEAIFADIEKFWQETTLPEEKEGLANLKRKHAALVASKGYKDYFLSIETDLEGSLSK DIVPAYSLQRGLFNPPLRAKQRSVFSGQGSRHSAGTPRPISNAEKPQTLHRDYLQKKQ YRVVFKEVCKSIGELVYVGEVIDVLRQVLTPLQLMFCAGWVHRDISCGNILAHMHDAK WQVKLSDLEYAKQFPPPQDYKPATDPKTGTPYFMPHEILDRRYLYIASDAAPDKFASY AQLRKQKDQKDADTQQPATPDVTVSQGEQVQDSVPQIETTVQKDSTVFNAQKELPAEA ISDSSNTEQVDHPKLDQLPPLNRRNQGNGDQSDGDVFGPFEHEETNPFLEGSVVINDG PEDSDASYEDEDDDDDDDDAETKPNRIVVHNFQHDLESLWWVLLWNITARSNRKISNE WARDVFHNSLNLTWARRACIIDEVLTDMKRILAKPLKCFAPHIEDLRHFLKREYVTRE ELGDLDSFESYVPIHNAFETVFNSLLSELPSTWRKVKLVVNGPEDGSGEEILHTTLSP GSGAQREDNVAGTEANGPTAAASGVAQLEKPARIKRELSEDELTPARRAGPSKRSKRG NAD JR316_0002634 MAPHLSAISQSNAALVGGLKGAPVAVFLGGTSGIGQGLADTFAQ WRNGNAHIIILGRNEAAARDIIAHFPKPTASGASWSHEFIQCDATLMKNVRAAAEQIL AKHPKVNYLVMSPGYFSTSGRDETPEGIDKKLAVHYYSRWRLTYDLLPALNKAKEEGE DVRVLSVFSTGYGRKIDGNDLGLKKTFSVKNAAESAATYNDLMIEAFHERNPGIVFSH VFPGGVSTNLMNSARTPWMRAVAPVANALAKPFLVTQDQCAEYLWSGLLNTTIGAYRM GRKGEDLGKKGYYGNKELTQKLWEHSKDATDV JR316_0002636 MERDEKTYHDPETTGLSVADDLAEAVPGEANSSSSKGKTPEVIE RDSTYFIESLFMVFQVQNCLFRVPTYLLSAESQVFSGMFHLPQAGDLDNPDNVEGLSV TKPIILPNEYLKEDFRSLLKALYPLSFNITVDLSKSEWISVLKLSTMWYFIKLRNAAI SELAHSGVLDSIEMVTLGRKYRISSWITGGFVRLIERYDSITDDEAIDIDSTYVTTAY KLYRMREDRIKNRWNVSDVEVVFGPELQAIRQDEEGYKTPELEIEFRPETPQLEIEVQ AEANPLPEPTAIGRKSVGYKRRK JR316_0002638 MLRTVALAILFETAFSQQLNLLRKYSGTTFFDQWNFKTGNDATD FFGEPGNNGNVNWLDQATAASRNLTSVNAAGNVIMKVDDFTSATPGGTYGRDSVQLVS KDQITAGSLVILDAVHMPFGCSVWPAFWMTGGANWPSSGEIDIVENVNLATRNQYSLH TLDGCAHPPTMSSSETGNLISPNCFVNATGQATNQGCLIADSNLSFGSGFANAGGGVF AMLWNDDGIKIWFFSRSGTIPADITTTNPNPAGWPNPVAFYPSSTCDTSKFFGPQSMI LETNVCGNFAVDVFSTTCPGRGQCVDLITDPSNYHDAYWEIKSLTVFSNTTTSTPGSS STGGSGTTNPTGSTGAGTTGGSGAGAPQPTGAALSHTLGPIGVAASVLAPLFAWILL JR316_0002639 MLRGLALAILFESAFAQQQLTLARNYSGSGFFDLWNFKTGNDAT DFFGQPGNNGNVNWTDQATSAAFGLTFVNDVGNVIVKVDNTTSAAPGGTYGRNSVQML SKDQITAGSLVVLDAIHMPFGCSVWPAFWMIGADWPTNGEIDIVENVNLATKNQYSLH TLDGCSHPPDGVVQETGTLNNANCFVNASHNEGCLVADGPLSYGAAFANNGGGVFATL WDSNGINIWFFPRSGPIPADIDSPSPNPSSWPTPVASYPVSTCDVSRFFGPQTMILET NVCGNFAVDVFSTTCGSGKCTDLVTDPTNYNDAYWEIRSITVFSNSTTNNPTSTSNVA SPTSGTGSGSGASGTDSSSSGNSTPNAGTTSNSAAIPRYNLGAVAFGVIVPIMISLTV WSMQ JR316_0002640 MHEDNVLEVQTQMLASEPVVMNCASEKQEAEPPHIRHHPIYYIE MMTIQVCHSCMNRSVNMAMIDRGLYDSKIGGDLFRLPSYDFSFLKERYPGSTNVDDSA VNLTGVITSLSFCRFLMVLYPIVEVRANYDEWVDTIKLSTLWGFNKIRAQGVKALNPL VKERSITETILTAKALRVRPWLIDAYETLAIKDTLTEEELRTPFELDWETIARICLVR LQKPGTTTSSNSQSMFTVARPRTHCQWCGIQSKAHGSLLRRLQCPYTCRNLGLNGNVD INSRQISEAGDSNTSLSVSWVKRAVEEVFKSELNSMVFE JR316_0002641 MSESDGFHTSTNLLEQPVETVVTPTRHSIYYFELVIFRVQDVLF KVPKHGFMIDGTIFPDMFSLPVSGGAMPQGSCDENPIMLPVDISQTDFHGFLLLLYPY FDRERETGVATYEELVGALKLATMWEFDEVRKKAIFRLSPILTERPTVEQILLAKEYD VKSWLRDGYLTLIRKSDLSIQQLCDPFQLDWKTIARVFAIQSYSRHSTWRQTIFLEDE FQYPSSKQVEEYLDTVFEDEFKVMRVDVLE JR316_0002642 MRAQTRHERRALFRAPFQELPVDILPPILSHLAERKDWHACTLV SKTFCRVATPLLYSTLDSRIISKTLLHHPCTTLLRRPELARYVRRVTETGAVHRGMFL TYPNITRDTLAALSLCTNLRSMTWIDDISSRTSSNAKLLAFIDVLRTLPIRELTIRTH TDLGEEVWSQLITLTGLQKVSIWCMEGPPRVLQGWSGPLGSTLTHLELGRCAGVPPTI LITVLSQLPLLKDLRLKGAPATSIPTILTFLPNLESLDTEYLLSGSGSYYNRRPRPYK VIRPGDEEEDEEPEEPPLPALRRLTVRTSSMDNLGPQKLWGWIRELVPRPGLESFRLH AFTFNMGYTGIPRMFILDLADAHGSTLREFIVGDAHLTLKDVECLCSKFPNLETLNCS LASPDVASIVDAISAAKNIQTLKLQVKWIPDDNNPRKGDNIFTLEDATGMMLRNENLK LRTISIGYKQFTGKWVLQESDDGELGKLNFVVSEDVAEDKWKT JR316_0002643 MFYIIGLGLSDEKDITLRGLQAIKNSARVYLEAYTSILMIEKDR LEAFYEKPVILADRDMVETQSDEILRNADVEDISLLVVGDPFGATTHTDIVLRARALK IPVRVIHNASIMNAMGACGLQLYNFGQTVSLVFFTETWKPDSFYDRIKENVGLGMHTL LLLDIKVKEQSEENMARGRKIYEPPRYMSIPTAVSQIIETEESRQENVLNPDTTLAIA LSRVGGGDEERIVAGTLRELLNHPAEAYGQPLHSLVIVGKRLHHLEVEYAEEFAINRE TWRKIAKEEYKCALD JR316_0002644 MSSIFVDEAAGSDTTGQGTKEAPYQSLAYAVFKHETATFQIRKS PEAEYDEPTQSSLKKAKKNASGIEKKLKKQEELAEREAKEKGEERERKEKQLEESKKI VLEEDASLPKAIKSKIAHLEAHRSKRVRVFGWVHRLRQQKDIIFLVVRDGTGYLQTIL SGRVAQTYHALTLTLESSVEVVGTLQEVPEGKTAPGGHELIVDYWKVVGSAPGADDAF TNRLNEKSDPSIQADLRHLVLRGETASSVLRLRSYLLSAFRESLTAENLIEVTPPCLV QTQVEGGATLFKLDYYGQPAFLTQSSQLYLETCLPSLGDVFCVQESFRAENSHTRRHL SEYTHLEGELAFIDFNDLMAHIEQIICKTVDILLANPTSAALIKQLNPNFQPPARPFL RMSYVEAIKWLNEHGIQHPAEDAEGNPIKDEKGQVVLVDHKVGDDIAEAAERQMTDII GTPIFLHGFPAELKAFYMKKVPVEPGTPGPMFTESCDLLMPNVGEIVGGSMRIADMDE LIAAYKREGIDPEPYYWFTDQRKYGTCEHGGYGLGVERFLAWLANRYTVRECSLYPRW PGRATP JR316_0002645 MVTTKFKTGDHVKYRAIGGEPIDGNETSTTTGEIIDIITETQPL GSTGVSAKASENEPRYLIRNDNTGKETAYKEKNILGSA JR316_0002646 MIALVHPPSRSYLDSRTGQLFGEGGVEQTLHPETNAKTPVISGV HGKVIMGKLGNETAKAALGRATWKLLHTMTLRYPEHPTPDEREALNSYFYLSSRLYPC GECAAEFQQLLEKYPPQTSSRRAVSLWLCALHNQVNARLNKPEFDCAHLSDEYDCGCG DDPVDAEKSSPKSNNIDPMDLEDDQSKDDITGVDMIRGGR JR316_0002647 MTPSNQGGAAAKSSIVHESYTIKEGLKIIERFFSVPLDYSKPKG ERITIFARNVIPKSKAPKQEDEAKLPFCGPGFEINLPSSGGYVGEIHDKGYQTLWVDQ RGTGLSTPLSPDTLPEHVKTDEEIAQYLKHFRADNIVRDCEFIRLELLGHKELPEDKK WTLLGQSFGGFCAITYLSFHSEGVKEVFITGGLAPLVEQPDLVYETVVHQVLKRNEAY YRKYPQDIKRIRDILAYLDSTEVILPNGGQLTPRRWQQLGINFGMHGGIDSVHQLVFR ASNDLALFKKISYKTLQSIQNQQTFDGNPLYAILHEPIYCQGQAANWSAARTVQNFDS FSWQKVKAQDDSSPIFFTGEMIFPHMFDDYTNLRPWKGAAEILARDSSWGPLYDLDQL KKNEVKVSAVTYFNDMYVDFSLAQRTAATIQNTEQYITNQLVHDGIRKDSVDVMRKLF KLSKREFD JR316_0002648 MSLWGSPVELPSTSPENPVPSSVNGDSSRPRTPHISSPAPNSTD SREPNAGPSNEAPVARLDGLFNFQIVVFQVENTLFQVLKNGFMVPGTPFEAMFALPTP SDDDEQPFAEGDSLTNPIFLPGVVASEFRAFLKILYPFMGPSVVTSYEDWVGILNLAT MWEFTHVRASLNQLDKPIPERIILGRTYRVVDWLKDEYITLAQNPNLDIKNLRDPSGI QLDWETIAKIMFVRDKNMLTNLRQGRSSSGYHCGTCNIYYGYHHEVLDCRCRIKPLIE EGFQEEFQDLAGAPSGGLNTSPPLPTST JR316_0002649 MSNSTKPMETTSSKADSPSKVQRALGFFRTGVRPESKGYQWTAN TTPVEQRDVKIDDPAVVDEEVATSATRNYVFAHFMVGNTYPYTIDDWYQDIKLAASYN IDGFVLNVGREDWQRQRCADCFAASKRLPESTNFKFFFSFDMTSIPGGSSDDVWIFRE YISANYKSPRMFRHPRTNGVVVSTFSGENNSFGRGSMENGWAYVKSELSKIVPIYFIP SFFINPSRYHGISAMDGAFNWNGGWPIHLHAGSPRHEIENPSLDSDQSHLQNLSGGRT FMAAVSPWFFTHYGVNSWNKNWIYRGDDWLYVRRWEQLISMRDNIDIAQIISWNDYGE SHYIGPIKGAQPNSQAWVDGYPHEAWLPLTQYYTKAFQTGKYPAITKDRVFMWARPHP KHATSADPVPRPNNWELTDDTAWIVVFATAPARISVYTNENSADKKNYDVKAGVTKLS FELKADEGMKVSLIRDNKTVVVCNPMAYRFESRPGVYNFNAYVAISP JR316_0002650 MSTTFAHRRLSHDFIFRASPQLRRWMSVRPPSQDPATPPIPRKK VTIQTLQALRQSKTPISMLTAYDYPSALACSSSLLTDITLVGDSLAQVCLGYASTTQL TLSEMIHHAKAAARGTTHPFLIADMPFGTYHTSVQNAVANAVRLVQEGRVEGVKLEGG REIADVVRRLTDIGIPVMAHVGLLPQRHCALSGYKVQGKSAEAAKKVVTDALALQEAG AFAVVVEAVPLELGKYITDRLKIPTIGIGAGPHTSGQVLVYDDVMGTWSGHKAKFVRR FGNMKEIRDNAVQSYGEAVREGSFPDPQAESYTMDKIEWARFLENEISEDIR JR316_0002651 MLPGTFFETILSVPQSSSKETLGSSNEYPIPLCGINGISLTAKD FRSFLVVIHSNGNTPAMIAEDWISVLRLSVLWRFNKIHETAMNILSTRILFMKWTEKL KLANEFGVAEWRRDAYIDLVQKRRLTLDELTELGESQLNWETIAKIFYIREMLDCPPG CANAPIRSQNEAATPSFPNEARQLVDQYLLKVDVRNI JR316_0002652 MDDRYDDGPIYLSGITERDFRSFLSVIYPIDRHNPLAYEDWKSV LRLSTLWKFDQIRDKAINWLSSAIIYKDWAERIKTAKEFNITIWLRDAYVDLVQKNTL SYEDLTSGEYSLEWDTVAKIFFIRAQVLSSGQGVKENMKSWKVARALVNEHLLNNS JR316_0002653 MMSSDSLNRFNPSESPNYGFVAPSSPSPNLRAFLAYVKAAEAWD VDRTMEFFDDALEHRILPQSLGRPVLNKRQYGEYLRALRPFFKTYQVTIHEVIEADNK MTAHASSNGVSVSGVPYANEHVLVIHYAPVSESAGPDALPKMRLVKEYVDSSFSLTFF AQERAKAKERQERLVRSK JR316_0002654 MPKTRNVTRSQWDAANTASTSGSRTRRQNVNSMAGSEKENAGPS GTRETRSQARAAGRTGLLAGSNIAVLGQITPTTNKVQADKTTAKNKTISKGKGIRPPP ALKRKKQPLQDITAEFLPAQAEAANRGEEAPVHDGADGVVGSRPTETTNVVASFASPD IIAASAIPVPKFTSPLPPSSPPSAHYASPSMRPISSAPVLLDNVTFPSTHSAAQDVDE PWQDEDIGNHDSEASVGPLSSNSDPFGFVSLERKLKADREAAALLVSGHDHEEEAVVL VAETSSPRPVPRLKRSLENEEDEPSKAVEEIHDQVHYATPPTPHKDKQKRRRMSHEGH DIFSPCSSSVEPSPSPTKASARKLPTQALSNNPLDKFNEEFERSFEALKGSQLDLTTT VDPDAVSRNLRSREKPLRRLQLGREEEDRSNKLVVKSKGKSAQKPVSKSRSAAMRKRP TSKQVANIVNVHEEESEESWERARQERIEYFKRLESYEVEKEDYICIPMVLLSRHCAY VLVGLALDHSRTTQDRTLIKDGQLVRNLPLARWLPVSAPSAVTSDSNPKLMFLSGARS IEVV JR316_0002655 MIYHGNETPKNHNKSPIPSQNNQAGASSQHPPPPPPSYENYRDY PQPQHQPYLHPRPHVVSVDSALLYPQNEYRQSPGRRFLRAFLVAGLIWLLLTAFFQSL HLMVRRSRHGGWLDSWDYSIPPQVNLDTCVQGAKWTEVPNPVPGEYYRLAAETSFELP LSSETLFLLSRGDRLGGAVKVKTSNDQAKDTALVNVLIRYRLPIVAERTKACLVNKGN NENGVGLFAPVYHGGSPGTEYQVSFEITVTLPEHAASNPLDIKNFETDVHNSRHDFAD LGAKVLFETITVKGTNGRIETKSLTARRGYIQTTNGGIAGKFSTNETLRLVTSNGRIT ADVNLECESTEVRPKLQASTTNGRIDSNINLISKLGGGQGGAFDVSTTTTNSQLDVKF PKSPVDSTLDFTASTTNSRARVTLNPAYEGTFDLRTSSFTKLNIDRYQGEDPAGKNRK RLVTYTQERKNQAKGYVSWDPENAHRGSVVVSTTNSALYARI JR316_0002656 MAAETSFELPLSSDTLFLLSRGDRLDGTVKVETSRYQASGFASV HVLMRYWFPTAVERTKACLVSRGINEDGVGLFAPVYRIPGRPGWDYQLSFEITVTLPE NAALNPLVIKNFETDVPNGRHDIADIGSRVLFETISLKGTNAGFESKNLTSVQSLTAQ HGYIYTTNGRISGKFSTNDTLRLVTSDGRITANVDLQSDSATARPKLEAITKDGRIET DINLISRVNGGRGGSFDVVTTTKDAQLDVKFPKSPVDSTLNFIASTKDARASVTLNPA YEGTFDLHTSSHSKVNIDLFQGVDPAGKNRDRHIAFTHQNNNEFVGRVSWDPRNSYRG SVVVRTNAALYARI JR316_0002657 MANQWQTTNTPKPYSAVSNPGPVLQQPHQSQQEAPPPYTGPPVY HDTQPIVEYAIIVPRPGAGRRFLSSFFVATFIWLLLSLLVQSSVNALQFGHKHHGRSV GGSAVSSDLNLGNCVSGKSWNQARRTSPFALLRLNFGGDAQASGSTNFTLPISSDALL FLSRGQNLGGSITIITSPTQSFQSATINVQATSNSQQGLQQTKACLLTRNANENGVGV FTPTSGYSPLWNVNFHITVILPDVGNGEPLHIKDLETDLPDSSHQIGDLSTKILFDSI SLSGSNGPIAVQSLATTSGKINTSNGQISGIFNASESLLLATTDSGIRVDVGLTSQGS DSNPMLTARTSNAAIDANINLFSSSGSGGTFTVNAETSNQPLKIAFPNAPSGSTLDLQ ASTQNGQASVILDAAYAGSFDVQTSAFEDAKVNVVRPNVDGRHVNFSGEGRGETAGTV SWDNQSSSGAGKVVVEVSGLYVYKKYYNRESTL JR316_0002658 MADTTNGNGAILHVERHPQYYISGADLSILVEHIQFRVHRYFFE RESKYFASKLAGPASPGQQPVGSSDSSAVVLEDLTASQFENFLWVFYNPRYSIYEAGA DVWETILTLAERWSFPEVKSLSVRELEKKSLTDVKRIKLYHLNNVDRNILIPRYAALC EREEPLTLEEGLDLGMETTLMIAKGREEVRAARLPSGARSPLTPTVHGEDLRAVIREL FKIAPKAESGAEEPEAATPIITDNHKAENGDSTGETASGEAEDPDANENEGGKQKGGK GTANGGSSLLDTDNNDTDSANKGNETPEVVDKTTGQTKAEEEEGENKGEDKAEKGEPV SAKDGTAVGADGGAVAVDAPAAGETDSALIDIVSPTPTTTTANNTTLPDDLFGSTTTT TNTSLPDPLSNPFSFSFGSPSSAFGNTGFEPNPKNVL JR316_0002659 MHHSRESSISMPKRHHKYYIEGGDLHILAGKTLFRVHGYFFSRE SPIFSRKVNPTSPGDVKEGMTDHDPVILEGVPPEDFEKLLWVFYNPKYSLYDASVDDW QCILDLADRWDFKEVKELCVRELHKKRDLDLVHKMSLYQKYKVDPRHLVPLYAELCAR DTPLTLAESQILGLESVVLINTTREKLRADPSNEGRSPLPSGLEEDDVFRAIEHDLGI ELGASMKYRQETLASLSPSSSTNAQNDAQGFKFRGSPRKGGRGVTLAPNGNHR JR316_0002660 MANIFWLQLRALIWKNWIVLSKHSFYGIGDPVPIKSLQSQFDPK LAFIWSDATANSSSSSSASSPTPSAIIERITADFTPSQRASVRQVADPLSIPSLCPQN FNLFSQCFAAVVFYDVPAPGNGNSNSSSGGGGGGAGGAGGRAVNYTISADSGLGFVDV VHHTSDFEKRILPLQWAIDKAIIELQTGVEQQVPLEWPFTQETNEEQKTGIRLSYIRG IRSLLVLALYVPPPSLALPLLLHIPPSPHTLFRYVVLVEWYQADLRLATHVCVRCSFV TFVGISYQLPGSVAGERASLITEHMKAMGLKDSARILSWHISISLTYLPAWIIVSLTW HFQVFKSSSAGLILIMHILLGLVLASYSFFTCAPFGKSPQLAAVVTTFWVIVLAILAL VVKASGSGVLFVFALVFPPAWYVFAVKALCGWENREIGALVGKADPESGIVIAPLLLA AVIDIFLWPYLAVLLERHLYDARRGPSSSPSPSRRPFYKFWQRTPSSSSSSSSTPEHP PIAENTAISIRNLTKTYKTTRWFGLGSKKGEVTAVRELSLDVPRTGIFVLLGSNGAGK STSLSVIAGLSSPTSGSVTFSVPSPSPSPSSSSTTTTTTTYTQTTLPPRGTLGIVPQK NVLFPELTCLQTLRVWRAVKWSEHSDEGEDLEQLLRDCDLGRKVHANADTLSGGQKRK LQLAVGLLGGSKIVLVDECTSGVDPLSRRALWKTLTAFREDRSIVFTTHFLDEADLLA DYIAILAAPGKVVASGPPVALKRDLGEGYSMQVAFPSLHTANADAEKTSPADALFHKI SAIAPQMYTTSPDPAHVVYHLKTRDSKVIRQVTDLLDEEMRVGAVVGYDILGTTIEDI FLDLMNKNDAVSATGAGASASASASAAEQDEEKTSRGTVTPSPPPPGISLKASGPGAA LDSHIIDLPTGRPVHAYKQAQTVFYKRWLIARRSWLTPFLTVLLAVAGACIPLVFIRH KQQSCVRRFDTPVSIPLFFPDSPILLSSFTFGPSSRVLNQPPGIIRQALGASAGALRT TDVRDNATFVGDITSNYRNFSLGGVSFDMSTGAALVAWEATPPGLTGPTMLNLATNVL YSRAVNASAVGGGGGAQGEAVIKANYAAFPPVAAGTLVSLRWIVFFGAVMAVYPAFYT LYVAKERRTSVQAMQFSNGLTNPLGLWLGHLMFDTLSVVVLSTIITVVFAAAASNQFH GLGFLWWLGECTDRVWVVIQWFVMVQYGITGALFAYCVSLMVATPLAAFAAVAGYQFV MFILYLSSYLLVLTYGKVTEATRLTTIIHFSTSIVAPVASVTRAALVSVNLFSLLCDG TTEVNASSMGSIKRYGGPILYLFVYAFILLAILVWVDSGTRTPRRLRARKLPSGSGTP SSSASKEDVMAAAEAVAGSDDLLRVVNISKKFGQNQVVDDVSLGVSKDTIFALLGPNG AGKTTTFNIIRGDILPDVGDVFINGVSVVRNPRTARAALGVCPQFTAIDSQLTVEEHL IIYGRLKGLQQGPELDSSVRAVLLGTSLGMYADRLASKLSGGNQRKLSLAISLIGNPS VVLIDEFSTGIDPKMKRDMWQTLRRVAVGKAVVITTHSMEEASALASGVGILAKRMLA VGTTESLSARYATYEVHFTCRTRDEIVKARTLMSHIPGSRMADDVATRFEVPIGTAAE DGSEPFTLGQLFDTLATHGDFTEYTVEKASLESVFLKVIRENDVKEEDSAARRREGAG KKAWWRFW JR316_0002661 MIVLPEDDPSTFSPTSPLSPFSPTSPSSPGTPGSPTERSPLKGS LLALAHSPTTTPLPPPTYAAALAVPGITTIRTRIPIMVATDTDTGTTDTTATATDTTA TTTGTNPRTAGDRAGTGAGAGAVHTTRTICLTTAPRIITRTTPLITTHTPLITHTPLI THTPLIPLRTTIPLRTTTHTTAARPFPGVVWPRALALGGGGGGGGWIWTDVGGYGTAN GIMNAKDMRTGTTQSGEPCRCRDSDSDNDNTGPSPSNIDSPSERDNDNGNGNVGNSGS YEKQGGDVMLASHSTDIDIDSTNSKTERTSVRMMPIAYPVRARIR JR316_0002662 MFSTPEQLGYDPNVTLDTTADHRYIFTIPMLPGDTLNAQSRRFR TVETISEYRLNNITGRMTRILLVKELDTGKKDPPRFVLKDIWLDKEAQTERELQSAIF YDIRGFWQGRSPESDSLSLKLFKDLHATLVSTGEYKNYFLDIVADYRGMVSPDPPIDA VSTRGLLDARYSVNPANLKVYPTPVPPPPRAYRDQQKLQYRVLFREFCTALGDLGTIG EVVDVLQQILTPLQLLLCAGWVHRDISAGNILAHGSDDHESASGQWQAKLADLEYATR FPPPAGYVPDAADHQIDPSERIVTSPDNEDEFDMATITCEYFRPSPAKKHTANPLAIH NFQHDLESIWWLLLWSITCRVRYLPSQIWGRYRFRTSGSGRLSKVRSDCFLYEMDESL YEALEPSVKVLARLMNDMRFKMRHALEMRQRAGRVNAVPAYAVIHARFYGWFEYIQHR LPEIAWRDVPLGNGVMELEQFEETCAANPTVEYSSVPTIGSPRDSTSAPASDSGAPIY QERLEDLDMPAA JR316_0002663 MMIVPPEDTHSSPPSPTPSAFTSISRFTSPPLSLSPSLSPSPGP PTNSTAHMEPHAHAHSYPHPYPPMHMYQHGHHHNYPHPQPHMHMHHHGHGHHGHHNCQ HGQHHGHQHNYPQPHHHHGQHHHHHHDHDHHHRDESPDRKDGGSDAGGSGDAGAGNGS HSDSNSSSSRNRNWSWSCNCDTPHSAHSNAGQAHAPLYTYNNNHAHAHAHNHGAPMSP MAPMAPMQPMQPMHVPFYGA JR316_0002664 MNLFSDDESGSDSGERKTQLTINQHYAKAFEYRKEREELERLKA KYGSDYASSSSSSNSSSSSDSDSTDSESAESEDEHGEELTPAVDAAILRTLARIRKRD PGIYDSSRSIFGEERERLAAKTPALLNEQSKSKSKPSKPLTIRQVNLASLINASRSPS PSPSADPRPHHSHSTSTDQPEPQPLTHVQEQEALRRATIAAFHSAVPGPLDGDDAEDD GDGEDDFLIPREKTLDEREREEREYRAFLEREVGVDLRELVGVGVDRAHEDEGGEEEG EEGADEGADEGEKKKKKKEKKSKKSASEGGAESSSKQKKKEKKKEKEMKKKKSKEEED QEFLMNYILNRGWIDHSKGHVPTYKEVTEATSDKRDKKSKSKKKKKEKEKEKKSEGDG DVSSENENESENENTNENGAATDAEEEENDPGLLSDTSFDSLASLFEASYNHRFEEPG ASSIPTYPRTLPTLARREESKRKEARERRKARKKEEVERRREEVRRLKALKMREVRRK LEVVGRMGGLKVKAKKEGEGEGEEGEGDFVDEALRELDLEGEWDPEKHDRQMAGLFDR ADDAFVDDGAGGDGDGEGDGDEDVRYDADGKPIWDDDIDIGDIHVSDDDAVYAPPPKL SKKEQKEEKKKKKKKKKNGAEDEEGGVDVDMMDADVQRAYDPDEEWDGTEEMRKRKLD EYMEEIYALDFNDLAGGLPTRFKYVPVPKQSYALTPAEILMATDQELNEYMSVKKYAP YRLDRGKDAERARYSRRAQEKLRELKVRVGERAGAGVGVGGWGAMGGNGWGGGDAGWE GKRGAGAGGGEGEKVKKRKGKKERMKAKAAAGAGAGGDEGNDEGGEANVEQEQQQQHG ELETKSKSKKDKDKTQKDKSKSTSTPAPTTSTSTSTSTGHKRKRETDNNNDDDDDAPV PGSVDAAAAPVGGGKKKRKRRHKKAEVEGAVQVEVDA JR316_0002665 MLNISRAPISEKWLSSTVTGTHNHQALDSKAVLNIRHSRFSIST INTDLFPELISWSAMMKTQSLSPSTQSFPSDVTRPSHEDSDTIMEMNLSLSAIFDPTT LEVGFDTSCFDGLDDTLIYSPMSAFADVCWEDGLVAEPPVLPTTEKRSAPAPAPTPTP IPAPTQSFGDRKSPYFPLAMVTSGSSDDSDTDSDECRTFNEEDVIKAIPVNGVWSGIQ RVGEFSSYETYTPRRLNFEDDSTSFMIVEPQKPRMPVSVPNASDSAIGSFPVRTTQHK KSVSSRITAFRAHLMPGRLTFVRPPAQ JR316_0002666 MFRITRRNSESEIYESSREDKRDEAPTTGFSRFSKDARKEPKVW KCGNPQNSEYKFPMPEPSGDHWEKLLAPLLKKDKIQCDSWKEEVQNLLIFAGLFSAVV TAFVVESYKRLQPDPDSDVVNLLSRIAARLDDPGNVTGVAIPTVANFSPSQSAVRLNI FWFLSLVLSLTTVLLGIICLQWLREHQHYQGTTPKQSYAIFHMRAEALEKWHVPQIFT ALPLLLQCALVLFFTGIIEFLLSLGSNVAAPVIVVIALTLLFLLATTLLPTLQALPLY LPLKLHKEGSPAQCPYKSPQSQAFRATSTVVLWLWSKFMTVIIYRPIAWARGLLRGYE DDDPPAWIINIEDIRVAWTKKSWIEFDLAWLDVRDNYLTTGQGIHAAPTFPLHVPLYD LIQGLCTSIQEHGVEDSEEFVARVYHCFQEVAQNTHDIHHWQYPYQLHLQALVKRFLK RGVFGYVSDVVHGEDVDLLYDENNLALLNVMMANRNLEEIIPKLEHFHELQLRVLGFI TQSPRRLKDEPTGQNFPFVLKFEHPGMEPEWDKDVLIEFSEQWATTLYSFFKGFDTKS ASDFILERSFHAHSISRDLIWGVLGRLKYSHEADVDPEDVSDVFVAVAEMIATGLSAF LEDQNTRRPDLLLNAANCFVGYVSGQSWTPSVVFAALAQLAPIKERYTDLSNELEKKG QKKIAYISPVGYSDSDASTVGKGKGVA JR316_0002667 MTSPLSHDGLPLELVLAIIDRVEDDEDLLLLARASRLLNQFSLA AFFARNDFDPAPRSLLLGTSDGSMKHLTGLVASLRTNGASLEHLSYDFGFINHTNHLI REVRLLRQFVSKLSTVDRVTLRLATYFTGDPNQWRSESIVLLRTVLQKSCKNIHITTS QLSSFYEEPKTLRSPKPSEWLNNYWPEEVAPFLKESGHLKTCFIQTFPTFLRPFYYHT LKCNASTLTDLSFKNIFGGGSDWATMIANIHFPRLTRLAIIYGVIPRQPLVKFLTKHP NIQELEYHRIRYDPVPKHPARLSSAVFEHLRSLVTTPEHILNFFPSMEKMVALTNLSI KIEEKVTSFSALEGALKCLAGCVNKITLTLEVTRTGLGFGVWLNTIMRTGLNSSGRPE CRLQCVETLVMDNGDWGFTDDLILSRLPSWIRLFPALRVLTLKKEASYSALRSHSQST LDGADSDSDSTLDRLKDACPGIYIEWGP JR316_0002668 MNPPETRPNIIRDEDFYLQHSIFLVENRLFKVPILHLVEESDVF RTMFQLPQASNSDTLVDGMTDERPIVLQGVKSDDFKQFLRVVYARRPLEYDALTLDQW GSVLELSSKWDMEGIRKMVIRNMTPLLANDSHNLVVWGSKFSVMGWISDGLVALVNRL DPMTETDVAKVGLSIVMKIAAIREGAKAREVIEYQGLNSFVNRRTVKEKTAPETEEQI RTMLLAILNGSSAKPS JR316_0002669 MFSSPYALHILYGLAVTSISMNLVSLRRSAEDERYRIEAQLSIL DSIRKQLKDTTMPLSTDELARLRRLAESSKIPAEKSNLNLNVSENLATTDVSWSAIFR GKGPALSEETSKWEKQDMESIRKEFEKDS JR316_0002670 MSNPTSTPLAPHPEYYINGGDLYLVAGNTQYRVHSYFFERDSEY FRKKLNSHAPLSAPRLGSSDANAIIIKRAGPNEFEKLLWVFYNPKYSLYEATASEWIT ILKLACDYRFNEVKLLAIRGLEMSNLTTVQRIQIYELYHVERQYLVPLYDVLCRREEC LTEEEAKELGLPTTLLIFRIREILRSQSGDGSDKSPLPASLDDVYITRTICSSLGVDP QSLPGAGSLVISLVP JR316_0002671 MSIGPNEMLPHYNEDNVEAIPLIDSHTDEVLTERPISKSEERVG RIQFLALCFSLFMVGWNDGSIGPLLPRIMQVYNVSYGHLSFIFVVNCVGVVLGALANM PLSDRFGYGKIIVLGSFFQSITFLTQSIALSFPIFVLCFFISGIGIAIQDAQCNGYIA LVKHEGELKMGFLQAAYGLGALIAPLSATRFSQMTHWTFHYLVSFLFSALNMIFLSVI FRFREQDDCLRESGEVVPETVKTDNGSTKYAQMLRLRAVHLLALYLILYIGVEVTIGG WIVTYMIDVRGGTPSSGYVATGFFGGLTIGRIALIGVNHKLGEVRAIYIYTTVVIVFQ LVVWLIPSIITDSIAVCLIGVFLGPLYPIVMRHAAYIIPRALVTGSIGWIAACGAAGS ALLPFLTGKLAENFGIASIEPFLLVMMILMGMVWSVVPTRPGGDAEKKFMMTAAASTR APKAEGSIADIFTSLTGEEHNALPQRFSQLKKELWKDSLIESWKGVLGSLPQHIKEIE EKGSEIIPKINYADLERGLSKEQIDNIKKVGVVIVKGGVPSDQALRWKQNIKDYAATN GDRVTGFPSDNIQVFEIYNSVAQTQARTHPALIKTQKFLLSLWHTSDPQTEVSLNTPI SYFDRLRIRQPGDAKFTLGPHIDGGSVERWEDLGLRKVFGKILEGGEAWKAHDPFDVT PRIGAKQDMYHAPNACSIFRAWQGWTSLSSTGPGEGTLRVLPMLSLASAYTILRPFFR PLNPSSPSLHFDDWVPDLENPTFPGSSIGKTQELNEKTHPHLQLGKTMVSIPRVEPGD QVYWHCDVVHAVEGQHGGLGDSSVFYIPAVPLTVHNASYLRDQRLNFLAGLPPPDFPG GQGESQFVGRASAADIPSNDEARRLYGMQAVVPKEGLSKDLAEKVNMFFS JR316_0002672 MTPIRIQDLALELVQEIVLYVSQDWDKSFSREELGRLRLVSRPF KAVVDPLLFSEVRFDFIRRPVVGVLQQLNDLAFHMTSVNRHIKTLKIESTEIHLSGPI AHGKYGFLLMNALKSLVNVRSIYWTLDRFEGIYTVLDGIVDLPLLEDIRFEFSTLPLL WQTTDMINLRRFAHLNSVGFRCRNASAPLAASFIQQLRLISSYKQTLQSLEIDFSDVV EGNLLGSDEVYPKHSILHELVRSIPQGTTLRLKHLRVSGWNFLLDSSTVPHLRCLSSL NIASMEDTSSDHQMHIWHGMIKAGIQLQKIITAAISKPLIRYLASYTGLVELKFAYRM TNFEFTDDSDSSDALTAELCHSALPVHKKTLKIFEMLDRSDKSRCITLDTISAIRTYE RLESLTITVRVEELDYPENIKQIIIMALEIPHLRILDIDYSRPRVIGHRCQCGNARRI RQTNDKFEAIITSIDVLRQFTRSLGSTQIIFNRKRYIAKVVENGRVQFQPESRLARSG KWVSIEE JR316_0002673 MDTATPKIGSSSTDVPTATPSKSTKTLLRDIAASTLQVIEDGQI EVDGLLFDIKNTVESMIQETRLYAADDPAVMTWATSNPNRTISHPGASITVSECSTLV GARALHQLVSSGVTPISKQAHEIPRIGVLNFASAKNPGGGFAKGAQAQEESIARSSTI HASLVSASSQPFFRIHKKDPKGGYYSHTILYIPHVLFFRSDSGEWLPPIEVDVVSSAA VNAGVVRRRFRINQDLEAGEEDGNARDENMVEQDISAAMRERMGRILSVFERHNVRNL VLGSFGTGVFRNKVEVVADIWKNLLVGDNARFKHSFDRVVFAVLGHATFQVFQQTFYE GGVEVQETDSQK JR316_0002674 MARILRLSPLQRNTITCIALFIITNIVVISQYWGPRTLALSSSP SDSDSKDVGHIFLKSESEAQEEEDAILNPPRILLVSALFDFPTARSSVSNASHQYFEY LPRFLGSITNDVYFYTTPDLAPAVRAAHGSDAASNLMVDTSFMSPFDIPPLKGRELVY SRMRNAARNIDTTNEGDEADIVHSPKTLALRNAKMFFLEHAIQSSRGGEENYSDNDYD YIFWVDLTVFNDDQSYVSFPGPARVETVWKEGSLLTGSPKEDLLFFPIDQAPPPDMHD WTEDMGPIETNFTQGSFFGGPPRSIKWLSKSFYAYHDYFIQLGFASALPRARLPSHRM GIVNTLLMMYPERFITVWPSDPEVNLGTKNKPKGLIHSLFNINWKINLNQASGAQVKG YLGECGDEQVYYQWWLSGHEAREAARNWWNGLGRDPRDPTRLFNDNRAAETDWWKTMV PVQSTPCHLSRVLSVESVLHRTFGEGWQVPTATVTKPSRSW JR316_0002675 MPPTCIQDLALELVQEIVVRACQCWDDSLSKESLKQLRLVSWQF REAATTFLFSELKFNFSKRQMEDVLTQLDHIAQQKTEIRHCVRILVIESTNLQLSGST SQGTYVNLLNHAIRSLLEVRSIRWTISALEGFYSVLEGIMDLPHVQELYFDFSKLPLR WQTPEMIPLRQFKSTQLQSFGFLARDANALLAQGLINQLQKIMPTASLSRLDIGFTDS ESSKNAELMNQFFKALPKNTPLRIKYLRVHGWKLALNSLTMPHLRQMSFLDLSHGSEP FSQSQIWSSILNNGIQLRKIVGAITSSLNLYLQSYAGLEQITVIIPRPNSLDVLAFYH ELCQNSLPIHASTLKYFKVVNTNTQGHCVLDDMNAISVCEKLEHLTVTIMAGHIDDLV SFYIKY JR316_0002676 MDLHTNAQPTQHTSMSPNGRNGESFTQGSHAYPPQAHDRIVAHE ERNGYLRDAPYPGQPSQSNIIQNGHPNGHPSAPSKPTS JR316_0002677 MLLSKVLGVIVIARNGDRYNYYQDPLTYAGSNTETTALGEVQSH SLGSLIRSTYFDSSSPSYIEGIRSDLVDNNEVKVRVKAGVEGTVVFDSAIALLQGLFP PNPNNKIVLANETTIVAPLGGYQYVPVETVEPGNDRSLESWTNCPNFEKHTKAVYGSD DFKTKSQEASSFFNAVRDYVFGRPTTLQNAIYDFINSELTHNKTYAHRLPPTFLEQAR HWANYHEHSVFSDNDIAGIGNIAGRTLLHTVLESLERIAFNGDPLQFMLVETTYQPFL SLFQQTGITKDRPDLSGFPDYASALAIELRRGSPPDVRDFLRIRFKNGTSHGFEDVHV FGHNADIPFTEFVYRAEGGAITSNKQWMEVCGIKSPSMDILSVPAFSDHAFTYVVACI ALGGLLFSAALAVKKRRAEKRRLRLLGSEVSQVVFADYGSVVYSTRNQRDIPISTLND SFGEHSFAGVDYYPENPVWIL JR316_0002678 MPPKPSKSSPPTHKPTWKDNKGKPKQQPSTAERLRRFFTSLCAQ IDGGHFSNAVKTCDKILRLDPTDADARQTKLFLLLQTEQYNAALNLIATDNTHAYEKA YSLYRLQRESEARNILESIKGERGENDRGIVHLEAQLARNSFLEGSYQEAFDLYNDLL DTAEADSEEHSDILTNLQASQRHLDFINTGFLHALDALPNSITSTLESAPPPSQQQST AAVIASASALAGSSNERQSAIPVVKKVRKSRIPAGVIPGVTPPPDPERWLKKSERSTF GQGRRRRGAGGGGGATQGSATVESTIQAGGHSKSGGGKGKKKK JR316_0002679 MSSEENPTPEPQMDAADAPQSPREATQTTGDTKKRPRLDLSAAL GGRERKRGKSMFGILVGTLNKAKNEDKERNASDAAKKRQLIEQRLQAKLRKETDSVRR AEDAKKDKTTANRKEEDLQLKDSIYKLRRTRLPLLANFLVTSDVIPIDESSPPSTNPL MPVPRSHPPPLYYLPAILTPTQKAFLAKRKAEVDEAAEKEWEQFRDERSAGIEEIKQL RQRVAEAESKQKADKNMDKDDMETDIPSHNDGAPPSATVEDAADAGTIKEAPMDVDDT PVIAKEASKISAAASEPEKKDNSSAMQADDDDAVEY JR316_0002680 MADRPYSQASQPDSMHRTNYTPLPLNDASSFARPQPHYTGYPEG MDSPRDSYVQSVADSHTYLPTRTEGYYNGDNGGYGEKAAPLVTPRTKPRRTGRFIIIG VILLVVVAAAVVIPLYFAVIKPHNEKSTGNSSGSSGATGTTTGANGKPTPVSAIVSGG DGTTVTTNNGSTFTYNNPFGGIWYSDPDDPYNNNAYPNSWTPPLNQSWDFGSMRIHGV NLGGWFVLEPFITPALYQKYPGAVDEWTLSTLMAADTASGGLNQLEDHYKTFITEEDI AQIAAAGLSWVRIPIPYWAIEVWDGEPFLAKTSWKYIVQALQWCRKYGLRVKLDLHTI PGSQNGFNHSGKDGQINFLQGIMGIANAQRALNYIRIITEFISQPEWQNVVQIFGIMN EVQVKVIGMTEVRGFYVEAYEMIRGITGIGKGPYISIHDAFLGLQIWEGFLEGADRVM LDTHPYFAFDGGAATDPIDTGVGPGAGGTWPQAACNRWEKIMNTSRTNFGVTFAGEFS NGFNDCGLFLTGVGGSTTYGGNCQDWQDSSQWTPGTVAGLLAFSSASMDALRDWFFWT WKIAPSNRGIVESPLWSYSLGLAGGWIAKDPRTVVGSCGPSIGPVFDGTFEPWMTGGA GAGTLDPTETVQYPWPPASLVDGNIPSQMPVYTSTGSVVTLPMPTFTDRKGKPIDATA NGWFNINDNALAPTTIPGCPYPDPWNALNDTLPAGCPTGVAMAIPAVITPPPTRRGL JR316_0002681 MASSSPESSPASTRHSSLDPLKCTPLHNPRTQDVFQSIVKGRKS WKTLRDGQVVWPPELEAALIEGLENYQPDDSRETRLLGRFPMRNRFISDWIFEKTGKR RTAKQVGSRLQQLRDTCGGKRLLNLLSPNRRPCRTPSLSPEFQHKDGHLVYGPPSLRY ESESCSDSTASSPTTPTEAHATLQSLLYRGVEPRIEETPDTIVYIDLLPFDAPIDANG SDIDPLKSSWTSSPSQVAEERSWIERGYKVVRASQQPRHIRDIDPTITLLSQSTTSAH SYFTVYTDEGIAFSEMSVLQPVEPRSTAETSHLYSTSLVPGFWSTIAQSSDASQYVII QRVVQDPASSSASSSTIFSAMYKFNITQPSHTQDSYSAHNMMQIETQTQKQDFAFDNL LTMDSEGLSDLMAYQKGPNYFDVHSYMRSDWSAPSSTASVYSSPMDCNRQISGIMHND QLMSPTSSFSSHY JR316_0002682 MFRNLSSQLAGASGANADTKKEMSPSLRPDIYNLIDKAKQWMIG GNGGGGQAGDGVSYSPLLAVIQKHLPNTKKPGLESFGQAEGEIAVIVGGITNMILELS KWEGLSAGMAMRTWVDSLVEARNKASSQTRIDLIAKGVTRGLNQYTDVTLLTKDFTTR IQIISCLKTVSSRIYGAGTDEARQSEAMWSSKFI JR316_0002683 MSISIRGPGFRTSDFESNRLIGQAQFLGAQTVMNGPLSPVHGTV KSVHVYMAMANHSFTLPNGTTVSTCPAAMGFAFAGGTTDGPGAFDFVQGDNSSTPQNP FWEIVKGAVTPFPSPAQIACQDPKPILLNTGFAHTPYEWAPNSVDIQMLRVGNFVMLI MPGELTTMAGRRIREAIRAKLISTGVLGADAYVVVAGPANVYAHYVTTREEYGVQRYE GASTIFGQFTLEAYIDKYSSLVPFLADNSTGTPASDPPPPEQTSKAISLQTGVVFDAS PIGKKFGAVLTDVNTNAPYHAGDTVFAQFVGANPRNNLRLEGTFLTVDQLVSGQWKTV RTDSHPSTIYSWTRVSTILGTSNVNISW JR316_0002684 MMGYASLAQTDTGLHMRERSRAFIVADAAAPSNRIVFINADIAM GDSGVRRSIVAQLSSQFPGLYTDDNIAFVGTHQHSGVGGYLENLLPQITSLGYVKQTA DAIVAGSVLAVQRAHANLAPGKLSLGNTTILNANINRSPTAYLANPPEERARYQFDQD KDMTVLRFDDDNGNARGLLSFFAVHGTSWVPSAKVPDSPLTDNPVKPTIQLVEALYKT VMDGAFAMARHYGNELIS JR316_0002685 MSAIALRYAAQTVGGATEGGDVGVSGGGEQRPDSELVTGAIGYV SSCGAAGVGVLPSLFATLAARTRGLPFGGAKGVFGIFLIVMTGALSIIWGMVQSYQGV VLLSMKDSGDQRDRDEDVQTQENPILGYI JR316_0002686 MALADHRGLEIESIFPAAAERIPLLRDPLSESVFGELYYWKYDY RHTRAGYLQIAALCVSLFVLGWGDASSGPLLPDILRTYHIDYDTVSWTYAFTCAVIFL GVMFTIPLNDRFGFGKVTYLGSALQAICFFIQALPACFPVFAGSSFIGGIGIAIESAQ ANGYVAILRRGGELKMGLLQSAYGFGSLLAPLCSMQFANHTKTPIAKPPAHFRQGEES WKDRLWHLHFLISFALAAINCTVLKAVFGDATQEECLRQCGEITDSDGASLVTGASGI NNGGGTSTSTSTDVNVHFSVNIESIAAATTSITTTTAAITATTTAIASNATAIATSVA TDFANTLRSRVNALITKYTRLLCTPSVHFLALFNVVYVGIETTIGGWIVVYLMEAHIG SGTGAEMEQGGAGAAYVASSFFSGLTLGRVALVPVTQKVSLSIGNLILDRRKPRGALL LVTLYHVGVSF JR316_0002687 MTHNDSLTLQSNKTFNPEMISQVDIPTERDPLLVKKASLSLSST PTLSKAQKRAGIVQFLALCWSLFMIGWNDGSIGPLLPRIMQVFDVRVRLWLSIRLSFV IGQLWNGVLDLCADMHVFEKFDSLPNLQQGVVFGALANMPLNDKYGYGKILVLGAILQ MFAFITQSSARTLPFSAFAASFFLGGIGMAIQDAQCNGYIALVKHEGELKMGFLQASY GLGALIAPLSSTQFAQAPTRWSGHYLISFVLSVLNVILLSAVFKFRAQDECLRESGEI IPESAIRNAVSGSGSEGDGKYMQMLRMKAVHLLALFLILYIGVEVTIGGWIVTFMMVV RGGGPESGYVAAGFFGGLTLGRIALIWVNQKLGEVRAVYLYTALVIFAQLLVWIVPSR LINALLVCTIGLLLGPMYPIAIRHAARVIPRSLVTGAIGWIAACGAAGSALLPFVTGR IAEEKGIGSLMPFLLVMMAVMGVVWFMVPTKPVEEE JR316_0002688 MSDSLSTSSMSISTDRGSSSPPHISSPNDLSSVDTVLPSHSNGV GLANPQLSGGRRKMLDLVNKLHSTGVQVDIDLPQIAVIGSQSAGKSSLIESISGITLP RAAGTCTRCPTECRLSRSDSAWKCVVSLRFTTDANGQPLGQARNEPFGAIIYDKSEVE DRIRRAQRAILNPTKPAKFFLDDDQEEELLDSQLSFSLNAVTLQISGPDVADLSFCDL PGLIASVSSSSRGSGNDIALVESLVTTYIKKPSCIILLTVACETDFENQGAHRLAKHY DPDGKRTIGVLTKPDRIPLGEESNWLPFIRNEKETLENNWFCVKQPSSNDLKRNITWS EARQMENDFFSSKAPWCELEGLYQKYLRTSNLVERLSNVLSDLISKRLPQIQDELEKS IILTRGLLSKLPPAPSSNPRSEILALLHKFTSDFLRHVEGVSDDPSTSGTGIGLMQAI HPAQERFRREIRRTSPNFRPFKRIDAATKHLPAPAFLRNEEGNTSDDSGSGDSSNEVS WASLCKRKTPADDIIYVDDVLDRAQRARTRELPGHYPFVVQRMFIDSIVKEWQAPAQI LCKIVHNIVSDNIKDLIKEHFGEFGQGHLEQRVRTIVQQHLKQCLERTEERIIWLIKL EELPFSLNTHYLADYRAKFLAHYKSAREKYEQPDVIDSITRYKVNPVPVSSSSRHATE PTGIAKALAGLAEAGLSGVAAHDLAKLLPPDPMEPALNIMADVRAYFQVAYKRFTDNV PLAIDLDLVRGAERNILSVLYSNLGINGVDGHRICMEMAQESPQVADRRADLQKKLER LEVASGELLSLGV JR316_0002689 MDSIRTPRRLGHLNWENFHALLIGIDKYSARISSLNGAVRDMVY MKDFLLSSFDVPEGSQLDIRELTNEAATHSKIIDAIRKLEIDPLVKKNDAILIYYSGH GASIPPPEGWPGYSRKEPEGGWPEKTKIQCIVAADAQVSKENHRHFASGVVLDRTLAA LLHNLADKKGSNITIILDCCHSGSGTRDASELFRGIEFSSPEGKPITVPGDYQMSIWE KFIDFNRGAVIDYKYRHAGLESHVLLAACGSEERASDGYSFTQGLLNYLKKDTINLSA LSYVGLIRGVVLKSKRDRQHPLCEGNSKHSMLFDLKEINVGRPTFDVIKDNGKLILCA GGMVGVHTGTEYGVYTSKVFSINSTLIGNFVVGAVGATTSSLICSEEELKLIEYESAV ASPRVASPSKFFVHVGDDSIVSCVKDAIQRENPEDQFGRPQLCFDVTSPVDNLDWDLT LDIRDGEIAFLYPKKSKVGKFGLDGLQFTLSLDSPRVRRVLRSAAHFFDHLNRESQSG NLKDGVEVFLCELEDSSSYEIVNGKIRREMKFKRRLPTAAHGAYEVEAAMAGSQSNSI PAYGIEIVNKTKFPLFAWVFFFDCSTLEIGSFYDPPVSKGSAVVDPTLPACVEGTGPT PVALNFRNGGGKLLRLQLYEGQSLDIGFLKIFISKKYLGGGLSSIVQPPPLVRDTNGV WRGIARQSRPYDGRPLKRALNGSDDTWDVLTVPLTLIRDEEDALEAVRCATSMLEECL GGLSFSKLDAAVLLFRQVSNRYTAPHPLYAEATFNLISSLSVRFMYTYQIGDFLEASR LPLKTAEIYEFDTTDNTPLDESNAEMRLGKAHLVDFHRSIPLHVLNTVIDMVQQSLLY LSSNVDTKVVALTTLAKAVFTRFLICSDTAEDDFNLAISSLQDALTYIPQGDDRLSML RFCIHGMLASRLDTSGDISYVQRTSSIWMDEWPLEVVVDVLERFQSARELYKKFMKSG DLQALNNAEKICREGVQTLPRWCGDRLLVIDLLAAVLLDRFRVKGEEGDLEQAISLFR QIIELQHPPHFDLPLHLSNLAQALSTKFKQEGELDDLDEAIALSRRSLDLAPLSHPNR HGFMENLGVVLSARFRHEGQPDDLDESISLLRQSMKIQQSLGSEQSSTLTNFANSLLT RFNQKGDLQDLNDSISMHRQALKLHNPSDPEKASCLINLANSLLTRFEQAGQLDDLDE SVSLNREALEILRPPHPSRSKVLMNLGQVLSTRFRHRGLQDDLVESMSLLEQALVLQS PPHPLRPISLSSLANSLIYQYHSQGNQLDLDKAISLQQQALELRRSPHPDRSGSLTNL ANALFTRFEQGNQISDLDEAIKLDREALSLILPSLSTRINPLINLGRALSTRFRHTGH QGDLDESISLLQEALKLQKSSHPHRCTCLTNLANSFLTRFAIGGKQHDLDESVTLFEQ VLELRPLNHPDRPGSLANLAISLFTRFQKRGQQFDLDEAIRLNKEALELNLSSHLSRS RILINYGQALWIRFSHKGERSDLDESISILGKALELQSPSDPYRSMSLGCLAGSLLTR FKQDGQKNDLDGAISLYRQSLPLRPPPHPDRASSLTNLADALLCRFSQASKNADLDEA ISLNREALELFPETHPNRSVVMINLGTCLCTRFHHRGQRNDLNESISILESVREPQLS SHLHRPILLRALVVSLMVRFEQDSQQSDLDRVISYHKQVLALEPPPHPDRLGSLINLA GALFTRFEQKNDISDLDEAISLHQEALDPFLPSQSINNPSSALEQASKSNLFSASHPL LSTLLNQFASSLLARFRREGEQRDLDYAISVGRRALELRQAPHSDRPGSLINLASALL TRFQQQGRQDDLDESISLSVMALEILPSSHLGKVGALKQLGYGLSMRFDYRGQRRDLD ESVSLFRQAVELVPLSHAQRAETLSRLANSLLDRFLRSREQQDLEESISLHRQALERQ SPSHSARPSFLTNLASALGTRYEQTHEPGNLDEAISLNREALLLFSPSHPYRFIVLTN LGNLLSTRFLARGQRDDLDESITLLRQALEYQPLSHPLRPITLNNLARALATQCKETG KYEDHDESIHLHREALGLRPSPHPDRSASLHNLAYELSIRYQNRGQGKDLEEAMSLFL DATQYLYQSSSYRLRVAKRWIAEAKRNQHGSAITAYQAALRALPQVAALSLSVESRHK ALAAGSDGLARDASSCAIDAGNFELAIELLEGGRSVFWSQVLSLRSPVDRLLSIEPNG PELANKLRNVAAALEAGSHRIASTELFDNERKISIEQETSRMTALSEEWEETVIAVRK IEGFEDFLTPPRFSSLKHAAVQHPIILLVSNDDKSHCLIMTASNIHHIPLPNLRTPVL KVLVDNVRTAVSQTTIPRSLIDETQETIARLLGEERGISYQNDSEDSDEAFRFVLGML WDELVKPVIDYLNIKTSTPKPILQWCPTGYFTFLPLHAAGRYGGYPATECALDYFIST YTPTIGALLSRPYAPAPDNFRMMTVIQSHELPSTEIELMNIRQHVSPNFLIELGVAGS PAGVEDVASRLADISVVHFACHGMQDPFKPLDSGLKLDDGILRISRIMKENMRNGSLA FLCACETAMGDEKLPDEAMNIGASLLFSGFERVVATMWAMRDDDGPTIANVFYRELLG TNDGQRATVPDVTKSAYALHTAVQELRSNKVSFRRWVPFIYMGK JR316_0002690 MLESVSTPMPMKVSDDHGGSNSGVGLSNPAISQGRRHMLDLVNR LHSTGVQVDIDLPQIAVIGSQSAGKSSLIESISGITLPRAAGTCTRCPTECKLSKSNA PWQCIVSLRYITDASGQVLGQAQNPVFGSVIYDKSQVEDRIRRAQLAILNPNKPLKYF LEETDFEDLKPQLSFSINAVSLQISGPDVADLSFVDLPGLIASQASGSGDGNDIALVQ NLVTTYIKKPNCIILLTVACETDFENQGAHRLTKQYDPEGKRTIGVLTKPDRIPTGEE SNWIPFIRNEKEPLENGWFCVKQPSSHELKQITTWADVRQKENEFFSAAAPWNELDAL YQKHLRTVNLVNRLSSVLSDLIAKRLPEIFNELDASINTCRKELQRLPPPPPANPQSE IASLVYNFVTDLGRHVEGVPDENGLLQVIRPAQERFRRAIRATAPQFRPFERKYEEQR HISRAEFLVEEEGQVWDNEESEDEDREPREQELTPSDSLSDVSSSRSSKRKALPNNKI YIDDVMERANRARTRELPGHFPYVVQKTFIESVIKEWRAPALELCKTVYGSMLVHVQN LVEKHFKDFGQGHLEHSIRSIMRQHIEQCRSNAENKISWLLELEEDPFSLNTHYFADY KEKFLAYYKGERQRYTGSGLMSTLSEENNPQIPQALAALAALGLQGLNIIDLQKLLPS DEMEPALNIMSDVRAYFQVAYKRFADNVPLSIDRELVKGLTRDLLKKISDDLGTNTAR GYEISKEFALESPQVADRRADLEKKLERLESAQKQLSGRLGA JR316_0002691 MDPFEVRMQFIQFLRRLNASQQSIQKVVSYAIKNFECKDDIWDC IIEETQKGSINSRINILYFLDSLCETCLMVKSHSKSESSRAASANGLYVELVTRDLNR IIDSIVPEGRQGLPNLVSTKQILENWRSKRYIDPQKIDDVFSSLDSRPKIASSSTAES LKRPLSPQQTLSRNEVNKRIEQDRERHKRLRERRWVQPISRNPSFQPPQLASFYPLTD GPEEVPIDIEFENEWETTSDWNEDDEDAIAEEDQLAFGSSSKEDNKISFLSRRGVKV JR316_0002692 MEKSKLQKAEGPTLISPRSLQTSSCSCKRLENGEFGRNLVVCVD GTANKFGMQNTNVVELYSRLVKDDTQLTYYNSGIGTHAGKAKPSFLTQAFEMAIGRNI ENNVLDAYEWLSENYKAGDRIYLFGFSRGAYQVRIIAGMIEKVGLLHKGNKQQIPFAY DLYIKLKANQKRQEPAATTGTEGSGTNQVFHRMATSLSNSVPRAVATATRHKPTKTLR AIEDSETVCRSFKRTLSHTGVKVHFVGCWDTVSSVGVVRRESLPETTTGMAHVCVFRH ALALDELRVKFLPEYVNGGEGPQHGHTSVEAPISKHENGTKPDVAAEPEHKPKTTRGN VKEVWFAGCHSDVGGGNIENLDANKFGPALRWMTYESITWGLRTTPFDQSWQPLYPQS SMTGLWKIAEYIPFKRLSYTGPNDVTRSLHKQAPRRIMPGQLIHESVRDSYTGSMNKP LACFRNGSGRSWDHWDGLHNIIENDPYIQPSTVLDRIQKTTAGHQITSDTREQLGLEA DYDVLYTASLQDIGLRVIRERQDAETILASALVHEIGTFDRTSERIPKHISTIAKTLD RCFSLFPRKPIEVAADAQAVFEKLFENNPDACLKFLYHFANSERRQNIANAEIKRHME ALQRSAESSFSSGRIHSIKALALAFLNLLMATPSDDGTSYSYPGWMDGTYIKLISFHE LSNEHRVNVLLELLSLFVAGMRDKQRGHLEIRLALLKEIDRYTYPIDDGPSMNIPEDA PRYLELLYDHAYELQTRFSNRGGNMDDLNQAISFYKHYDYLERHPEDFVLHNFACALS ARFQNAGKQSDIEESIALHRRALELRPPPHPIHPFLLSNLAISLMTRFDYGGNQSDLD ECIALHRQTLELRPSPHPDRSSTLTHLTNALLARFEEEGKWSDIEESIILSKQALEVG PMSHPDRPWLLNNLANAFSARFRNGGQQSDIEESIASLRQALALQPRFHPDRPVSLCN LANALSVRFEHGDKQSDLQESIALLRQALELQPPHHTDRPLLVSNLADSLSVRFENGG DESDIEESIALLRQALELQPLSRPNRSLLLSSLANSLSVRFDNGGDESDIEESIALVR QALELQPLSHPNRPPLLSRLANTLAARFENGGDESDIQESIALHRQALELQPPLHLDR PSSLNDLAYALFTRFENGGQKSDIDESISISRNVLELQSSSHPNRHYTVDALAYFLIH RFEQYNDQNDIQESVLLLTEALDLQIPNHSAWAGILTCLAHALQCRFERVGGEQADID QSIILHEQAVELKSPNKSSRNQSFANALRIRFEHGGEPSDIDKSIRLFKEALESKPAP HRRRPGILDELAKAFEARYKKDGQQSDLDEAKALREEAQTLRDSYR JR316_0002693 MSDPSPIDFASAQICQATLDFLNSNNESDGSDDGGGSPPLSESS NEQANSDTEQVDNESLPRKRMRGIHTDPAEARKWYLWIDKILDLFLWLLRINGVNDVP SVKAMQGINKALQNLCGIETKEYKGKLGNVYFVNSLSQILAQEMSNPQVRPFLYFYPE DTGKSISETYQASGWLREINPEDGTPMIRLNNNDFFIFEPTMLIDGRCCIPIQWFLRE GVFYAKAWLMEDTPSGWVVSEDREIKITQSQLLKNFIQLSKDHLLYNLSHPSRIFVNR CAIHSGPGLSKWTRTDPTIGNRWRVLAKGYRVYSLPLWMYCDDTSGNQSKKWNKHNSY LFILAGLPRKKSSQEYNIHFLCTSDIAPPLEMLDGVVDQLQLAQKHGIWVWDCIHKEA VMIFPPVFALLGDNPMHSEFACHIGMQGKYFCQICWAKGVDSQECPHKNLPHDSCARP NFPTLSIHSDVDSEDTFTRPSHKRRRYKESMESMLRRIGKPRRKKETMATLDSFLEQA KMIGTKSKLRAAKTETGIKDVFQDFFIEKLFKSYKGKVSTQAKEKALKAAVDKLPGDI KSPVWKLGLDPHQDTPVKILHVVLLGFVKYFWRDLVQNQVTPAKKQTLIIRLNSLSVA GLGIPTLNGSTLVNYAGSLTGRDFRIIAQVAPFVIYNMVSQEVYDAWVSLSTLVPVIW QPAISNIDEYLPRLEADIKYFLLKTATWTCAWFNKTKFHIILHLPEHVRRFGPAILFA TESFESFNAIIRAKSIHSNHQAPSHDIARGFAQGNRIRHLLSGGFFLPQELYQSWKKD PTNVANSEWRTAGPGGLHLIDAPDSTPASYLGLQKPAASKAGSCKSNGTDPQPFHRTL CGQKLPNIVLNTAASQQLYVTNSQVYLRNEDLCTIGQFVIAQIHPSQPPLIGCVREIL QQVGSPNHLQNRPDGILIQTALHQPPSHILPAGQLQPVFMLRLILQQEWSFIPWSCLL CTVNTQHDCQRHGCQANGLQYIYQEQIQTDQTKATILHQNSLDDMLLLNMCQMRDAAH LQSFHLHSAPLNEDAIIQRSVAQAIVQRKVGEASQSSTSKPTKTPTAALRQHAQSPLG TPTLAATPSGGVSLPQHASAGTSSGGVSSPRRSRQASVVYPGQITLDFR JR316_0002694 MFAYSSSAHSHVLIPSTDDTSTPSVHNIWTPSIHDASKNRSTDD TWMQFTNNTSTPTALIHDVSTPSTRESMTSIHDVSTPSTRESTTSAHNASTPSTCESM LSAHNASTLSTRESMLSVYNASTPSTRESTTSAHDVSIPSTRESTTSAHNASTPSTRE SMLSVHNASTPSTRESTTSAHDVSIPSTRESTTSAHNASTPSTRESMLSAHDALTPSV DNMLTPPIVPSQSSTKLSLSLPQRDPSVQTASLKRPYNGLSNSHRSRKYQRTLQYNKH GHTPKPSLLSMLPSCQPEALNVKLDTLPATQGAYGAKPTKPHKSHLYSLDAIKALGFR IIPWDRCTPVPFVSEDGRIFMVLAGRPKDPAYERATEEAFDLLREAGHTTVFTHKDYS ENRGHYPALNIGVTHGVGTHSPLNRVQRHPKITAKLLQSESIQQMASFASSAFATWSP KVYNHYKLYMDKIFANDSTLVRLFRRSIFPAATFNLGSVVCTIPHFDIKNCPYGWCAI QSLGKFNAKKGGHFVVWGLKVAIEFPAGSTILMLSAVLEHSNTSIEHGEERASFTQYA SGGLFRWVDYGYRTEKELKRTNPKLYQEQMELRPTRWKRGLGMLCTLQDLISKAAFEV SGQV JR316_0002695 MSTNTLDNCLPSRLLSPKTPSPKKSFRKHNQDEDDEAPKKYLST LNAGDTPGSTCQSDLEDQPVVSKMPEKNDHSSKVPRNKTTISLNRAYPRSRRDLEAEI TVWREIAIEATREAVAYKNQL JR316_0002696 MLACQFGAEVRLHKALTATQTHLQGLGQLSSFLADYELQKDENF VLSNAQKMAIKSTCIKELLKPSRQSFKTLHIDVEAKMKKKPADNYLDNILNRLGREAK WMAHIQTVCTIQRNTLRKEIIQSITPGPKFTSVQEFAAGLIVKYCLPTSESGANSNTA YLSKHVLLWRFFWDHSSMFSAFELEKDDNNSEEENNDQDFGTPSTDNSSDSGHSATKN VNCKKQTFPLGKLAVGWLMAKTIGPKLINGSRGLTMSWEIIQLS JR316_0002697 MSTMRAVVYDGAFKVTVREVEKPKLLHPDDIIAVYQSDLHMYEG RTAAQAGIVFGHENMGIVDEVGAGVTLLKKGDRVVMPFNVACGRCLNCEEGKSAFCTN VNPGFAGGAYGYVAMGPYVGGQAEYVKVPFADFNALKLPPGTEHEEDFALLADIFPTG WHGVQLSGFKPGESIVVFGAGPVGLMAAYSAVLRGASEVYVVDRVPERLQKAKDINCI PIDFSRGDAVQQILDHRGGQATEVDRGVDAVGYQATTGDGKTEQPNAVLEALIRVVRP TGGLGIPGLYVPSDPGAPDSAAAKGYISFPFGKLFEKGLSLGTGQCNVKAYNRYLRDL IISGKAKPSFVVSHNIPLDNAADAYDKFDRRVDGYTKVLLHPKSDFAYLVEFTSHYIY LLCSRQKQAIERAMNDMDVDEPMDVVPQVKRFKDNDSHFHEALDHWRQLNLSPSFIQF AKSADGLSASMPLLLHNWRDIYALWLEAFKSADDEGLRALLDLLQKMAHDLRTTLSPI YSSLLETLLSLLPSTISAAALTSLLETLSSIFRYLLIPAIDTKLIEETWLTICSTLPK CHGEIQRAVAEVWGGVLRRMKSGARERAVELLAEKSAYLEDASAWVIVFACKESDTTQ EKDIAVLKKMFEIVAVVCGVRSGSRLTESQKSLLFSQLNHLPIIPDIHTTLLRYLTAL FFAGDMSLWLGPGLKFLQRIWSTTAIEGTDDSHTHVPFTQTQISFTLKFNLCLADAGW GGWKLIASPVLMKSVIKPELCLIDKEQRRLIAFLAALQRDKKLGAPSDIDVVWRRKIE GMVLDRLSTNEWKQGSSDDMVTELDGLLTLLPFCLTTITTPLIDIINLHIDSTSCRAE DVTAANSVRLLGLCLNALSKRDTSEWAAKIDLEMWTRKGLEKWAWSHEVLAGLVVVAQ ASPFTKTIPLHQVYPSLYNSLISHSRALRLSALRLLDCKLVDPQHDQVEVLKRCLQGE EVSLDLQGVRERVLRIGRVGQVVGDEKGADLCARWLIAQLKVNLRPLWSPATAALGTL AQRFGDLVWKLLFEELRKVTLPPPGGSISPSQSQSSERGQDHSGEEAEHGNDADPWEE ERSWRDPSAHKLRSIVLVWDDPEREKKRLQNEQDNEERFDILSYEYQLLATLGECSLL AEKHNRDLVPHFLMLNGQSELSTSSSLLPKPKLLAWLTLFSKFSNPKALYATDTLRAL YITNLSHPDRSLQSIALSCLFTYKSPHLTPYEDRIRSLLDDTRWRDELALLEVDDIPA ASRGEVISVIIRLLFGVMLEKKNRGRGGGGGGDRRAAVLSAFARCRDDELGLLVDLML RPFGWDRSSPTSLVSEGHNVFQIEMVDMNSHSLSDKQVAGYLTLLGDVLKTLGSRLMS YWPALLGMTVDITATAQARIDGIKDKTPVEKDGEEVTIDEVELADDAEIFNPSVNSTK AIRAIRQLGLKRFADFFRIPVIFDFTPYMMSVFSAIINPRLPALDRENTQAPSALLDL FHVWTVDGMHVPLLVDYNNDTLPKIYDCLVATNVKPAVISKIFDIVDNLLGCSADDEY AREHVLKPHVSRLLANLSILVERTKGQSTIATPIGQRQIHILSEIAQYSTSSEQATTL LALFNPLLRRPAKIVPEKVKMGLVKIIGELIHLIPDMQDRRSTTYSKTYGLLSQLFQS LRSRVARISLVATFHRLAGLDSSLNELANLLDSLNAYSPKRIDEPDFERRLDAFATLN ETMYKSFSCTDWLPILYNMLNFIQDPVELAVRNSASYAMRNFIDLVAAQTSPEFEDTF AKALFPALKNGLRSKNELVRAEILGVIAYSVEKCENIAVLQDMRVLLEGGDEEANFFN NILHIQVHRRSRALRRLADHCDEGHLKNTTISEIFVPLVSNFISSTTSVDHHLVNDAI LATGRMAKQLAWGAYYSLVQKYIKLSRAKDESERIYIRTLVALLDNFHFPMEEVIIVP EQTTEEMVVEEDEDEDTVEPEVLEQASAAARAAKNTARIADAVNLRLLPSLLSHLEKH DKTTDDNTRIPISIGIVTVAKHLPAATRDSQITRLITILSQIMRSKSQETRDLTRDSL NRIAVALGPSYLPIILRELRAALLRGPQLHVLAYAVHSLILHVTSGEHAAEFTMLDDC VNDVAYVSAEVIFGESGKDVQAEDFKTKMREVRASSSMGLDSFAIIAKFVTPPKISSL LLPVKTIMQETEAIKVMTLVEEVLKRISTGLNSNKHLVQTELLALCNTLISQNAKFLQ QTPSRRKASAKGDAIVQMKRNAASEVDHYANNSFRFVTFGLELLNTALKRNRFDFREP AQLSRLESMVVVVGNTLYSTNASVLILGMRCAAGLVKCPLKAITKSIPVIVQQILDII RQTGNTESELVQVAFKSLGAILRDGPPVQVKEKDLVYLIELLSPDLEEPDRQAAVFTL LRAIVARKFVVPEIYDLMEKVSEVSVTSQSTQVQELCRGVLLQFLLDYPQGKGRLRNQ MAFFAKNLSYIHESGRTSIMELLSAVIVKFQANLIQEYADLLFVALVMVVANDESSKC REMAAQLIKNLWSRLDEERRNALLSHLHSWTSQSAQPLLTWVAVQVYGFIIDIAQAET QPYISVVLEDLKSSLNRSSAAIKTAEEFEEANDMEVELEWQLPYHSLTVLTKVVRVYP SFATEEDKVEWLPVIDHLLFPHAWVRTAACRLLGLFFSAVPVAAPRTNLPDEHPLSRT GMQLVARQLTQQLKSEHLDEALGLQVVKNLFYLGKCFYLMPVADATAIDEDAEDILDN ENGGLAAESLGQETSDKLKNLHSPLPWLFSKVSYQIKSGHIARRSKAASRPNWSQQPL AGLRWFAAMTSHMEAERLEQFLVHILTPVYRIIEDDTIRDSQMEELKTTATELQDLVQ SKVGTTKFATVYNQIRQSVLGVRRERKVARVLQASTHPEAAAKRKIQRNAIKKDSRKR KERGFLEKKGKAKRRREE JR316_0002698 MSKAEIQSWISSATTSSTGNGEDQEQVWQRDQVLNDWSRSLEYA QNYLLNEKTKARTVFLRDEILSLAKHADLTLSQILDIFKLLTLTYPRYSDAASRDAVE AVAIELVRRDELRGTEEGAKDEVRLGVAEQVLGWFSNEVGKLAKKGNSDSCAPSDLFV LHSWACGLFTVCVKHNPHFAASNSWRVLAGSMGILFDMLSDSSKAKPAVKKGVLTRTR RALRAAGFSKVPEFISTLLEISKGSQTPTRMVPLLGVAVSVLIRLKNVSVEPVQRLPI ESKDRIVELYTSAILMSKTSLPDHTISSLNDFVSTFITSDDFTKAIHPTIEKAMLRSP EHCLPAVTQFFVSYKHPLSVESFQRLLTQIISSAKSSNPIVRTNSVHLFKALIALSDP SDPHNLSRIAVTELIALPKTGKSAGPDHRVALYSMLSNISPATDVSSTLVDAASVLIP KEPHEGATAVLASVLPAHVLFLLHKNALSTAAVQFIAKEMTNTKVAVRKAFVGLAGSI LISEPGIIESESGAAFAKGLLPSFEACLKTVSGNPLNAPGGPYEGYVAVTVLLGSFAA SKQFASVIAQNPAITAITSSGVKQPFLLWDKVYQKVAEEDDELWLLKACDASLQYFSS ELSKNEHLRQSSTQLGLVYIHLGVESRHPEVRKLVNSSLVNATARAPQLTDRIIREAL SSFIIRASVSHKTASGSISEESSQPWNKNSRLSTLLLSIVTFGEEVGATLRENVVVEL IILAHHNLICASDGQTWVDICQKAGVDPREVVDKHLDKVMRLLLDATTATSKACLFHP GFAEAAYAAVTTLAFISPALVLSCIVDQLKDDLNPDILKAISDEDLAIWATPEGTTYV DVLSSTQAEVRTNKGKDYEIAKWEEEIRKSLATKKATPVTLTRQQQALVQAQLEKEAK IRQHVSGIQSRLTRGLHLVRSLVSSRVEEFQSHMSSIVSLLLDGALNRGSFLAGTVAF ETYLELAKSTTERLDSISRWIGIATLRCLQIGAVPEELQAEPLHKLVIRVLYRLRFLS EQSPFDAATFSYTFPLLSKILTGGGIPGKKGDNDEEDEDEDPLEQVALALEIIKFHCG EFSNTAFPRLQTIDNLIHVIRQQPNLGKEASSSLIELGEAIYGTATHDEIQVLLRGTL FQESYVRNSCLQAIQPFDLTEMDWSAELWIACHDDDEQNKRLARHVWDDNGFDILESF LDDLVEFLGHDNAYVRSSVAAAIAEAVEQWPQTISNTVIVLQNYYREKAKVLAPEFDQ YGMVIAQSLDRADPWPARLAASQAFKQLASSFTDSEIEPFIKFLIEDQALGDRAPEVR RGMLAAGTQVIDLHGASRLAALVAIFEDRLGKSSYTSETDDFIKEAVVILLGRVARHL EASDSRVTEIVDRLVDALKTPSEQVQIAVSECLSPLVTLMRPRLPTLVDTLFDDLFNA PKYATRRGAAYGLAGVINGTGIGGMKEFNIISRLKIAAEDKKRYEPRQGVMFAFETLS NTLGRLFEPYITFVLPLLLTSFGDSTADVREAAQDASRVIMGNLSGYGVKLILPTLLE GLDEKQWRSKKGSIELLGMMAYCSPRQLSISLPIVIPRLTGVLTDSHAQVRTSANKSL KQFGEVISNPEIQSLVPVLLKALVDPAKTPNALGSLLKTSFMHYIDHSSLALVVPILE RGLRERGADTKKKAAQIVGNLASLTDAKDFVPYLDELLPLVHQVLVDPVPEARATAAK ALGTLVERLGEIHFPDLVPGLLRTLKTDTSGVDRQGAAQGLSEVLSGLGMERLEGLLP DIIANSRSPRPTVREGFMSLLVYLPATFGSRFQPHLPKIIAPILGGLADTEEYVREAA MRAGRMVVTNYSSKAIDLLLPELESGMFDPGWRIRQSSITLVGELLFKVSGISGKTSE LDEDEAAAEATTAESSRRALLEVLGAERRDRILAALYLVRQDGVVVVRQSSIQIWKAL VHNTPKTVRELLPELVTQIIFLISSDEFEQQETASRTVAEVCRKFGERIIGDIMPILK TKATSSDPRTREGVSLTVSQIMQNSTETQREDHEDDIISIVRAALVDDEANVRTAGAQ AFDVLQEELGTKAIDQTIPTLLEALRQPGKSSGTALQALTEVMNVRATTVFPVLIPTL TAIPMTVFNARALASLVTVAGNALSKRLTTILNALVKVSEDDIEDELREAVGEATEAL FSSVNDAEGLNTVMMLLIGWAKHESPKRRVSACNLFTVFCQASELDSSLYRVDWIRQL VSLLDDSQVDVYTAAWNAFDSFVKSMPKDELEPLVVPLRRTIESTGAPGRTVPGFDLP KGVAPTVPIIIAGLTTGSNEQREQAAYAIGDLVERTEENAMKPFVVPFTGPLIRVATQ AMGYPPGVKIAILSALTSMLERIPAFVKPFFPQLQRTFVKSTSDPASAAVRAKAAEAL GVLMKNQPRVDPVITELITGAKGNEDSISTSFIWALSHVMHNASQNVGDKARESCIEL VAESFRETHSEHYCEAVGALVKSLSDKPDLLRTIVETHLIFGTPPSIMSSQVILGVIR EDDDADSSERPNLFQKLKLLRAVAQKVLESAAHEKPFIARPAREARDLIKELDDASLL GLF JR316_0002699 MSPFPVDSTASAASSATSVSSDPTPKTDHRMMVAITVLAIAGAF LIGFVIMTTLRLKRRQASGEIAGPYQGALIHSDHPATHITPFGAAGHHPGGTAPKFKH KPGEDMRIAIRRPDGAWHFTDSRTPFTPAGVTELEVTPSPASSSTSLIAFNSRFPPTR STMRSQPPLPQFKSNSSDSPVDYPAEIVQNPFEDQKPPK JR316_0002700 MQFPTFLVTIFAILTAALAAPVLERRANAPVFAKQTYNQLSISG GRAGNAQAQALAKFSALNLNDAKNVAKADLDFLNSVNQIANKAETEAFNPAIENATGA AKTALQNGKIQNKVLKLTATILKLKVQAAQGQNVVDKLAAEQKKLDNNIKLDKAAAGQ AATKLSFNAST JR316_0002701 MSQLLSSTVRSAKRTFSKMGYSTSIADPLPATFLRGGTSKGIFL TQSSLPPDRAAWTPIFLGIMGSPDAEYGRQLNGMGGGVSSLSKIMVIAPPSAAQRAQG IDVAYTFAQVGIRDASVDYSGNCGNLSSMIGVYAIEEGLCPARTGKDGVATVRAFNTN TQKVVDTTFPVAEDGTPLVDLPQTSIAGVPGKASKIVLDFVDPGGARTGKLLPTGNAT DVLEIKSSDAIGSRTVKASLVDATNPTVFVDYAELDEFLPMGDYVSGKSTASEQVGPV LEEIRQEGAKAMGLDPSAQAQPKITLLRAPHTQDDIAQGVDIVVHALSMGVLHKAIPM TVGLCLGVSSKVEGTIAWSIVREVLSEQASKSDLDTIRIRHPGGLVDVGADFDSSGVV KSAKVIRTGRRLMKGYVWHGSNHQVVKGQHMSSIKESVQYSDTLALRHTLIE JR316_0002702 MLFVAIFCSSLATTDFIKLVQRETLRLQNVVLSIPFCAAVVIIS APSSRRNGELSDFGVDTIAHISPTHFLLLSPSHPQYSLEMAHHILVASYSNDIVTLTF DPKTASLDVTSSTTVGHHPSWIASHPSHPSIVWTGLEQYDGKILALTHDDTGFLDKVA EVTSGGRDPCCLLALENEVLVANYSSGSVAVLPVSGKPLKFAASPSIVQLSGVGPNKS RQESSHPHQVILHEEYQEIFVPDLGSDCVCRLKKDKDGSWKLAGHVGIEAGGGPRHVA FYKGDMFTLLELTSKVVRHRLPPLPEFPKFIKSVPTMSNPPPTPNDMLAAEILIPTPN ASFPTPYLYLSNRNDPSPEGDIISIFSIEEPDSLELIAEVRTGLKHVRGIVFGGPDDR YLIAGGANGGGVKMFERINGGKSLKEIASNDSVQAPTGFLWL JR316_0002703 MFSFKAVPVLLAMLVAGAHAESHTVHFTNRCGHGTPTLIQGGRV LSTGGDFTSNGPLVAAIAYLQTGNCGFNGEGCTLVETTLINPTSPGSGSSTDISLIPP HSFSVTSGFGYFNGCDGAGANCASANCNTAFHNPNDNQVQVACQSNNVNLAITFC JR316_0002704 MIRRLFPTSLKARLALVGMLATAYIFISTVYLGHGGNPFYSMRE GDGNTIAETLPVHDLELEGLAGTRNGTVATSAQAAAGQTESKDIPSSDTYAAPKATNS PISTPNTSTGVASDSDSGARPKGPSVLLVSAFFPLEKPRYPQSKYDAWLKNYLGSITT DVYMYTTPEIAPQFSAMRTEGLAITLDTNYTTPFDVPPLQGKEEMYMRIQKKDRERRG GRRGANVTDLYAVRNAKLFFLHHAIEVQQARGVKYDYAFWNDAGSFRSDEHAYRAWPA PEKVQEVLGQGGHDHDVIFVPIFDVPHSSMAMWTEAMGPIDSKFSEGSFFGGSLKAID WLTRAFYAYHNRYLALEIFVGKDTSLLNSLMFLFPDRFVTVWHNDPDAPTAAHITPEP PSANSDQDPPRFLGQCGSIWHYYQFFLSDAPTKEKMRDLWMQIATRWRWWGWWKSVDR TRCQDTRVLDVKSVLQRKLGAGWNAPGQGVSIPDRVIWT JR316_0002705 MRQRTKVYFLSLILFAVSVWTAKAQHAGPDPSTSRGPIVLTTSG RVQGYVDSTTTPVALNKWLGVPYAEDTSGANRWRPPVPVKANLNEVINATVYGPACMQ GRADGGNGTSIQSEDCLRINIIAPVNAKNLPVYMYSYGGGFDSGSSSDPKIDGTYLAA RGIVFASYNYRLSLFAWPHAAEIAEAGETQNFGLLDTRAALEWLVQNVESFGGDPKKI TLGGESVGAEMTNLYLSAYHADPLIRGAVMQSSDTAQPMWQLNDQISKIAVNMSCPTG RGQLDCLRTKSGTDLQKILLATGTQFQPVTDNVTIWKDYVAQTKAGHTAKVPLLIGTN KDEGTLIVETEPTAYLPDISQYIKSNNLNFPFSSLSALQSLYPVPSSAFPTAYNASAG MWRDAHMLCLASNLASLRTTALHLPVWRYRFDLVAANLNSRGVRAGAFHGEDIRFVMG TWRTIVDSQPFVPASEMEIEVSDLMVTVWTNFIKDPQKGPQIPGWNVFDPNDTTSLAI LGLNVTGADPGDHFEADRSCAYWNTLLPSFPQTFPKCGSWTC JR316_0002706 MADTSVGGTHENESVTTRVSEPSSVEDREVRKMVALTIVSVDIN YAANQITHGTSQSQRGGVTVNSVGLEENTGDGTPVVNINISIDDDALLRELAQFAVQL MIRQSDPEQSSSTPIEPPDFLLRQVLGAEQMMTSANKLQEQFDDTLNINNLDAAIIFY REGMKGLPHGPECGCHIESLKKLANALLTRFQQGGQKCDLDEAISLHRHALELLSSAH PDRSSSLNNLASALLIRFEHGGQTPGDIDEAISISREALELQLSPDQDRSLSLNNLAN SLTLRYEKSGQKTDLEQGISAFEEALENLPSSHSNRPAFLVNYAIALSTRFRQDGQKG DLDKAMSMYRESLGLLPSTHPYRSTCLNNLAIALSNRFDKFSEKEDLDEAISMHRQGL AHLPPSHPIRSPALNNLASALNTRFQRDGHQEDFEEAMSLLSTAAQSRSQSPTLCFLF CKHWITLATKQHHFSLITAYETALRILPQVAALTLTVDLRHQALISRADGLARDASQC AIRAGDLSKAIELLEGGRSIFWAQVISLRSPFDQLRDVAPECSSMADRLQVIAQELEM GSQRDISAHILDNHTRLSVDEESSRLNRLIEEWEEIILKVRELPGLEDFLLPPRLSTL RTAASKHPIVLLVANDDESHCLIMTSTNIHHITLPDFSKSALHTLVLLVNTAVSDLPI SRSLVESMRGTFTQNRGISFEDDDDISSDDVFKLVLKFLWDKLIIPVIGLLGIKKSSD LSFIQWCPTGHFTFLPIHAAGLYNSDNSTIECASDYFISSYTPTLGVLLSEPSLHPSQ KFKMMTVIQSHDLSSTKLEMQNIREYVPDDALTVMGVSESPAYVETVASSLSDVSIAH FACHGYQDPSNPLDSWLKLDDGQLRISRIMKEKMPNGALAFLSACETAMGDAQLPDEA MSLAASLIFCGFRRVVATMWMMRDHDGPIVANAFYRELFRGPDGEKTPLPAVTKSAYA LHVADNRCVTRFVSNHQHLLPPTKIMSLKVPKANNLQLFKDGYKQLSGLDEAVLRNIQ AVNELSDLVRTSFGPNGRNKLLINHLGRLFVTSDAATIIREIEVVHPAAKLLVMASQS QEAEMGDATNMVLIFGGELLKLAESLLIMGLHPSEVIKGYELASAKAQAELEKLSTFS LPSPLTQETLSSALKPAIASKQYGYEDTLASLVAEAALSIMPANPKNFNVDNVRVVKI MGGSLSGSKVVQGMVFGREPEGTVKKALKAKVAVFTTALDIAQTETKGTVLIKNADEM LNFTTGEEKQLEKIIKEIADSGINVIIAGSSVGELMMHYLNRYNIAVLKVLSKFELRR LCRVVNATPLARVGAPTAEEAGFVDVFETTEIGGDRVTVLRQLLPGEPGFDPASQSEK TRTATIVLRGATSNHLDDLERAIDDGVNVIKGLMKDARLVPGAGATELELAKRVEVYG SGLKGLSQHSVKKFSSALEVIPRTLAENALGGAEGNEVVSRLWAKHEQKGGETWGVDI EAETDGTLQADQHKIYDSLAAKAWAIRLATEAANAVLSVDSIIMSKPAGGPKIPQQAG NWDADD JR316_0002707 MAKSKPKKVKKLPPRVVVVNPWTTDYEHISMWFELMLRDHGYPD TRANIIFHRKNNGSKIVELPKEVKDVSMCLGTHHWSHFLKHREHSGEFSVIYEYKNSY ADDPGENNWTEVYPSNQGDIPANFPVKYPYPLPLPAQELSVTSVDAEYASFPSAENRQ RMEARRQAAQAKANAQSGSIASTSTPAVRGEASGSSKTSSSGASSSVAGPSGSGTSTD RGGVVAESSTRTTHNRVVSQYVDQSQPVNVKKMDPYEEEEVVQELFRSLSPVKKSETE AEDFLGSLLGRIPSEKPPPSLATEPSTSQVPNADAEDDDDYKPSEELLAMFNTLTSDT ELDIGQHLPMSGAEIKPEPMEVKIPSDDVDRSQGEPDELQALFEQSHLDNQIFRLSNS EVRIKPEPVETELPPFEGLSSRGTSSSRPESQTSTVVKSEPKEYSMSETSQMGSSESP VQRKQQDLRRHDFSSNRRLRDPRLVPRVKSEPDDHVEYSGYPHTQTQRMEASSFRPSN ELGETSIGSSRHSNKRSESSHHRDREYSPAKRVKTEDEEG JR316_0002708 MDAQSLMPANQQFEPLDEIALMLFTRFQQGGDPHHLEESISVYR QALACYPSPHSRYYSSSNNLAVALYSRFRQRGEVSDLQESFFLWSHALDSPYYPDRQH IIKNLANVQSARILQGVSEDFDLDHCVSLRKEALELNAPDLNDTNRIPLANDLALAHW DKFQGDGGENYLGESRMALTDALSLCSSSNLYYADCVNNLALVCHQESFIEGIYDKDD DEEYLDNCREALESFPSHQDQPLLLSVLTTILHSRMRQKDDDDKSIFESISLCRKALE ILSPLDPKRARIQHTLAIALTSRYQQRFERRDLEESIFLHKQVLANLSPTDIEPSELL ENLAIALRLRYEEVGEKRDLEECISLYRQALELRGTSDPETALSINDFACVLLLRYDK DGDRKDLEECIYFFRQTYQMLPQTHQCYLDSINNLAKALSIRFKLNGDLSDLEESISL FRQSQSDYRSPVFVNQSQARINLADVLFTRYKQTGQESDMEECISLFRSALNSISTGH HKYRSASLVKLGNALCTRFSHSSDQSDLDESIGFYKEALEIYPPPHPEAAEALNKLAA ALETRSKLYDAAQPNDIYEAQFFRQHAEALRRTTDVESQGMDVTQV JR316_0002709 MADHLKPSPTDSADDSEAVDFTDSACNRHPESAIVQPCIESSGE NPVFGSKDAKSLEPSKYESIQDIVSSASTTDTKEMPCAVKEVLISDEEEAREIVHFAT WLMEEQKARMSLPNLNDAVALFRKILDGCRSTHPSDTKALKDLPSALNLRFMHTNQLS DLVEGFKLRFEGLPSMINQMSAQNVDNLADQGEVSTTSELGASELAHASLDDFNKSVS LSALESIIVLLRLALQHLVFPDATRTQALSILVNGLCARRHYYGTDTMVDDLNEEIAS LKDAIQSSTDWKQNDRLALRTATLLLERYSISADVSDVRSALGYLRDYSNYSAQKLQS AIELLKAFSNTQSIGDLNTAIQFFHEGISDVPQGSEHYDTVISHLANAHVTMVWNQGE LYSFSLPNPPPV JR316_0002710 MQSQNQATGFIQSFQPTSHHSDELETRIALARKSLDNEFLLTDG ELAEALEILANNLCTRFDRRGEINDIEESIHLLRQAVPLRPMDHDNHPRSLMYLAKSL FWRYRRELSGQYDLDEAILLMRKAIELLPVTHVEFPGFLFLLVFLLTERFRALGEKED AKACMPLYWKARIHPQSCILDSSWPGLLDKLSGSLLIRYSMSDDEEILDQSISVRREV LKLLPPIDPRLPQQIQILAVTLNIRYKKRGQRRSADLDEMISCYRQHVEFQSIPPQDL RKSRDELATLLETRFQETDNQSDINESISIRRKLLELTPAGHPDREKVLTSLAWVLYL RFTDTTNPKPNRKKLNEAIALWRESLNTTSPVDQSTALANLATGLDTRFDRIGDKKDY EEAMSLYRRAMALPIPLSSQWTMLLKNFAFTLVRFDDDRSKADVEQAIWLARESLRLY DDIGSSYALPEHLNQLGYALLVQIEDSNAEEGDLEECISLHRRALSLRTPEDPYYIGS LRNLAVSLHWRFKKFGQKDNLEESISLFRQGKLQDDENIDILRRLADALETRFNLNNL AYQSDFQEIVSLRKDADELEEENTDDEDDEDEDSDDEESESDDGMDM JR316_0002711 MLCAGTVPPDQSVSTPTPLGGTSFPNIPATSTSKTRPQSLTRIS ATTQHQNHNPNHPHCNQQQQNQNQNQNQIHKGRHLHDQKGKGKQKKITEDEELELKEQ FEDDLDTKSYTDTEDMKMGLSALPYDLLLNIATYLDLRDVHALQLTCRALYDASTTRP VYRKLANDLLRRCRALPLKGFQRLADLSTDALIAAVNKAHRYERAWRVRAPRPIGSGE RERGEREGVWERERERRRRGSGEAKGRGVAEGRKGEAGAMGQWGWGYDARGVNKHSNY MDVAPAGKLAARLKQETEDALASPSSSSSALSIHKSNAKSHISSALSSPSSSNTPYKW YKIVSAPPGEEVDWLSPITSSYTLCATKSGKVVCWDVQADVCLAEWSPGARWELWKCR VEFEERTVFFTMAKVLTGSYDDDRVMEFVLMRLTFSDLPSGESSPSPPVFSPVTSFKT TGVVMNVFLLDPSTRLLSAFIWVSSSNTIGLYTLPDWSRHREYVFVDTGIECVMSSNW SCILYKENIVVHCEEADVAYQHFYPLEMLRSYMSVLPEEEEAGGSGNGRRGRGPTPVI SRRVPPARTLKKRFVFPVIEDSEEEEDEEEEDMEVEEGYEDEDMHNEFAYAAAASGNG NAVAGPSNLGLLNGQHLQPTTTTSSPSSSSSSSSSSALSSRISSSTSSPATSLVPVPS SSSAPSTSTPPLTSISPSVLTSIPPTLAPPPPAVHPPNPNPYPFPPWYPESAHFVRQW WPSLPHIPRVSCTVVLLAAHDQRTHRTRFVLAQHYFRVPMDWRGWEEGGLDAGWDGNG GGGGRGGNGNKGDGGMAERLVRESTARHGARKDNGATTTGQTGTSGTQQVARTQEDAR DDALMHLWYVSTPFEVVRVYDSAAGGGGNHNQSDHANENVHGGGGGQANSVASGSADG DHEDDLDHEHDHEHEHDPDPDPDDGGLAERPRPLVAVDFGHAVWIEYVDSDVDVDAEG EDDEAEGEGGEDGGDTDGDEYLPDANGHTQVNGHSHARTNGVAHSGSGESSSSSSSSS LSSSSSSSSSSSSSSSDQQHTGTGAARAPTTATGADTDPKWLRFVTFPPFNEELGVDL SSSSSYYRREDRKGKGRGKGKGRGRHAAGEVRTLETPPELDLGQVETINIDQSQGAVI LSDKAGRIFILCYE JR316_0002712 MQMPTTDAAPNNTYPTSTYGGSDSRSHGPAAGDGDRDRARDRDR ESASRAQPSGRTTRTTTTTTGSDTRSHPRSRSHGPRPTDEERREQQTRDSANRVREKE ILDDLEVGASRRSRGDVYYTSDRDRDRERERERGHDRDRDRAHNKDRESRGEHSYKVR ERPVSVSSGSSFEYLSAGDNTVTTVNRSDRDAPPRPPPTDSQSKKDNRNSAPPGTSTG SRTMSYAGAVSAPQTDSKPLPLPKQSTSTSNSTSPRPVSSASAAAEARAQEKNEEKRL AVSHPPIAPSRADPRERGQGHAQALVDDRDPLLSNNPGQHHGEPAHGAPLPPAFPQQL RVAQQPPQQGDAQPISPNSPDKGLIRSMLGAVSGWSGAPPAPRDKQRDEVQRLQSEAE KWYNECKRLDRDLRIARRTCSDLENERNRLQDNARALQHELTNVQHALSEAQALSEVR GRELVGAQVFLTKADALSISDVVEKVNVLNEEIFQAAAGLAEGLVVVGAGQPEFTVAV AEGAYQGVVRTIGEFLAGVLHTQAQASVREVHPLIAQVALSCFVSEFCFMKLTAWYSS DPNIDEFLRTVYNQIRQNEEQAVSGRWRALLRAQIRPLTDTWKRELTDSLQNLLVFSG WNAPLEAQQAFVAKLAPIFNALEDLRGAIYEKYTSADLEASEVQPGSPFQTWMDDAYG DERKSPPPPGQGQGGKTMQLVACTTGIGLKKLLPTPGPAQGHRSRGGDWYEMVLPPKV CLEATLREALEPPPPSSKGRKRRAPAPDEGGRA JR316_0002713 MAPRRGLSPNNLAPQESLTPNGPSSSLQVQHPRSTSPSSGFTQF LSKPSKWFSRSASASKVPTSPPEFKPNASTGSRKHKISRPTDPRPIMDAYAGGASRSV LDLSARPPGSLELSRFQTPSTPSSPTNQRPAALGDLRNTSRKAWSRSADDLSKVSPAL FSPIKTSFQDRVAEYRSRSDSAASAVSPSSPTSAGSIYNGHHPFPTVDPSPSTSPPRS ATLPAVSISIEAPAPDQDSVPLSSSPTHVRTRSHSFTPKQSSKLATPRYPPSPQRANE RDHDGRDGDQSFQGTPTRPTFNFGFGGVNQSKPQQESNVAPSPIASHRATTLLPPPTI VEPDQHKDEKDEMDPKRSSQILYHSGFINRLADVPANFNQANLPLSKGWKPFKLELKG SKLYFYKPPGDRANGIRDLFPTTLVPPSEQDNDDDNNAESSNAQSEDLDGSGRSRKGK AKDDGGPVAMARKKRAYWGRKTHPDLILDASGNVEKGTFEALTHEAVFATTFSIADTE ASSEEGGKQRWQEFSASVIVSVPVVVGRQIFEVEFLRCCSYLVSGAEDTFQAEAKSRV SWLATEYLRCHGQPADAAAWDDWKRDTIPDVVLSCEGPEFSSAVPVTPSSKAVYQASP APSDGSPHVHMFSPRPEGGAKMISLLEALSPLPPSHSSRQGLAPPNGRFPWSVLQEEG LTRDLLLRLDPYLVARSLALFHRSVLDMCPDNITVEFITGTPTRSEDENDNASSSSES FASLFGSDDHPHWLTKMVLLQILGTDNSSGHSYASQIPAHLSSPARRSEDRGNTQTSR THSRSELVSVWARIGEFCRTAGDECSWKAISAALCSRPVARLDKVWKRVDPQALAAIE SWANYVGEDGPPSVSQPAATVWGGDVKIRLNHEIAKATGDGEAMIPMGHVTKARSLFE TFRKSFLLCPRKTYISENDIGDDLRNLVAYWRDTAAQGGMTSGLAVKFQRVEQFMSLS LAAEPRRKGLFEPFFWTRTSSQTPSTSLVPLLFPEPLPTITLIDRSKLVRGRYDSDNT DLQYLRSLDAQLRQEAGRQPLGFDVKQDFTKRLILGQGGTVISVYQGELLLVVQSGGF ESAPNSRPTSSRISSRPGSTVTDHGVEKSVSRNPSIRVKPSSSQGLDRKTSIARRSSL PTVSHLSRANFVTAEPSSDPPLRVIVQAGTLNTLVNVLVHGLDKISVSVADDNGEMSL REGMTRELVVDRAEFAKVWWSVFRSFVTPFVFFELLRKIYISAQPPGSSPTIAQYMQV INKRSEVLSTTKEWLTSGGGAQDILDDTQLYNAVENFLDNTSDHLLFKSTMADAPPVQ KAWESLMEEKDTVKATFISQTMRPTISRGHHQQRSQGRGARTRNISTREPPDLDRMDP EAFVDNLDGMACAAFSNVTQEDLYITADLLEVQTSDRTGWFSPRDVPSLEETVEIQTI YSQIQEVEPSSLISELSQDALYRLLPPGVRSCIRAYGIIRKWLISKLIAPRIGLRARQ ARMELLVQAIEVSRLRNTETPSTAQLMDQPCVRSFVEAVTTSAILSVESRLHSRAWQG VGANRGSACDSVASLLHRPYVQSTSSVESLTVDMGWLLERMLEIISTSDVIEASSQEG QNLVNFDKRRHLCNLIRKAGSLPSERKNADSEESHRRGFERLNNIEKEVLALQFDYRG IKEEAYKEATAPGVNGVPPSMKKAIRPFYRLVTAQVEKNRRDKTLRGRLQKEKIQEQS RIERRDDLLNRAMMRGPRGGSNKPISNPHHQGQGQHQQKQHRNKKSMSAFLNFMRPIS SAFVSSDNSPQHLGLRRTPSKLDFATTGKPTLVLSILDAQVAQFINNERSYTFQLDTE DGGHYLLQATSKRDMTKWLETISRVTKNAAKRRLTYIGNSPKPQIADHIHSHPIVASR DPKAVFGVELEFLLRREAGSDHIPPGTVPVIIEQCLSAVEARGLMEVGIYRIAGATTE INALKEAYNKGEFPIRETTDVHAICDLVKSWFRVLPEPVFPASSYFEVMEAMRQENLE DRLQRIRQVVQALPQPNFDILRRVSEHLDKVTDYEEHNHMTAEALAIVFSPNLLRAPQ NDFVMILNNMGLSHKLVKALITHFHIIFDEVDQEVEGENHSEDDENDLDSPILEEDED EIPEEPSYEHDQQRHEHEHEPTHDRHDLVEPTTPQ JR316_0002714 MALAKPVEQISTASIIDTPGPMHHCLQVTEILDLILTDLFESRE GPHSRGDLLSVGLTCQDFLEVALDILWGTQTSLVPLIKTLPCNLWKEGGSGFDKTLQL LVEDNLTHGEEARFYFYAKRIRRLNYVPYTWYRISPSDAPPHDLMIIRVLWNIRQNKE VPLFPRLQHVEFSTIQHASLCLDIFLESKQVSLSLYWSHSTDNMDKLVPIIKKHALNM QELDLDSFQGIKRHPAVENYFALSQLVLAMEDLRGLACGPHILNTEAIGYLSSLPHLL KLHLPHNSQEIVAGLEKRHSLTQNKQPFPELQDLFIRETKLSTFATLMRYLLPLQIRK LVVELSNEFSAQDVIQSLTALSMGSSRWTNVHQIAFKQMSTNSRHGHSRKLDETVVIN CFVLSPLLNFPNLTTLELDMLCVFDLRDSDITSMADAWPRLRHLRLGPLEGWYMPSGI TYSGLLHLLLKCPDLEYLAIVFNPASDIPRDIKQGHINRNITYFYVGNSIPSARRYEP IGKFLATILPCCRGFGGRWQVKQDEVARRRWDSVLTVMRNSQK JR316_0002715 MVDSPIEDNHDNDATPARISRPSSVADHEGSLRQSVNVALVTES SSNIDEIDILISSEEEAIEVVGFASWLMAEEKAKQSLSALNTAIHLFRRVIDHRLPTH PLYSDALHNLQCALVTRTTHTGELSDLAESMRLQLEPHSGTNDIQHEDNTPSGQLEED DDDVKAMERVANILLSEFNRSISFVVCDTVIFLLNQALPHLASLHDTRLTACSTLAEG LYARHHHHARSVTSDLDAAICALDDALKICKNEDQERELVMKVTELLFQRFLIAGNAS DMEAIIPYLMKLIAQEKNAPDDMFRCAVQFQELFEDTGNINHLDTSVQFYRKGMRQLL EGSDDLTRWITNLASALLTRFKHSGQESDLEEAISLYRTGLKLQPSHHPLRPLTLNYL ACALIAEFEHGVPNNILDEVITLYREVLGLLPLLDPFRTLIQISLANALCLRFSGENG QQSDIDEAVRIFRQVLELQPEKSSSLENVASTLWLRFQKGRQQSDLDEAVTLLKQALD LRPWPDSERFSSLNNLSCRCASLTNLATFLSTRYTQGGQQTDQDEAVSLVRETLELQP VPHPERFKSLDTLASMLTYRFERQCGQRADLDEAISLQRQALELQPSTHPDRVNLLSN LAVALSDRFKEDCVLSDLDEAISTYRHVLELQTSSHPFPHSILRNLAKALLTGFASRK QKTTALCTRYEHGGSNDDFEEAVRLWSSAVQYRFQSSPMALSICTRWIIYAKSCHTSL LAAYDTALQILPKVAALSLTMELRHHALDLGTDGLARDACQCAIQAGSFSKAVELLEA GKSVFWAQVLSLRSPFDRLCAIQPDYAKFAHRLQIIARELETGSQRNVSADILDNRTR LSIDEESSRLNRLHEEWEEIILKVRGLPGYEDFLRPPCYSTLKTAASNHPIVLLIANS DESHCLIISTTQHHIGLPDMGAQFLSGLVYLVRMAVSDLPTSRSMHDGMQNILLESEV GRFIRRGISFQDDGDIPPDLTLKIVLVILWEMLVKPVIDLLDIKTENLQKSDNPPIIQ WCATGYFTFLPIHAAGIYADDNSVIDSAADYFISSYTPTIGILIPKPSPTPPTFKMMA VIQPHELPSTNLDLQKIQDHVPHDALISMGIPGSPASVETVASHLSEVSIAHFACHGH QNPSQPLDSWLKLDDGQLKISRIIKEEMLNGGLAFLSACETAMGDKKLPDEAMSLAAR LLFCGFKRVVGTMWMIKDEDGPVVADAFYRELFRGPNGEKTDIPNVSKSAYALHAAVK ELRSRNVSFRRWVPFIHMGQR JR316_0002716 MEDHDTSISQARKTLANPSLSEGERAEALDILANGLLARAKDSV GADGDRARNDVEEAVYHLRLAAHLQPQCVKSLGALANALFWRYGNATGKRSDLDEAVF LGRKAVELAVATSHPDMPALCYDLALFLGARYVQDGAKDDANECVKLYNQALEVTPSV PPDPRWHAFLRALGQSVLARYKTKSDQDDQLQDQLVSIRKAVVELLPLTHDALPECLF ELGHALHIRFQHPPRGSSNADDLDECIACYRRSLDLQPMLHVDLATRLDILAELLQTR FMKTQKMKDIEDSMMLCKRAVEVRPAGHADRADSMRNLGEAFRLLFKSGCPKAGVDYL HTAILLKRLYVAMRPPSHPGRSAAMVELAKCLDIRFHLTKEKCDFTEAVVLYRQAVTL TSPVHPKWADLLKNFAFTLLDRFEDNGFTEEKDVEQAIVIAREALRIRVESESSYELS EFLNQLGYALLVRMQHTHTGLDALEECIALHRRALQLRPEPHIERVGSLYNLAASLFI RFKNGGNIDDLHEAISLFRPALRDEVHKVEFIGTFEELADALEARFAIDNVLYKDDIR EAADLRKRVERFDNDRSELMDERRAQAARLAAEEKIVQERRELQQAYRDNALLNHVCT PIL JR316_0002717 MAPILSNGTSGYNTPESELESVLPVHPTAARRPHAITVQSENTQ YTDEHITSKFVNRGSEVTVVDGQYNIKPTVQEYEFQTKRAVSKTGLMMIGVGGNNGTT LCATILANRHNIVWHTKEGIQQPNYIGSVLRASTVRIGADPATGRDVHVPISDVLPMV HPNDLVLGGWDISGVPLDAAMERAKVLDYDLQRQVKPHMAALGRPLPSIYYPDFIAAN QEARADNLIPGDDKQAHLEHIRADIRKFKQDNALDRVVVFWTANTERYSDIIPGVNDT KENLLAAIKSSHAEVSPSTLFAVAAILEGEPFINGAPQNTFVPGVIELAESVKSFIGG DDLKSGQTKLKSVLAEFLVNAGIKPLSIASYNHLGNNDGHNLSAERQFKSKEISKSSV VDDMVDANRLLYKAPEEGSKKKGEHPDHIVVIKYVPAVGDSKRAIDEYYSEIFCGGRS TINIFNECEDSLLATPLILDLAILAELLTRVQYRKVGETQDFAPLYSVLSLLSYMLKA PLVKPGTEVVNSLNRQRNALESFLKACIGLETNADLLLETRLW JR316_0002718 MMRISSASLAFLVGVLPFALAQSPLYGQCGGTGWTGATTCVAGS VCNFYNEWYSQCIPGSATTSTASTPPPSSTSKTSTAPTSTPSSSVKPNYWFSFGDSYS QTGFDITGTLPNVANPIGNPDFPGWSATGGANWVGYLTATYNNSLLFTYNYAYGGATI DASLVAPYESTVLSLTDQVNQFLTTVASKPTSTPWTSENSLFSIWIGVNDIGNSYYLS GDRSAFSDTLLNAYFALVQKLYNAGGRNFLFANVPPIDRSPLMLAQSTDAQALEKSVI GTFNTKLVAKINSFAANNTGVKTFLWDSNAQFTAMLNSPTTYGFADATSYGSDPTMFW GNNYHPSTYANKFFGQTVGQNVLGKTIW JR316_0002719 MFDLNCGIRLRPFRAQPAEDLENILSLYNNAQVAPLITLRFLAP RGERVKKEFLEIIDNDAEMFCIIETIPSANQSVGGTDSDTEKPQFVGITGLWGFMERG HRHTKYSIVLLPQFWNRGYGQQITRFMVDHAFIHMNMHRISLDVWGGNDRAIAVYKKQ GFIEEGRQRKAIWSNGGWIDNIQMGMLVDDWKELVAGTKT JR316_0002720 MAFTTRLISYTLVALLMLQGHIVQVRGDQGGPPPPDSGDVKGPG GDSGYQGGDGGYGSNQWNPQHGPDGHPGPKPPGSWPPKPDTQVLWGQCGGYYYYGTTK CPPGSYCRWFSDWYSQCNPNDHGAPDDHDHDHDHP JR316_0002721 MFSIISRSIPRRTLVQSARYYSKKPPVPPSPPKKKIKPTPQRQP ETKATSAEEPTPKVSEPIPEPEQPAAITGVPTLDFSPPGTEQEYQRTGARSSKGSLSS SERKRRFASRVSLGLLALAFGAGAVYMGREWEPEELAAKKKKIEDAPSTRWARTKERF TGLFGFFVEPAWPELLPPPYPPPHQKPYTLLLSIDDLLVTSTWDRKNGWRTAKRPGVD YFLAYISQFYEVVIFTTQPSYTASPILDKLDRYNFYINYRLFREATRTLNGKIVKDLS YLNRDLSKVVMIDTDPEHVSTHPENTIILPKWKGDPKDKNLVAMIPFLESIAIYKPGD VRPILQRYAGKDIPLEYGKVEAEMKAKHIAEWQAKHKNSAGSGFSFGALLGMSDTPHR PKNVPPPTYLEQKRAEAQAQYQLEMKYVNDNREELERLLEQDQKAMQAQVPGSLWEAM DQLRGIEPPKPAADSASTVPTAAPTTQPPTPTKA JR316_0002722 MSNQVQVQAEYMLHPYCPSNSNINNTQDHISLARKTLEDETLTD GERAEALDMLARALFARAQSAEGVDRNDVDEALRLLRQAILLRTASHPNLPKSLGYLA NVLFWSYVKGLDGPYNLEEAISLGRKTVEMASATHTDLSTSLCDLASFLRKRYDQGRA KDDAIECMHLYQKVLEIPSAPDHRWHELLRNLGHSVLARYKNVNDQIDLDQLILIRKA VVQLLPQSHHTLPDCLFELGYALEMRFRKAREWHVDDLNESISCYRRSLDLQPIPPAD MDTRLDNLADLLIFRFEETQDKKDLNEFVSLYRKALEMRPEWHADRAESLKNLGKALY RQFTKGCSTINQLNECISLQRQCVQLRPAMHPGRSDILFDLATSLDVRFDRIRERCDF EEAFALYRESLTLTYPVNPEWADTLKNLAFTLVSCYGDESGEKSDIEKAVAVATEALR IREEFESMYEISEYFNQLGHALLVLIRDTDEGHERLEECISLHRRALQLRPHPHIERV ASLSNLATSLFVRFKNGGTIDDLTESISLYRLCLRDEVTGLVMLCNMSYLADALEARF DINNVVYQHDIHEATALRKEADELEAQVSMRDIRKKYKARQVAAEKMTRHRHKVNQLR RNNNSPNYICDPPLL JR316_0002723 MQNISHQSKFHYDEYFFPFHQLTTLAIYTEHPVTYITIQEFFTI LTKGQNLQDLRVRCIGVQLQFPDPHSGETKNLTDISHHRLQTLYIAVVNDGYLIDPLL QQANFPSLKNFGIGSSTGSRAWSHAAISYFLDRTSQGIQEIRIVTPGIEWPEVSQYFL YTPNATQVFVAAHGLTNDEFWQATDYLPRLEHLELEGDFYISPRAFTEFITQRCERYP RHDDTEVALLRSLSMRCWGETSMFVDADILVMLQQYREKGTDINIVIETDYRYISSAT LLSYME JR316_0002724 MSFFFSLRRRRKGKGERDIHGASASASECGISCTAKDANSDKNK TANSSRAPRKLRKKNRNNTHSRTHSNTHTHTGNKRGRSALPSSLYVLTELELELELEK EDVALELELEKGEEMEVGVDAVQYQYQDQDQDQHQHQYHYPELLLHASASASASASAS ASVPSPTPETAPAAREKEPGDEERRGYKRNLNRYQRRHCRGHGQEQQQEEKGQKREKQ KKKRLYHHSNANLHEYASGHAHARLYTESPQHQHQYQYQFQFQYERSGQHYSEYQHRH PSQHSEHQHQGQGQHSEQQQHHPMQHSEHQHQHQQHSEQHVPRALEASASAPASVDCV DSVDALLRGVTYDAILASTLRGSVSLDLDLGLDLGLSLDEKGHGQGRGRGFFVYDGER EGEGEGECEGEGEGGGEGECEGEGEEQGQGRGRGKAKGRGRGGRWVWDREAWYVGGGD KEEEKEEEEEEEPVPLLRVPPNSPVGTVLRRAARRSERRRNERRSVSERRRSVNERRR SPGGGDDGFGSLVGAAKAIMEDRVRVEFDYVF JR316_0002725 MQYSADAAVPQYKGKVTRWWPQLPEEIVRLIATHYLLDMAFTTY CPQTWENPKAWHQRMAYVCIRDAMHLEKHIMPICPEWRRAIEKHMFWAQAAHVLDPND VIFYHIVSQQTKQQQQQQQQPLSSNGSSNTASALPPVRISSYHHLRTILSASCLVCRI NFAGGNYGLGAAKKLAPSAFLGQIPVCRDHHHRRLAFCGLCLREAPAHPAHDTASREA ELALSIGLADNEDEETWPGTLVETTCKRCRLEWLWRKASASQRDRDAVGGPAFRCPDW ETRQSVEAFVEMGEGSVGDVLALAREKMWLRVCTRYESLGQHLVAAQRSQMHQQHQHQ QQQQTRGERRMMRMAGVRSATGAGFDRMEEDLEDDEEEEEEDDDEDLEEEEASEEDSE EDRELTLMRGASQVREMALNDWARRRILDGHWLSPADVWYNHTVPGQPVAVRTVHPCP WAREVDVVVGSSGGSSAANNAGASASASASGGSDTPAGGEGAGGGEEEEEQHPLRATV LGEVPPSYALCEQAYIAHMRQLREILGPALRNVVRKIVMECSVGVSGSGSGSGSARGV SDKGKGKDKSTDKGKGKGKGKARAEGGGYVDPAHVARKMTLEDVVRVLREEEGVWYDG VDWVEKRRNEEEAEAMAEAQAMEDEAEGEEEGEGEEEREREGQEEAMLVDGYSYEGLS SGGGKSSSSSSSSSGSSAASVSSTTSSSAFSRHGSSSNATSPVLSTSTLQTTPSPPPL SDEGSGSGAGAGAGLASKKEKDAVLEEQQQHQRTQRTSTQRTSPQRTGTRTGAATGTA TGNGVQRTNAEGPRLIPVDPVRATPRLLAKIPYVPVTIAHLPQYSLEALRSRAKVVEM SARAAASAAMGAQHGGGGVVPSHQAVYPTPNVPQLQLHAGDEIVLKDDEDEDGVDGVE LDTDMEMEMGMGMDGEGEEGEEEVDYDVSDYEDDEDDDDEEEEEEVGEGEEVGEGEGE GERVDVQEEEEEYDPEADHDRAAERYRYSGSGSGSGSPGVGVPAAATTATAIATTATT ATATTTTTTTTTTAAAAAADLHTPTYTSAPTYVQRPSRKRSSDELNDDGEGEGDAGYD TASRASRSSETLSSSRVSNAGDNRGENRGDNRGENRGENKGEVVGTPPKRPRLDIDVG ADGDLPLPLPPPNVNVRPVDAGVARPRKRSSEELDDEHERVRVRGREERVSGNNHNHN HNANDNDTYNIHNHNHKRIRVHAPLSPSSESPRSRSGSGNGSVYYSSSAASADAEDAE EGERDVVFSEVGDGDVGVDVGVDVDGDGDAESEEMPYVPPPPTHVHVRRQQHQQQQQH RPRSTIGSRYKAATAMIPTPTARTRTTAATRTATRTRDVRIVDVDVDMDMDE JR316_0002726 MQRSYLKNDPSCSPEILAPSPRAPASGFIEEGAYPPTPPNYFTN FDPQDPRYLSNQNPSSTFSPSMSHLSSSSVVNPNSLMQSSFDANFATPSSPPSSFSHR RPSVSSSISSSSTPSSPYALALTQAQGNYYGAYAGGRPDTSSAWHVPPVPLANTMEEP VYTRRQVKDMIDVVSECLIDTMENVLSRPQDSPHQEYGNGQLIHSIRDDGFRQTVLSE AIPRAYYRIQSASKPKV JR316_0002727 MAQFDISGNISHLHSASKHLDTTLRQEGVEGCKLESALELYSRF SESGDIQDLDAAIKLFRDEMSSLAQSSDAYGKCLDTMPKALCTRFEQRGQPGDLDEAI RLHRDALELRPPHHPDRPGTLNNLAAALQTRFSQGGQYSDLAEVITLNRQALDLLPVS HPERSIVLNNLANALWTRFEQTGQHGDLDEAILTHRQALGLRPPPHPKRFASLNNLAN ALVTRYKQEGQYGDLDEAISLHCQALESAPLTNPARSSSLNNLANALRTRFEREGLPS DLDEAISLQKQALGLLPVTHIDRTAFLNNLANALACRFNQTSVDSDLDEAVSLHRQVL GSLPAFHPNLAGCLNNLANVLRIRFEHQNKDDDLDEAISLQRKSLELLPVSHPVRFRF VNNLANLVRIRHEQRGLHTDRDEAISLQKQVLDQMSPSDPDLSSALNNLAIALRSRFQ QEHQRSDLDEAISLNRQALRLRMPPHPERAMSLNNLANVLKDRFEQDGQQSDIDEAIS LHQMVPGLLPAHHPKLSSSLSSLASALQSRFSQGGSLSDLDKAISLQRQALELQASPH PDRPMSLNDLANALHTRFSHTGNVNDLEEAISLHKRALEQRPPPHPDRFMSLNNLANV LQTRFQRTGQGSDLDEAIACNRRALKLLPAPHPKRSGSLANMANTLQMRFEQGGQPSD LDEAISLQRQALELRPPPHPERFASLSNLANVLQTRHDQTGQDSDLDEIISLHRQALE LLPSPHYTRTMSLNNLAIALLTRFQKRGEKTDLDEAVALHKEALQLLPSHHRDRHTVL NNLACTLRTQFSHGGHVSALDEAISLHRQAIELQSPSHFDRSPSLDNLGMALHIRYEN EGQESDLDEAISLHTQALELRPPPHIDRSTSLNHLASSLLDQYNRGGQRSDLEEAISL SQAATEYRFQHPSRRFDMSQAWIRLAQGSQHDSLLKAYEAALEILPQVAALALTVESR QKAMVSGTDGLARDASRCAMRLGDLNKSVEFLEAGRSVFWSQVLSLRSPFDVLRNVEP NGSALADRLQAIARELEIGGHREVSAHPLDNRTRLSIDQESSRLNRLNEEWDEIIMKV RKFPGFEEFLHPPRISSLQAAASVHPVVFLIANSDESHCLIMTSKVIHHIPLPNFKAP FLEVLVENVRMASSSFVTRSLIDKTRRVMTETLGKERGISYQDPEDSDGIFRFVLQLL WEEIVMPVIEFLGIKKHSDDISAIQWCPTGHFTFLPIHAAGIYDADNSIIDCAADYFV SSYTPTVGVLLAAPSLSVSDKFKMMAVVQPQELPSTTMELKKIRTHVPNDALVAFGVP GTPAFVETVASLLPDASIVHFACHGHQDASHPLDSGLQLNDGQLRISRIMKEKLPNGA LAFLSACETAMGDANIPDEAMSLAAGLLFCGFRRVVATMWMMRDEDGPSIADAFYREL FQGPDGKKTNIPDVTKSAYALHIAVKDLRSRKVSFRRWVPFIHMGTC JR316_0002728 MQPTPLAPPVKLPLSLWPTTKFATYCSVLGLSAATFYGAWKYAA LWKNVQKWRIDEREAHRRQEEELALLRDVLKKRDAEVQQTKIAVLSNLEEIEGLRKKV ADIGRDLEGAKLQNRTLVDRTKTLSARNQLLEAELNRVKGENLQAVDLLRTRTAELKG AQAFLTKADQLSGADVIKLVEGLNAEIMQTAAVLAEELGVEKKDGKETGGGNVAMSGA DVDSGAGEAETMEPDDLKEAYARTEEIIGPRMADLLKTTEHHEDPILIQIALQASMAA YTHWIVSSWCFETPEDEHMLSEIYARVRESEEQAVSGRWRQLTRTHLQRMLSQDPDLT SEMADAFASIFVTAGYNKSLMTVHDWILNRFSGQISTVMNLAKRLNKQIGEGVTSCDL EALYIAPDVPYNATTMEDALRTTSARKLENGHDMILCTTDLGLVRAEKISGTTGDWHE SVLLRPKVILSSGLASINGNAE JR316_0002729 MSLFSVSKLLGKSRAKTTKRAPSEATRSNSLLNLSIGEKEKNAT PKSSTIFLPTPPTTTISSTTKLEPNTDLESVLVKEPSPSPPPVVSESESIEIIPPIVD SQTRPSSVEEPGYTVIPEKKTGEVAEGAEFVAPLQPEARSSETEWTELEATKDDRNVQ EKTFVAEPLDVDNTVHEAAQNLQQDAEFQHEHTEVEPVKEVITAPETHEDIQLTLKIA QEEIQAKSRIIEELLAQTETMGVEIARLSKEKEILIEEAMRQKESLVEETMRDKQGLV EAARHEKEELLEEIDRLKGIIGVERHDARQQLASFDRQKTELHAQLVAQEENIVQLEM RLAEEQEKARSQEMQISRLEGRLVEGREEVGAVYTRTRDLELLCQRYQDEIAEERSRV AEKESHIRMVEKNNENLASQLVHLQSNLNEAERQNRMFIDQLRRQSNELQASKNGSGL ASSSVTRFAPLILGRALMSGPSRIESAISTIKMLNEEIFQTAAAMTDQLENIPKRFVV EDESASAKAEILKSMLGLELVRNLQEEAQIPSEEHNPLFVQTALQGCLTASCLRIITS WYPAEWEYGNFLYALYERIRGTGGPEIASIWKKATQQSCVPSTDRNAKLVTYLTEQIQ AVLTVCGWSQQSAVDEMLRDKYSRNLAGMVSQAVRLNTLTMAGEGRDLEAILIDAGKV FDPRCMQNENPFESASRKLNVTAPPDEEVVCTTALGLKTVQSMAGESEQILLMPKVFL NAGLL JR316_0002730 MASRSPYRGPRRKLVLAFDVGTTYSGISYSVLDPGQIPEIKGVT RFPAHEHISGASKIPTVIYYDRKGVVRAVGAEAMREGIFETAAEENWIKAEWFKLHLR SKVGAGKHVSGDIPPLPLNMGVVEVFADFLKYLFECASSYIQDTHANGVNLWASVSGH IDFVLSHPNGWEGTQQSEMRMAAVLAGLVPDTVSGHARLSFVTEGEASLHYAIHNGLP SGAMNNGDGVVIVDAGGGTIDISSYSKSSRGGKEVFEEIAAPQCHFHGSVFVTIHAKL FLENFLSDSPFADDVEHIVGCFDKTTKLRFRDAAEAQYIRFGSTRDNDANYNIRFGQL KLQGSDVALFFEPSVDCIVKAVLEQRKSAHKPISHVVLVGGFAASDWLFNKITEALTP SKLNIIRPENHVNKAVSDGAISFYLDHFVRTRVSKVTYGNFCHIPFDPTSPEHKLRSA STFMSVSGTKRISDSFDIILPKNTQVTEMKEFRKSYFRESEARSEFKAAVFAVWCYRG LVAEPRWKDVDTANYTKLCTIEIDLSNVPLSPRSKPNSAGVYYRLDYDIVLLFGLTEL KAQVAWKEGNTEKRSAAKIVYDPDTTNDI JR316_0002731 MSSRSAYKGTRRRLVLAFDIGTTYSGISYSILDPGQVPQIKGVT RFPAHELISGASKIPTIIYYDQQGKVRAVGAEATREGIFEEAEDGDWVKAEWFKLHLR SKLGAGKHMTDQIPPLPLNKTVVEVFADFLRYMLECASAYIQDTHANGPALWASVQGD IDFVLSHPNGWEGKEQTQMRQAAVLAGLIPDTAAGHARVSFVTEGEASLHFAIQNGVL SAAIKNGEGIVIVDAGGGTVDVSSYSNGSSGISNSYEEIAPPQSFLEESDFIDDLDHI VRCFDKTTKPRFRNAEEPQYIKFGSTRDNDPECNIRLGQLRLAG JR316_0002732 MSRDRPTGQPHRSFGWYLLTSTILSRWLVLGATAHVAAWTKGMY CINGTTPGVDDDDTNSAVQPLYQLRKSDWWFHHENNCDMFPPAPGDFLELPANGEFTV ELAVNRAFTTLSYQGTRVGVYGDGQDHPGLGVTLDGKVDADSCITEPNIHTQNETMAA GTIFAISYVSDLTQVTEDNLVVFTVLYHTPWRRLATYKWGWVANGCGQPNMYMLPYRC RVTGHTGPAAVASAVPPVWCEDDQSKCVKGAKQMVFWNQLEGNNVEVSGSDLSGQPKS PTYNAKMGFSDGAQTDIFLSAGSATTTYVQPAPKPTSSSAGSTLKKIGTKHSMMISAF VLWNLHTII JR316_0002733 MSLDQDQKVDIEDVEEDDMPPLVDDSNPNYTFFSGMTPLSFTST SAPTASQDSNPQPSRSLVSSLPASPITPDESTSSFSMSMSQPRPHGKRRDPSYIPRPP NAFILFRCAFIKEQNVPGKVEGNHSRLSKIIGLCWKQLSPEEREKWEAKAVIAQAEHR AHYPDWRFRPGANALAKLKIQDGTSSVTSRRRSVRSRAGKTSASPEVDDDDGVALADT KGKGKEKGRAKAKSSRMLSMEETRCAKIAGFVAEGIRGQELEVAVKEWEGDHKIPKAN PRVVKPKGRASQGSTAGPSRKKSSSTTTGVQVSTKHSPSNSPEIPSFTPNPWTPESDT GAQSTLEPLPSKTLTTDAAGNGVLSSVPLTHMFKRALSAPLADVPLQDQYSPGQSNVS SAGNSPSSAEPSPNVQWRSFRSPMSQELTPRTHNHSRRDTISIPLQPNSSVEMSHPQQ LTWQEAENQRRIEELRGPDSWWPQRSSSDSSQLSFSNRVHCQPQTVSARLNDDGMGYD ASQGTNQFDRGYLERLGQYERVPDESQQVEWRHLNPDTNRQGLVALIEDPYKDDCVDP SSASSNSIPTLSLPSLSNSNYYHSPISPQSPTTVPSSSFSTLTGWAGEFRNNNMDMKP WLTDPETNHPSRSWYSGESSLSMVTGRPDLHRPQLAIDPDDWDRIEYRTASDLHLSVE PPPRQLDFIGELRRAQGGAA JR316_0002734 MALPPRMTYNGLSRKLVLAIDIGTTFSGVSYSILDPGQIPEIRG VNRYPGQESVGGDLKIPTILWYDQEGVVKAAGAEATREGIAIQVEEEQWVKCEWFKVY FRPGETVSPEDQKVPPLPSGKTLLDVFADFLRYIVECAKTYIIETHANGKTLWDSFTT DIDYVLTHPNGYEGPQQKIMRQAAILAGLVPDLDTAEIRVQLLTEGEASLHYCIQSGL TSDALKDDNGVMIVDAGGGTIDISSYTRNEDTFEEIAVPQCIYNGSVFVTNRARSYFQ DLLAGSRFSDDVGVITEKFDKKTKLGFRNDEDDCWIQFAAVKEKDAELGIRSGQLKVS GQDVASFFEPSISDIVVAINDQIFSSQTTIAAVFLVGGFAASDWLFSQVKERLDIMGI EATRPDSHLNKAVAHGAVSYYLDHRVTTRVAKYTYGIPCGIPYDEENEEHIQRSSMKY QSASGAWYIPGGFDVILPRGTQVSESREFRRAFGRSRKDLNSLRTMSTSLMCYHGNID TPKWVDTEPGMFTSMCSIKADLDDLAKTLKPRTVTNKDGREDVYYAINFDIALLFGLT EFKASVIWQENTVFYAISDVANLIFM JR316_0002735 MAERLLNLERRYSQLQTLLDQRTQELKESQAFLKKPDDVPESLV IRKIEDVNTRIFNMSMAIVDIFEQNPKMDLEETFVQEGYIDRCRSVSRTAVGELLYSS VCQVDEAIMHRLPVVPEDTIPMQLALRSVLLKWAMKVVGLPGNFPENNGTTELYKFIR VREPQSVSSQWRSIAFRASPPAIPSGAIVDEIMSLLCVCGLSSLNPQILFIRENIRKR TVDTERSLQELRSIIHTGVTSSDMEVSMVECDTPYDPSTMVDVFSLETRSSSNVQQVL CTVGLGIRKTTAKMKELGEFHLVTELLKRPEVALVQLLDSFKKPADRDFDAHLDQS JR316_0002736 MSHCVIPTLTYESASTVCTPAYPPPIYAFLGFFDAIAAFFADAH SSESYQALSREEEEELFGEVLSEEEEAEGSDPLCRNVSPSTVATSSEFQDEHGVLSRA IAVPTLWAPVVETASSQNVHRSTQENFIQPQAEYIYSSHRDPRHLPDPSSATRNLLNT QMPVLRGKAPSKIREHPQKITQQVLAPEPSGLSTMFSQRQEALGVEPARQTSVLKRKA EDPEPTSRKKQNRAKPTRGKFAAGIAEKIDLVWTPLHVDKRVIQNSPSDLDTSILDNA PTFNSTFHEIPRTISPIPQRTQNLSTNRPAISGTAYQWLGPDYEEEARGRSFCGNPRA QLTELEIMGIW JR316_0002737 MSTTISKGKGKSVPSSNWLNLQKQLAKTEKKEYHSVQKGASKKR RKLDGASTENKFSKLYITSNERESSTFSQVPEASGSSSAAVKNGESTSSLQQMILGHT EYTESQKLPGKYLALDCEMVGVGIDGVESSLARVSLVNFYGAVILDEFVRQKERVVDY RTQWSGIRESDMVHAKPFEEVQKKVADLLKDRILIAHAVHNDLKALLLSHPRSQIRDT QFYAYKFGLCKTKRVALRNLVKQEVGLTIQSGEHSSITDARATMAVYRLHKKEWEKGS RPQPVGVHAASKAASSKRKAPSQSGSDEEEGGDGGDDDSSDSEAHSRRKPSPALVKPV LKGKNTPKEYPGGGRKGVSSGLSTVIRRAGEKTKAGGGVIDKATVKTEWWKQLPGGVS SGGSKGSVSIVSIFVEVSDMKQSSQATINELIAGSVGGAAQVLVGQPLDTIKTRAQIA PS JR316_0002738 MDILKQTLRNEGFFALYKGAIRPVFQFLFPETDVLSDSSGMASP LLGIAGVNSLLFASYGISKRIISPFPQLSLKEIVAAGAMAGAANAILASPVEMFKVRM QGQYGAATDKRLSAVVSEMWRDWGFKKGIMRGYWVTVAREIPAYAGVDDGAMPTIAFE FSKRQFGQKYGSDVPVWALLASGSTGGIAYWLACYPLDVVKSRIQLRATPPSGTPVQY IAHEIKTIILESGAIPAAGSTFAAFELTRDYLERVTGV JR316_0002739 MEYITVPFGSSDDEHSIKAVETATTSKHHSKHDKTSKSSIARRG DTQGVDNSSSVTLTGKRAIDESGRSSKHKLSRKAVAEERVTSRPSSVLSSPSYIKDHV KKRKTAAQESAKELARDIEALREQLLFSQKELIDANLKITSSEKRIMTLEKEKQSNLD QCKAAEDTLTVQLKDAQRRVAELEAKNRKQEGELRIFHEKLNTAEEKSHNLGKLLEER TAEFKGTQTFMTSADTYSGAEIMSMVETMNAEIFQIAAFTAELVETSTSIATPEERSD NIHRYRAPLESAERRLGRELSSHISTKFVEVRAEPLPLQLAIQALLSFECVMEIRTFR YDPFGESLDQLYRKIKASEAPAVAGRWRAITNSKMRVARDYSRVEPALNMIIGLLCVC GLSIRSIEKNPQFSTLKKMLHTLLEKLQIQLKTAVMEGITTTDMEVFINASGCHLSAA MEDVYAEPQGDPHKQNKTVADVGEKKVLCTVGLGLRKSTMKLSRNGQPKDHIDVLVKP KVVLSSVLDAIGTVEEDHPQAQGGK JR316_0002740 MSLRQPYSGSQRKLVLAFDVGTTFSGISYSILDPGEVPEIRGVT RYPAQEHVGGDSKIPTVIQYDKQGRVQAVGAEALQETVEEIAEEEEWPPRTEWFKLHL RPKTKSASHVTQTIPPLPEGKTATEVFADFLRYLYQCARTYIEETHANGVDLWRNLES RTDFVLTHPNGWEGAQQSLMRRAAVLAGLIPDSPAGQRRLSFVTEGEASLHFCISSGL TTEAIKQGKGILIVDAGGGTIDISAYKQASQDIKSFEEMAAPQCHFQGSIFVTNNAKS YLEKFLKGSRFVNDIPYITKVFDKTTKLRFRNAEDAQFIKFGGIRDKDPALNIRSGQL KLLGTDVAAFFEPSVECIANSIEQQRAASKTAIASVFLVGGFAASDWLFNNLKDRFSA YGLDISRPDSHVNKAVADGAISFYLDHFVGARVSKHAYGTNYAAVFQPNNPEHIARMS QKFVASDGRVLISGAFDVILPKACPLSEVQEFRQSYCLMSRNRTDLQSVSSSIRCYRG ENTNPRWLDVDRKMYSTLCVVTADTSQVPVPLCYSINSNSMYYRIEIDIILSFGMTEL KAQIAWNENGVEKRSPAEIVYEPDELTHN JR316_0002741 MVSAYDLYTATLKRGGGKGKAHEDAGTSKKQASCGEANSTPEQL CRQFKKSLSNDKVAVHFVGSWDTVSSVGVLKGENLPETTNGMKHVCAFRHALALDELR VKFLPEYANGGEGPPPPPQASQSSDTFNPFHNTKSTTQGRKHGGNIKEVWFAGSHSDV GGGIAENLENNSFGPSLRWMTYEAILWGLRMNPFHNKWVLPTSISSMDWRWNVLEVLP ISHLSYKNSVAVTRIPHLKSPRQIKPGQRIHESVFELIDRKPKYRPKAKLPEGLNGWK KEHLEAYIEVDPYIQPNKILDAIQGNEEGVDYDGLLNLSKTGKFSVLSQHCTKILTTV DDYLEIGIMAIRERQEAESILATALVNESRKSHSTERNARVATISKILDKCFDIIPVE FLTDENPEPPPSAWAILDTLYPEPSPARSKFLHRFADRNTRLEQTEKEIEKYSKALET GSDASKRVRLGYVKKLVVGLLDLLVLTTPHIDGTYKAALHDLYKKALRFPELSYSDRS ALIKSMANGINKNYDIGLEGFLDERISLTKSLFKLTPPENASRDDYLHDLLPTLYQRF EETSQPKDIDEILSFNSEILDLLSASQTHAGAISLACTLASSYMAQFKLTGQFTHVDE ALLHLQGGILSSRPSDPDRADLLDIQGVVSIMRSGGKRCRFTT JR316_0002742 MRSGGQSSYLDQADQSYRRAREIRHSFDASIHPLNREAAVLCDR FKLTRQQSDLNKAIELHRQALPLLSKQPRQLSLASFDFADTLLTLFNETGLTIHLDEA IGLYEQALELNPAPRFPRAKCLNGLGVALRKRFEISGKDEVISKSIGLHEEALKLCPE PYIERDDCFSGLASALARRYMKFGEQSDLKAALNSYLSALDLLSSSHPKRATLLFGLA TTIRIFLENAKQEEKLEGVIPYYREALELLPLTHVDRADSLFGLAVALKTLHVQRSLN PHKFHTVANKSETILDDILPLYSEPNSSGIMSKNWLDYLPIPNIFGSTNLKAPSMSSR ASQPNMRERAQIDSPREKPRRSSHPSHGDSPTRLLSMANIHAPKHRLSASSLQSDAVS AISMTHGQYEELDEAINLLKESLQLRPPTHPARIESLRCIVDAYTIRFGAVGHPDVDS YRRELNALEYGFKHPTPSEAI JR316_0002743 MDAQMADTEKGTQSTIGSGPTSPSITIDNSASSENESHLESHHI PCFCVPLSNQRFGRNLVVTIDGTANQFGLKNTNIVELYSRLVKDEGQLTYYNSGIGTY VPDSASWASFSQFISHQWDKAFASNFKTRVLKAYAWLSENYKQGDRIFLFGFSRGAYQ VRVIAGMIEKVGLLHKGNTEQIPFAYDLYIATLKRKMPGAPTTSISTERPYEKSAAKG RPKETPEHLCRQFKKSLSNDKVVVHFVGSWDTVSSVGALRSECLPETTTGMEHVCAFR HALALDELRVKFLPEYANGGAGPPDRDSEPVQNEAKETVVQHAERSTMTKRGNIKEVW FAGSHSDVGGGNDDNPENDQFGPSLRWMTYEAILWGLRMKPFHKEWVPPKSRSSMTLV WTILEGLPISHLSYRDASSVTRRPHLKSPRLIQPGQLIHASVFELMHQEPGYRPKAQF SDKTKWDSALSTYLESTRDTKTLKANNIENDPYIQPSKILDTIAKRRHKVKTADYDQL LKLSFTETYNAEHILARALADESKEEPVSEATFRRIATIAKIMDRCFDIIPAEFLENY SEPPASAWEILNQLYPEHSSSRSKFLHRFANRDARLKQVEEEVDTYSRALQGKPQPAR HVRLEYIKKLVVGLLDSLVLANQQPTKLSEHLHTCLPTYKQALRFLELSYSDRSALIK TLAKEIDSNFACGGEGFLDERIALFKSSFELIPTDSANQEDHLQDLLPALYQRFEETS QHEDIDEILSYNSEIQGLLSAWQPHPVASNLAATLASSCMVRFKLTSEFHYIDEALSH LQGSILNCPASDPNRADLYVIQGDWCHLRFKETGQVSYLDQADQSYHRAISIRRVYEQ KDSYPKTKEAAILCDRFKLTRQRSFLDNAINFHRSSLPLISTRPHELASASFDFADAL LTLFVETGEFCHLNEAIEHYESALIFNKKPRFSRAKCLNGLGVALRKRFEINRQYVDL ARAIELHEEALTLCPEPYIERDNCFSGLASAFATRYSSSNQRSDYNKAVEQYHNALLL LPPSHPSRTVSLVGLATILQKPYETTNQRNVLQQATTLFRDALLLLPSHHVERADALF GLAAVLRSQFDLCFQESLQNNPRNSTKRHDTIDDSPPSYTEQLMNSFSFQVALQHYRA PLTHRGHVFNTVGLASSFQSLKLKTAPLSKATTLESSHSIDTPTSSTPLALDNPSPTG TINGADANYQSITADASFAFLDEAIKLLKETLPLRPFPHPARVESLSLLVDILERRSG NTDQAELLAYRHELANLRKSGESSGL JR316_0002744 MTPSIDSDETQIQPTENAPISCLCSPPPNGGVGRNLIVSIDGTA NQFGMKNTNIVELYSRLVRDETQLTYYNSGIGTYVVDSKSWLSLKAWNQSISHNWDKA FATNFKSKVLDAYEWLSENYRPGDKIFLFGFSRGAYQVRVIAGMIEKVGLIHKGNKQQ IPFAYDLYTATLSKRKRQEVSEETPLGTSKQPKEPKSLNVVNAEHDPRSSPYLHVNRL DEDIDQNIESGRRSRATTNTDKDKVLSGENIESSADDGPEALCQHFKRSLSNNKVRVH FVGAWDTVSSVGILRGECLPETTSGMTHVCAFRHALALDELRVKFLPEYANGGSGPLM NDFQQPDPLLLPSWELFGLKIAGLVFARPHARVNPTTDTQRAKGGNVKEVWFAGSHSD IGGGNVKNLKLNQFGPSLRWMTYEALTWGLKMNPFDQKWAPLSPKSSMNWKWNILENL PILRLSYQNSNAVTRRPHRQSPRLILPGQLIHESVYELIKSTSNAQPPSKPYLPMALL PGGKKWASGQAPEDNIIEKDPYLQPDRILEAIKEKRHTPADFDVLLTLSSTAIGQRSI RERPEAEETLASVLASQTSNDKDEKDIIHTISRILDRCFNMIPVEFISESKNPYSLQS SVWETLDKLYPEGSSTNQSTRLAIVKQTISLHMAALEASPQPPRHIQIASIKELVLSF LNLLVLTIPDGAEASHRQWLYSLYKEGLRFDNISYKKRFSLIECLAGEIQDRFEMGQD NYLNERVLLFKRIFDLRPPDQGRQEDYLLGLLPTLYQRFEATSRLEDIDEIVSFNPDI LRLLSDPDHYPAVGTLVAVLALSYLALFKTTGDLHHIDEAAMLLKESISNCGHTDSNL PYLLDLHGYSFHLRFQQTGHHIYLDKADNAYRSALEIRHTLEPQGTYPSIELAAVLCD RYKLSRHQKFLDDAIQYCRDSLALCSSRPRQRDGPAFILAEALMARYKVLGKPQDLED AISLYQEALDLNPPPYFRRAICLNALGGALTARFHLKQQQKDVERAVVLHREALAQCL SRYFKRDSCLSGLAAALTGHFIISGEKSDLEEAISHYQNALDLLPSHHFMRSEYLFGL ANTHFSLFKATKQTDRLNEAITLYRGALKDLPPSHIDRADLLYDLAIALQNYDGVYLS ATHSDSTHKAQRGRSSIYGDEVILLLKESLQLRRLSHHGRANTLRHLISALLSKSGGK NIREILSYWDELTIRHPLLFPTSHLERRELDMLISLLKLDLEKSPAHHERLKLLRSLW CAFLFRFGKSSSQEDLVEAFMYHKELIRLQPPMVPLIPDPISANIIPNLL JR316_0002745 MTTLNRPRYDGTQRKLVLAFDIGTTFSGISYSILDPGEVPEIRG VTRFPAQEHVGGDSKIPTIIYYDKQGDVRAVGAEAVREGIEADAEDEGWTKAEWFKLH LRPQTTSSGSSLLTGHPIPPLPEGKTIIDVFGDFMRYLHQCARTYIEDTYPNGAEMWK NLEGRTDFVLTHPNGWEGTQQSYMRRAAVRAGLIPNTPAGQQRLSFVTEGEASLHFCI QKGLTIDAMKQGKGILIVDAGGGTVDISAYKQTSKNAQSFEEIFAPECHFQGSVFISN HAREFLEKLLKDSRFAEDVPYITDCFDKTTKLRFRSDDETQFIKFGTIRDKDLALNIR NGQLKLSGSDVASFFEPSIQCIVDTIEEQRAACTTKIASVFLVGGFAASDWLFTNLKA RLSDNTLDICRPDSHVNKAVADGAVSFYLDHFVAARISKYAYGIEFSRIYVSTDPEHV ARMHSQYTCLDGRIMLPVGFDAILPKARLFDTKVSETEEYRHSYVRNSKNSSAFQILD EEIMCYQGTKSNPRWTDEETDMYRVLCHVTADTSNVPLRQYVNSKTGIPYYRIEFEIV LSFGLTELKAQVAWKENGIERRGPAEVVYDPDELICD JR316_0002746 MQLEKIPLIGKMFGRNNGQGVNASTDELNPTLQYPMSEVSAAGV EEVQGGESTSDSGTTLDTTENIDDKEKTQEGTSNKKRRRNKQTQARVNAIKLQEEEKR SKELAEEVERLKNERKSMQYELATLQNTVSEHSNTNRQLEIEICRLREEERNIIRDLK DAYQEIEQYKQRVSMKDSKVALLQEKLNKSISKIDELTTLLQERATEIKGVQTFLTTA DLYSGADIIQMVDGLNMDIFHTAASVAEIFEQPTEADAAARLTSKDREERLEFGAERL KSIPEAIGERLYTHLANKCTELHEDPFPLQVAIQAYLAHFCTQRVQIFSGESAKSAFD DLYGRIRSAASERSIASIQTKVDAIVKQATQLKKAMHEGITTADMEVFVVRCGESFNH TVMEDSYGDNKSKKRNNKASCVLCPVGMGLRKITTNKEDRTSTTTLLFKPKVALLAVL NKEEPAHGCTEGGHTRVAPATSDIQGQWANAGGLYPFLSGASGDPYSRISYVSFRQRT GGESGAFFDYTARYGAMREEEDKITLRHTLKSSPGTGDHSLFDLLTEKMGLKSLLDLP MITLSNGQTRRARVVKAILGQPEILLLDEPLTGLDPPSRKALTETLQDLHMNRSPRII VGVRKGEDIPPWITHILEIDGSSATAREASAVSHTFNTRTFTPAQTVNNSGHLTVKPT SLLVDMQGVNVAYGDRKVLKDINWQIRQGDRWHLQGPNGSGKTTLLALLTGDHPQSYT QRHLLLPCILPSSASSPSRSPAIGPRKRTPTAHLRRLLGVVSPEMFDAFPRRHPGMSV WEAVTTGFDGGFVPRTRDASIELRKIGSVGWVDVAEDELELDLEANEKTTHIEAVRKW RIERCWEVLKALGSASWRKDTGNNDSTNLEAATREFASHPFSSLSPGEQRLVLLMRAL VGRPPVVLLDEVWSGMDDDMIAAARRYLRSNEGVSQEQAVVVITHWEDEVPWSGEEVK RFKLSSL JR316_0002747 MQDSRPVFPYDPSPVDYAENILVSTYAYKACARIPLPARLKTFI WNRVDDIVSYSTEIFCVIILIHALFSGYSGAGVRSKSIKADFWKIVSHPDFPETAEEL FPRFFGWYTFFLPVQRRTSLELQRVAFEAGKAKNNFRALFTRSPVEAVMIYQAEMLSG RRGHHPKPPSGYVRLHFYTNFEKGESPDKLNFPDATLDLPVEHKGVDLALARKAWGIE SIAVITNAESFKKVFYPADDDWLSPLAVYDLSRQFKQPIRVFEPRVHKFTKTERTIRR PIYHLTYFMFSLVKLLLGFPERNIAYLSVAYGPKYAAFYKRIGALTPPIKDWFATGGF LALQLLIVLCVLPFAIAYSICLSAGKAVQWISLLIWGTFLAAAQFIHRQSIAAAKFII TSLLKTLQFASSTVIDFATYITTLRRQDWIITISLTWLVLAEYYHSPS JR316_0002748 MHFFSATLITLAVLVGPVFSAPNPLRAVETYSGTTSGKYIVKFK AGVSRKQWIKKLGLSKAVDWQSVNGISSHLNTDALNTLRASDDVEYISEDGIMYTQAV QEDATWGLQRISSVGKITNTTRPATAFTYTYDDSAGEGVDIYIVDTDSPGVFVNHTQF GGRATWGLSVENYRSGSVSGIVTGLDWVISQVAASGRPSIVSLSLGGSASTPLDNAIA AVAAGNSNANAANYSPARAPSAVTVGASTILDERASFSNYGPIVDIFAPGLNVLSSWI GGTTATPHVAGLIAYLISKEGNLSPAEMSAKLQTYSVKGALTGLPSTTLNYLAQNAAL EA JR316_0002749 MAGLFFDNTERQTRLKDLFGSFNDIRQEISDTIASVVDESAELK KALETLQGQGKVASMQELEAKAKAQMTEKEYEEYKELINSVEKTDHDLWVAWSVMMWV DAGYATVMLPIEITSRIGAFMVGRALNQAVNAAQVGLNIPAAAQNLAANGARAMRPRA GAFHIPAGAPQLARLQRLENFQKLMSKISRIGRIVGLVLLAATLVLVAVDLIIQDNQR TDLMKAIKDLYGARHIIKQLAMALKSEAKHVTDARILLNERATKESTLSALVASKDIT VEKKNEIMAKYDAEKKAEVEAKSPNGGIPLPSDKDVYDVLKARDDLHKSWQNNDPDYQ GLLDWLKEEAEKPDEKH JR316_0002750 MAGYFMDAALILAGVISAFEDEHNKERKDRAHDLAHSLQDISER IHSTYTSVLDLLSKGSSDIAIWKAAISDKGIQTINKEIKYFEEFQVPNMNITESNIFL MRKLELRTQGFLTSIKSTLPPVAEIKAAMGTFDDLVKNGEITKEKAEQGKQKVLAEYH GIDIQLPSLPSNRSIYDELHQRDVTTKVYMGGDPSFEDTEKWVISIEDGSAK JR316_0002751 MAGIAVEVGLLLAGLIASSQEEKNTNKRDRLSDLGNTLQDMSAR LRGTYESAEALLPKDESEVVIWKAAISEKGVITISKAIHNFSDFLFPSANLNTISIAD LFYRRFLMRKLEIQVQGILACVKKALPPVTEIRTALGTFDDLVKSGEISKEKAEQAKQ KVLAEYRSVDIQLPILPSNQTIYDELHQRDVTTKVYMDEDPSLADTEKWLSTI JR316_0002752 MTSIGQDPPCQTEACSLHDCLKKHTYSPEKCDRHLRNLYECCQR MYDQTKGKGESTACPMPQVVARWIKDHPRK JR316_0002753 MSLSSSFRATASPTSFEFDPSDFADEIDSSTSSRTSTSSTFVTS AVVSSSASQIFFTSASSARLSPSTNIAFRTQTQTAGSLSPSISSLPTNTPQTSSSSSS GLGQGEVALIAGGSLLFLLGLAAAIIIFLRHRRNRALGRSGSRSKQNGFEEKYADEAQ YTSYSVYRKSLSDPGMAPLLRIPSIGQLSKIHSDSDAESHLYQDGPHSPFTNEGENVA PTHAVTMAESTPPRPSQDGQFEAVSLIPVVGTSSTSRSVPKLPPLQIPEYRTTPPPVR GSSLRSKSPVQQAPLEVHDSGCDSDDSASLYSQASASTQRTTRSYAALRQGVIPTLQY PSMPLPSIPQSPSHKPSGAVNPAQPIRESVTVNTLPTPPEFGITQNDKVEEANGGIEV DETLFVAKLLQSRQSRVPNAVPTRNASIVSHIERADSIKPALGRGAGSRHKRARRARD VVNSQVLAQSPTDYMTPSAYLTSSTSNPSQSSMSGVAPLSINKNTRPE JR316_0002754 MSTSVNYSSSSRDFLANHTKEKLEKSQHTCSKCLATNVLEVEVE TSPGEPSELDMGLAPVDNGRQAWIFVFSACIFDSFIWGWNNTYGLFQEYYATHPPFDA ASSGEISIIGTTSVAIQYIEVILIIALFQRYPELPKPSMWASLAMCVGALILSSFATK VWQLIILQGIVFGIGAGVFYAPILIWLSDWFVERRGLAGGIIFGGSGVGGFVLPIAMG YLLKNVGFGWAIRTFGLVLGVCGGIALLGVNPRLPLRKPITYLHRKPWFPKDLSPFRN PVFLCMMVINSVQALGFYPVSIFLTTYTSYLSAGTFTSTVTLALFNAASVICYVLFGR ICDSFPYSYVIFTSGFVSALAALLLWGYASTLGIIFAFTVVFGGFNGGFPGTWPAAAS EIGTRNEVTSLMMGTFAIVKGIGAIVGPAIAATLRDSNNDSPARYGGFGFLRVEMFVG AMAVATSIGGLALSFISVSKRIQKCR JR316_0002755 MKSFLLTPIQFVCGNIFHLAELVSEGLDIGGKARKWKRNFQKTQ RVAAEKSKVAFFHVAIHLSGKRPTESPTPHLLWSNHVPNESEVRVIKDFIHHSEVEME HYLEQLKVKKTWRKLTLFRYNETKKFMSEHKAIISPIRRLPPEMILQLIFIHAIEAEP ERMAWHCMSSVPYGIAQVSSVWRKIALNTPDLWTRIPTIPIERLFTTKPQFLAFLSAI ISRTGAAPLELSFYGPDYMASDGSHPAVNMLIEHSSRWKSLTIEISSLELSIFDAIKG RLPMLETLVIRGWYFISPISAFPIDIFHDSPRLREVSLFHVKSKMLNLPFHQLVRYEQ EEGHPEQLNLLFNSSQLSTFKTLSLRAAYGFHVSLPSPFVTLTSLTTLMLRSQSSETH HWHLLDQLVLPVLEELLFDSERVPSSTKRYPSEPIFALITRGPRPRPLKKLYIRSLST SNSGGLIALLRQLPFLTHLTTDMQHASDLQSIAHAGTQIIPNLRSCSFFADELLSEQC SELCRTLAANRCEKQHTEFVDNSSYSIPALHIHFPDDHIASDQQKILQQWSDTASSLK LRELRKSIIGCLPELTLYSRRKPSSRLRRQASFLLSSVREVNINNANEIYVSGIHKTL HRISTVFAGSSTSDSHLSDLAKLALEQWESKFNASHDDFRWLTLFPKSLTYISSFNDI RASTKAVEKIIFGELEGIIIPQSDTPWPSHRFS JR316_0002756 MARFFCFFTLAFALLMSCVMAGEQSKRQIGNLQCNIARLKTVAG LAKSASAIKKAMTAAQGDAETTAQLQTAAQGVSSAQAGVGTIAKALITGQLAPAAARQ QVADGLNAATTALGSTTSSDPNVDSAIASATSAIAGTTTSGQQVVANCK JR316_0002757 MSVEDQMKLSPRIFAFIIGINQYSDPQIEDLRGSVRDAKAVRKF LKNKLGVNDRNIRMLSDLEATRENIIQAFVALQTNRDIRRGDAILIYYAGHGQEVEVP DDWPTEDGWNIVQSIVPCDFTSNGNVHVIPDRTIGRLLRNIMIEKGDNITVIFDCCNA GSGTRSLDSPVSVRSAMLKNYALPAGLDDDIIDMHQQRAAVQHVSGSLASHVFLAACS ASERAIEINGRGQFTRALLKVLNSVPISEISYVDLMDRLEQLVFQNPQLEGVNRHRIL FNGKVLSPTRNVFKVDLNPNAQYVLRAGAAHGVSPKAEFTLYADAKALSNQEPCGVST VLDVGPFQSTLSQPINPTRALGQSPIAVQSKSGVQEQLRVHVPEEALLQIFNEASLVQ TREPLAGHQIRFSLADKLIADIEARRQGDDIAFYHLDERVTAHGLTRCIHTANSDPAS LCRILQGAATYYYHLNRSYLTSDNLRKSLRFEFFKLQPSWQHDEDGALGWMPMGENLI KDGIITLEIDDAVENLYGIRVTNYSGTNLYPNIFYFDNSELSIESYYSTTTENHFLAD SPLPKEGGQINIGFGNGGANPIEYFIPDGHDVDVGFLKFFFSTSPIDLSPIAQSSPFK ILRDTETSLPYTDPNKSDAWCSVLIPIVQKRIS JR316_0002758 MSNSSEVLNGASNVPPAEIAFMIIGTNTDGVIIDVAKSGDPSSR GVVASFKHATTPDVTTGIELAVKRVLDQSGIDPGSESILSLTIGTTHFINAVVQLDSS RLNKVAVLRLAAPYTGECPSFIDFPPDLKALLNGYTSIINGGLQIDGRTINDVREEEV VQQAAIIKQKGIKNIVLVGIYSPLDVQGSQEYKARDILRRELGDGVNIVCSRDVGHVG LIERENASILNASVLTFAQRTIRSYKRAMKRLGLKCPLYLTQNDGTLTSAAEAARLPI KTFSSGATNSMRGASYLAGIDLKSKEGPGKSMIVVDVGGTTTDVGVLLPSGFPRQAAA FIEVAGVRTNFTMPDVHSIGLGGGSRIRTDQDGKVTVGPDSVGHYLTRDSLIFGGSQV TATDIMAAHSPELNIGDRTLVANVDGETVQKAIKAMTRTLETVIDRMKTNAEDCDVLL VGGGSIIAPSALKGVRNIIIPQHHEVANAVGAAIANVSGEVDTIEILSGKSLSEAVDR IKRQAIGRAVDMGADSESSRIVDVNVLPIQYVTNQATRIIVRAVGELKDDEQVLSSSL DASEEHGEDCDDNDGDNEAIINTPIIQEKVDYTTYKPKIVDNKWILSETDLFFIMEGC GVLGTGGGGSPYPIYLMCRQALRDGGTIKIIDHTALNDDDIIVRGGFMGSPSVGFERI KGGEHLRVGGLELAKYCGITNFTATLCDEIGGGNGMQSLLMSNIYHIPALDGDLMGRA YPMLDQVLPAVYNRPNSLVPCSLYDGDGNAVILSKVKNDHFVESIMRVVTAEMGSSAA LCPPPCALRDARDFGVNRSQSQAWRIGRAIAICRQTNDMSGIVDAIMKLQNGKCLFIG KIIEVTREVRAGFTWGQVRIARLRDDEIEDVIQQNQISKTAEETMEGPEDVMIIPFQN ENLCAYLERPDGTRKIVVSVPDLITVLDSQNAYGLRVTVIAMAGHPLWRSERGLVVGG PTAFGLDHTFEPLGDYIEPKSVIEEYRGQ JR316_0002759 MHPHPLPSTPTNPSRTRTQKTLTIALSQAQLAVSLDESLAHTLS PSTADADANYKRIEEVIGVYGRSVGLLGCVIEGIRREETLGVLIGRKKGKGRKGKREE EGKENKGQGEGQGEGEQSALRQREKNEDDDIGKYREEDELEERKREREEGE JR316_0002760 MSGLKNSPFGKRLRASHREVPSASASSSGSASFSSTASGSSSTA AASGSGFASGSGDAESTSTSTPRKGKERVNAIFGGASGSSSTLGGAESSPFAFGSGMG SMGMGSMGMGRIVKEYGDRFVPSKDSGDMRTSYHLMEEGGGSGGGPSTPSKSRIIPSE SDALKEQANAIFNSILHTEVTPPSPQRSGRGGSGSGSPTRPPIASGSTLPSTPTRAKR RLFAYSSPSTTPTRRLDTPTDEAYSLSPVRAESQRLLLSPRRQLRSVCKTPYRVLDAP ELADDFYLNLVDWSRTNVLGVGLGACVYLWTAQTASVAKLCDLTPANDTVSSVAWVQR GSTLAVGTLAGRVHIYDAATLQLQRTYPAAHAQRVGALAWNAHVLSSGSRDRMVHHRD VREPGQRPQRRGAGHRQEVCGLKWSGDGSAGVNAPGVYLASGGNDNKVCIWDLRGSGR RGTGGRGAAAGTTAMASGTGTGRAGGIGGGVGGGILSTGASSSIAGPSTTPGATTTAG GGGGAGAGAGDETTPADVPLWKFHEHTAAVKALAWDPHVSGVLATGGGTQDKHIRFWN VGTGTMLKELDTGSQVCNLIWSLTSHELVSTHGFSSTTAQNQICIWKYPSLSMVASLT GHTNRVLYLAMSPDGETIVTGAGDETLRFWNAFPRVDGGGGGASGSGGHGLGSGGDGW GRGRREREESRLDYGRLIR JR316_0002761 MHTIRTIGSGVGTVHDAGLMDAHKHSYVIPELVAPNLKTLSINA PLDRKFSSTIGVAIRSLTLFGRIHKSTAEGSMQTIDQLLTSNDEPSEEQVHEVRRLLM DPKQEAEYLDAEIQKARSLLQELEEKRTKAGGTIRRYEAILSPIRRVPPDVLRVVFLY CLVTHRNPAISIKEAPLLLTHVCSSWRTLALNTPALWARIHISFTNQDLLPEILEKEG QFEGGACAVPQIPRYRITEILRIRCEAVEEWLRRILISQEFGQQGALWEIFPGFSLAA PNMKILSAHTSCVGLRKLLSPWPTALTHISIDSAISTKQAAFVLQRSPQLVHVKMCIN PLEHYSEGMLETILTQETYLPELRTFLIKDFLSTSPCTEDFYDKIIAPNLSYISFWAP RPALYVDTHGGDHSRPLTPLRGLLLKSIHLKTLVLSVDRYTCAEISELLRSATTVTHL VYRAPKLMYDSEWGCPSNSAHEAYSYPKWKFTSVFLSDSDKELLLLPNLEKIEIDSDD KVPYVTDQEIIQFVINRLDPSPSSNFSILKDVKMKYSQRTTMDVIKQISEHAREVGVP MNDIKLDLKAYRTRRENAPAPTSTNFGLKEQSKAWDQRCVEEQVSSQYSEHLPMLQSS CLAQRLI JR316_0002762 MRLTSSIILLTLSALASAANLQPPVNRTAGAHTQVHWDVSPNDR EESWTLFLMDPAYAFGLYAILGTEIQNSLGVVDVVLPVGLDPSKDYILKSVKNDWVDY VLQESPIFHITAA JR316_0002763 MSSNISNSKESKRTARTDDLAPPPMPVIPDNLAPPPMPVIPDNL APPPMPVISSNLAPPPMPVIRKDLAPPPMPVIPANLAPPPMPVIPANLAPPPMPVIPA NLAPPPMPVIPANLAPPPMPVIPANLAPPPMPVIPANLAPPPMPVIRNDTAPPPMPVI RNDTAPPPMPVIRNDTAPPPMPVIRNDTAPPPMPIIPDNLAPPPMPVIPPTLAPPPMP VIPDTLDPPPMPVIRDDVAPPLMAAHVSYTSVVDKIIMDSRPAISSERKQGRYSPPPE ACHLLLQNPYFRTYGRFDPMGGYPGSPPTQSDIEFEDVTDQTAYFNPPFLYNYTEQQL RATYDQIIDSSVGDNSYDSKVTTFKNLRLHQLQSEILTYNALEEIDAGLAGIESSLEK HLYTGRDE JR316_0002764 MASSSSTTATFAQRLADWEKTFTECYRNGESAFNAQLEQLYRDL VPLCQEHVRDAANFRLVDYVASPVVYSYKTSQGKDGKQVARFEVDWANLHHQVANFKA YQQGQEAQRKRREEEEQEKRREKEEQEEEERQRVEERRKREVRRKREEKKKREEEEER QREEEEERQREEERRKREEEKQKVEERRKDERRKREQERRTREQERQKAEERRKREQE QEQEQETDEERNKEETEKRRAEKGKGKAVEPPVEDGPVTDAHKDKGKRKAADPVESIQ LAPADYRGPRTKKGEIIPHITASNMPGHPAYREKLERLAKSKQGKFRSKAIIGSHTDE DADADVDEDDEGDNKGAPPTTPTATPTRKMRTRSVKKDTEDDVPPIRKARSRSQKVRQ VPEGMVDMVERCTACIKFKVPCYVKGETGTEPLVPVKHQSCESCKSRKIHCSFYPGRN TFVGQFLTTPLGSYGEALKLEEGEDVPAKGKAGEGSFPEDVGELLVQLFERQGRIMER LDGLSASMTAINARIATFAETNLAVENRMKSIEDGIQEVKAEWTMAKEQVAGSTSLSV TMFNDITKRINHVRDVVDDLLEQDEQRNPAPKQAAGPSKTEVEQESGPSRTREPTSVP QSPSAPPPPAPLPSPPPPPAAPTLPSPPPPPPAPVLPSPPPPPPAPVLPAVSAPPTAL FLPGSTPEAPSPPPAGRPSLPPVPPILSLSPPPPLPAPRPRSSTSKAAPLSKGAPSSK AAPSSKAGPSSKAKPLSKAKPSSKAGPSGNGHSSELSEPSDSDEVEIVEEEDVEIVAS TLPASNIATKTRAGRKRKAETTLAEASRSPKKSKAQKK JR316_0002765 MPTNASPDADPLPSTANATTHNGPRSGQTAEGDFPPEESNIWTT KEMRVLKKHVQPYKDTSKSSKADYIQNTVIPELQATWDHRYSRRARKEDKQLHKEWKV KKHRIFNWFRNHASNRIGVKLEGLNSRITFQTVFREEKSAEIDSEVALLSGNAARGSK DWMKFYQQGRKQVEARLTQEERDRFMEILEEWNKKGVSKSMKAKTAARQGRKILRQME KLKWQRMGMRSITFEGHYDIEGKIEYSMTQTSDLALDDVRIPSFGQLFPSELAAFRRA FVQYLVHISEIEKGVANPALPDASFHEKSLKFSSNGFPIVPSPIYGSTGREVAYAQKS IIRIYMNKVYALAKDRPGSSVPWDALERRSAEMIDPEYWPSSIPVTDPSRLRLESTSA ILKLWRDRQAQGDIPFKFSKVFGNGYDIMEPLYPSNLFDGLEAHPIPPPPAAITKRRL RQKAIRLQTQSSSDSESSNLSEFDNGRGSPASAMPRTTARFEVTPETDETPTDAPSRS SEPPSLPSRSSPTIVNPSSSPTPEVEEAPPKPARKIMPRKRTKPYVGTAEMDGPDEES SVPPPVKPKPTRRIQPRKRTKPYSDLLDTVEEDGVQTGTTQDTDNTRAGRDVTCDAFV ETVTSPDTDETRARRNVTSDALALQEASLLAVAGKRQRKKTLKA JR316_0002766 MGFKKVPDRGSDEEFPNVREASWSSGAKKRKRGRPRIHKLPAES SSTNLPQTTTYSFQNHEHNILEEEIPHYPGDLTDQQVLDNLRQLDDDRTGGKSQNDYL REWLPKREVYLGTMIGGEAPDPDLNGRCQQCQGMSGVWRCCQCFGSRILCSECLRRNH QFTPFHRVEKWTGLYFRPGALWEVGVKLYLGHNGKRCPYPTDPSHLGCDGGNNSSGSH GHGGNNSPIFEDEHGLDLDPLPASNDQESFTAQLLADPEVPHLVELDDGDDEDDDLFE EVDTTYLDQPRPKAADNNGIPFKAIVHTSGVHYLPVRTCTCRSVRLPSIDLQYLEMGL FAASFENVQTVFTVEVLEDFRMDNLECKTSAYQYYQKLRRLTSPAFPKKVLNRYRELR RLSREYRDLVLRRQYGEGHTREAVVPYYHHCHDSSPERMGINVSDPIDGGSDSPSQEV GTSTDPSLGHGGLDGPPAPQMTRTEDHPKVEDRRGKLALFCPACPQPGINLPDTWIDD ADRQVLVILQGYVADGNFKADHLNQKNEGDDVWLSVGEGYMTAPGPYKEHIKEAISLA PRYKRTECLYNYASTYVPGVGIIDGEILEPLWSVLNDTSRSTRSATTAHRAEVLDDHM GDSNWKKTINMAATIAAKFKRAREQSGITDRFYRGITDQQDSGLINTWEDEISKAEAD REQGVADAVGKVMASKVKTAAGRQEIELHLSNMELTSNGATGKAAWISSGLKLEQAQL ELRDHVRKLGKHPSTAQKLDLVNKRRSMRTRVEAFCRSAMTFMGEDVLEDIQGDIAPI LDYEVSDNDDPDLGNVNITRADPERQPLPFPSAVKQDFFDGLDAGTNLILKGLRKLEL QIRHGHAEDCLEAVRSALIQLSWQYKYQVRTADSVYMGTRAWDGVKLLNASWKLHRRL YNTNRQKMIYLSAGVRDEDNIRKQYPILQVHDCKHSNAVSDPNIRGGSSDRLSWIWRS RQGLDNDNQLYVNEFFRLNWLRARAQRNRWQEELALTKKEMEWTVRFYVYMAKTWRAR HDFVPDRANAQKQIAMWNDLGRAADKVFRQINPEYPLTSSLNILVVSHLVEFMHLPRL FKPPVEDEHHLLTYDERRALAKVHVHICGARIRAGYRLFIANGDSVSSVRRQKWMYLL DLEVAILLRVLGYCYVNGFQVDIPFFISEILNQSVHAVLNRTPHHRAVLFESLNQSWS AWEDDEILNQTKSWGYWWRDGFAEGDEWQVAFVTVESQAREFWNKVVLPEYQQEVLRL NNQRKEAKEGASSSTSGPPRNQENNPKGKGKAAERTSVPTSGKHGSALTGKHGSVFSP PTGKPNPPTRVANTRDETSPIAISDHRPPYCPRCGQPILTGVMRELAHLRRNVADKMK NAHKAVTTSSAALGRYSVLEKMWIDSKEHPFSNGKGLSAKYKFQHPVHPSPDSWGAIT AQARSFEVSKLKVASFYINDIFYSFIAVKELPFHPLWYHSPPSNTANIHLPTDTLPTP GSVSPPSNAHTSNAFLFNARSRPVFPGEEDDIDSTSDTTESSTPATFLEHLAQDFEEE ADVESSAGDTTEESDASGNSEELSEDVSETPWDEES JR316_0002767 MDYAFELTQSLSNYMKAKIASRLWHAELPNQIRENFSAETMFEC NLAVEVILQAFENQEYTTWDAEEYLTHLSARCTGQNSAVEARLKDQFPPVHSTLQYQT LPGTVIDSAGNILVWYLPGILSETRVESVWNSLRDIETMIHKAAPLATSWRVNDSYFR HEPGWLQPGNLNFSPAWFQQGHETSNPLEVSLDLCNPIGQEFIRDMMTSSALLGAILS IIHPEQYRAGIKFLQRLAAEPEFVHKAEILKQILTIWSSPFGVMTAISNRDTPYHRDN GSCYSWYDFLMPLGKGEHGRLELPGLGLRYKYDPMTLVAITGRLLQHGAVCEGDRAVI VYYMRRNVFKELGVQEAGWSTTYDLFANLPATNTFDFEI JR316_0002768 MPPKGRPAKKKRNISGLRNQGSSESKPTDSNPPSTSSTSQSYQW DRTTINKKKPLYKLKEEASSEKGLSDSESESDLELDDENDGIDTDWKGFMNAEFKKRL AKQYWDIKEKENDMEWLPYKLRVMVQGKQAVKKGRPKVYQKGPNIMSKPARTQRDHKE LIRNQSNLDRYLNMPIHSPGPSTPIVPQMRKASKRSTSSHETIEPDLRSNSSIEILET SGLPLQEDTPVPESEIYEETVNDKNNNSIFDQQIETAHKTVYL JR316_0002769 MTITSKAASVLGYRVLPTSIVALLSYAAVFFALLFTNSLSSVPT PVKQRGLDLEQAYKDLHHIAANPHPYISHANDNVREYILSRVLSLAEKYPHIHVDNDL SSNASWSASPKFGVYFEGMNVLVKVDGTAGSSEGAVLFSAHFDSVSTATGATDDGAGV VTLLQLVEYFAKHRAKRTAIFNINNGEEDGLHGAHAFLEHPWSKETDTFLNLEGAAAG GRPILFRATSMTPVRAFRNMKLIPHPHANVLSSDAFARGIIRSWTDFSIYSGPGPTAG MKGLDLAFYKGRSRYHSKYDSVQHTVGGIKSLWSMLEVARGVAVGLLNVPFDDKLKAE AANIDDDPVIFSVFKRVIVVFHLTSLLTFNIAILIAGPLVLVLLLFFEKFFLSGQAKP VSRRSADTTAEPAPNTNGDRTSRIGNSRLRFLAARAAASRPLVQNDDDQSDVGDVRSP VQLRPKSGGVIHTIWSHVKFWFAFVLAAGLLVLLTWGYTVVNPFAIYSSPYLVLFAFM TLTYLVLIFVLTFPSSLLFYHPKPYPNPSHFLLKPAQQQKHTIFIHLYTFTWILLVLG TLGITKLNPGLGGGYLLTAWNFCVGSGVVMGVVEGLVTSAAWKGHEEVEQLPGEERDT STRAGDADDDESTPLLWRGQEGEDENEGTMGRSNNAASQRQRVRKRASKREEEGGAGT LASWWWIPQFLVSVPLPVILWGHVTMLLLDAVPQTMTDGASPWSVYALVLMLALILVL PLAPFAYKLRPSRLLTYLVLTIFISSTLYTMLAFPFSVDAPLKVFFQQRVEFPSRALY DTLNPELRPRVVTAISGPPKYIVKSIIASLPSAQGKDVFCRGEESKLGLLSCEWEVEP RMWPSPGTYIPPVSSTASEKENVVDVDLDTAKWDVGQLFKASVKRNGPSTALVSVTGR NTRSCRVYFDSGPAFEYNVWPASSAPRATVGADQNTKQQKRTDQAAFTAPPKTHLQEG YQIGPAGIKELRLWSRTWERQFKVEVDWKNETDIGTPGMHGRIACVWSQYESGMVDNG ALYDPATDNLGIGTLAARARLMREEDRPKIPAFEEVLAFLPEWATVSKLTDGLVEATV PFSV JR316_0002770 MSISSVVSGPNSKSFEEVRIEDYIKAYQTTGRPPLPVPQEPVDE FQRKTLNLPPLFKPYSPSGPSNPFLKQATITNPQDIPPGQEFRALKKTELSETMIFQS MSCMNEYCHFSPEELRYYAYLRGYIKPPVPVAMDPFVQPHVAPSGGFTAQEPTTEKLQ NICTELAYSKHSPEELRVAYMLHGRQLTSAELLQTPSAPGALFSQPALGMSSIPSNVT QPIFSAPPAAPSAPKFTFGLR JR316_0002771 MNNLLRTLTESQVKPATDLRLLLTTVKEGRRQNYDSKLSDPFYD SLEGLLLDLKTITIDNHDAEAFLKPVSKTEVPDYYEVIQHPMDFQTMLKKVKQKQYKS KREFQDDLDLIWSNCLTYNATENHPLRPCVKRLKAKAEKLLKHITDRKERTDPPIPSD LPRANGRSASHTRSPSINMSRSSIIIPARPRRGVAFAESPAIIRTPEGMSLFRDLDRQ LDSARPPSNLAQTLKELAPSTEYESDTEPAESSSTGDKRKMNGAPARPRKRARFDDND VSQMWWDAVQSDSLIGNGLPAIPFGPSSSTRTKPKKKKQKQKQPPPPVNPKSLLSLMN TNIKTMRRLRHTHAKFTALNAMTAPQEDEEQQIGMEMYPVASGSKGPGLAAAASYGIG ADDDVIDEKIDEAPWVIGRGKAKTPSKLAGIEMGEANASDCLHWATDKILEHVGFQGT STVARNVLADVTAEYLQNVGRTIRFLSDKFGKVMTPEEIILHTLFESGSSKVQDLERY ISDDIERYGSRLGELEKKMVSAYRETAAGEVLEDEGLFEEEDDEETGALAMGDFADLL GEDYLGFRELGIAAELGLSNLSIPKKLLKSKKGQNKPTAAKPTEPPPPYPPPPPFIPL TAGKVEDQIGLLKPYYQNRFTQLAASLAPPPAPAGPSLPGPAMGLPGPPSLPGPSMLP PPPPVPNVAGNVPPPSNPPPAPTPTISPDLALPDELPNSSQMKIGPIGQIVKAGLATA AKKKARLENAGPPPAPGTPGGSVPVPPVLDANGQPIAAPPGAAGAGASPKKKKNLGVG SGNGRKKKPDGTAPVNGGGSGGYGGGQGPQGGPTLPPVVTASA JR316_0002772 MSYGNYGPPPGGYGGPPPPPGGYGAPPPGGYGAPPPPGGYGAPS YGGGFAAPGPRGAPPGADPQLWNWFSTVDTDRSGAITATELERALINGDWTPFDLDTV KLLMSIFDTDRSGTIGFNEFSGLWKYVKDWQNVFKHFDRDRSGTIDGGELREALGQFG YNLNPQLLDLVQKKYANQATGRGVPAPGISFDRFVRACVVVKQLSEAFQKLDTDRDGW IQINYDQFMHTVLSLP JR316_0002773 MSVNVFKDTIDNVCAAFGISRLDGAISLLTSSLDICKGRDVAID KSAFPLMWKFLDELNVCVDTQQRGEAAAATAGSPMRADNDPEWVTRTAPQDTLVPHED AIPIASSIPQDDIEPTEVEEQAPPERRWEDRIWEEEINEEEHALAVEENPHRESPPRD LEPEPEPEPEPEPEPEPEIPSQRNKKFKAAATISRSSSTTHNNEAPRRERSPRKDNQM AGPSDDPRFEITIIGPGGAYAQFKTRGKHQVRKVLAAACKTFDMDEAHSELVLSLSVE DDDGNFDDHSFVCVPEETIQESGVNAGSKLYVRERAQSVGLD JR316_0002774 MAGVRVPSVLWAQGGHSTASGNGFIYLTVDLPGIIHSTLDYKVT KTSMFLTVDAMKSNDLSRYGFQIQFHGEVAPNGISVELKTRQSITFIIFKGGTTTHYW PQLSKDLLEYVKPDIVRWAWQDQPPDVDSGRLRCLTSVERLKSLGRALTERCQQSGNL QDIEWATLVLKVAAEFGTFPLIDRWLWFRKLAEVATLRFNQTGDIHHENSAIYYLNQA LQCTPSGHVDLFSHLGTLGKLYLNRYIRGGNILDNETAISYLRQAVYPTVFSGDDLTP LNTAVDSFSKSVLNMNLVPVPSKYAGMLDDLANAYSYRFAHGAHISDIKSAIFYHQKA VYLTPSSCPDYPTLLKNLGTSYLRCFERTGNLTDVDFALHYHQRALNSNPDDPASLAL FHLSLGNSYARRFERSGDMADINHGISHHEMALKIAPASHFCKADSLVGLGNSALRRF QKTGQRTDIESAITHHLKALEATPTGHADLPGRLSNLGNSYLYAYQQSGDPTDISSAI LYHRRALEATPAGHMDRPVFLANIASSYSCRFSFTNDLSDIGHAISYQENVVGSTPAD HVNLPEYLKNFGDFNVHRFKKTRSLADIRSAIAHYSRGARAMGLPATRLDCAKGAALI SQVFDPSSSIPHYELLVRLLSEVAGIEQTIHHRHVNLHKYSNLLQCGVAAALNVSRFD TAVEWLEHGRCLVWNQIDELRTSVDRLRETNPSLADRFTKSAHLLEHLGVRSSIGPSL HETLEDGARSHDTTLLHSRTAAEYNAVLEVIRQMAGFEDFLQPCKIAKLLSTLPRDGP VILFNINGDRCDAMALLHGVNAPIHIPLKDFSQDKAKALYETLQVDALKNRSTGSENR GAAVSLDSSIRLILEVLWFNVVKPIFDVLAYTIPPKRSDRPRLWWCPSGPLSFLPLHA AGVYGPKEQISVADFVISSYTPTVRSLTEKFEKSSSGRCAKTSVLLVSQQWTPGLPSI PSTKTETQAIKSLLEKSGINTLLLENADATRDRVKNELDAHGWVHFACHGIQDPNNAL SSGLCLHDGRLELLETMQKHTQNKRVAFLAACQTGTGDSKLSEEVVHIAAGMLATGYH GVVGTMWNISDKHGPLFAEEFYRYLLGRMGSNGLDGNLAAYALDHATSVVRQNLGNSE LGLLKWVPYVHFGY JR316_0002775 MTAVKMATILWAQRSSSIIKQKNVIYLTINIPGIIHSTVEYNVT ETSFSLKAYAKEYQDEEVNEYQVAFDFYANVETQALIKQRTENSITFAILKRDLTLRY WPRLSNLALENVKMDFSRWTWEGDESDADDIDENCGTRRTWEADDPDDVSDPERTEMG YNGSTSEEASFFWVSNMIYILRKQQQVEECEQLGIFFVNRFISLQNRDDLNMAISKLN KAVNLTSDWQLEKPRRQHMLACAFAGRFENWGNMEDLAAAIEITEDALESLLFERRGD PIDIDRSISLLRHVVDHTSANDSELPNRLNNLGTSLFRRFERLGDLADVDSSIYQHQL AVELIHEGHQDFSGCHHSLGTSLLFRAERQGNFDDINLAIHHLTKSVEAGMLQQSNQA ICLHNLGTSYTCRFQMRGDLADIDTAILHLQRSIQLTAADDPNLPGHFINLGVSLLCR FERTNILADVNSAINHYTKAVELTPAGHPNLGGRHSNLGNSYRYRLVALGDRKDADSS IFHLHKAVSLTAAGHAQLPRFLTNLGNSYMQRFHLFRDVADVEHAISYHKQAVDLTKS ATDSGLPRRLKNLGRSYQSRFNHSSNIADIDVAISLFQQALDVTPHGHASLPESFHDL ALSRQKRFQKTFDNTDINSALSHLCQGAEASGPPSSRFSCASTAAILSQSSNIVPNPS IYLFGLAISLLSEVAGLEQTIQRRHANLQLEDSAGFVPLGVTAAIDNGRPDLAVEWLE QGRCLVWNQIDKLRAPLDQLRNKDPVLADRFMLVAKTLDTLGPRSETNNMASSIEDDI RWQDQILLHTRTAENYKEVLREVRDLPGLEDFLQPAKATSLLASLPPDSAVILFSIGT DRCNALALTGGLDQPLYIPLDNFDDELARSLQGTLQDDIHNHRTARDLNRGAIDHVDS SIVLTLEVIWYKIVKPILDALKYTIPLNRADRPRIWWCPSGPLSFLPLHAAGLYSSQN PTCVSDYVVSSYTPTVRSLIEKFQASKSPSPSRSKSGLLLISQPNTPGLPAIPSTIRE TKSLKAMMDKHGVRTLLLEHDEATREKVKEALNSYSWVHFACHGVQDAKEPLESGLCL HDGRMDLLEIMKERVQEPQLAFLSACQTSTGDFALSEEVVHLAAGMLAAGYRGVVGTM WSISDEHGPLFAEEFYRMGPNGLDGSLAAYALDHATSVVRQNLGKSEHGLLKWVPYVH FGY JR316_0002776 MAGVYLPDTALATVSLNKDVFTYAQTTQGAIIECQGALEVPIGT QNVDIYMYDHQNHVVSRYQGSVERPNAPKLYTPLAASVISSTKYVFYVDDTNTLRGVK SPNWAQEAGLATLAIRVAAYSQLTATTIAENGFQAICLYYQSPRRDAGIEMVSFTTKT NQWVKGIPDMTPIPPPPPPPPPRVVDPPLYGTSLCAVKVRPGISVIPNSKMPVVYLQW DTLALAHSQEAMVETIRTLDLKFAPHTSLAIVDDGALLYCFYTSSQNNHIKMITIKDY KASAPVVLGTPTPRSTIAAVLPTQDRIVLFYQALNFSVGAVELKGLTLVRDQGTKQFA PLPNSEPASLA JR316_0002777 MEKRQKPARKGTLQSGTKSKNLKKAEGKVERRRDIFLKPFSLIF RPSGSESDVATSGGVHAGLIAQVISIAVLLNSQLKELNDQAAWAAQDIASKVGKGYGG NLTEEFENVEINSVIPKSIEFHDAVSEPIPTDFSNAVQEAQISVLAMQSHSSMPQESF KTTFELVLRKLDSFSSIVEDLAEIHPFAKMAYKILFFASNAIVEQMDLDNDIRDLVGL VNKTYIFVDQAHQVQDMLPQLEILKALTLKTVEVAHFIVDYSKKKNFWVRTCQNLVSS AKERITAFTTEFDELMNDFRTHSALHTQVNTYHLLGKLDRLSSDFDLNSVEYAKGATG YSSVKQCLATTRVEILDEITNWVNDTSSESNVMWVSGGAGTGKSTIAHTIARRFAELG SLGSCFSFDKNAAGDRRHEKIFSTIARDLADIDPAVKSALSQVLHDAPASVKSTTDLF QQWETFILGTFKTIYDREESSASIIGPVLIVIDALDESGDDIKTRSALLSILGGEKGF IQNLPRNFRIVITSRPSVDIYNVLKDRSHVVIKSMDDIPLSKALADISAYISSRLLPQ LEDFLDADHLQILVGHSDGLFQWAYLACEYMLGNSFGGLTVEEKFDDLCSNSAEVTGD VLLQDTYYLILSKLFDIKRPTVLARFQSVMGQILATMEPLPQASLNTIRSRFIGVSKT NSDISVIVNHMGSLLVGVTNKTVPIRPVHTSFYDFLKDKDASKEFYVDTSIAQSTLAH SCLDIMIDKTGLKFNVLNISSSFTQNQDIPSSALETIPAHLVYACKFWANHLEKTEPD DHLLSAIHSLLFEKFLFWLEVIALTKSMNKCVEALSILTLWIPDPTSETKLFAEDAQK FIRVFGGVLDESVPHLYLSAIPFAPRQSVIYRTYNQFFHHTLKVAEGHLNNWPTLQLT ITSHYDAVNSAAFSPDGTLIASGSDDESVIVYDAVTAEEIYTFEEHAESVLCLAFSPK GELLASCSDDGNVILWNPRTGAVAHRWEHDGDSAQHVAFSADGTKLAVVLEKLSVCVY AVSSGKRLKTFGKKLDDPSLCAAFSPDNLCIASSTESGKIYIWDVKSGNELQILGADD EDPWTVSSIAFSPVDSNILATVLHDDSVCIWDIAKSAKIHVLEGHTDSVTSVAFSSDG TKVVSGSADTDIIIWNAITGEEINTLEGHSDWVQSVYFSPDDSQVVSASIDNTVCVWD AVAGHSTEYVLEGHSAWIAAVCLSSNGDFIVSASHDCTIGIWDSNTGTLIKYLEDGHE DPIKWVTVSSDNLWVASASDDEMAIIWDIESGKVIKTFKGHTDSISCVAFKYDNSKII TACDDYLVHIWDVETTKIDLVFNKHTDVVFAAQFSPDGEIAASCGSDKLTYVWNSSTG EILHTLKEHEDDIYNLAFSPNGEHLVTGSHDCLLCIWDIKSGQLLKKLEQVDTALSVA YSHDGTKIVSGSDDHMVYVWDVESGQVVTQLEGHSGSVQAAVFSNDGSKIVSCGADRM IRVWVLEKQPGDDHNIQFSNVPEHALKITEDFLNFTPLNEDDDKPVTKVWDQACGWAV GPKGQKLFWVPPQFHGGLITPSTSLLIGPQRTTLDLSDLVYGSEWPKCFLQN JR316_0002778 MRAYYYDNVPGDQRLPHDYSPSRPVSEETLKALQVEHWVVPVDG HLPKINAIAEERGYKNRDLINVSKEGMGEIYEEKIKTFFEEHMHEDEEIRYILSGSGF FDVRETPTDEWIRLAVEPGDLIVIPAGIYHRFTLDEANQIQAMRLFQDEPKWIPYNRS EATDTNPHRIEYLKRVGVRA JR316_0002779 MSAVKRPTLLWAQRSSSSIKQKNYIYLTVDLPGIVHSTVVYDIT NTSFSLKANAKDEGEDDLEYTVAFDFHAKVEPPALVKQRTDHSVTFVIFKHDLTIRYW PRLSSNPELTNVKTDFSRWTWEGDESDAPEDENQRVDVIDKNCGVRSVDPTDTARPFP DSRWVAAPKGMFGDLFWPEKLKTHNKGPSSSMPSATPHLKWAQRSSATDKIKNIIWLT IMIPHILESTLTCKVTDTSISFNACGREGYDERRFVLELELYSDVEEVSRELSEQFFM IILHKRVMTARFWPRLQKDVRISPYIGADFRRWTWEGDDSEDVAVDEKTAIGYNGSIS EKAEECEQLGIFFIKRFVRLQHHDDLNMAVSKFKEAVNLTSDWQLEKPERRNMLACTF AGRFEHWGNVEDLTAAIEILEDTLESVPSSQPLHLTLLNHLGHCFELLFERRGDHTYI DRSISLLRRVVDHTSADDSELSTRLNTLGLSLLRRFERFGDFADIDSSIYHHQLAVEL VHEGHQNFSGCHHSLGISLLCRAKKNGNLDDIDLAIHHLTKAFESCIQLHRAVSLHSL GTSYMCRFEMKGDLADIDTAILHLQQSIFLSKIDDPLLPGHFINLGASLLCRFERTHV LADVDAAINHYTKAVELTPLGHPNLAGRYSNLGNSYRFRLGALGHREDADSSILNHQK AVELTPAGHAQLPTFLTNLGSSYIQRFELFKDGADADQAILYHRQAADLTSSPAQLPG RLNNLGGSYLSRFNFGDNIVDVDAAISLFQQALDVTPHGHVSLSERFYSLALCHQGRF QKTFDTTDITCALSCLCQGAEAPGPPSSRFSCASTAATLSQDIDPIPNPSMYLFGLAI SLLSEIAGIEQTIHRRYANLQGSAGFVPRGVAAALDHGRPDLAIEWLELGRCLVWNQI DRLRTPIDQLRDKNPLLADKFTRIAKMLDTLGARSETNNPSSSMEDDIRIQDQTLLHT RTAESYKEVLQEIRSLSGFEDFLQPAKATSLLASLPPNGAVILFSIGTDRCNALALAG GLDQPLYVLLDNFNYELARSLQGTLQDDIHNHRMARDLNRGAIDHVDSSIVLTLEVLW HKVAKPILDALRYTIPLNRADRPRIWWCPSGPLSFLPLHAAGLYSSQNPTCVSDYVVS SYTPTVRSLIEKFQASKSPSSSRSKAGLLLISQPNTPGLPAIPSTIRETKSLKATMDR QGVRTLLLEHDEATREKVKEELNSYSWVHFACHGVQDAKKPLESGLCLHDGRLDLLEI MKERVQEPQLAFLSACQTSTGDFALSEEVVHLAAGMLAAGYRGVVGTMWSISDEHGPL FAEEFYRYLLGRMGPNGLDGSLAAYALDHATSVVRQKLGNSEHGLLK JR316_0002780 MLIYNRKTRQEARRNNFYIAYSMTLLILMTFAFATNAVMGQLMW INHRDYEGGPLAYYAASANSWFETLGTSMDVVANIMADALLVYRCYVIWRRSIWVLIP LLLLYMASVAFSLLTTMQSALPNNHILANSTNFFIPWISLTSGLNFIVTGLIVYRILA FSRRARGTLPDEAHKVYTGAASILVESALPFSILGIIFAVYTSKGQAPQVALGFIWGT FFALSPQLIILRVAMGRAWSSNTEDKITTSSIAFKPSMVQFDRDRSETAFHENSRPAD EPAKDISEKGSSSSNV JR316_0002781 MIVKQLKNPSEREVERIVELTVRAFSGTVPNAPFLGGDWTLHAE YCRSQTRATALEGELYVGVTESGLIVSTASWFPPGRSMFDSDAQKALGYNQFRTKLKA EAKEWENNIFAKIVVESVETLFTKEEIASRWWCYNLVTDPAYQRRGYATAVMKILADK ADKLDNILGLIATTQENVNFYRGIGYRERGCVHVPAPTGDFDMHVMARDQSSKSFD JR316_0002782 MRVRGADSSSSDGGNAGNANVDAPAPPPPPTNAPASSSIQLEAQ VQVQVQRTDTPTTMTRLMGGAPPAYTLYPVHNSHMPPPPPPPALSASAPAPAPVSTST QAQLPVPTLQTPRTRTRTQTQTQNLPTLPAVPSTSTPTSILAGTRPRPRSSSLAALAG TPAKSTFASPQANSREMDKGKGRAGMKRTTFAPLPFVVRSKGERGVGGGVGAGVGAGG CEGCARTGADGKEDGDEGVDGNGDGGVNAAAAAASAGAGGGSGAGAGAARLTIAARAA MKRSWDDAEEKDVHEKNVEDIEKDAEEKEAEEEARRARWVQYLGQGVEVGVFDFEDED DHDHEHEGLGVGEADLGVLGEGAGVGSQEAGDERGGESRKSYAHEEGLERAKMERMRK VVLSVLYDDDAEEEEEVEIDVDGVSDDEDAEAGYEGRFKEDEEVEEEEVGGKGGKVVC VPQKTTTTTTTRTHPRPRPRSHSMVLTLPEPVPLSVPITLPVPVPGPAPGPGSTPGRT PASGDEMPKLGGDNLDADADAARSCALLHLRHRRDVAVEASASAAAAVTAVDAEMKTE PQPETERQTKTERQTKTERQTKTERQTKTERQTKTDPEPQPKKEADPKTEQEPAESES ESERAHYVDGTTALSARQMRAAWVWMRGWLWMGVGVGSASGVGVGVGDEYGDEGDGDG DGDREQEDEDEDEDEDEVDEGRQGRTRTRTRDDPTAHAHAHGCRRHQTQTKIQKRATR RTPPLQQQQQPRRNVRIRVMSARERREDALSLALGYLALCRAQAGGGGEEGGEEEVQA QDEEEKRVLDVLAEEISLSNEGKRTRADGESQEREDEGQGGEEDEDGDEDEYTDVHKI WMRMMDVGVEERRARSHSHSHSRYDDDDEEEEDEEGRCRLGSYSRSRSRMSMRMRSVS RARGVGQEQGRGRGRGQRSLSRARGVDGGEFAHGDVDAASSDEEGEGEGEEKMNLGVD VEEVVVLGGEVMEQHVQLQPQHQPQPMQVQAQAREEEIDAQEREQLIQLQLTLEKEED SEQNNDDADADAAHPINFNSQTRPKEVSRPPSGYEDEYENAAYKFMDEDEDDGGYGLG YGMGMGMGMGLGLGLGLGLGGGDGDGDDDVGGFDLNINNHSNNNHAYVPPQIRVGASG SGEERTPVPPPLAPPPALMEPSIEVEVVEEDAIALAPVPTSNVNADTNENAHANADVD DQRGRTKNLVVPAARGQYPLSNVSTKPLAAVHVQVPVSVSVSMPVQQQTRRVGENNPR NVAGASGLYVTQRQRPGHHRDRHEYEYGEDGVREEWRGVLSFDGLARVA JR316_0002783 MANRRQPKDQLCPICNKMFSIQGIAQHIRSCSGKTPSLDAQNAF GDFANRVYENNSLHYTSILNAAISHSPAIPNEDSTTASYSAIDMAQMETQDSLNGHHI SLSMEPEDSIEPVTNQSSILQMLDEISNNHLPPPLPHQICIEFHPSANMPPKFIPTHQ YNPNSYQTSPTPHSTSTNPWHPFRTRLDFEVAELALSSHMNRQQKQILLTLIDRIKEK PEQFTIDSVSELEKTWELARSYRSTGFQKKEYLVPYQNDEIGYEVYIRPLIEWCNSLL QNPSLLSQFHWIAERHYKQNDGKRDRLIGEPWTANEWWSIQNLLPNGALPFFIILYAD KTRLSTFGTAKAYPVLARCANLPANLRNSDGIGGGILVGWLPIVDEDAGESGKKIFVN FKRIVWHKGFHEILKSVQEYATTGYYAQCADNIRIMKSTKDKENYLKEYGLRNVENVF WMMNGSDVYKALSWDRLHAYHGGLFSDHLWSEFKAIIDSSGRKDAEIIDNQFDQIPRW SGLNHFASIIKTGEFADGTKYEDIAKIICYASHNVLEKSDRGYCLLKLMRSFVELDMY SSLIIHSSTTLHGLQKELAKFCSILEEYIQLHPDKNWNFPKIHSHVHMIQDIVAKGAT RNSNTKPNEKAHGLLKLWYRFHTNFKDVAPQECALIHILKMNHDDLIAMIIRMDINAI DDLDMPKEDGEESLISTPQVSNLGNSLGNGSSNEKRAQSHISIGSLQAIITFADVEKQ FKENMAFERFRIKTGKYLAAASGSTIRLKANHKITPFELARIHYQSEIDWSAQRDMVR VSKSFHKRPRNDYVLLALDHQKYCFAQLLFMFIVSLDDKTEWPLALILPLDEPVDQRT NLARRRDKDLEFLRVRARRRTNSAIIDLDPLHSGKMMLYQGYSTALNMRKGSVAGESS ISGASFFRGATLAELEQKQRCRIQQDTIFNLESKVRELKEENASLKMRLINKKGAVRP AVSDTSDCAAEIALRKDLIRLAKYHFIFYRIIVPKSLFGNPRPSFSSNNASARYKDEN TSKLGNIAELYECFPAKYHSIISENVEMAVNVFVKGLSEGRSTILNKIRTSAPSIFPN IPSELFTSPLSFGLSSHAVVQTLLGASDRVKVMTGTKEIWKLIYPNDTAHTFPPVLFA DSDTNNALGLFKSDFLLKTARIILLGPSALKAEIMPRTREDSPSAHIDSRMNCTTPGL IAASATATIYILSDDREFTSSGVGPTTGRLYLAQHDMYKHYLITQQSSLASLFKYWDN ILFPHQKPIIPTSITQQPTEILTSSHSRMSDSESSPSPHPVYQMIANFSRQTTITPSS TTSIPGPIVNVEDRDTDSDVEDFAPPLQSTRNLPSNTQDITEDRSDTDEEPTPAAFES ALHVTNTTVNAPLPVFLPVPKTQTPTSPPLSSNTVSVPNASTSISPLEAPVIKPKRGR KKGLAPVQPDATVVAKTRSTRSSKRANITPL JR316_0002784 MAMENSVQTPTPLSPPKKRAQAQGQAQGQGQGQAIPSPASASSS TLILSLSLPSSSSSSLVKPAPVPVITTAPSPAPARDEVAVPARAQPQQQMKQQMKPAA LSFASINAHARAHGHVVTQGEFGGVGMGFGHGSGGGLGGVGVRAGLGAGLGGGAGAGL IGGGLGMGGLGMGRAGTGTGGGGGTGGEEQAKMSARGFVQPHRSHLPRRSKTRTGMRG GGGKGKGAGEVKEEEKDKEKSNEEPRSGGVPDDVFGDDGEGEISASVSAPVPVPASAH AGTPTPTPVSASASKSKSKLTQVQQSTSTSTSTPTPITNTYRPTAPSQTPAPLPSSQT QTPTRTAFPPSISAQNALQGRKGRQGPASLVMPPNLRACLRSNRD JR316_0002785 MHFPVPGISIAFSDGEYDESSFTCAPPPRSLYASIYSPTRTPRT INPPQHTTNPPQRTTNPPQRTINPTHTLRLAHSTAAKPRLDYRAKYGDGAVIYPNTLW SAYDAVKDVASFTALLGPGPGPAGAGHASSINSTSSSADDDADNANANAIADPPRIVG WSGERLREGDISCWAFQRVVCGPPPPPCAYAAPPAIPLPIATRMPENGGAGAGADYVR RGCPPAIPPVDVPPYLYPYLHPHPHPYPYAHPHTVQPHTPTAPSQAPPPAQARTPPAE CTTYTTYLHTRTQADPYTRTTRLSDAQLTTIDDFLALALPGGLEPRWGWSGYPNARVS DRAHVVVTAPVPLCPVVDGGEGGGGEGGGEGEGGRRRYVTFDEMVAEEAERLKKKAAQ ERAEEKARERERKIARNGKGKGKNKGKGKARARAEEDDGDADRDGDGESGESEQLTKN KVCDHPTDPEDIPYQRIPSQSAKDIAAVLVLGLYVPPDELREALFKLEDMAWRGHKTP S JR316_0002786 MDKVSSRTSGDPEKVQDRSSVSSGSPVTARDVDPALEKRIWRKM DLYILPVVTLFYLLSFLDRSNIGNARVAGLQSNLKMTNKQYSIALTVTYVPYIAAELP SNLLLKAVGPNLMLPTMLTLWGIVTTLQGVVKSYHGLLACRFFLGLFEGGVFPGLVLY LSFFYPRQKLQWRVSAFFSAASISGAFSGLLAFGIINMKGVGGRPGWAWIFILEGLFT VVFGVLSYFLLPRSPAHARFLSSDEKDYVVAALKRDGATGKNDTVDSFSWREVGEAFK LPQVWMLAVMFFFDGTILYGLAYFTPSIVQSLGYTASRAQLMSVPPFATAFVVSMAGA FISDRYRCRGLVTIFASVLAVIGFAMFLKSTKHKIQYASLFFSITGTYLAAPSLSTWS ANNAAPYTRRATAIAIGFIMTNSGGILATWLLGSLSPAPHYTLATRVLLSFAVIMGVL AGLNTWYLWDQNKKKEVIRSSSTIDQEKPGLGDRSAWFVYAL JR316_0002787 MCMSTANLSVRSAVFASEPVLIVAISTPIQIFFAWRIKLLTKSN VLAIVIILLSLVSMGEFLMISLLLLIKIKLFARKPELHWPALVWLLSASIADIAITTV LVLTLSRRRTGFVATDDAISKIIRMTVQTGMITAFFAIGDVIFFMSLPHTALNFLWDL SLSKLYTNCLLSTLNAREELQELAGSHRRNVVSTSAGRRQETQLDSPAHILASAMYEL DQQKSTHTQSADMEYGITITKVVETQ JR316_0002788 MGTPDMLSKPSNEPQQEQRILIRERLRSMERSLNEIKVTLGTLK AACTSLEAQTEKFSKEMVAMKRIMLLETPPAEESPPRTQENHGIQHLLQNRGPQPVTE LARRPGILHRINDSIGRIVHPDHISTAIFTPPETELLGKRRLQEDTDDGIDESASLDN QPTQRANKRVRFADPNDLPISHVRTPMHQLDTASGFSDLSLPKPRRILLKLGRDTEQV LSESRGDSPVEPLASPISPPNSPIILPLGKTRNTRRRFRDNMTYLQSTQHSRPKTKWD PAAGKRVPIVTVPSPSMSGEESDRSEMGAGMKAEQEDYEGKLSHDLSNTSLG JR316_0002789 MENLLRFKNAISSCTHMCRGSGTNKPAYTAHSPTATHEATAKDK SPNHWRYKRLVSSSLFLKFKRRSTTRITAHDNISSTPTLEDARKLLDGKDQSPQHKLL VLHVAHVIENTPNLRNLVVEVVRLVQQSECEDVAQVTIEFATQLITAFEDPSRFPNDQ TRLSELVLNFLSILKSANANGIQRTKEQVIHSIRQILTNHYDLFRGSDKKDSWLSCLK SECLGPTLSMLHIIHTSASIVPVPMVQPLIGIIAGLLQATEQACSNYEWMRWLSVTAA EFVVSIAAVVGSVEKPRKEWLEAIDVFQKKLFKIVKEAQHFSKQSVATRFLQQGSHKN AIEFMKEDLKNAIHHFNIQTKMSIKADILQMARQIEVCSLETLPRLTDYDVNSQHGRY RIIQEESIKDVLHWIEDSDGMTFFWIQGAAGIGKSTLAHGLFDILKSEGLLASFAFFS IGNSLCPKDLVRMMARELCSLHPGSRMAVALAIDHCSGVHHSLEKYLIDFIAAPISTL GYAGPLVIIIDGLDEWDKRLMLLDALRRINLPVNLKFVITSRYSPDIEQAIEGSFTQY QLAHVSEDVCYRYFKDNFLAKGINGVDEKLLHDLVVKTDGYLMWAATVFSRISIPDPK RTTLQILENIALPSFYSAEVNWMDDFYREALERILPHQHARSCLELFLSMMALREALP LREFSRLIDLEEHFVKTVYSQLRVLQTRGKFHEGIVQPATQLFHTSFIEYLGRVDKTL SPNGVMAVNCTRFFVKMVRAGTGFVKLQEAEHYVGNHWMDHLWESPSETKGFLETFPH LSRNHICVRGKCLLPHIRLYEALKPSPEVIPYIPVFFSSLGHTYIGVDAISKHRQLTT EAELANNKNPTAFNWTHSESRSISVNYDNVIFEISLAIANFERAVDCAPLSHPWPLTQ SKTRQRWTQNRFGHIETPQSDNIPINLGCRSTGRMSETAEITNPAYPYHHEATQHSVA IKQSMSNIKKVDYKPDASLPGIFSNLGVCYSSLFERTRDITDISRAIKHFQQAVQHAP YGHGDLPQIFSDLSKCYLLRFEHAADPTDINLAIAYANKATRSAPPGHPCLPLWTSSL GDLYQRRFEQTADIMDFRNAIDNLWAIGFRLEKL JR316_0002790 MNSASGPRTQKQKQRNDEMLTEKLRKRTRKNNKIIFLPDASSPF PQIPTPTKRHSLQLQDDDLVVMEKCLDEDGDTAVTPRISLCSNAISTSPSLSSLMDAD HESSDYELHQSAGCPPCGTPVEREVLPTIVVLPDIETVRTVFCVIFLVVATAFGGDSP FFEFQLDENGSNQSSDTQAVLLPDLPTISAQPEVMDLSRYLNDTAALNIDPVPLGVSV SRRALSKSFGLPGMGFLWTSRNKQHRFLTPTYDVYPDMPRYPGAPGLLLSCREEVSNN APWSLFGKIEAKKKPMLEYRGEYKCYVVGQMTREEFTAQESSVKQTWGIKIAKHKRYS VYRDMRARMTLRLRYNNEPTEREIIEESELINGRKYASPLTSDDVIDAFERGDEHIKI VKIVCVSYSHRRAQEFLNAQTNLEAVDAASRACKDTTVGNMRKNISTIRPSTNVKKAR LVWSDDSSESESDSYAEY JR316_0002791 MADLSGLFLCCSCCCVPDGSYTSPKTKPDPREQQIDDEFLARNY RQDSSGRFHTQPTPTSSMFPPQNTSSNRSTKSEQKQEENPSDAAGHRVELVQLKPPTL VIDGSGSTASSTSDSGKLHPASTLSGAG JR316_0002792 MEAIFDNKANVLDAKIRTAHDNSVIYSISTDQTTWSKTYTYVRD MNPAGREDPIVVGIINWDKKTFEVNGHRKTLKEIRRKPRRFSLRSKLWKWSEDREEYA IVHREEGWQAMSTSKNQVEATLAVPYRPQLFGKLKPIVINLSRSALAKDEVFLILAFI YLEYRRQEKTEATN JR316_0002793 MPSQTFDNRRLVGIHAERVTNVSNNEFPGHYPGEDHSWNLEKFK KNLTVTVQRLSQHSIDFDIVGVDASIANAFRRIMMAEVPTVAIEQVFVWNNNSVIVDE ILAHRLGLVPLNVDPSLMKMREPDTTPTDQNTLVFNVDITCERNPKAPKGTLNPHELY INSEFLSSHITWTPAGDQEETFAAKRPAPINPNIVLAKLRPGQQINMELHAVKGVGKD HAKFSPVATASYRLLPHIKITKPIPPSKAEKFQKCFSPGVIKIDPRTKEVSVDEKNVR NDSVSREVLRHPEFADSVELSRVRDFFLFNVESEGPYAPERILPEAIKVMREKLAVIR QAALALREKTTTVDTDVVMADS JR316_0002794 MGSHFALGSTPPRSTEGFSVYSEIQNDHKQQHSASLTDQTNLLP FKKAIVCFIGLALCILVSTLDSIIIATGIPTISAAFDAGAVASWVPTAYLLTSTSFQL LYGRLSDIFGRKAAISVAMLVFMVGNLLAGFSRTIKELIISRGIAGAGGGGIISMAQI VISDIVSLRDRGKYQGIIGIVIALGYTVGPLIGGSLAQNISWRWCFWICIPVAGCATS VVVFILPLKPVKGSMTRKLLVVDFFGAVLTLVGCTLVILPLIWGGVTFPWGSAFVLSP LLSGCLVIVLFCIWEWKGPRLPIVPMYIFKYATLTGVYITMFINGFVFFSSLSYLPQY FQVALGYDPIGAGILLIPLLVSQMAVSWISGIIVSHTGRYRNIIHSGFIVWSIACGLT STFNRHSQKAEIIIFMLLAGSGVGQTLQTTVVAAQASVSRSDMAVVTAFRNFVRLLGG TLSLPVGATILNNSLRSAMSSLGYSSELIIEIIDKPALLSSPTTLGISPSDATFLLDV GYTRGFRRVFIFNAALTALATLASVILIKHKELNRGDENELGHTSALFVSKNEVNPRV TFELAKGKAAI JR316_0002795 MSLTTAPEELGLQHAPESTAQHNTEIISSCSDTQNYRDQQHTTS LTDQTNLLPFKKIIVCFLGLALCIVVSTLDSVIVATAIPTISAAFDAGSIVSWVPSAY LLTSTSFQPLYGRLSDIFGRKAAISVAMLVFMLGNLLAGFSRTIIQLIVFRGIAGAGG GGIISMAQIVISDIVSLRDRGKYQGIIGVVIALGYAVGPLIGGSLAQNVSWRWCFWIC IPVSGCAMAVVIFILPLKPVKGNMTGKLLSVDFFGAVLTLVGCTLVILPLIWGGVTFP WGSAVVLAPLLSGCLVVVLFCIWEWKGARLPIVPMYIFKHATVTGVYITMFVNGFVFF SSLYYLPQYFQVVLGYDPVGAGVFLIPVLVSQMVLSWIAGVIVSRTGRYRSIIHSGFA IWSISCGLTSTLTPHSHKAEIVIFMLLAGSGAGQTLQTTTVAAQASVSRRDMSVVTAF RNFIRLLGGTLALALGSTILNNSLRSAMSSLEYPSVLTSRIVDNPALLSTPTALDISA SDASFILNAGYTKGFRTVFILNAALTAVATIASITLIKHKDLNRGDENNLKAATSEVN KGEDEMKMYPKVTSNLAKVGMAGDKEV JR316_0002796 MRISLSVYAALTVVLTFVCHPTLAAVGPRATLKVSNKVVNPDGF KRSSTVVQGSHPGPLITAKKGETFRLNVVNDLTDKTMLRSTSIHWHGMLQHQSAWADG PVGITQCPISPGRSFQYEFTNKDKAGTHWYHSHFGTQYCDGLRGPIVIYDPQDPHRLL YDESTVITLADWFLRYHTVGPSLGGIPIADSTLINGLGRYPGGPAAPLAIINVQRGRR YRFRLVSMSCDPNYMFSIDGHDMTVIEADGENTVPMTVNAIQIFAGQRYSFVLHANQN VDNYWIRALPNAITPEMELAKGFKGGINSAILRYKGARAVDPKTTQQKKVNLLSEAKL RPLLLDPRAPGKPYPGGADVNINLDMSMNSQGFTINGKNFHEPSVPLLLQLMSGAKTA QELLPDGPLFVLPRNKVIELTMPAGVVGGPHPFHLHGHPFSVVKSAGETKPNYLNPLR RDVVSAGDIGSNVTIRFVTDNPGPWIMHCHIDFHYVNGLAVVFAEDPDSLKSKPTPAP APAPKWNLFNSFYNLWKPPTPPAPTNPPAAWNELCPIYDALSAADTEVQLVPRSGVNH FHHAHRHFKHSSSGLRGLEQGPA JR316_0002797 MFTNFKHTAYFLAINILIVIYYKTYKSQEPLNFAYIQRYGDRLA MSSNASASQWLRNRFTSLYEAAPPPEEADFKALFVSIFSEDAQVWFNHEQISVDAFQE KITSANYAAKEASIDWKDLIEIPGNDAEHEGIVAGSFVVTRSMKFRIRAAPAQRLNHN IFSAKINIDPTAQPDITGSKRRISEFFLTSFDKAAPIHLQSIPQKEPATVDPSMLEKS JR316_0002798 MIQFPMLDSLHLEIGRCDDGATGPITLFENCPALREVVLDLHPT HPFRINIPWGQITHLDMLHGNHPIADSTWRTLIRMLDSLEVGRFCLAGRVPGLDDPFL TQQHATIDVVNVFELRRLHALTIRFVGQELPQNRNIWLLKNLHFPELRDFHFSFFPAS TLPSFFFWRNENLQNPLHPQLHKLKSLTLWAISVTTPELIELLKLTTSLERFRFKGAA KWTLRYLVGSLKTKTEERFSVAPSLTNLIVWAENMSKSDVQLCMSMISDRQGLGRGSF KMSIFCRKRLKHLPDGFMVIVDSEDLAGAEFLSH JR316_0002799 MSLARAILVTIQAVSNGSVCSPPNPTAPKQRYHTEEMYILQIAP LIFKCHQLIVYLCAAFEILYYIRGILPIPSQLSPVASLICPASPAPGSTSIQVTPSFV LGVVAVVLGAYIRLDCFKALGHLFTFDLTVHPEHRLITNRFYAYVRHPAYTGSLLLVF GLAFSHLTRGSWMTECGPLRNERAAIIVWAVWWLWTFCVGISRADAEDKEMRKLFSEE WEAYAAAVPWWFFPGLI JR316_0002800 MPLPPIQRLLPLDDNFKLSSWPPYFRVCVLASPQRLVSVPRAIA IVKEFETIIDGLSDALDFSRTIGADAAASIPYELGGGRGTLGEVDFYTSHEGLMLGYE SALTRELPVPPSIDIARSASATANTTAGAGAVDADASRPAKAHYNTGAHFLWIGDRTR QLTGAHVEYFRGIRNPIGIKVGPSMQADELVKVLDVVNPDKETGRVTLITRYGASKTA FSWIMLLPSRNANPEIIAVYETNFCDRAANSFQVVGSEFCHAPSGFSVSLLL JR316_0002801 MQIDDHLPEHIRAVQQSGHPVAWICDPMHGNTQTSASGLKTRHF NNIISELTSSLRIHTECSSRLNGVSLEFTGELNDEGYSVTECLGGSMELSEEELELRY QSFCDPRLNFEQSLDLILTGLPVTQILPSSCPIILKRNDKQGPLTPMHSISNSKSRIN JR316_0002802 MPRRITDDGPLVEFFAQYPDYHYDHSKGPTKNFRLMCRVLGFIQ DSDEKTEAKQAFEDALTMEFNKAFGTEENNLESWQSLCERIKVDPIPDTLEECRKIVE ETHVNLVDLIDKNEENPRIFDSVEELKNYCVREHKFFPKENAYAGGLLRYLLREFFNA HRGRRGQGRRGRGAGRARRGRQ JR316_0002803 MTRTTHSAYHSQEEALDNAIVKFPASYEEGVASACDVFKLSNHL PTNGSVDHIELRYPISIQGAASKIWAKVLASQWSEIVDGSTPEMGVFVLGDKAPPGYE ENTVKQVEKSSHLAGKQVSQIIRCLMA JR316_0002804 MFSKIVLLMTAAAVAVHAQDASTTASAPDATSSIIASLSPCIVA CIGPAAQANGTDPSCVCASAQFVTDATACLQEHCTPADLAAAIQLQTSQCAAASITPT GTPTTTNTFPFSLSSGSASQTGSASASTTGSANTSASAASSSATSPSTSASTTPSSAA GALVANSGAAAFFGAILAGIVAL JR316_0002807 MASDTRQDKRKHNEIREIRISYDGLARVDGSARFGFGMPVTPGD TAALASISGPIEVRLAAELPSKATFEVLVRPLSNVPATEAKSLAASIRSTLEPSLILT KNPRTLVQLVVQSLSPPRDALSLSAAMVNASSLALLKASSVPMKGVVAAVAVGQLSSG ALVLDPSDEAEVVKGGGVFAFMFADGIGLNDSNTDCLWTSWKSTLGRYDEKELVAARE LAREGAQEVYTAMRKSFEHSGEMGVNTNDEEDDDKMEI JR316_0002808 MSSEAESQKYPELVRGTQSLQEYLEKLVDAYGWRPSEYMTELCV VKVSLMKNVKTKSRHESLLVELRDPNGGAHYLYFERARDANDGKRRSSGPVQPPSDTE QLSAGPTPHSGYTPSVSSRKKNRRQKSLLRRQSELLLDQTISSLSTASSSASQSVSDS SMGTACDTVTCVPDGRRSGEKCMCWLEFEREGTGNVPGVPHARPSVYDIALIADILSK EAPRYHLFKSNCYHFAGLLYDTLNKLYNPSETILEKLNGKWKRFPLYAKDPEQTIASI IDHYRDARTQFHTKIENLHVARDKAKREAEERAQEADERARSEAHRADTEAQQKKEAE DLARSEAQRAESEARLREEEARRREEAERRAQNEAQQRKELEKRLQMEGNQKKEATWR ALNETRLKQEERGRREEAERRAQNEARLREEAERRAQNEARLREEEAQRRQEAEARSR EFEEELKRYKNMLKESP JR316_0002809 MTEYDFSPEAEAAYHAKLKHVGEWASVHAVPTIHSRARSHSQAY AHSQHAASSGGYSIGYAGSQDSLAHYALLTPPSRGARSHSRSNSYASSNTSRPSHSHE TRMTSPPPPSQLSELYLGAHHVHGVQKTASMHSVHAMSRSGSTTPGVQQHAPTSHSAT SHHTDHRGGHARSRSMSMSMPVSSHPYTHGYPHANVYPIGYQSPSRKGYAHPQPYPTP YSPPGGTMGLVYSPGGILPQTVFENRTASANRDGIGRESQSEMGSLNSQFKGKKKSPK VKSTPLFEIVYAWRMAYTWNYVTHTQTLRHLLIRRLHTRRRTSCYMVLVPSRCARAIP WSDDENEYVLVKPGESVTLLDPPHPGLIRELTEEEWDKLVRREDVKEHRPVVFESQPG RKLSVRGENITSLSPYSLTSSSGKRVGRGVRLGDNFFHLVHPGDSVTVVGFVEGIVGR DPVISFSKATWGYLEGQGKLEQNGKDEYAPLDLRGRTEFDSY JR316_0002810 MLPDVRLGVKMLGKEAVNHVYRGHRLPISKSNELIGLDSIFGQR KISFMTQIVSPLLPRIHALSQIVLAQEDMKAFLSIPAQSIAHVRTVNVINEVYFFRTP YRSLLVRTRPTAHQQSQKPANACVEMWTNWGPSQTQSAVCLRVFNDRHLLNVNLNLGN LSIITIVDYPMEWHHVFVHICLPCAPRLVEATLSIRFPRIAGIQSKENIKHAPKFKRR ACFSVLRKLALRLYDPSQNPKFLEYIDAPVLDSLVVNASETYMPLQRWDIQAYAKFLR KAWATLTALELVETLSPGVMFMGRILDESEAHGMRQDGADLDTLFSAIPKVLHLKLPF TIPIHKDVFWRLGRREYISRMTGMRITCPSRDVPAMCRALKMRESYFRGSYFEPKSNK GPRGDLAPEVVCTVFLLTSYPEDDEGWMDNGVEKDASGDQNEDRRVIWRQQLEAQIAE ILSGTGVRMSVAYLPYGRSSRKAWRQSMDGGKKEIRGTVVA JR316_0002811 MASGGSAVTTTSLDELDISPVTSPISKLSDDILLLIFMTNAINH SKDVFTSVSLLEAPAS JR316_0002812 MTDRAWDTFLLPAPNLQLFEVYFPHIEEPLFLGTFSADSTKRLF GNHAPLLREFHGDGVDFSVDSAWLANLHDIAVPSRLTVPEILELLQHMPLLESFFIPS SDATENWVPIGLFPRVDLPTLTVLLLSNTLPTCSTLLEHIYPATSCSLSLTTNAYYNP SLITKGVLRAIREHLPRFIHNYFATHKIQRLKMRFHDDLFHFEDESPRLAPHIFFNVC VRTKPGSSSLPTESIHDLSELLFPIFVKYASDSPSHFSSVTGLSLDMRHLETSSLKHI YSLLCALPALRRLRTSEDTLVFLLSVEDLRKNDSSATKPPILFTSLGVLQLTYLDPDL FTLSGHNHGSLFPFLKGRVEANKPIDVLDLKLCGPDTLRDMNFIDEMKGLEVQWTEDD GEYSYICGSGMPDRLEFGTRFGWNVHDPLT JR316_0002813 MPAKANKQVRFAYKNIFHSPPQTPTPALSFSTSTVPSSSGPITP PSATPLGLPGPSPYSYVPSYAPVKQPTYPVPSRRSVHIRLHPYLEPNAVSWDIVDHPS TMSRHHHPVSSRALCEAATSHPLASMKITCSYLPWTIKVHASNGSYITLEDVFDAIYR SLRTNISQSEFNSLPSESDQRRATRAYEQRYRRMRSSRHYEEEKRGGMKRVDFLMGRS RFLGISAGRRPDEWQLTYTEILETETSKIIS JR316_0002814 MFLSTSRIALALALASSVFAAPSGFRSPHSSQSSSSSGAAYFIT NDPSGNQIIAMNIASNGKLSGVSAVSAGGRGAHGISNPIGPDPLFSQGAIKASAAAKM LVTVNPGSNTLSMFAINPNNPTKLTMVGSPVSSEGEFPMSVAINAKGSMVCVLNGGTV NGVNCYTPDSKLGLIAKPNTLRSLGLNQTTPATGPAGTTSHIVFSEDGSKLIASVKGV PPTPGFLAAWDIAADGSLSAEPVKSTPAAGGLLPFSMTVIPGTNAILATDAGVGFDIF DFGSGGNGTSSGDSASSSVVPIDGQSATCWSSFSSKSGNFYLTDIGTATVTEVNVSKN LTGSIVKDADPIMQQYPQAKGSATIDNDVATVGKNNFLYVLAANATTVNVLSVNTPGK ATPVQNFNFASAAKAAGIKFDKNNLQGMTTFVRD JR316_0002815 MSCSRKKFISDMNELFTGNTEYVTNMSEQNPGLLSTLAIEGQKP PFMLVDCSDSRVSEQDIFSAQPGTIFTAGNIANRFDEQDLNSNAVLSFAVESLKVKHV IVMGHYGCGGVAESMMPLKMSLTRPADIAVQCWIQPIRELYETSTRPEIVAHREACKL EPMSKVPHLHDAAFRALVEENVKSNVEKIAKSTVIRDHYADVTETNGSGPTIATASSA HLPVTEVFIHGFVYDVETGKVSDLGVSVGPPGRRLPPSPFPLVGGGKSG JR316_0002816 MANIRLQERRRLYLLIPSSARGPHAALKIGAQRILFSSNCANSV RLPTHPVLAGEPLPTTRQGRDPAPDPTPSSASPPAASSRPPPAGTNTNTNTRTGTNTN TRTPAPSTQPTPTAGGITRSTPRGTGTSAPGSTTPAPDASASASDGTPVSESDGSIGA STTTQPLSFSLTTIESLSGSTTVLVVQTLPASSGSGTDGGGATSGGGRTKESTVAAGV GELVGVGMIVFFGVGWGL JR316_0002817 MSEYTAPFTDELSSSLLDMTNTAFPTRRQDVLGNGNQHNTQWNF GNSLAPGSQPSVNMALPQGHFNTMSILRRATNSELLAASNEAFYTIFTENMALKAENS AKSERILELKLELTNLRAGQFQQSTTLKTNLNGQQLATASINEQGNGQVIPSRLTVPP EVPDAEEDDYPLARYWDESSWKAFKEKEANANRHVKTTAYLTDEEGDPLDKARLTLIS QTLKSCFNELHHYRLDPSTWAKKMPIADDFTNNTMRAKFPEFTLCSNNWKLCLYKTQL YPGWNRWSRSSKHAPLSREKPSFKKRKANISENHDAESKRGRHKKTKNTVAPIEVQVD KEVVEIIDDDDMDSMYVSDHAKRPSVGAKGRASDEGNSSALQTPAPDVAPVDSSSSLL GAITVPHREMFPSATTPQMSPQANNGAMARLAGKLMVQIINLNHSTTFIPFPVGALAT DLTDTGASVVHINNPQQMEMQTTFVGPASTKPNSETTRLLAASLSPTVASLSLPPSKS RKTNLL JR316_0002818 MVVDGREVRPWLDIDSDAVIDADDDDDEDKLDDESGKEEEEGKA EGEDGGEEVVEDEEGWLGRPLGVETVGGFDGGGTIDSLLTTKEYRKLTKPA JR316_0002819 MTVLLKKLGQLNAGNDLPPRTKSMLIGTAYSFLKKKFLKFRRVP GLQDTASGDSMWDHIKMGGTHTVDFQITSTLVIEKGMTVNS JR316_0002820 MSNSQRNGHTQPPGHVDQTERATEHVLDKHSVKKFLKLLVEGKT KNDEVFTLSPRKNIVAIHRKPTTPDDHQTEFFCMQSPNNNCDELVEMIEKHAPASSMR RIVLLYQPVIPQIKDGQYTIQEIEHSQLHAQSYVSGNASFVKSVIIPYLPRLEHIVDF TLSDSDMAEFRKLTVESVRGKVINLYAKLEDTWESDYQSFELWFEGYTFALNGMGIQN LPCRVSEGSEGSAGDVQGTCAEVHIKHCK JR316_0002821 MAQCRLARLPMYHNTKLTGIGKVYMAAVSDATSAVGSSASWFKV AEMGMPSNNPVYWGTEVLNDNCGHFTFTVPKDIAPGNYLVRAEVIALHVASSVGGAQF YMSCYQINVSGSGSAKPPTVKFPGAYSATDPGILINIYEPLSTYAIPGPTPYGTTVPA IAATPWPTTATWNTASQPKTVPTVVPGSSTSKPTSTGGGGASSTATPTPPPTLRLHLH RQLLAERLPSTVNAEE JR316_0002822 MRAPSWSLVAVLALFIGNLTPLVAAESSEGGSTTSSQTSSTSAS VSSTSHSVTSTHPNPSTTNSPSSTSTHASSKTSSQGPKPTVNTTTKPASSKSTSSASS TPPASTSSGSSSVSIGTGVPNSVPPVPVGSNALGTDTSGSASATDSGSTDAPNPSNVP SGSLGLQAFNNAPWAKLSIGISLGALLVAL JR316_0002823 MSVIRTLIAYTFTTGLFTTLVAAAGLVLVRSISDKSVSKSALTE SPTSSEAELNRHVSFCGSTILYASGLLASLNRRDKMRKEIAPSSGIITVPLGHDLSEL EGHSTEVSRESLTDVVIDICTSTESSSDGVSEPVDEDIQARLKPNH JR316_0002824 MEPTVLYKGLLYDILSEVLKGDIDGPILFSKVLSDLAPTTNYSV TVDPGTGEIGINDITP JR316_0002825 MSTNTLDNCLPSRLLSPKTPSPKKSFRKHNQDEDDEAPKKYLST LNAGDTPGSTCQSDLEDQPVVSKMPEKNDHSSKVPRNKTTISLNRAYPRSRRDLEAEI TVWREIAIEATREAVAYKNQL JR316_0002826 MQFTNNTSTPTALIHDVSTPSTRESMTSIHDVSTPSTRESTTSA HNASTPSTCESMLSAHNASTLSTRESMLSVYNASTPSTRESTTSAHDVSIPSTRESTT SAHNASTPSTRESMLSVHNASTPSTRESTTSAHDVSIPSTRESTTSAHNASTPSTRES MLSAHDALTPSVDNMLTPPIVPSQSSTKLSLSLPQRDPSVQTASLKRPYNGLSNSHRS RKYQRTLQYNKHGHTPKPSLLSMLPSCQPEALNVKLDTLPATQGAYGAKPTKPHKSHL YSLDAIKALGFRIIPWDRCTPVPFVSEDGRIFMVLAGRPKDPAYERATEEAFDLLREA GHTTVFTHKDYSENRGHYPALNIGVTHGVGTHSPLNRVQRHPKITAKLLQSESIQQMA SFASSAFATWSPKVYNHYKLYMDKIFANDSTLVRLFRRSIFPAATFNLGSVVCTIPHF DIKNCPYGWCAIQSLGKFNAKKGGHFVVWGLKVAIEFPAGSTILMLSAVLEHSNTSIE HGEERASFTQYASGGLFRWVDYGYRTEKELKRTNPKLYQEQMELRPTRWKRGLGMLCT LQDLISKAAFEVSGQV JR316_0002827 MSDPSPIDFASAQICQATLDFLNSNNESDGSDDGGGSPPLSESS NEQANSDTEQVDNESLPRKRMRGIHTDPAEARKWYLWIDKILDLFLWLLRINGVNDVP SVKAMQGINKALQNLCGIETKEYKGKLGNVYFVNSLSQILAQEMSNPQVRPFLYFYPE DTGKSISETYQASGWLREINPEDGTPMIRLNNNDFFIFEPTMLIDGRCCIPIQWFLRE GVFYAKAWLMEDTPSGWVVSEDREIKITQSQLLKNFIQLSKDHLLCAIHSGPGLSKWT RTDPTIGNRWRVLAKGYRVYSLPLWMYCDDTSGNQSKKWNKHNSYLFILAGLPRKKSS QEYNIHFLCTSDIAPPLEMLDGVVDQLQLAQKHGIWVWDCIHKEAVMIFPPVFALLGD NPMHSEFACHIGMQGKYFCQICWAKGVDSQECPHKNLPHDSCARPNFPTLSIHSDVDS EDTFTRPSHKRRRYKESMESMLRRIGKPRRKKETMATLDSFLEQAKMIGTKSKLRAAK TETGIKDVFQDFFIEKLFKSYKGKVSTQAKEKALKAAVDKLPGDIKSPVWKLGLDPHQ DTPVKILHVVLLGFVKYFWRDLVQNQVTPAKKQTLIIRLNSLSVAGLGIPTLNGSTLV NYAGSLTGRDFRIIAQVAPFVIYNMVSQEVYDAWVSLSTLVPVIWQPAISNIDEYLPR LEADIKYFLLKTATWTCAWFNKTKFHIILHLPEHVRRFGPAILFATESFESFNAIIRA KSIHSNHQAPSHDIARGFAQGNRIRHLLSGGFFLPQELYQSWKKDPTNVANSEWRTAG PGGLHLIDAPDSTPASYLGLQKPAASKAGSCKSNGTDPQPFHRTLCGQKLPNIVLNTA ASQQLYVTNSQVYLRNEDLCTIGQFVIAQIHPSQPPLIGCVREILQQVGSPNHLQNRP DGILIQTALHQPPSHILPAGQLQPVFMLRLILQQEWSFIPWSCLLCTVNTQHDCQRHG CQANGLQYIYQEQIQTDQTKATILHQNSLDDMLLLNMCQMRDAAHLQSFHLHSAPLNE DAIIQRSVAQAIVQRKVGEASQSSTSKPTKTPTAALRQHAQSPLGTPTLAATPSGGVS LPQHASAGTSSGGVSSPRRSRQASVVYPGQITLDFR JR316_0002828 MNNTPAYVGPFFLLSNVYASSLLASLDRRERMRADIHSSRGVIS IPLDYVTDQTPSTLAASRYTKTFKESDVTPSIHQSQSLRVSETADYDLEAEFGQDRSK NLKLPN JR316_0002829 MPSLLATAGAKADLSQYNEEVECSDERDGWETIVMDGHTYGVRT SGMDEKGQAFETLVIYCSTLGPRFAPFLGPTLEVMLPCLRFYFHEGVREACVMLVPML LACGKQSGTLTNQMVAFHQLITCINTEHDATFLASLYKYFTESLRVIGGPEALSQQFH GAVMEATKRQLHAIADRRKVRAARVNGTGGPMSMSMGMGEYDRDEMALVEEIEDFALE DVGKMLMCFDPNHPLLVAVAGMRDLGFNTYDSDEDGEEEE JR316_0002830 MELEAQSVGELAIDYINVYTTPSLGGTFLGTEPARHYRRKIKQL GLNEKSDDQYELIKRRSWPPKHVRGTPGGAISTNNENGAEHVLTPAPFPIGLVPNIHE RTCPETTGSSAIPTSAATHMTRPATNALHRSLTGLTMSTQPPEYS JR316_0002831 MPPKKNAVVLSGPPRRSSRKVDDAPVIKLAEPSNSTANQDTDRR STLRVVRNPNPGIADAPRPKRTSEEVAKEKKNQQTEQRRLALLRNASIQKAAAIEDQL LQEENSLKDDSFSDSRTHLSKASFDSLPDQGTTLDLPGHGDNDEVPLTSGDISSGGGS DDEYIDKGYIESEDSDDLDDGEGLDDDAELAQATSKGSRKEKRGRLRKQVNAHRLSDK NQEPSVGNKRKGQTTQPSKPAKIKKANVCGIREDWNEETYEEHFDLMPDMGQSLPPSS NASGTSGTSDMEVNDEDEDDVENINGISDDEREVVERRGILVSNTSNSRGKSSIPTSN PASTGRSLAKVEATTSVPTYIPPASLDGRLKRKQEIRAKVDLPSELRLSYDEQFSPML RELIGIINPWERPSEQQVLDVWHKVFPDVPLEGWLKTVVTKLVENNIYNWRTKLAQTA STCFERSIIPAMEDRSKSGIAEWCKWALSGDYKCRPFYYLSYEEGDDQINATVGVFRG IFQHPLILSTLAYHYSSISAIHSSARRDDKPVGALIMAIQASHRAISHWLTGEYQKIQ RPLGDFSKDNWGDNLTRDPKYYPTLSPGLVQESKATSNLLRVVNKLTDKRWEKIIQGA MQATRRHKSITIQTIAPAAPDAVEEPLSDFSIVDNDNDSD JR316_0002832 MPPFDDWNTLDKYVSNSEEEESQTGSLDWHYNYQEEHEEDDYYM PFRPTISPLSPHPEIKAQMDTIEVSDYKDGIATMAERAFKGEFERVTQLSANLKYNKA NPPLFKKQHPNKLASYAQLRAGMQATQVELTIRLYRAILKQKRKCLIKYTKSPRLFEY VMLNHKAGNGSKIRIALSPIRAGVRKAHLAQEIRIFEMMILRRKAYLLGLLSISLGGG EANDPTIRRVNGLRLNIF JR316_0002833 MSSRTRKKFRAATFHCSYNGCIKTSTTSWGIQQHYNRKHVIPAA LNTQPAIPRSPTDIPPSSRSPSPSLQPWSNTFSPRRSPRRTPSGSPKSYPNSANPVPK SNTRRPCDLAGNYLPPNTKPPPVEPHNKNDFTPFATRTEFEMAEFLFVEEEMSAGRID RLSQLLGANYPDQDPPFANHSDLYACIDSIKQGDIPWTSFSVTYNGKIPEDGPVPEWM MQKYEVWFRNPLHVLEQQIGNPTYNKIAQDIKCHGSMFCPATSITKCDELTRMPSHWL DFLLFLEIIRSRHCLHALFKDGAQGKCTSHPDDLDAPSPRRSQMHTKALVDGCTLIEL WDDYGIVGDLFPFTTSFPRADIHELLAPDLLHQIIKGTFKDHLVDWVTQYINQHHEPP TAKKILADIDQRYTFLAGLKKTFDG JR316_0002834 MVQAVAAFLDFCYLVRRNVIDDDTLDQIEAALSRFHHHREIFRD LNIRPEGFSLPRQHSLTHYPTLIRLFGAPNGLCSSITENKHIKAVKKPYRRSSRYKAL GQMLVTNQRIDKLLAARVFFTSHSMLNSVPEPFNSVNILKNVESNTPPSTGALGDLGD GRKESNEECGEIFDPESQSEISLAKSHVRGVPLEITAFAFHVKQPRLAELTQQFLYDQ LVFQNADLQLHIPPNESLPSLSGCTFRLFTSARAVYFAPSDLSGSNGLYYEMIRSTSS WRKGPARRDCVFIGNSDSDADGFAGLHVARVFLFFSFKYAGTIYPCALVQWFSHIGDK PCPVTGMWKVKPDLERRDQRHGQRRRMSVVHLDSIYRGAHLIGDAGKDFIPLNTKFDF SKSLDSFRSFYVNKYVDHHAHEIAF JR316_0002835 MDKDTTLRSPNGQMYRNQDAVDYSTDVEATKEESNHFILSSVNF KKMRLKNPKSFSLTQCSLEVDFGLFVGQASVRDPTVTYFDHFVRTAAFAPLGLVNLMN DEEPKLYKCSMLNLKSLKCNLSLIDT JR316_0002836 MFERTDIDLECLSFLEEEMFENSLRAGISGNYQWGLDVGNHKDD WKPYLCRDWFIGYREVNEDEILPGSKYIDQPKMKVEAQPKRPHSTPQLIPKKRKWDDH JR316_0002837 MYRCERWIRGWPSTASSSAETDAPPALYEQSLDRLACAMGGRAI LPPAFQYIPSMMASYDWRVRHAGLMVIAAIGEGTGKVMQKELEKIVDLVTPMFNDSHP RVRYAACQCIGQLCTDLEEILISPHIQEIIQHRFHRQLFAVLIPALEDPEPRVHAHAA SALINFCEGVERDTLLPYLDPIVERLLKLLNPGGDQTQVRRYVQEQAITTLAMVADAS EVTFGKHYPTIMPLLLNVLRNAEGPDYRKLRVKAMECAGLIAIAVGPDVFRPDSKTLV ELLMHIQQGPHDPNDNQVGHYLIATWAKVCQALGPEFEPYLPLVMPSLLATAGAKADL SLYNDEDEYSDERDGWETIVMDGQTYGVRTSAMDEKCQAFETLVIYCSTLGPRFAPFL GPTLEVTLPCLRFYFHEGVREACVMLVPMLLACGKQSGTLTNQMVATVFHQLITCINT EHDATFLASLYKYFSESLRVIGGPEALSQQFHEAVMEATKRQLHSMADRRKARAARVN GTGGPMSMSMGMGDYDRDEMALVEEIEDFALEDMGKMLMCFDPNHPLLVAVAGVRDLG FNTYDSDEDGEEE JR316_0002838 MESVVPPQITAELTQILSNLVLGDNDIRASAEKAVNERLTHTPE LYLLALAQFAITADTEVMRSFSLVLLRRLLFRPAPQHIPSHATHANRSSLYDHLSHQT LNTLERLLLFSLAHERAASVRRKTVDTVCDVANQGMARGRPWHALQAQAFSMTHAQGL GGEEGSGGVGGGAVPGWMLRESAYRVFAGCPNLVMDLQIDAVLGVFQRGLQDPEDIEV RHAALLASVAFLSSADATQLAQSISLLSPMLDTLPALSNALSHPPLHAPLPPPSTSSS TYTYAPSRPKTSNYHYLSTFLSTLTPLASTHPILFAPHLQTLLTFLPSLILPPVDCGP TPTVGRPFPVGGGGKINGNGNGNGRQGAFVFPPVPGGGGMHLDDDDGDGGGGSHGSTQ NGHLSSSSSSLSNSSSSPSYNHSDENDHDHDHDGEDDDDASHDERSTLRLSALEFMIS LSEARPNMVRKVVGWTEVIVRACLEGMGELEEDGDVGEWLREDRTGG JR316_0002839 MFDVGGQRSERKKWIHCFESVTSIIFCTALSEYDQVLLEERSQN RMQESLVLFESVINSRWFLRTSIILFLNKIDVFKNKLPKVPLERYFSEYAGGPDINKA AKYILWKFMQANRARLSVYPQ JR316_0002840 MGGCVSTADRSGKEHSDEIDRQLEEDSKRFKRECKILLLGSGES GKSTIVKQMKIIHQDGFAPHELAEFRPVIYKNVIDSAQQVVVYMKKIGMECVEFSNRA LAEKILDFRLDTSAGTQPYFPTEIAEAISQLWKDPIIPKIMDEHSSDFYLMDSAA JR316_0002841 MSFESACNIVITGGTFLFCSNITPGALHNSAERFDPPKCHANTR TAILDDIITWLKDKNAWAQIMWLYGSAGVGKSAIAQTLSELCWKSGSLVASFFFSRGV QNRHSETPFIATLAYQLMKSFPEAREIVLDAVANDPSIFSLSLDIQMQLLIINPINSA ALDEVTGAAWRSRAPYLIVVDGLDECDSSEEHRHILNLLFSLITQLTIPISVFVSCRP EQVIREVFNDEPLSSFTKRINLDNFTDDTDIDIRSFLQSEFQKIKINHPAGSSIPSQW PSKEEMSALMMHARGHFIYASTVMKYVGSRRHSPTMRLSYILEQTVPSSDAPFAALDA LYRHILLGVDNLENVFKILVVLFLVNHTLVSPTAAGIEIFYSLQPGMVDIALCDLHSV IYVSKDRNEPLRIYHASFSDFLFDEARSGLFFFDPAAARATLASATLHIPINSTF JR316_0002842 MDKDNGFPSSIGQMHCNPGVVEYSKDIEGKEAQHSHFGELSIEV FVEDEKDEIKRSK JR316_0002843 MHPRSHESRGAITFSQEISKEAVLSGTSFVRTDKDNSLRYPIVE VYRSPDVVNYSVDVEADQAQYSHFGKVDIKVVTEVETDNSTR JR316_0002844 MESVVPPQITAELTQILSNLILGDNDIRASAEIAVNERLTHTPD LYLLALAQFAITADTEVMRSFSLVLLRRLLFRPAPVLTANNGGPPAPGSDIHQQLQQQ QHHLQQQQQQHIPSHATHANRSSLYDHLSHQTLNTLERLLLFSLAHERAGSVRRKTVD TVCDVANQGMARGRPWHALQAQAFSMTHAQGLGGEEGSGGVGGGAVPGWMLRESAYRV FAGCPSLVMDLQIDAVLGVFQRGLQDPEDIEVRHAALLASVAFLSSADATQLAQSISL LSPMLDTLPALSNALSHPPLHAPLPPPTTSSSTYTYAPSRPKTSNYHYLSTFLSTLTP LASTHPILFAPHLQTLLTFLPSLILPPVDCGPTPTVGRPFPVGGGGKVNGNGNGRQGA FLFPPVPGGGGGMRLDDDDGDGGEDDDDASHDERSTLRFSALEFMISLSEARPNMVRK VVGWTEVIVRACLEGMGELEEDGDVGEWLREDPSTASSSAETDAPPALYEQSLDRLAC AMGGRAILPPAFQYIPSMMASYDWRVRHAGLMAIAAIGEGIGKVMQKELEKIVDLVTP MFNDSHPRVRYAACQCIGQLCTDLEEIIQHRFHRQLFAVLIPALEDPEPRVHAHAASA LINFCEGVERDTLLPYLDPIVERLLKLLNPGGDQTQVRRYVQEQAITTLAMVADASEV TFGKHYPTIMPLLLNVLRNAEGPDYRKLRVKAMECAGLIAIAVGPDVFRPDSKTLVEL LMHIQQGPHDPNDNQVGHYLIATWAKVCQALGPEFEPYLALVMPSLLATAGAKADLSL YNDEDEYSDERDGWETIVMDGQTLVPMLLACGKQSGTLTNQMVATVFHQLITCINTEH DATFLASLYKYFSESLRVIGGPEALSQQFHEAVMEATKRQLHSMADRRKARAARVNGT GGPMSMSMGMGDYDRDEMALVEEIEDFALEDMGKMLMCFDPNHPLLVAVAGVRDLGFN TYDSDEDGEEE JR316_0002845 MSWCKKNIQERWEKRFALRIAEHDESILVWNWFSAAELGKTVTE YWLAWYEHAEKGLYSADSSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVPDLRK IGLLGSRWIVSQKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQNVNETYHSAL VEAAEQISIESDNKIILHRRSISQEREHPEFGRISKSYNR JR316_0002846 MLDQRIQAQTRPARGQHRRGRGVRPAKQPRQHPKPAPAEEEWEG VPWYDTIEPDPDAPVASTSALPPARNPSPPPLPPNNPPVIEVPTPSSSRDRPRRAPTT PPRPTLGRRLRSRSPTPPEVATRSRPRARRSPESESRVQQSPPAVVEDFEMEEPGPSV EDENADVEMTTDAPATDVVQPLPITPDNDMVRCLRQSYGYLPGTTTTACAASDWDELV KTFGFTELLTPEVALDARQHIHMFYMASIEPASTEMPAALSDLNTHSLTSLSRLLDLS QIHRPHQNLFVFSEPRSEEHEWMLGVETAEIALYVCRLRLEHSWARIDSLTKMLLERG VPCRTLMGIEMSGRCSTVQEPYTPRSVRPSAYKFGVDDFEAYRLQCENIIKHQQHGRA ALLRGGLVGRIASEFLSVDDGLAGPSKEIIQNRQGFIVPAGDTTWCYCDDQLTENELS IICGTYTLYTATKGQITVKSWFPPPNLWQVPPSMNGSQWVEWTPANEAWYRERVEDIR TRQAQPLTRVQWKSILRGTPPSRKLLAAASQRAQAFVNGHVPVVPTYRVCPGL JR316_0002847 MSQQTHIDWAQGSAFVQKGTIYVSPNCKRVVIIPPAEVDVTNPF EQKATRAEPRRRDLDLEGVKQPILRNKPHKSPATYEMEWHSVKEWRQLDENITLLCEK IRLWCRVPGTPPPSPKSFGYDLRYSCEADAQRSFEATRNAFILWMGYLSYLVAQSRRE IYSKHIKHDPKSPVPAWHERLRAEHPDISEVWLDGLYHSNVFSFNARTPRVGMVYELS STHATRPPIEWLLEHHVPVYYPWRMREEEIFLTHKILNLELRPPRDLLAATLTDLFKS MDVSLAAAFARKFFNKSHSTEGLTMKSLGDEYSTTLVYSILSNDFAHNSEALDQHMSQ PYEELEKKLQKRDEEQRQLAIDSANLPTLRMIELANENHKLLTSVHDDWDAYWAARVE ERRRILAKETPEARQARLNRENNPSVVRSKVFVWKTLVSTEGASVYMREHIATSRNSI EEAKLRPHRKVYNGVRATL JR316_0002848 MLAHNALPPSIVSGAMFDWDASGLSGTQIPSTPSIVRGPILFPS LTQTPNFQTFFKQKTGMEDPSEPAKRRRRETEDDGGREGRARRKDNQRSVTVGDTVVP RNADMKFPCTHCRKEYSTPYSLQRHFADSHAEPSLEVPQQTSDNERSLSPPSPEPMFP SQLPRPSWGAAPPTRAPPPASQLHPPSTRLFLIPHAPRRQASPRPLGSRAGSPPTMIP APVTPAASRPSTRTFLGARAISSQGSPHPLVSSPGSPPTMIPGPVSPAPLRNISAQRM TPTPPDMHQPPAIRATPTTAMEVDISLYDIAPDDHTVIYDDVHNLPALGIVINTFLKV VICIECGEAIEPALVCAHVKQHNAHYTPGPTLLEDLRRKYGIVSLAEIAYSAGPIRPI FGIPIEADQLHFCSKCHRGYNLLLSLRGHQSNGQRCQVPITQRACYTMYGQILTKGPS KRYFPVDTSCLSLRQDIPFAYSTVFGTTMPPPPDYTQLPVQDIEDPQNLSSFLFREGW LDAVKGLTPVDIQEVTRLPDAKTEPWGKQLQLAAHRALASIQLLVNEHHTFGMTHNIA QFNQSSNMLSNNFNHIQPETQQRYGNIIVRLIFNVLRTMDPAWTSPVRYPSLDASQKV ILLELQAKLDGADKKLIDEAYQKACYSLFAHERRQYPISSKLRKFFSPVILFVVFYSL RANGSFRLASEITGICAAVEYCIRTTMLFEIERISEESNVSSFDALKKVERYISTGQE TPMAFIYNVHRVLASVRSDEVTASQFRFTDKNGREVSCNGHLISLSMIKKMHDEEHVR YENMVQDRLFFGEDIPSDLFPELDLESIVNSSNNTSAGYCFLDDPRNNFSAYRDSYGR WLLSDPVRAQRFVYMHEGELVWKPSAALDLLRRMERVREVLAPGVSYSTLLQVRGSEF ARALLRNTPAALRNLRFEMHLLAHVALQDKTSHLHLKDRHIPHVITREWAESLIRNLA VFRPFEEVLVAKFLNPEALHRYRVQLWPGIKSTMTSEAFSARCGNMTMRYLLQPFKPL RWRSLITAFARFLPDSRSYESQKEFFVDTAMMHSTAMSNNRYGRHSDQASQSDFRTTI GCIQAALDMQKHVGIGQARPFTLTVPSEVPETSTGGAQGIVHDVSSLERIARSAMLKI TGSLEDRVKETILDSMAVVMAQILPKTPRPLNENNLRPVADIDPHPSRLRSLRQFLKR PAANFSCPEQALLLELMCRGQQSVLGILGTGKGKTMLV JR316_0002849 MIPLVLTCALTLSQGTITLKIGFVRAPSSSSASSVDDAGTGVGT GGGEREANQMSFEEIYDVLVRRSQVLLVSAPSMKA JR316_0002850 MELGLSMGRRYVQRTIASIPEEALTEMRKHAFSPKRDKIHKNLG LAVSERDWIIRNELNLLEDERNYEELEFEVAQEVMKSKMIQMQTLARTVEDEHLFLFE LGLSRFFLSNVPEDGSSFKYPQFHGIPKIHKKPTGFRPIIPCHSVVFNPAAKFVSKEL KPIIKSTPSIIHGTKDLFTRLSQLRIDSKRQWYFVTGDVVAFYPNIPLDLCIEIVCSM YEEWLLNASEENTALAHINPNSLENNLVKLGIFKRAIEIGNTQLITQHGSRYFRQKNG LAMGVADSPDLANLFGAHFEIKSKILDHPNVAFYGRYIDDCFAIVYAESEALALNLIK ETIKFDGCVIKWAVSSSGCQFLDAFIFKESGKLHWKPFVKTGNNRERVPWVSHHPLDV KRGVYIGELSRLAVLCSTKEIYIGAIRDLNALYLMRGYPENLVMSWCKKNIQERWEKR FALRVAEHDESILVLKTRFDQVWNWFSAAELGKTVTEYWSAWYEHAEKGLYSADSSRP LIKPDPNYVHDLDDVRPELFTQILVDGETEFVPDLRKIGLLGSRWIVSRKRNTNLFDL ANVWKKTVFRKLDEDIAEKGGVVPETQNVNETYHSALVEAAEQISIESDNEIILHRRS ISQEREHPEFGRISKSYNR JR316_0002851 MSKNKSVGSTFDRLPCATLTRDTLFIRDLSNLTINIDRFVIVDC VFFDYRAALREFLLNLASWCDKRETVKASLERLESAVSAGNTPNRLKVKAPEFQLTKE FADAGSAEALRVSTTFSTARDVFQKAINDGAIQAKKDELAFWDDKCALNSCYEAAALI VKATYDDRKSSYKLPVFSTDNKGVRRIAEWVVSPQKKAECSALQTILPAIFSHIKQIV NMRHRALAIKIEKKRTTAATADVEMADATKPGPSIQSLIDKGLNARLKKLNLGSTLSG QNSSKAPQPQARKAGPSKPKSSSTPSQRKPQTKASNKVDNKKKGKGRAPVKNNDPKGK GKARA JR316_0002852 MYEEWLLNASEENTALAHINPNSLENNLVKLGIFKRAIEIGNTQ LITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKILDHPNVAFYGRYIDDCFA IVYAESEALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFIFKESGKLHWKPFVKTGN NRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAIRDLNALYLMRGYPENLV MSWCKKNIQERWEKRFALRVAEHDESILVWNWFSAAELGKTVTEYWSAWYEHAEKGLY SADSSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVPDLRKIGLLGSRWIVSRKR NTNLFDLANVWKKTVFRKLDENIAEKGGVVPETQNVNETYHSALVEAAEQISIESDNE IILHRRSISQEREHPEFGRISKSYNR JR316_0002853 MSKNKSVGSTFDRLPCATSTRDTLFIRDLSNLTINIDRFVIVAA ELGKTVTEYWSAWYEHAEKGLYSADSSRPLIKPDPNYVHDLDDVRPELFTQILVDGET EFVPDLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQNV NETYHSALVEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0002854 MSWCKKNIQERWEKRFALRVAEHDESILVWNWFSAAELGKTVTE YWSAWYEHAEKGLYSADSSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVPDLRK IGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDENIAEKGGVVPETQNVNETYHSAL VEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0002855 MSSQYSAAFSRAMSPSLDALTQAVVNNTVFGVNDEAGRQNALID ELATVVIKKIAECRSVDQIIDCVFFDYRAALREFLLNLASWCDKRETVRASLERLELA VSAGSTPNRLKVKAPEFQLTKEFADAGSAEALRVSTTFSTARDVFQKAINDGAIQAKK DELAFWEDKCALASCYEAAAVIVKTTYEDRKSSYKLPVFSTDNKGVRRIAEWVTSPQK KAECSALQTILPAIFSHIKQIVKLRHRALAIKIEKKRSTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGYTSSGQSSSKAPQPQAKKTGPSKPKSSSTPSQRKPQTKASN KVDNKKKGKGRAPVKNNNPKGKGKARA JR316_0002856 MSSTYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSIDQIVDCVFFDYRAALREFLLNLASWCDKRETVKASLERLELA VSAGNTPNRLRVKAPEFQLTKEFADAGSAEALRVSSTFSTARDVFQKAINDGAIQAKK DELAFWDDKCALNNCYEAAALIVKTTYDDRKSSYKLPVFSTDNKGVRRITDWVVSPQK KAECSALQTILPAIFSHIKQIVNLRHRALAIKIEKKRSTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQAKKAGPSKFKPSSTPSQKKPQTKASN KVDNKKKGKGRAPVKNDPKGKGKARA JR316_0002857 MSSQYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSVDQVIDCVFFDYRAALREFLLNLASWCDKRETVKASLERLESA VSAGNTPNRLKVKAPEFQLTKEFADAGSAEALRVSTTFSSARDAFQKAINDGAVQAKK DELAFWDDKCALNSCYEAAALIVKATYDDRKSSYKLPVFSTDNKGVRRIAEWVVSPQK KAECSALQTILPAIFSHIKQIVNMRHRALAIKIEKKRTTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQARKAGPSKPKSSSTPSQRKPQTKASN KVDNKKKGKGRAPVKNNDPKGKGKARA JR316_0002858 MSSQYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRQNALID ELATVVIKKIAECRSVDQIIDCVFFDYRAALREFLLNLASWCDKRETVRASLERLELA VSAGSTPNRLKVKAPEFQLTKEFADAGSAEALRVSTTFSTARDVFQKAINDGAIQAKK DELAFWEDKCALASCYEAAAVIVKTTYEDRKSSYKLPVFSTDNKGVRRIAEWVTSPQK KAECSALQTILPAIFSHIKQIVKLRHRALAIKIEKKRSTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGYTSSGQSSSKAPQPQAKKTGPSKPKSSSTPSQRKPQTKASN KVDNKKKGKGRAPVKNNDPKGKGKARA JR316_0002859 MSSQYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRQNALID ELATVVIKKIAECRSVDQIIDCVFFDYRAALREFLLNLASWCDKRETVRASLERLELA VSAGSTPNRLKVKAPEFQLTKEFADAGSAEALRVSTTFSTARDVFQKAINDGAIQAKK DELAFWEDKCALASCYEAAAVIVKTTYEDRKSSYKLPVFSTDNKGVRRIAEWVTSPQK KAECSALQTILPAIFSHIKQIVKLRHRALAIKIEKKRSTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGYTSSGQSSSKAPQPQAKKTGPSKPKSSSTPSQRKPQTKASN KVDNKKKGKGRAPVKNNDPKGKGKARA JR316_0002860 MSSTYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSIDQIVDCVFFDYRAALREFLLNLASWCDKRETVKASLERLELA VSAGNTPNRLRVKAPEFQLTKEFADAGSAEALRVSSTFSTARDVFQKAINDGAIQAKK DELAFWDDKCALNNCYEAAALIVKTTYDDRKSSYKLPVFSTDNKGVRRITDWVVSPQK KAECSALQTILPAIFSHIKQIVNLRHRALAIKIEKKRSTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSVGKKASSRNPSPIILDTNYYSNYPDFVWPEFVQGSSTSGQ ESWPFEIQTLVDAFAEEAPNQGFQQGRQQEEREGESSRQERSKGKGKGKSLDARSNVV PFTSEGMNKPFDPDYGVSSKKKEKPPVLPQYMELGLSMGRRYVQRTIASIPEEALTEM RKHAFSPKRDKIRKFLIDNNYVITMTDKNLGLAVSERDWILRNELNLLEDERNYEELE FEVAQEVMKSKMIQMQTLARTVEDEHLFLFELGLSRFFLSNVPEDGSSFKYPQFHGIP KIHKKPTGFRPIIPCHSVVFNPAAKFVSKELKPIIKSTPSIIHGTKDLFTRLSQLRID PKRQWYFVTGDVVAFYPNIPLDLCIEIVCSMYEEWLLNASEENTALAHINPNSLENNL VKLGIFKRAIEIGNTQLITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKILD HPNVAFYGRYIDDCFAIVYAESEALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFIF KESGKLHWKPFVKTGNNRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAIR DLNALYLMRGYPENLVMSWCKKNIQERWEKRFALRIAEHDESILVLKTRFDQVWNWFS AAELGKTVTEYWSAWYEHAEKGLYSVDSSRPLIKPDPNYVHDLDDVRPELFTQILVDG ETEFVPDLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQ NVNETYHSALVEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0002861 MASLGSTTPEPPLLIWLSVLSDNECAVTREHRKYERNTESVNTN TRSTWQRRIRLRLTSTYMHFGIDYLYAGVCVGC JR316_0002862 MSYNPSVPLPNECSFSFSHNTHARANGNNGACGEYDFLPIPEVT PAGSSFLSTSPSAYSPSLDPAFPNKHVYHHAHHLYNHIFNTNTPPATNPSPSPTLQDA AYAPLITRSGQRRASSAQGILIPKTDERNGKNGRGEWDERVGWVGIRTSPHSHSYPMS LSRLNTEFTFSLTDLPPDSALSPSSSQSSSGGTSPLSTGGVVIVLVGVRQEHCEGEEK TSDPECKASKPRYERIYIPRRTP JR316_0002863 MFLKVSKGLLDMAQSRKIVLATITVLYSVNVVILCLQWYFISWS YATHGDTKDSIFWAYLEPPIWFIEALNTSQNVVLVIEDGLLIWRCYHVWGQSLKIVAM LLLLLFTESALVITATVLNSFTPILVTNQAGKDMINHVDEAFSFTCLATTFFTTFLIG YRIYTVSRNTNRSISRYKHIFTTILQSAAVHVLLLLVQAISPFSPIFYDIQSRWSQVD EYINMIVYLASGLAPTVMVLHLAVVNETDTATFASSTLMHLPAMEFDNCLDRSTHAAD IERRVLMSRPEDPVNAHRAIEQEK JR316_0002864 MQQFPDAHHLMITGGSFCQTQNVYRGRDTGLHILEKYIAADAIF DSAERFPPAQCHPGTRKRIIDTIMEWIDDPSPEKQTLWLHGPAGAGKSAIGHTIATML KDQPISRKYGSSFFFAKGAPGRGDGNKLFATIAYELAMNFTEYREALDAVMQESLSKR VHRWPSHHPTIIIDGLDECAGGKCIQSAILSTISNAIIQHSIPLRFLIISRPEYWIFD AFEIGCLSSVVKCLSLNDDLDAYDGVKSYLRDEFNRIYDENIKLMSSTPRPWPEEHII DRFVRSASGQFIYASTVLNFVGDSPHCNPLDQLHILDMAGPHEASAFTQLDSLYAAIL SSYPRWDSLKRVLTTILLKCTMSDAVMQHVFDIPPAELHQILRSMQSIIYRKEYICPP IFQKLEPIFGSPVHEGSGYHFITSPLGNS JR316_0002865 MESESVVEPEEKRFWSEMKAKQETSALQLSPVHNKNKHVSTSSR LFCAPGLRVLSRLASPVARFNTNMQFSNPFVPSIFINRTNANNAAHIPHIPTSTSTPA FTPTPTPARFFPPNPSPATNPPPSSSTHEPDHLALLACILAEDAEFCAVQGVLMYRHS PDPALSTLPSSLSRAAVGASLLSAGGVEST JR316_0002866 MDYLGFLLSVQALPLTTQFEGPDAGVIQEAYAHCCAMEASAGEE KKLFMYARVLGYMILEAPSTSGSLFIAREIIEWRKNKWDLNLLAEFYVHYIFGAFTRR RNEFTDERVYYSFEEEDLYGTPDPYPRGENSARRTVLHRDGFSCKITHIPDEQCFPLM TPAERKQVLGGRPYHHQDLILLCSIIPPSIDWNFDLSAYGFHTTECSKTLGDLLYGFS GIPVATDLSGDMVYDMSNSIALAYVTREHFNVLALWLEEVPDKPNTYLAQAYCEGLVD DDPPVITFTTPSNINIPLPNSKYIRLHASLARIAHLSGVYRYLDNLPMFVETLDSEGK SGDILMARLSRAVLSGCKCVTWIDVDDDDSK JR316_0002867 MPAHRLANRRRRSSHALLGFTPAKPGIYGFTPTARPVPVTFAPN VTPISYTSADPDDDADPSSPLSSYALAAALGNPSASGLLTSKLFPPSATPAPPPTRKR CPPGKRRSQGYIPRPPNAFMLFRADFVRQKHVPGSIETNHGSLSKIIGAYLSLSPHPS JR316_0002868 MFPDYRFRPVHNKNKHANASSSSSSTSPGTTTSDESRRKEKQPT TEEDERRCDEVAALLLEGKKGEELARAVRDLDRQREEEERERELREMEEYDLDVDLGT DDLPLPTPHDDGSAALRMPAPSFIDPFANSQFASNTHMYLPNATSFLPPPPPNAMYHR RSSSVPLPNDWHPHNFSQFSTFTQGTNASTSSGIALPTLPAFTSLSRPVSPVGSIARF TTQTQFTNPFSSSHNANTNGNGNGEYEFLPIPEFTPAGSSFPSTAPSSSCSSSSPHSI PPYTPTTQIHHPQPTPLPLLPFMTQTTPRSSLGLGQRRASSAQGVLMRGWSMPLGSMG GMGSMGGVNGMGGMNGMNGMGYEQAGSFMHDNVFDYSMDFSMPLNMPMDMSMNMNMGM GMGVGAVQRDPSPLPDVESGLFSDFSFGSSTSSSSSNSTVPASSTTSPSSHPSSASLS SASFPSLSSSTPASTSTSTSTGGASPHAHAHTHSTSLSKLTTEFSFSPTELPPDSALS PSPSPSSSSASSPLSAGGALLPETPSTGVPPASVQDVEQEHPVDATAATASSADSNAQ AGTEAEADRGRLPSLDISAYMHLDAHLDVDGLYAGDAYGFDGHWGVDPVDKRSGAESV GVSGGGAEFACA JR316_0002869 MNKSISLGIYTAVYGITVYIYLSKASSDVGHHRKIVLGGISALY WLTFLNSASMWNSLTWSLVLNGGNKNSMFWSSLLVPLWISALSSVIENIIFVISDALL IWRCYHVWGQSVRMIAVPLLLLFAELVLAFAATVLNILSTDLTNGKNMTLDDNILVAL FFVSLATTSCATFLIGWRIYTASGHIGRSKYTHIITTIIESSAVYSLVLLVLAIHTVT RFSREIESPWFEMSAYTSLALNFVPGFAPTVMVLRLAIANTAKADFSSTITHICGIDS DGSQFQAESASASPESRTSAESGSMVDELNGDEDQGAIIDLIAESRREDLDSPISDYD HLLGIEFVVGQALAHHY JR316_0002870 MFRWNHTSLSSNKSKSHRELRYATSPPLLPPELIESIIDEVGLL DDIPTLKACALVATPFVFRAQSHIFRTIDLDRKVPRWKTHHRFHRLLLAKPHLGLHVR RIRVGDDAEDEFGSRGGSSRRRAGGGGGGWGNSATWNGAESGGWILSSKTLPITLQLL PRLEGFSLSFNSEMTDWRIIPPETRAAIGRLFRLPTLNAVSLEFIRSFPLQHLLSLAR LRYIGLSCVEVEPMTIGAANMLPPELAEGRWVPRLESLYLRGTSSATIKAVAHSLTLS SSSPSPQTLKKLSITPTFEEGFCDSISEMINATGSNITVFEWLPSIHFCFVTVYAASL AEDYSSRNRLGDPLDGSEFAVPSSFAPLGSYPTFGAFASGFPNPYNRGSSIGSINMGA LPYLRVLRFLVSFRKTHSHGPFPEVLRLLGQISSSSSNADNAHTHTPNRIETIIFDCH CIRTPTSSHRSHSTLENPDADLKSLHADWRPIDKILSRPAFASLKSVQIRLSTSTSVP AARERFTRAFAFLLPALQARGVGISVRTREDGDERFVLEDFGI JR316_0002871 MGLDGQTKQNYPYQGGTGGKPFDDFHPDRKVISHIRIRADKTID AIQVVYKDDPEPTQLHGGDGGDAYEFYLEEGETIVEITGRADKYIDQLVFVVSTGRSS PTYGGDGGQPFSWTAEDGYHFRHFTGRSDKRVDGLQPFFEAD JR316_0002872 MTEDEEDWEDYVKGGYHPVKIGDTFSDGRYVVVRKLGWGHFSTV WLAKDQKMNRHVALKVVKSAPRYTETALDEIKLLQRLITSSTPPIAPSPSNPNPPPSP AHTHPGRSHVISFLDHFRHKGPNGIHVCMVFEVLGENLLGLIKRHQNKGVPMPLVKQI AKQILLGLDYMHRCCGVIHTDLKPENVLICIDDVESIISSELAASASRPSSVPSSSNM PSSAPTNVPTGGAPTKIVGVPPSKGRGGNQTPRSESIFITGSQPLPSPSSSLSFGSSP MLDKWAFGMSKIESSADKADDEKGITMKKKDKGKEGEVDLANEVSQVSLDTRAGYVGR SAPGSGAPTAAGAPGPSLLSQLAPSASSTMPVPVPSKGTSASGRDVAGSEMSITEDGL GMGLDDEERAAALREGLAGMERITVKIADLGNVTHADANRIRIATWIEHHFTDDIQTR QYRCPEVILGAKWGPSSDLWSVACILFELITGGDYLFDPASGSRYSKDDDHIAQIIEL LGEIPKSIAFSGKYSHEFFNRKGELRHINKLRYWPLESVLHDKYLFPKAEADALAAFL TPMLRLHPDKRAKASELRHHSWLDGVVVQGEIDVIRRMEADDEARRKAQAEAQEQKEK SRSREREREDLDQSERDAMKPVDESVLLGEDALVEEEVHDHHSHAQKGYQPPVLGAPP HSSAGGKENAHAHQSGIARALNAHASPQQQQHRTSGSGSGSNGRDVRQPSGGGSSKRR V JR316_0002873 MESTLFTSERLEIVQHCCMEEMKSSVTPARLCTVMGKYFIKYGF CSYLRFQYHTQKYIYRMSAEDPEAPHVPQPVDFFKASKGEIEDMDDDFSEHDDRRWYF VMENIEGASPADTSYEGVAKAIQWLRDVPIPPGAGIGDLGGGYAHNRFFKDYDAPLRF ESIDALERYVNKGVDHTHPYSRPSKVSFAGEKLVFTQSDMARSNFLLDKSGKVCLIDF EMVSILPESFAQFTVRAMSFQDKEFGKNVAACLNWPISPNYRSMAIVSGILLMMGSAK LGKLFNTEWLYLFAHFV JR316_0002874 MESTLTTSERLEIVQHCCMEEMKSNIPPASLCTVLGKYFIKYGF CSYLRFQYHTQKYIHRMSAEDPEAPHVPQPVDFFKASKGELEDMDDDFGEREDRRWYF VMENIDGASPADTAYEGVAKAIQWLRDVPIPPGAGIGDLGGGYAHNQFFKDCEAPLRF KSIDALERYVNTGVDCLHPYSRPPKVSFAGEKLVFTQSDMARSNFLLDKSGKVCLLDF EMISILPESFAQFTVRGNSFRDKEFGKNVAVCLNWPVSPNYYSMARVSGILLMMGSAK LGELFNTESFYPFAHFV JR316_0002875 MSSSPNDYTDYSSDTPSESLSDEDHIPIMDFDHHGMWDGTEGPA IHTPENIRRICAKMFIIEYLTTYQPEEDERNDNEDDLEQNHIVSLVPFTEKFCYNDMT FHAARISMELVKGGFETDTLSVGQGSLNSRSYPLREGVTIGEIIDCVISNKMHHFAFL PYKLGNDVGWKGCRDFMLQFWAELIRTGIITTSVPEEESGKNSSLAWDLCVTYNRDGS SRNDFIGGGHYYSFTPKDDEYSPGHCVSLYGRSLFFALWEEGNAQLVLKHFYMWTIDD LFEPVTHQEMSIED JR316_0002876 MSRTNVYSTRLRSGRGSSARNEQLVADLAEPIDEPKIEEPDHDD DQDEDSFDPRGSLRSPFELRQPAARGNLAAEFARPDQSNTLDETAEPRERLDDWIRNN PNNLRNQNTETDQDDPVALAENALTQSQKDQISRRNAKVMTNVIRPEITRNESDVSKG EGPSKPNKGNVGTHYRLRSKARAIAKAWRHETEQKPIAVPTDATSDVIQERCTDDLIT HRGR JR316_0002877 MPSKNHSSAPKFDGTAGSLPMFLDDIEQLATSCELTPKQTIDWT IRYAPGSDEHELWSGLDTSSGDDWIAFKRELLAQYPGSTGDRKYSVANLEMLTEKQAA GQITNSEQFGTYYRAFSKISRFLKSKNKLNDREISNLFMRGLDYAFRVQVRAQLRAEN PKHHSDDPYTLNQICEAALFILSCNHDDTEIVAPALEASPSVKREHFDISSIAPALQQ TSNFNMSALALELIKQMNLQHAPSNVYQNAQNQGYPTLQTQGAPTPRNNDCSFCSDPS HYQHSCAKAVEYIKKGLCVRNSENFLVLPDGTRVTPRTAPGRNIMERVDNWHKSKSAN SPTVSSNMVSAGSEFKWEIPTTSAFAAAMDFAHNEVAPPTERVRATL JR316_0002878 MPIQTLNSDFSTTFIMLRVFTENSIHRINLFATEHWHRDTSFTV AAGMRVITEGSHGPRGDFFNFIHFLNAVRGMASSRHAMRELDLIVSVFDRDLVTFQSA LERGSADRVPQCAQRDAIAAVYTIINGEQRERFDITSWYIEQIEKAIYGEDDSIYVSS DSGTDDMPLLEGENEARDEGEPEQDVTEVIPDSGLQWGIRSGRRV JR316_0002879 MDNSQLPLPKAHWRSYQTPTKPAAKLLEEIVADGGSHSSRSSSD SFVFLNPPIPRKRTPPFPPLPFHPPVFNFDGITRESTPPLPPLPEGVQPASPVVGDDD VTLDRKRLESQTSKESKRSAASEHLVATGTSPTNSHTGRHHHHQSRTHGRARSASESI SSLLVVTTERLTRETARANEAERNAAELLALFKTTHEAKVRLERDLDRVRQELGLYKI QLDMAQKEIFRAQEIVDKIERQRENAEEDAARARSKMHKLMEARAIEQAMEEGRRMGF EEGLRQGRFVKPMREDRESRRTKAHGDRVSRYTYPEEEPQSSGSSGSAKQERSAPRPS TPPKPPTVHKTDQAPRKPIIQPRPGTSNDPPPIHPHGTPPDTGTFSNLLPRPTITTPM PLNIPPTQPQPAPPMPDHQHISVKPEERRSGRVSAQSQPSADVNGPIHPKPVWNHSPS VFHERIKLPPDNYIPTMDANSVITLPPPHELSYPVAPEGASTRDNPATRPRSGSRPEV SQRTADRDTIRTRDYAYPSKAPSGDDATAASANDQARRYAFQSRATSALSRGSTHLSD LDLLTTPRDVHYRSQRYMVADNASEVYPRRDTPAVDQSPTQRIAEEWRSANRQYLDPQ PPIVIPPPRYQTPGPRNVDPPKRPFSVTNNTRPRAPRRPREIVMPAPLADVVMNIPHA PPTQEPFLGDELSPPHNPMRSTSSNTVPGIEVVTPSTHASSRLSEGTVLDPVLLTPQS ANRPLPLPGQTDQSGQGQGYRREHNQGVLSLNVPSNSQPAESSPLSPISGMPNLKQYP PGFAPSLPKDHDATSPDYKYPSSPLLRPT JR316_0002880 MDHDQPSPPDHFPPDQLISSAATSASDAAMAAMVANGSATNMPG GPLGGVNKRYRPAPAKTFQCRGYGECRMVFSRSEHLARHIRKQFSRLDNLRQHAQTVH ADKQEQNERMMRDLTSLHATMAAQNKAGGAAARSARRPPISSSSSSLQSPVGNGNGNG HGLSPMHEQDDAMMIKQEEMGPLPMHHHHHQQHQRPGTSLGNEGGDSAMMYWQDEQRR HNHSFRGPSQSFLAPSSSTSPISPSSPHPAAGTAAQSHSFRAFTSSSSIPAAGPATAS GATESAAGPPADPHARSLPPLAAVVSASLPSPPLPPHTTYSTSSPSSSTAPTSSSSAA HGASHHPAYPAHLRGEQHVLSFPGHAAASAGSQYQQQSQYPSYPHLDLLRRPGTATRP GTAPAAVASAFSFFAARPLSSHGLASAAAANANASSNASSNAHANAHGHGHGHGHANG AGHGGLGSSGGGRPELSLLHSGFGRPGTGSGSGGFGLGGYERAREAGGGGAGGGAGGG GGEQPTSPGAGPDASPFFFHPPPPDPSPAPASSSATASAAAVHGVSPSPSAHAAAGSG TGTGNPRKRAFGGPDGPFEYERDREHGHASPSSATGTGLTTFSLASHQYHQPGSGPGA GSGAGSGQGQGYDYGAESRPASRRLSVMELCNDDGDGSGNDLVRPTSSRGLEFVGGSG AGAGGGFAAGSGAFLLSASAAAAAGGGFGRPTSSRGLGFASSGGGGFGGGGGGGSGGS RPTTASGLGLVSSTSGLHIFDEPASSCSSGSGSSSGSGSGAGAGSGRDRERDSGYFSS YSSGRPGSGFGGGSGGGGGGGGRGFGFGVGGGHGHGHGAGSVSPPPFAGVHQHLLLAQ QQQQQQQQSAQSSGAGSGSGRGESESDAPAAGSAAGSANAVFGRAEAAAGGAVFGVSS ASGSSPGAGAGAGADGGSPQPAAAAAAEGRGVLPASSSSGYDASPRLSGPGAGAYGSA FASPTISSASASGFAAAHGAYANARSPASASASVSSSSPTPSASPTPTPRVVARQLLR EREREREFGRERERERERDREEYAHRWREQRQPEYEREWDGEREREGSESAASSPVAA RFRAASGERDEEHQQQQQQQQQQQQQGIGPMGYEYEYEYEFDAPRFDEHPHPHHQQQY SASPHHQQFSASPHQQQFSSSVSVSPHAHQQGFSNSVSVSPHAHQQGFSNSVSPHAHQ QAFVDAHGHAHGSGSPQFVEPPFVPFDEMDMNVGAMGQHSHPHHLRQQQQQIQQQQQQ ASSFDMVGMDVGVGPGVGVGVGMGAGMDMGLGMGMDMGMVNVKFEAGLTPPSFIRIST KPTFAP JR316_0002881 MPPLSTGLHAQSNDQQDIYTDSSELFGVLRNLLGQPNVPNQVPS TVISCAKNLVSYLQSHISSESHLSSHEHIYLPSTVNTISTTDLNADMSSVDVNQPQKQ DNIQLNRKTRLSTLYTYGSANAIIEYPETSEQGVGYLMPADLTGDRLNPAQNSAYSLG PPNGSSTAGPNGKPITCKLLTDQDGNEVPCRVTHFTCQGMKICPFADQEAAVAPHTKP SKQMLHQRLALINAISRRDTSFPSAALFKKTLAYFTALQRQGCRSPLFEATLLTGEDA QAAQLRQQQQELESRGHPEKLHCHGRLFLDYTHDGTAFIRCEHYNAEINRDHFIDFTI GNGLLDTEYLEALLQGDEQAFFDLEYDAAQRGVGPLSKCTTINNHSVMKVCCPNPHRD PNNGKLVSAPLIHLPCKVTVRTYEPVSDDDLKRCPQILMVVRGVHRHPIPIPTKTPPS ILRSILQLLQQMGDSLPDLTPRRLLRHPLMKSFLHQRLPHIPTPMLLDLHPSLSNREH LRVYIEKVKNEKYPMGTGWEGLLNFKSQQDTSSADPYIRFAGELPDLGKVCDEDTELT TEEATTPLRVVICMLKINSHRLLNAKYIQSDIGFKRVVGWKEFELGGLDETTGLSVTY CRVFLTRQTADAHRFVLHQIDGIVKQDTGQNLMWRHIHSPVNNASQAVGICHLAVDQH AGQAKGYGQYFCDIAQQYPLVKDIHEPHKNIQDLSEYDHVRRILRLCKNHYLRNIQSC AVPDNVRQKMRSLMCISHPTWNMTLREIREQGGKAANDWLHDKERAKFVWPAICWEFS CIPLDIWKVGDSTSNIIEQLHEDVNREGITCTLVGGVQKGYHFDLLKNRTIETAHATG VRPSYQRGHISESVSRSICRKNDLSSKILSQQDRAIEGRNKKLQKAEASRVTATQDYL NKSNNATTHAQAQAAARRLEKTETTFQKEVESSLSLVGTGSGKVGLLLPRRSPPSL JR316_0002882 MSSTTLYSPIYKASDVGNTARDPFGILPFNRRIRETKNVVLEFK FGRLQPWSWPLNNSVIVPPADLQDYRRTHLMRFPQCLCGINKEAGDPTVNTESVVFQM VSGGLQGCIVAACVNRSCKYFINLDRIFRDTSSPEFRVCEYPLRTAGELPPPPLVFDA NDFKYEDDVPSSSPPSILRMSPSKRLKWLEDESIANSTYTRYLKRKHEFPSTPESSQQ AIKRQRLELSGSGSSSSKGNAQALRPLSSYLRKASPPAPSEVGIVTLLLSLDDNGLSM REFQQICVVCNACNNWVGTPSALEKHYCSRIAHAQSDDVFIDLTSDLDD JR316_0002883 MRIAAGRSFGTQAAFIAIPVNFASLGCDVLISATLCWMFHAHRS GMKRSNSIINKLIIFAINRAIAMSLCSALTVALINNEAQAPGGERPFYQSVTGGQ JR316_0002884 MLKVTFPALSPKFANLRVSSDKVIRLDLPALSVGWHNKNNNWLF VLTATTVSQLSLKQMSSFTALPPEIHVEIIQNLEYSSLLRCAATCRSLYELCQTSSKL QYTINLGKHGMIDAGSGTPPLDLLMDLRQLREGWENIAYKRYDKISIDEDCLAYELVG GVFALSDGVGLKTIQLPSSRVESHMMDRKLLGVLVRDFAIDPTQDVVVILEDDQALVS YHNTRTTNFHIRTISTNEKHPLAAFETLSFTIPPDLDFGNAIGSVMLQLTDNLLSIFI AKGPAFSAMCRALIWNWQTGFLIYDSNNTGQDGPLPSHIRDFSLLTKDCFVMASTRDS GALLLYTFSTTPPVPSQPGVPPPPPRLAAKLRLPPIRPEYRVQRVGIHAGPYHARAPA SAPFYTSPEEGVQTVSIAYAVRDLTRDRGPGEVIYHYLMFVKTRTLINYARRRIVEVK SEMEIEDDTNKDDGLVVEWGQWGEYNTRLFPMETPMHWLRYVHGHRVICSSQLFHRGS IPTSSPRNGITFNILDFNHNPYFTPNSAARRRDLYDRPTMGRSRLSVQPQARSGLDVD YDFGPPHSHGVDVEIDLDVDAFAYSTSHTRTLTNATSASSADDIVYPPHLFEDGLVTR LPFYSTSWTVPSTMYDARSDLFADPQSFYASMIDEERVIGLKMNEGAGMELHVFSF JR316_0002885 MYGVIRFLDTPLLPSSTPEDCPKVIKSGIDDEGQHPKSPAVTGP CGVATLLHRIGSEQLLERLLDVNGTEEFDLVVHTPKDSVHQDLYVRRRAREVEMGFID SEGRYAQPGMRYRIEDSPSEPPFQGVAKWVPVSTSEFGEPWGGAEHWVRAQGSAFAKA IWYNQYFDYPNIDVNWSPGQTEKDTEALATWMKERADRLKMQSDKEDAEYEERKKIFG DRFHLEEDRLARETHAKIKRACRAQCGEDNPTLISFVSTFKGYCSKKCQEEDWKYHKT YCGSETPISELAA JR316_0002886 MPHSAREFTDITALLKLILNGYPGNAAILREYLQNSDDAVATIQ RFVLDERKFSDQSLVDPYLKNTQGPALIAMNDGLVREEDWLALRKINSSSKQADASQT GKNGLGFRASYHITDNPHILSGRTLMIFDPHHEFEIPNDGGVSIDLPDEGHEYADQLL PFASYRPSGEAVDLKDFFNGTVFRLPLRTADQAKRSKIKSTTTSVQDIRDVFDEFITH GMEEAILFLRHITTIEFIHIDPAGKETCLSKIIVDRPIPSPTPTSFNRRTTTISINGN ETSRNWKFHCINHTIAHAKGVLESRLGYDIEDSLTREKLSPTIEIAYPLGGPLVRGRL FTLLPIPQRTEFPLHLNAVFALDPNRQHLKNIQEVGSGKSRERFLVEWNKIIFNTWTP DIWARFLEDLPSDVLNTSQWSLWPPEALGEDCYWRDLITSLTQVIVSRRLTVFPTVNK SSPVRLDDPNVVVAAANVGIPFDILSRFGILIVQPPTHILNPLVSVAPDVRMLNPSSL HRLFSEKPQGYYDQFEPSDLRILLDYLVFSPSGNLQFIHGLPWFRLSHDGGHVSLKMK NSGTLYLVSLTPTEATIFCTHTTLLAFDTMSDKLRNKLSSTDILNVGVLKCSDISSFL QQRLAHLASTDDEILEAPVDHQWIVDFWSWIILWKDGTKFFNEYLNGLSGLFLLPTSR GTVRRLSSRIIHFTEGETFIEAWKVLGIYPISPEVPHSVVVQLRGIGYIPTVRTWPYI AFLIKNSNISLKNVSDELWKSTMTDIRTSLFESLPRSAGSALSLAERTKLSSFPVFMT RGKPGSASQMNPIAGYCRYVDVDDNLPLPRLKAYPNVIYVDMRDSVTRSLLKLVESSN ISVETQFELLQLAVDNWEQQPQDHQDVFIGCIFLNSYQLPPRLRASLDDIPFVTVNNH PDRVAPKNLIHPHSLLSDLYIGEPAKMPTGRFCEKDYLNIMISFGFLRHEVDQHVVED RIQYFASSLHADPQLHQKAVNFLMLLDRFWSPGFKAFVACNRDKAWIPAPGSSKLITP LECRDKYSHDTHAHRYYYDLTLTILDSTLNNSLRDALGWCAPVPRHVLLAQFKSTLEN AAIPPEGRSIRLIKIIVYIDKLLAECQFRDDFLRDLQNLVSGRRWIPTNQVPDNLAET QYALFDNTQLRTPFCAIDNRLQRVHFLQLMGCQKRPPMPLLLAQLNMIIGVLPMNENV YSFASVLGREAAISILNEIATYHQNKISQNVIYLPCENGQAHPANDVYFQDVKSRFLS SAVQGKFAVHSSISSALAKSLGIPLLSSIALGDDDDEDNEEQEEQMGEDFVTRIKGFL RDYDPKYAITEFIANADDAWAKKFSLLLSNGVARSVRERVVSPQIQRLLDWPFLALHN DAKMSPKDMSGILKVGTGGKGRNMDTHGRHGLGALNFYYFTDVATIISDERVLILDPS GSYLPPFKSRRKRTATSKNLSSFISSYPDQLSPYNDLFGFSTAESRYNGTLIILPLCA TITEKASDLVQTRQYIESSYKKIAQNSLFFSTHLQSIAAFEATYGNIRACFWECSTRR DPGQLVGNINIQNMQLSLGRNHAIPAGETPENWVIATSDTGEVPQQHLKTAAAMKMDP CNVKVQLAVCVAKVEQDPNFEGYTFSTVPLPKSTSLPFHLNARFAISSNRQNLVINSA DGQDQKDSHTSFNTWILDYLIAPLYLKTLAYVIQNNAQRPNMRPSNRWWHLRGSDDVS NIVTDGVHRSLPHSPAPIIEDCTNRWITFSQSIFSIHDQEGVEYILKMLQVPFFVTSD RYRPFSRLHSAKRLEPSFVKNALLQNTSKVLSDNGGLIKSSILATLQYLCAETSLVGL PLLLLAPDCRIYEIPGKSHDPVYVVDRSLWSAFPSSRLLAEGYSEDVINRLISDCAVN IHSLTEENIIDLIEKELRHQESRQRVQWISQFWDTWYRYMPGPPSLDHLKRSGLQIVQ TRASTVLPLSECTSDRIVYNKVISEELLDVVGLLGIDVVKPIHNSPLDEFLSPLFPNL LVNIVQCIHKIGFHTLSSLPEAKMKVFSEWAKQRLPSVLSSWNTGTWTTSSTMQKRDW AELPIWTAYKNGELVYRCAADIDVLPVHLDVAHVLPFMKKDSLLASCSAQLNAMIQYC LGRDSPTLSAVQVMSKITLPAKLTSREEIDKMKNFLRSMLRYHKLDPIYIGLMVPDQQ GVLRRIDELYDSGNWLFSATLIFTPQSSFLHEEFQMICDWKNYGLIQKVDLTTICHCC NAIASAFSQYGPGHTNWSRVCAMSTKAFLAYQEEIPPLIWNHANLRSVWASLDSIQFV QRKDIRRQGLSYHIQTHYCAQDLPRLVAPSQLVLAKFEPVAWTQRGLFLSEPSEPLSR SNTALGVPSTAEVVAHLKTLTTVIALDHPGDLTLLSDIKETYAWLNDHSYDAKIHLQA IQAERIFLNVSDPTSESWSGKWVSAKEVVLYLQYDVNPLVYAREFLLLFEPLLLAAGC KSLKETDRKPVDIPNPSTDAQFKQWKDRLNSLRLSGTCTDVTLVPRNSFQDTVHVDVD LTKLRAHRVVLAAAIPHFFTMQSWKEAKDNFIDTHGTSFGAKAVLDFVYTGEIELSAK TEDKEKLLHLMRDLLEVFLIADEWNMNDLKAKIQHEIIYKHDMIRRLPEHFNILLENA KIYNADILIEELERFENMHRDLLRRLEQSIEVEGTQS JR316_0002888 MEAGISDEDYMKIDDSNSNTGELERPDTPIVDNHLTRGEYPPIL LDGKEVEEQAPSNGSDPGREDAVSIVV JR316_0002889 MSTRQIQDTTRWLIAMSRDVQQPCGTSAGVWEGSTSTLDVPIPH YPPHSTTPDNIHLETEPAPNPYFDPLSDLAAPPVDEYAAGDLFQQSVDEWDRLPEPMY SSHHCPFNLAAPQAVDSAQVDLYQSDRASVNEKNIMVPAPELFCPSTEALITEGSSGN QSHSSITEPFRPLAVPRYVEMGEGSSQSCNMFPSYREAEHIHNHGSIEPDCYLASGSL TGPVEATYGSDQTVNGGWECRMRLGDAAEVQRASEASDSRSGSDEEPRGDAGAGQDTP SELAMQEYAGGRVPTSRGSRATDYPRYKSAVNPAYSMTRVPNSLRSGDVKPQAVVMEG GRRWTVIVGGGERASSSGASSSGTTRERVFEK JR316_0002890 MFTTCFAAPSIQNIKILWSAGNPPHRRKVSTPTKTYTHFHAPSI LGRLFPSRTPARLDIYGVFKLRHCLYQKEGTSDGKKTWGRTPTRLSQGILLKIKVLYT VLFVNAHPNHAARYDFERTKTRKTLVEQSTLATQSTLISRMPSGDEKAFLSTTQSQQN TYDKYSILFVERIFAVVDVIECRNDINVIVPLQSHFNHWLNLPIFEMGEGSSQPRNMF PSYREAEHTHKNGSIEPNHNPASGSLTSPVEATYGSDQIFNGGSITEPFQPLALPRYV EMGEGSSQSCNMFSSYREAEHIHTKSKSIEPDRNLASGSLTGPVEAIYGSDQTVHGGY VVVPPLNRRELMSNKFEPDESAACVLERCRVEVRRMENRSWWTDG JR316_0002891 MTRSHKHTTTSITNNRFFDNTGAVAGTFSVVGLVVLALLIACIT SAVRRRRARKFDRELQAATLEAASAPKPVFLDDEDDAPVRGGAGVYDPEGYAPGGGGG YSDVSSHGTYGQPAMSVGSHSYSHGGGGGGEAYGMREMHSYAGPGGTGSGSGPGPGYG GGYGAGGGGGMGGGGGGVAPGEIFDPYAAGVAGAAAGGVAGIGAVRARSMRHAAEQGQ QTYNNTGGTPDDGHPHQPQPYAAFASAPAAGYDPYAGAGGPFAGIGTNSQTSSGGGVG SGGYGVVSGGGSGTANALAQRNAEILEAAGMGAHLAGAGMLARGMSVSQAQGQGLHHR TSPSQEFRDLERSRSMGASSATQYPPQQYSPPPQWSQVAAGAYGQQGQSQSQSQSYAQ QSQSQSYTQQSHSYTQQSSYSTTQQSQSHSQSFTQQHQRGMSTGTAGDDMEDAYGGYV DDGDDASPGERLPNPFDAAAAASGSAAGAGALGAAAAKGTVHSGGSGSGSGQSHGHST GTGTERESRSRYSDEVDDLDGEEEEEEKPRVLKVANQ JR316_0002892 MVLPLLLSDPSTGLRYGDLGRTVLHFLHVVHSMERATSIFLEAV SYESRLDESDTPPTSSLSSFDAHVGDCACQTRAQMFWDLFSFYKKEENRSVLANAVAV LKSVKANTAKLLEEIELNRAKPRCPSLMTLVTHQAVWERIGFLSFLDLSHKDTEERGK PFQDDPESQCMFSFHFSYIYLSQICLAGSKKVSQSGHRHRDIVVDTEWMPSDIVFVSR LLTMCNMLSEFRFASIEAGPPARVKVRVDLPLMYERNAKELEYLNLSNKRRIDYHIQA HSKTNRQTEAMQIYVSRVTVDWVKKAAMKRNGSWTCFPDSFFATSNRKIECVAAYASF LIVREAWLKSRIPILMMIQHFCSHGGYRNLYCRILPNPADNMTTADYVALGQAQWFDI EVLTVDQIASAPWNRNPHTIIVGMSCQGTIEDFRLRLLESQQGLLPVQHRCRQADECA LAIECINISHLVAHFFSQHEQFPFTLPGGEDEIERVGCALEHSLGAHAKSAFFMGRAG AEKFGTGRSTRLATIEHIFLEYPSVFANDMKRIGEKPQVLGCQLE JR316_0002893 MAQSNSSVEDEKTPSRSQQNKRRSFFHRNEDQPNGKDVEKEEKD EATQSQQPDIPATLPISFTQLFRYSTSFEIFIDCIGIVAAVAAGAAQPLMTLLFGRLT QDFVAFTTLINEAQNGVPGAADSVPIAAENFRKSAAKNASYLVYIGIGMFVCTYTFMN IWVYTGEVNAKRIRERYLKAILRQDIAFFDNVGPGEVATRIQTDTHLVQQGISEKVAL VANFSGAFFTGFILAYARSWRLALALTSMLPVIALAGAFMNKFVVKYKQLSLKHVAEG GSLAEEVISTVRTAQAFGSQSILTGLYNGRIGESLKAELKASIAHGIGLGVFFFVIYA GYGLANAGQVINVFLAILIGSFSLALLAPEMQAINNGRSAAAKLYETIDRVPDIDSAD PGGLKPEKVDGEIVLEDIRFCYPSRPTVEVVKGLSITFQAGKTSALVGASGSGKSTII SLVERFYDPSHGSVKLDGNDVKALNIKWLRSQIGLVSQEPVLFGTTVKQNVAHGLIGT KYENAPDEEKDVLIKEACIKANADGFISQLPLGYDTLVGERGLLLSGGQKQRVAIARA IVSDPRVLLLDEATSALDTQSEGVVQDALDKAAAGRTTITIAHRLSTVKDADVIYVMG EGRVLEAGTHEELYRQGGAYTRLVEAQKLREAANPVDKEEGDDVQKTAEKTVSLERKY TNHSLASDILEQRKRNASHEEEDDYSLFYLFKRMAPIARSQWRNYTVGAVFACMTGMV YPSFGVVFAKGINTFSLTDKHERRHQGDRTALWLFLISIISSITISFQNRLFSAAAAN LSARLRSLSFKAMLRQDIAFFDKDENSTGSLTSQLSDNPQKVDGLAGITLGALVQACA TLIAGSVLGLAFIWRIGLVGIACTPALVTVGYIRLRVVVLKDQKNKKAHDASAQLACE AAGAIRTVASLTREDDCLRIYSQSLEEPLRKSNRSAIWSNLLYAFTQALSFFVIALIF WYGSLLVSRQQASLFHFFVGLMSTVFSAIQAGNVFSFVPDMSSARGAGSDIIKLLDMV PDIDADSSSGAVVDDKAIQGHIRFEDVHFRYPTRPGVRVLRGLSLEVQPGTYIALVGA SGSGKSTTIQLIERFYDPLAGEIYLDGQKISDLNIQDYRKHMALVSQEPTLYSGTVRF NILLGAIKPHDEVTQEEIENACRNANILEFIQSLPQGFDTEVGGKGSQLSGGQKQRIA IARALLRNPKVLLLDEATSALDSASEKVVQAALDQAAKGRTTIAIAHRLSTIQNADRI YFIKDGRVSEQGTHDELLAQRGDYYEFVLLQGLSKR JR316_0002894 MIVAVVLGVSAATHNNGQGNAAATLHKVSVIIFFVLTVLQAFQT ILLVRMESGGKNQYLEGQKSLGKKHGLIILLGISALLLVREGFSLATISSSTKQDNEH LWYPLLALPEILAVVLYATPGLVPKRDELPSPQQV JR316_0002895 MSSNPEKINYAEVLGFHSVAAAVVFAALYIPLLGWFIRQSYFRP TYVHFVLVFFCTTGFAIRAVLAGSSTAGGNLGLVIADEVLFGGALMRISASQNPTVNF D JR316_0002896 MAEILSGQHDFTPQPKIDEGVNHDEKSDKLSQTDKELALRLSSI IEDANAHVSPLCQMIRKNIESFEAEEEDDRDEQALVKEVRPLLEETEKALNETNGAIK GSDPDGRLAKQAQHDLKTEQASPEQERLAEALKVLVEEVQGTVQWAKEKLNNFPKAKR DLGPLLDALTHPLTQIVGGVGLLLAGVLNLLGNLLKGFGLDSFLKGVVTATGLDKIYK GMGLGKWLNSGIKN JR316_0002898 MVLNYSESAIELPLEIIELIIDELFARNDKATLRNISLTSRRFV NRCQKNLFHTIDLEDRCMSGEEYYRRLFYTVSTTPKICDYVKELRLVDTYVWNKDEDW LWLATEDSICDLLDYLPNLSSFSLKVNTGTPNWTAFSPCIRRSLIQLAQRPSIVSFSL THIHDFPPTLFVTLATIRRLELNDLKVHDLCLTSSLGVALSLATIPIPRLESLVIKSV SSATLYVLRNILDLYPRPSLRTLRVAQMDDKDEEMINELWSIMQWAADTITELEWRPA TRPRTPANMPPAPIDIRILSHLESLHFLVNFHSEDQPIFSSLLDLLSRVSSGYNFCEL VIECMFVKAVELVACGSDWLALDTMLTKPEFDGLKRVVFRARPAHFMKLPAKTILMEQ LPVARAKGVKLIFDMDYP JR316_0002899 MKLSNPISAVASLLCLIPASLALIATEWTVSNVPGTGLKDITFP LTIAEADHIEGYYFAQQFGFVGQNDVGYTGIQPRPDNGGQSVLHGVFSSFIAGTSTND PNCTPGADGGPGVSCSVEWNGVYGRKYNFEVKTAGSNVWVGTAIDTVTRQRIRIGSYT LPAGTQGITGSQVGFVEWYPWNGYEPPNHCQRLPYQRTIFGNPTTTHSGSIGTQGLAY EYGDCVGDVAFNTSTVVGGISNNCGFRGQTGY JR316_0002900 MNSQITLVNPQFIDANEPAEVLIVYPDNLKNTNIFRAGNEGQPL YTVKSNLAITETTITTPLDDPGVPLAKIERREIVSDKITIRGRETMKTKSWLKYGGIF TIKFPATFEENGRKYMWKSSIVNQISLYLASDPDRPIAWFEKSRKRVVDGEVVLHKAF LALQPEAMEIRDIVVLSFLILELKNRMGQKAEELNVGRAVAMDVGDWGV JR316_0002901 MKFAISAAVLSFVGLASATSIAARQSCPQATRFGIPSVTPSTVS AGDSISITVDLTCGVTRFGIVPEFLDYSIEVPAEFNNGFQQAIILARRAIPAGALSDS FTTTVPHGFFVANSPYNVVVTNIYNINGTDGSPVLVKGGVLQPITINV JR316_0002902 MSQPAVLAAATTLTFSLTTTSPCGARTGTLVSRRAASEKEMRIQ TPNLITSTSRGVVPHLSRDHHRESAAIKWVNVPFENFLEQNPPLPLLQPGATPLHTLL GFTPSSHLLALTARDPGAAHDMPPNSHTYINVSTLRGVRKLTPEAWREYAARSRPDVV FALSDTPYTDPPYSQKRLTKSIERSTAWLAGMLRTAPALLPPTEIGKRGKGKQVSLTS TSSDPPPPLPPVLVHLAGGTSPAARKAFAASLSEPLYGPEADALAPLRTLDEGVSGYV VDLVPLKLELAAAARKVGASSSAGADEAAPAKAPPASEPPIQTTPDYELIAPLVLSSL SVLPSFSSSSSQPSPKPLIITNPTSPHEMLYYITHLGADMLGASWAQRAADIGVALDF EFPVRTRAGQREYWKREIGHNLYEKWYALDFGAFAGSFRGAGEVGEKDDDIPVCPCAA CSPVVVGVDGRLFHGADEPSYTAEGSPIVSSTTTSSSSSTVSASDSSPTNKATPTYRP PHTRAYVHHLLHTHEMGAHALLALHNLAVVNAFMKAVRCVLETSAAQQTQNLWDQEVA LFMRTYADPTTSGLFGEAERRWKEVDLARGKGRLAREREKELSEDGKVGQGEGDEQCE LEEI JR316_0002903 MLTFPRATGLHNLTAGEKNPLAEYNLSFKKLQLLEQIAHSDTPP ADQDVKTEPDTIEDPDLNAIFSSNLEDIDESDYTTLPRLTEDDVAFDMDEIDAEDEEV DTDELISSDEGDFELD JR316_0002904 MKFAISAAVLSFVGLASATSIAARQSCPQATRFGIPSVTPSTVS AGDSISITVDLTCGVTRFGIVPEFLDYSIEVPAEFNNGFQQAIILARRAIPAGALSDS FTTTVPHGYFVANSPYNVVVTNIYNINGTDGSPVLVKGGVLQPITINV JR316_0002905 MSLIFTDRSRLIYPASATSRSSATGTIQTPKYGSDSTVDRSFTS TLRSASSDSLGPLDISANARRRILAGIWLSQFLSSLNLTLVPTSLSRLPCQQASGALI VFISVLPSISSEFQRANEASWVGTSYLLATCTFTPLYGRLSNAMGRRGANQSAVLFAM VGILACGFSRSMSMLIVSRFISGMGGGGIFTTSSIITSDMYSLRSRGYIQSIGGIFYG LGMGLGGPIGGLINDWLGWRWAFLIQVPLFTFSLVLTSWNLTYTLPGTGRSPKEILRR IDYGGTFSLLAAVASVLLFLSMRFSEGLPLASPYVHVPLCSAIFFIMLFLIIEVYLAV EPILPAYLLTEIVPVLIGLSNALVAVCNLSITYFFPMWFQIVAKSSASSAGLHLLPNS VCISGGSFFAGYMMRRHARYKTLNAVFGILPFIAALLMTQMRVTSHWVHLWCTIMPLG FGNAVVLQTMYIALVSNLPDSQMAVGTGFVQLLRGLGQVGGLAAASAVFQSRLSIELH ARLPNVSEELIQKIQHSSKLVEHLPTDIQQTARDAYAASIQCVFILAACASLMAYIVR LPIPDKNLAADERPPAVSESRRESQTSWSDNDSSSTLDEAEVEEDKGSRESSHRRRIE SA JR316_0002906 MKFSVSAALFSFAGFVSASTVVSRQSCPQATRFGVMTVSPTTVK AGDTIHISVDLTCGVKNFKIIPKFIDYTIEVPSNANNGFQPPIVLARRTIPAGALSDS FTTTIPHGYYVANSPYNVVLTNIHNIDGTDGSPVLVEGGVLEPIKIIV JR316_0002907 MPPSDFAKASIPDVLAKLTTEEAILLTAGVGFWHTHALERLGVP AIKVSDGPNGLRGNHFFRGTPAKCLPSSTALGATFDPELIHEVGKKLLAEEAKLRAAS LILAPTCNIQRNPLGGRSFESFSEDPFLSGHIAAAYINGVQSGGIGATIKHFVGNDKE NDRNGYDSIISPRALREIYLMPFMLAQKHAQPWAYMTAYNRVNGIHVSEDNFLLKKVL REEWKYDGLIMSDWHVLFYSVSDSLNAGLDLEMPGTNKWRTINLVSRSIDARKVTTRT VKERARRVLEAVKKCAAGAPEILDGDGIEHTVDTQESKDLLRSVAAQSIVLLKNEGSI LPIRLPKTESKKAKVAVIGPNAKAIVLSGGGSAALKASFFVSPFEGISRALKEEGKKD GLEVEVTYSEGSRAYKTMPSLDYDIFTPSGERGWIASWHAHLNDESMVAKEEVYEEML LDETRVFVSIDKPKGITERWTVKLRGQLKPREKDCLFEFGLTVAGRAKLFVDGKLIID NWTRQVRGEDFFGCASIEEKGTFEAKAGVKHDILVEFCNVRSPADGDEDEMLMDSNPG VRLGGAPVEDPDELMATAVQLAKEADIVIAVVGLNSDWETEGYDRTTLALPGRTDELV SKLAEANPKTVVVTQSGSAITMPWAESVAGHIHAWYLGNASGDAIADVLLGRHNPSAK LSLTFPKNENDVPSYGHFHSEDGKIRYSEDLYVPSIADSEFSLTVSLNVTNTGNVTGS EVIQVYIAAPTTSELTHPLLQLKGFAKAKNLAPGESRKVDIVLDKYAVSYWSELYDTW TVEQGVWGIKVGTASDKLVLEDSFKIEKGFEWNGL JR316_0002908 MKFLFLSSLISLAGSASAASLSCPDASRFGVPTVTPTTVKAGDS ISVFVDLTCGVKNFGFIPQFLDYSIEVPANENNGFEQPIILARRTIASGTLSDSFTTT VPHAFYFANAAYNIIVGNIYNINGTDGSPVLVKGDVFIPITIEV JR316_0002909 MSASRFRHSSSTHTRFKVMADHQISSSDISWKDFMPGFFDDLFS CTSPEQLYDKYLGPSWRYNEDFWSVDACLQAGNNGGFNNTAFPIHHGSPLVMYSPSSS ISITPYLSEGGEMVVPPLPICQGVVSPENLPRGDVHFPANHNYSHDSVYNWSTHFPRY TLCDTLNQPAFEDPNSLESTSLMYDSIQYHTIMLLVADQDSVSSDFPAPFGTQSFNES ALNNFAFSSGSQNCQDVDYLTPSYTIGEGSNTYNNISISERQYSVDKSSRDLPVEHQQ NKVLKKLDLARSRTVEQPVTEISSEKSQGHIPNCMKGFGISVTRERKQRRNDNRFVNL AGI JR316_0002910 MEAPIGASDNKLGIMQDPMLPPVLASMPTYEFERPVEIPTGQVS TSHGMDTASVTANEAERSIYDNWPLMQLSFLPTPSNQEGSNYPAFSNTLGEGLDGHNL RSTDDRQIVATQCSGDLPVEHGHSEPNVDGRAYESMAEPYASAVTWTNDSMQLPIAPE QPTPSTSQIQGHIPNCMGGLGLSVTRERKRWRKDKGIRFVNLSST JR316_0002911 MKEHFFRPTTIRYDGRELTVDEFFQRNASNMWGRNYVFAGHNGV EYVWKMEVDTVKLFVNDWRNTLVAHFHQRNLGLMHQARPAYLELFGNLDDRTVDQIIV TFVYVEKYRRECDNWDAI JR316_0002912 MSEHQNESFDVPSQETLFVLLAALYESESPELLLQQFLQFLEQE RRENTSQTPFQCGSSTEVSSHSSHIPLSTASSGFHGHDLSATYNPRSIHHHQHCPHFP PYPILWIHNPQWFPVSEHQKESFDVPSREALFVLLAALYESESPEVFLQWFLQFLEQQ KREYLSISIPAWFKHGNTIIHVIIRGDTAWALLLVPSNLEQSRRKQPSISNTLGEGLD NHNLRSTDNRQIVATQCSGDLPVEHGHSEPNVDGRACESMTESYTNATSPCSCPSHRS SMLRAHPTYKVTSPTAWGASASVSRVNARGGGKTRRISEAKETGSKSVYHARHASARA YRGGGHRVVEPVDKGDASPLTFSPYDRDIDGPGAWEFHAPSSRPKFSQILVFW JR316_0002913 MAAAPAHYIRTIHSSFSAAHPHSAAWAATSASSQYLNQFNHNHN SPANHNNIISQPPRPHHHHHQSQHGGYTSISTHNTHMYTHHLRDLTHGGAPVVGAGSA HRQQPQLQGGQHAHASYAPNGPRKPSADAQRSGSRRRSVSIRMPPTYKFDPFADEPVS AGAAAPASDSLNDAVSVSEQQQPTHTHTPAAPYTIHIPPAAASDIQMYTPPRPRPRPI STTLSPRAPSFTPAAGAVTPARSTSPTPNPQRSATPTPTPLPRAPPPNLSKVVASILL NRVHAVGKPMRRRMAMHTQGQGRDYVKSCLSSVVSVEA JR316_0002914 MTLERFDTTLKLPWDLLGFKLGLGLNALTGGIAANPFRTVTEPA KSSKIERSASKITAAEETITSLQKKRISSSGTVNVVLPVAAPITGVSIDAGIALEKSK GSSERTVVFRHRAEGLFEPEQLDLTKLQLRGSAETILQAKNYVKFRERYGDYFVAGVQ RFYSFDVIMVCKASNTENMKDFKSRARTSLDKLFSAKTELDISKKSSEYDFVASVDFE QTGCDFELVQDLTTLGKLAAALEFTQKLKKAKTKPTGTPRIALLRHYSVVPSVDIENK LPVARTIFSDLHQAEELCVKIDNLAAQCSHPDFEAYRQTVADAQKHVTNFRRDRTHMG QDTVARETALRRLKRSKGELNDLLNRYSFLRKVVEWKKKNPFEDDQISMDRGTTYDRK EFGMTETRESSRQDPSSKSRRVTLENGTISGFQRVMDCTPARKKGIIEGLRGKREEEI GLAKKSHAEEGKSETIQFEKESFYIVGWVASVDSEETGYKYAEIKSGGILKENLNLDL VSYVDTTWHIRIVFVRKKYYDFPSLLQ JR316_0002915 MRLRGVIVALAPVYAVATFVDPCVLEACPGYAASNVKVRHDGLS ADLVLAGEACNVFGNDVQRLSLNVTYETDDRLHVKIIDPSSARYEVPESILPRPSSSP GASSKASSIQFSYTASPFSFTVSRSSDNEVLFSTKGHALIFEPQYLRVKTSLPANANI YGLGEHTNSFRLPPDNTTLTLWSRDAYGVPTGTNLYGNHPIYFEHRTSGTHGVFLLNS NGMDIKLTNNGSASGGPSLEYNVIGGVLDFYFLAGSESDPTAVARQYAEVVGTPAEVP YWSFGLHQCRFGYQSFVDVANVITRYAAAQIPLETMWTDIDYMFQRRIFTVDPDYFPL ARMREIVDYLHSHQQRFVLMTDPAVAYLPNDTYAPYNNGKDLDVWLKAPNGSESLGVV WPGVTVFPDWFHPNAQQFWTNEFESFYNPDTGLDIDGAWIDMNEPSNFCNLPCDDPYQ QAIQMNIPPPRANPPPDPNTPIFVNSSGQALSRRDDVDLLNPPYAINNAAGALSSKTA FTNIRHANGLAEYDTHNLYGTMMSVGTHNAMLARRPGKKTLVITRSTFAGAGAKVGKW LGDNFSQWDHYRASISGVLGFAGVYQVPMVGADICGYAEDTTENLCARWAMLGAFYPF MRNHNSDTSISQEFYTWPSVAQAARNALDIRYRLMDYIYTAFHTAHTDGTPVLQPLFF KYPKDPNTFPIDLQFFFGDSVLISPVTDENSTSVSIYLPHDTFYDFLTLAPIQGTGAS VTLQNINFTTIPVYIRSGTVLPLRVASTMTTTELRKQDFEFVVAPDARTGQASGKLYI DDGETVSPPASATTQVKMAFNGGRLDVSGTFGFDTGVAVKRVRFLGVGAKPDKGQVKV NGKTVREGDVQWDQTTKVLDVNAGIPLDKAFSVQIHV JR316_0002916 MANQQATSFDVPLDEFILEFSAAVFEFDSASKLLVEQFLAQDTQ VESDSSAAVSLQTEWPSNPPPFSESLFPPTDWSHQSNHQSSAHPSSPTPFHPWLTTTN PHNSSWTSSRAPVFKSNYPSSFMEPLSGNDTQSRWGSTHDPTLSEFSSPIPACKLPML SGTTEELAVPSIQDAWMTTNEVEAGIYDNRPYRQLSRTPISSNRDVNKYSAFSNTLGE GLEYHLESTDNRQTVTDPRSRDSPVEQSRSEPNANGSREHLASMDSAYNGLYALTNAL GSHSRAYESMEKPHGTKTEAWTNTSMDLPLASEQPTANTSQVRGHIPNCMGGLGVSVT PTKVAEGDIGGYTRAILKSEYRASRPSVRAYGETTENEGDVEGSSR JR316_0002917 MSEQQESSFDVPLYELPAGLFAALFESESPELFLEQKFLEQDSM ENTSRPRFQYGSSTTNSSHIPLSTASSEFDAQDISPTLISSYSHPSTLPTSTSLYHPA AEGEGQLFDPWYPPASHMQGQLLDQHSFQTGAHPSLPVCTESVWRPLPQHLPGPWPDT RVPVFRGDYRSSFMEGPIDASEDKLGIMKDPTPPLYGASVPIYEFEMPVEIPTEQAST SHDMGVASVTVNEEGRGTYDNWPPMQLPLLPTSELSNHEGINYPAFSNTFGEGLGYNT MKSTNKWHTVEDQCSGDPPVEQGRNEPNTHGSDPYGLDNALEGLPNAEAWTNESLHLP IAPEQPAPSMSLVEGHVPNCMGGLSVSVTRERKRWRRDKGIRPREIFYTRDIDGPDAW EFHARRPQCLEFAIIGVFEGKI JR316_0002918 MSSSPIDISNNLYLSSDEPWRATFTTEHGLSLYKTESSSLWSTK FHTKILRAIPSFQAQETSSTADVSLKDSFGLLADIEFHTFRSTIIRYDGKERSVDDFF RRRPSNMWGRKYVFTGHNGVEYVWTMEEDKCKLFIADSSNTLVTNYHRTRYGFMHETR LGYLELFGKLDNRTVDQIIVTFVYAERYRRLERGHV JR316_0002919 MSAFNSPPFVLATLGYYSPPADGGKPYQKGVVDPSTGIRSLERN WFPIDVPGIAIENARGREHEVSLDKTGFAFFHHVSKHTSFENEDNVKTAYYLESAELL KKLTGANRVEIFDHTVRKSNLGPGVENLIYGPVSMVHIDQSNDASVARVHLHMPAADV PKLLSKRFQIINLWRPIHHTALDYPLALCDSRSVDPTDIVPVKLIFPDRVGELLSVKH SEKHKWWYLRGMTPDDIVLIKCYDSVQDGSVAVATPHTAFYDPTAPDGAIPRESIELR ALVFYD JR316_0002920 MTTSQRLYLSSEIPWNASYMTSEGYIVYKTEPPPSWTEEVKTIK LLRANPPYSESTTTNVSFSTLAEIQYRNVHKAQIQLYDGRDSQPKNVFFRKKGLSFLG PRNRVFMSPIGGEYMWKIGSTSKLFSNDSRRNPVAVFHKAKGGIIRRSRPAYLEISVD KEELMDIIVATFVYMEKLRMERKDIVELVANAVSTLG JR316_0002921 MDPIPNSNSIEQPTQEVNSVLRAHVFNMMPIRLLQLPEMKLIER GDVFKHLLPKMSDITEAWIEDRIKSTKWESVAFVSGKIDRRKRVIEEAVTERAQYAIL SHTWLLEEREITYGDWVSQQNLSGAGYAKLKNFCKVAYHDHGMTLAWLDTLCINKDST SELDESIRSMYRWYLHSAICIIILADTSIIDDVPKDRWFTRGWTLQELLAPSRLKFYS KDWKPLLQGHNDKAYVEKDEDNSDGDDSNSDDSTSADGNNLSVFGPLIEQATGISLNE LRTFDPAGTRRNIPTRMMWASKRVTTREEDSAYCLMGIFGVSFSIAYGEGRERAFFRL LEAIMTTYRDILAILDWSGKPIGHDVHATSLLPSGPECYKLAPGDKRLESFAWYDIQD YVPFSFAPKEPMTLTHLGIRIDLYCVPATVHSFEEKDGIYTVYMKCGARFCEEPIAIN VYPHTYAMFDEDEDEGYGKEFMFGIWTFERDSQDTVYIPNEPCRVFLLESTDPYQPIE MPRLWHKVDTPDPLRISPDSPWEDDWDKEKISIKTFIL JR316_0002922 MPSEFVNHFTRTLEPSTSRPTSPPPSSTFNTKGHKTQTNVVTSS STSASARKAALHALLLSLNQHTVSLDDIQRSLPTLEGLLKSRTAGVTAESTEVSRVSV PDAEEDALEQAIIGKLTVSLYSDALEIFITQATQVEAEAEWWSDVESSRIQVCLYLLQ TLPSRVCNVLRTIITTLRSHQLPMNLSTLSPSSLHALFSTSTLSLRPGVLTTSFFPHL RHQQSLSLSVLLPASSSTYALDHEVSESTNAVYVRLRKSFHRMVHTLTWTFRFFILPL EITRQECRYNQKALERIRDERARVLGELAQLRIPLASLVHSSAGIRVDSLATRKYTTF LDTLARVVSYSASPSSLHASTSAIFPLTTLLQTMPTLNMNHTLYLNDQNLLRPSMLTR IWPRLMVFPAVALYIYSSREAWIPALINMLKDADETVRGFVQGWLVEPLLGVLHTVRV GGKGDVLVSKEGVAADLESLERMTLSLARDALQYTPSQLESLAEQVRLGDLTPVMEIY EEDIRTPLKSAITGTLLRNAFIQVQKAKVDIDQALAGIDRLLKSQELTFAFVGVAPSL AIVWAFFGAAGRLLKTSSGSRYGGRRKRRGVWERLRRIERLLILQPPSGPSVSDTLNS DSPIAPLPTGLLILSLTRLRSYALANLPANIQAAFLEDLGDLEDTQLGREAKLRVVER MWRCWGQGQEAVIRY JR316_0002923 MTNTHGDDSEATPLLAHIKHEDVYRRFEPSQKRVILAIVSTTGL LPLFVSGTFVPSIPQIAKDLNSNGTVVSWAVSLSIMASSLGSLLGATYSGFYGRRPIY LVGTPLLFIGSMGVALAQNIPQLMIWRFVQAIGASPGLAVGSGVIGDIYKLEERGGAM GIFFAAVLLGPALAPLAGGFAAHYFSWRIMQFWLGITGLVVFFIVLFILPETFHPGER GVEKADPASLPKWRPVFLNFLEPLWIMRSPNLMAVILAGLFTLMTDYVLLVPIAYTIG AKYNITNEALIGACFLPCGLGNMIGAPLAGSISDKVVIYYRKSRGGEWYPEDRLRTTL IGGLIFVPLSVLMSGLLTTYVPGKLGLALNLVCLFINGLGVDFVLSPSAAYIVDLMHS RSAEAMAAGNGFRSFMMAIGIACILPMINTYGVAVTDAIAAVFAWIGFILLWVTIRYG DRLRAVVDVGFSTADNN JR316_0002924 MWPHCLCTVQFETSLFQTLSSSDLHSIVSVLVKYGRILTARQSL YAYCYDLCGPFYGRTTRLPVQCIGIRTDEDSPGGPFLGLWTVN JR316_0002925 MDHLSSITAEGPLSPLSFFRDWQGSQLPLDPLHNEIYIPNTQLP RQLDQKERERGSHMNSDDENDTSPERRPYPPLLDMGIGHEWMTSPESVDTDLDDESVL SCSTSSLSPPYPDSDLRHFTDSEDYLDDLNDDDLYLPHAFASLGSNSTTPSPLTDIYH PLDQYPSHYQQLYKSITRTTSPTPPPLTLHEPPLSEPPPHPPPPIPEPSAQTSKEDPP LPTLLTATPTPARSPPSFGSPCEFDFDNGELIPCSPSRRRSTELPSMHDDTSGSDSTN QLSEPQPQRTAWLNLPGAETDDDLIPTELASKNYVPDPTITVPTSQPMHSLLIWGPTS ATAFTFPSSCMSDFLPAAVRSPSPEASSIDLDLDPATLAELAPEGESEDAQKLWEMRV RKARSENWEKERCRELEALLRLKLRLDEKRADGASSGVDTDADATTGAMRAASHHSPP RRSSSSSKGRITNMAQLVANMVFHRQQEPSSPKRSHHPAPRSSSIVSSPQPSVAAGVK THPTPRSPLRQVTLPDELEDEDEDEECLSPLSPLSPLTPFGLCESPLSFLTELDPIRM SDKEHDLS JR316_0002926 MPVTGAVYKYGPSTPNHRRQTSETMPGGLRNPLSLSQMVEKIGS AVHDAKDGAHNKKESLQKKMAEHGLMVPYVDLQIQFIGASGLPKMDVVGTADPYFIAN LDDKITFVSTVKQNILSPVWNEVWRVKNVPAIADLEIKVMDKDEGSMTDGYIGKAKTS VNAGARELEITGPLLRRSRGTIWVKIESTPSSDEQPFQYPYLFDGPIRFSRHYSPTVG KLTNLEGDARLYSTWKMYLKGIPLFFGDVYQPWNKSYKAAQSIFQGPTSVAVRSGIQA GHRMLYARTASNGFGVIESAADVLQLLHGGASRPGSNAPAHPSRVKPAVYTYIISSED DSFRFSETGAAFFVDFASKHALHSNCAEKVRYSGEFHPRPQGGWACFSDDVPDDQVHW ELVIDNNSGTYAPDKTMLPALQKLLEYNFPGFGIFALDHGDEELKKSREACRDYALRF RGVRQEELQPHAQQGEETLSHHASVCLSHAEKTVEHAFGGKS JR316_0002927 MEESTSSSLHDQAKSKSKAKNLFLPRKSTSDSDIELRPLQEGSL KDIKATSTQKEESTITPVGFFQLFRYSTWFEVFINSIGVLAAVAAGVAQPMMTLLFGR LTEDFVNFAQISSQAQRGVPEAVNQLGQAALDFRSAAAKNATYLVYIGEVNAKRIRER YLEAVLRQNIAFFDNVGPGEVATRIQTDTHLVQLGMSEKVALVASAAGAFIGGFALAY ARSWRLALALTSILPCVGISGAVITIFNVKFKQQALQHAAQGGSLAEEVISTVRTTQA FGTQGVLSRIYESHTKNALRLDIKAAIFQAAGLGAFFFVLYSAYGLAFSFGTTLVNSN NITGGQAINVLLSILVGSMSMAMMADEMQAISNGRSAAAKLFETIERVPEIDSANPGG LKPLTVDGNIVLEDVHFAYPSRPSVEVAKGLSITFQAEKTSALVGASGSGKSTIVSLV ERFYDPTRGSVKLDGHNLKDLNLKWLRSQIGLVSQEPILFATTVKENVAYGLLGTKYE HAPQEEKDILIRDACIKANADGFISKLPKGYNTMVGERGLLLSGGQKQRVAIARAIVS DPKILLLDEATSALDTHSEGIVQDALDKASKGRTTITIAHRLSTVQDADVIFVMSDGQ VLESGTHTELLKKNGPYTRLVEAQKLRNGKEIGDSRVQSDVNTGGKHLDSKDDSKITN DDHQVRDKDPIVLEKDHSLRYVVKRIGPLCRDQKFNYAFAAIFASVYGMVYPAFGIVF AKGINVFSLESKAERRFQADRTALWLFIIAIIAGFAISIQNKLFAEAAAHLTAKLRTR CFKAVLRQDTSYFDREENSTGSLVSRLSQNPQKFEGLAGVTLGVFVQTTATVVSGAVL GLVFVWQIGLIGIACMPALLAVGYVRLIVIVQKDQKNRKAHEDSAQLACEAAGSIRTV AALVREDDCLRMYSQSLEEPLRKSSITAFWSGMLYAFSQALSFFVIALVFWYGSVLVS RGDCSLFHFFIGLMSTTFGAIQAGNVLSYVPDVSAATGAGSDIVKLLNKVPEVDAEST DGIVVLEKDIQGHIKFEDVHFRYPTRPSIRVLNGLSLDIRPGTYVALVGASGSGKSTA IQLLERFYDPIAGHICLDGQKINELNVGEYRKHIALVSQEPTLYSGSIKFNILLGATK AHSEISQQELENACRKANILEFIQGLPQGFETEVGGKGTQLSGGQKQRIAIARALLRN PKVLLLDEATSALDSSSEKVVQAALDEAARGRTTIAIAHRLSTIQNADQIYFIKDGIV SETGTHDELLALKGDYYNYVLLQGLNKD JR316_0002928 MPRVPASNISTIKSGGDTPDVSEEVLGNNSRIALGNSIEEVVIS LKEIIKQQSGEGNGGTHDARLVNGEQGQSDAPKMAYSVEYSNSQTGNSGVSEDADLSG LVDQYAFTEMVYDDRQQFGIQPPSVPEAHATCNQPDAVSLYGASANTNANTTENFGYT GSNVSTTHDAPEADLNAQLAQFLTCFSQTGADESFSGWVQPSASAGLMAPSQYFGTRD GQSLPQTIFAPGALQSQPVIRNVNIPTDPYGWAQNSATAPVSSLTSDPRTLSDVGYSI TNGPATLGAGNSGYYGHDSLTSNTANTPSPGVMGNNFTLANEVPLHQQNQQWDAQPAP IGQNQFGQIDTMYPVSIASPLSAMRLSTTVARARKLGGDERLHAMSPVRCANPDTRRG QPVEVQLIAGPSRASFSEFRVPDICDVDSPKTSSPSHSSSPATSSYDTAASLGTRENG DARQRYSGNFMNNRTQKERTSSRKAKKTPSGSQAPEPLHGGAYSSVSFIAAGTNIRGS YIRNFTDNVINTGFKGIEARNDVPLQELDIVQLEHSFRALGLDVPAEFFSKFEFPAQE KDNQHHADRSSLDPTQFLFADAEGNPGVNMADLCHQKITIRGADDRLFAHGLLKEIRF VITFPGLRFPPKRIKLHNSERDGFCTRGNLAFQIAAMILDFWVKMAKEPRPNNYQAPP GWDYKDIGIGDLFLFGIHLTKMGHWCATIGVRETPTS JR316_0002929 MSKTPFSKEDEQNLVQYIAEERPVPKNRLGNKLYQQLVENAGNR WPWAKRHSWMSWREHYKKNQELFDNKIKKYQRSKRQETRARSIQQAGDQEEEEPEQQN AISATNGRSAKVTVKREPEELNTVPPKPTSDARAQKITSTREEGAKTSSSKELKGKGT TNYAETTEKTTKPAASKSKSASHARSQAAPAASTGDIRTKGSSSKQKPSSRPAEPVIQ QKQDERLETEDEQHVLGDESAQQLSENEMIELFGSYVEEEEEEEEEGTREEEINPTRS SVVAGPNEDAHSDVEIQEHQPVVEDMIDDPKPQLPGAFDVQPRVLYPVLDASEAKRSR LKPAQRPRPRLKQHKEDPFETPSESPPNPPRQSAAQTVPLTKKLPVLEEGAFRTTFKR SRARVDNDDDDDEEEARPWPPKRSKKERDAAKQASEAATFTRPQQVVQVNAVASSSKT MLSPTISAADAPAVIPRTYSEPSLGNDVSDRSSPTKQPGVGDTANSRSSTNMQQSVIL SAKQQGRTSSPVLKPSISKINNEITTTVSSTTRTRADHRQYSLPSKPSSDADPFTAKN QQLKQQQYRVSEKAAGKARAADESYVIGDENESPGETRRIDLHQKALLKRHRLANNQA RASRPSSVLSSRGSLSLSPKRDHSRKSNSLRKSISELDLPDDDTREFLLAAAESTADA LQKMVEDYGFPIEDVEEAFKKTKSLRKTELFLKQLRRDMDQLQQEMLEKQKALYARIN FFAGQDGGNDSDNDVPYGLVKRVSIAGKASGKSSTRSSPRKSKSKRPSLNIKPILTDE EVVSDYSPPGSSRAGQFARLVRQGRKKEAIEREQRRASGVFIPPSQHGGGGRTRQSLL SREIPVTPTPHPRDAVPMDIDDSPAAQDRNVMDQDDDDDDMYVHTDEEKGDAAPQRLS SENEHASDHENEQDHEADDEFEPSQQTQQIRQEYLSRFPDREEEPQEEEDEQDEDAPA ATQPPLPSPSPEHEPAENEQDHEADDEFEPSQQTQQIKQEDLSRFPDGEEDEQDEQDG DAPAATQPPPPSPSPEHEPADARDRIKALAQQAQAKHPALALQYRILVKNLSAENADE MRQFEARNDPDFLRLMSLQLIGELADKYREEGLSTPEK JR316_0002930 MQPDLCGSGRSASDILKRIDYGGTLSLLATVGSFLLFLSMRFSE GLPLEDPSVWIPLVAAIAFAVAFVVIEIYVAVEPILPPYLLRQKVPVLVGLSNALVAV CNLSVTYFFPTWFQTVMLSSASTAGLHLLPNSVCISGGSFFAGWMMRRHAKYKTMNVV FGILPFISAVLMTQMKGNSNAAHLWLTIMPLGFGNAVVLQTMYIALVSHLPDSQMAVG TGFAQLLRGLGQVGGLASASAVFQSRLNTELHARLPEESGELIKKIQHSAKLVANLPP EIQRIAKDSYAASLQSVFILAATASLLAYLARLPIPDKNLAEERPLGAESDGSSVSDT SSTFEEIRDDEDKIVRPRHRMASP JR316_0002931 MAKFKFHMKSFSSSNTETDTQDSIPELPQKEQRTPREYFVSLRD RSFFTLRRTQSQRNVTINTTKGSSPFTFSARSRGNASPKTPQFGSLFGPKAPPRSENN RHHRQYAVSLSHLSRMQGVALHDEPSASLDPESPSELTRLRRLNPRRTKSPKVPPVII TAPSGEALGLEEIREPSLKDDIVAHFRPIPPLDLKTPPSYRSREHSTVINGVLPEITS TSKDDISFEAARRQDAMSGLHRIAEILQGAARSLDGDPAFSRAMVFRYLAPLVSFISV LILGIVIPRYFSIIKDVFGVATSLLVPTIALVAIGFSLVMLEGCLRVACKLCQSFCEL DLDAVFRKNEIQDTNGKSIGGLDLVAGIFAADD JR316_0002932 MLRSLNASSQLSLTQCIRPERWIGVSTLIFRRYRSGGHSKRKPQ INHAKGRPSMDLRLDHMSPRFEPPQHPWRASHHSEFRGNHRDGFGHVTKPAVIPVISK ADELPYFHDNVASWTENSKTQERLALFGIPGRDARKLLDTFVDDVEAGFLSGPKEYIH YGLERFSHQQDDKSIDVIYSTIFFSWASRPENLKRLETQFGVQTSTLDRIASLTKATN REFLGDEFPQARTFRRKFIMHVGPTNSGKTHHALRALAAAHFGVYAGPLRLLAHEIWH RLNTGQIVPLGVEVDPNDKAAYGPKPEYIRSCNMITGEEQKMVEGATLLSCTVEMLSY ATKYDVAVIDEIQMIGDDIRGGGWTSAVLGLVAKEIHLCGEETAIPVVQNLLEHTGDE LIVKRYERLTPLTVENKSLQGDVTNVRKGDCIVTFSRRSIFDLKKTVENRTGLKCAVV YGRLPPEVRSEQASLFNDPDSGFDVMIGSDAIGMGLNLKIKRVIFEALSKRQGGATIS LPVSSIKQIAGRAGRYGLHDSDSGGTTTTLFDGDLRRLRKTLPMPYQPLSFARIGIHA LIVAKALAILPPGTTVRTAVSASEYIGRIPTHVRYMEFSQTDQAYDFIDKEWPQMSIE DKVQVLYAPIPWRDIHTTRIIKRLLRAHQEKFSVTLQDINDSEPFMDTMESIERHISK LNVNEQPMTKHTQSRAKFKASLDKLNFLESFHKILVFYSWMSLRNSVVYADSSVETVK ERLEDVLNWVLVDMSRDGTSSATELEDVRPALITPELLPETNLQHAQCAV JR316_0002933 MGWQDWDIPAPDITKRSTLLQLGSMAFNTYAADNSTASDWYDIS HEWGSTPYGWEPQADGMRGHVFVSTDNSTVVIAIKGTSAGWMVGGGGPTVGKDKKNDN LLFSCCCARVGPTWSTVCDCYDGGYRCDTDCVEDAMKEEGLFYSVGLNLYNNVTYMYP NANIWLTGHSLGGGLAALMGTTFGAPVVAFEAPAERLASQRLHLPRPPTTHHITHVYN NADPIPMGACTGVTSLCAIGGFALETRCHVGQVILYDTVQKLNWSVDIRNHGIKLVID KLLSDDAEWKPEFNGEKEAAPSGWLSALRWGWKKRQGEEPADDDGKVYREVPLARPAI DVEGVDGVCTDCYSWQFGSFKNRTAKCN JR316_0002934 MDTSALNPRAEDPFRKALEKYPENVDILIPVIGRSGVGKSEFIN AVLREEYGQGIKSEQLMDVQHTLRRCTVDVRLAELGRTSEGRRVVLVDTPGLIDGLDS RENEGLHVEKVANWFKEVYRTQDSAVLGGIIYLHNLAHDTLHHEDRQSLLILKALCGV KSLCRVVVATTRWDTIKDEASITWKLRDLEEFYGSIIKEGATFVKENRTAREIVAMAV AKYTPGAPLQIQQEMNEKHSSFSKTTVGSFYREPANLKSGFNAIHTLTRRMLASLFG JR316_0002935 MALTYVNASCHCGLNAFRVAFKTESLPITDDFCHCTTCRHVSGQ LAIHQTSIFGPPLAREPSRRQSRSQSASRSVSRQRSDEGLPQLNGSANGNGNTTPALL SPPTIEITSAPDVPYDLSDLTKYETYSPNVTLYFCSSCSALLFWVPHRPFEKEEHWTV SVGALERTEGIINLGYHIWVGDTVDGGLAHHLRTVDGKQLTLFKEGPGSEKLPTGWTA SEQPEQPGSEDDDVLHAHCHCGTIKFDITRPTEASTLPSAPYPDLLYSHSTSHLSKIS NPQDEKWWLRPVDTPTPTKYLAGHCMCNTCRLTSGFEIQSWAFIPLANIVSPDTCIPL SLAEEDRRPVGLKQYIASTGKYRESCGKCGATVFSWQIDTPDLVSVSVGLLDDKNGAR AEDWLDWHTKRVSFKEKAVSQSIAKGLEDSMTTI JR316_0002936 MALTFINASCHCNLNAFKVPFATSKLPIASELCHCNICRHSTGT MAVHTVPIDGAPLSAESKPGELMLVVADLSKLKTYTAQDKITRYFCSVCFTHLFHEIK EATSGNTLWAVYTGTLERVEGIVNVGHHTFVAETGDGGLADHYLASLGKKLPRYAQEA GTEEVVHNWRSDTILKKESNTNGVIAHCHCKNVTVHLVPLSKEDAQDPSKWWAVPPRN PEDPSSHVRFMCGHCFCSSCRRSNGAHISTYILLPMGNVEVLDKITNEFVPISLRESI PSTSIGLKRYESSPLVFREYCEKCGANVLYWTIDPKRNHIPPPTDGKPSIINIAVGLI DQEDNGARAEDWVYWAEYVVRPEEAIDKAGMEAVKEGVLASTKSASIVM JR316_0002937 MSPTGRVFPSIEQVSREHAEHGRHVWMISSNLSFPSRYYTQVPW SGPSTPLVAVNRENMRRFKKIFARTTHSSRRVAFSSSYDGFHITFEANFRRRMKDLIA HTKLKLRQNIVKLREQIIQNTYLSLPLARTNVKASPSSSTSYLPIS JR316_0002938 MHRVPASNISTIKSGGDTPDVSEEVLGNNSRIALGNSIEDVSNH RISYEFVLNCLRNNRLPEEVVISLKEIIKQQSGEGNGGSHDARLVNGEQGQSDAPKMA YSVEYSNSQTGNSGVSEDADLSDLVDQHTFTEMVYDNRQQFGIRPDAVSLYGASANTN VNTTENFGYTGSNVSTTHNAPEADLNAQLAQFLTGFSQTGADESFSGWVQPSAFAGLM APSQYFGTRVGQSLPQNIFAPGALQSQPVIPNVKTPTDPYGWAQTSATAPVTSLPSDY RTVSDVGYSMINGPALLGAGNSGYYGLDTLTSNTANTPSPGAMGNNFTLTNEGAQNQQ NQQWDAQPAPIGQNQFGQINSMYPVSIASPLSAARLSTTVARARKLGGDDGLHAMSPV RCANPDTRRGQPVEVQLIAGPSRASFSEFRVPDICDVDSPKTSSPSHSSSPATSSYDT DASLGIREYSDARQRYSGNFMNNRTQNARTPSRKAKKAPSGSQAPEPLHGGAYSAISF VAADTNIRGSYIRNFTKKVITIGVKGIEARNGVPLHQLDTVQLEHSFRALGLNVPAEF FSEFEFPAQEQENQHHADRSSLDPTQFLFADAEGNPGVNMADLCHQKITIRGADDRLF SHGLLKEIRFVITFPGLHFPPKKIKLPNSKRDGFCTRGNLAFQIAAMILDFWVKMAKE PRPNNYQVGTTWVGLQGYWDWGPVSFWDSSHQNGALVRNNRRAGEANILGLGYNEYMC YF JR316_0002939 MHLYLTSFEPSNSSYCNQEGDVLFKSICVPKASKRTTVIWRALP SPRYTPHDILWKEKHFVNRRLSTNSSNNIVPEDDDDELDRRSFESHDHDFHWDSPIPS ICDLQKAAAETELLTSFRKVAEIEFHAISSTIIRHGGGERKTCDFFKKEGWAWYGGNR IFTGPDQKQYMWKMGSSISELFLLDTDISEEHGKAHVPFLVARYHCPRHSVPSHEKEP PCLEIFKAGEHMTELILITFIYIEEMRRQRQKLSPSASPTHEFR JR316_0002940 MSFDDYEWSGNNPLDINFVSPQSLMLTNQPEISSMVIDKTNMFK ACLLVPGGRYCLSLINKPVPTAATIINGEHEANMRAYQENLEYVVIVPRLPQNSHITF TDTIVFSVKGKPGIYLHKLDTVRIDHPDDPVFKDRGWKRTRVEIDWPGVERIGRLSNL PCSPGQGFYTRQEVALEVGRQVREVLLPHTVVKHNYSCQALNKRNRLWDIRHIDYRSV RLLGINYYQNWVPVLAIDDPDNAIGARL JR316_0002941 MASQTSQQYAFRAQKSLGLVNGTPDYTPVAGFVAPDVPARTQAY SADGRLYAYALPTVVRIFQAEGAKLLQELPFPNIVDLSFSPRGTYISTWERPVKLEDG AQHKNLRVFSVSTGVELIAFTQKSQEGWDLQYTISESHAIRLVSSEIQVYRPSEWSKG IVDKLRVEGSTLVSLSPGLNPSIAVFVAEKKGAPASIKIFSLSALSGTPTCQKTFFKA DRAQIKWNTLGTQVLLLTQTEVDNSNKSYYGETGMYLLSAAGNFDCRVTLDKEGPIHD FAWSPNSKEFGVVYGYMPAKTMLFDQRVRTLHDFGASPHNFISFNPQGRLIALAGFGN LAGKIEIIDRRTLAKVCTIDAPNTSHCEWSPDGRFLLTATLSPRLRVDNGIKIWHCTG PLVHVQLTDELYQTSWRPTPVENVAPFGNTIPPAPAPSASVLSLAAVQKPVPAKPAGA YRPPGARGLATPSIFKREDEGGPMMNGGASGSATPPRYNRSPVPGAPGYGQGHQQNGG HHYQNGGRRTVPGAPPSGTPSPGPDGQKNRKKKGKKEGGAPGEDGVEGGRQSFDAGQQ QQNRGGNRERQDGKGNKDGGNGKRANGAGGIVNLPPPVVVSPPAAETDAPVTPGADGA LDAVAKKARNLNKKLKAIEELKEKAKRGERLEATQLRKMEGEADIRKELAALGV JR316_0002942 MSKSSSNPLQAPAKGRICIRPYRDSDSEPIRALFVDAFGTGKGS ILRAALKGQLADKLSMCLYTIGSISLCSQAIHLSTRYSSHKDQDNYNVLIYLGQAMLL AALATLLNRAYKVYLAVMKFIQLGLDGDMLDIGEHYCWRSLQFDNSDSSKENERRKNG YWVAELIQEDGSSIIVGGTGIDVTTTRNEPSSAELRRMVVSPLCRRRGVGAQLIEVAL NHARKHNIPSVYINTSVHQHSALSLYEKYGWVRLKDFWYLGSMHVTTLKLDLKNSGT JR316_0002943 MHLCLVNNDPTSTLLITPDGEPLFSIETPLLHSPEIELEKVMAA PARRQKSPITQIKRIERYQRSAGHVETQIGVVEYCGKGNGTKLQLCMENHALAITART MLGIEGAGDFGEQTDEEDENFWEFTGPDSKQYRWQIFVHSPVLLLADNSFTPLARYRR AKLGIVSRSRRAFLEILPAGINLIDLIVVTFVGFMKQRVMVEGGAAPHVQDTDANLTS STPNLQADKQSMSSHERTSCPPQRSSTIP JR316_0002944 MSRNNVRGPTSALTEFLRASGITPSTIASRAATRNQQRAEEQPV AGPSRAANVEEAEPETTERRRRPRRRASGYASDELDEPEGEAVDEDAMDVDEEEEKPT PAKKRKLTKAAEAKLKAKEKKKRGKKDDDEDYEDDEEEDAYTALSRNMMKNAASKPPI GSFEKCAVCGKQFTVTKYTMASGSGGGFLCHNCAKAGGNDPFKKPAVPKKRKAPADKR TVTNFEEKRFPTLVSLCIQLITKHINDIDALGDIGSMNVEAISKALSKNRSLTQENVH LFYNPANASLALFDATNLPSPALETLAYHNANLVSLRLDFCGHLDDTAFKVFSTSLPA LKRIELLGPFLVRSPAWQEFFKSHPILEGFLITQSPRFDDECIKALVKNCPDITDLRL KEVGKLDDSFLDQIKLFKRGLSYLDLSDPSHSCSEKAMIALIRAVGKTLTHLNVSNHT DLGDQFLAKGLLPHVKTLESLVLSHLPELTDSGVSEFFENWTRNNPLTSMDISRNETL TGISLNSILNHSGDGLLELNINGLKDVEEEALRKIGPTCPALRKLNVGFCRCVDDFVI KAWLEGEVDKGVGSGFCSRLEELKVWGCNKVTLSCPKKKGVSIFGVEFHRAR JR316_0002945 MCYHDDILIGHNGGNSGGTLYDDQIKPKALGLREVANPLSRPSS KSLFIPPNQLGTPSNTMPESTHVPKLRLHHGSSAMAIAQLEATECAKKLSYEPVTIHA HPGTDLWRKPPHTDIDNAPICLISAPIDLHKFHTARVTVSANWSSLYDQGGLALFIPD EDLTKWVKTGIEYVWGKPFVGTVATSRWSDWSLVPLPESAGGKITIQVERETKGNDRV ESLWVYLVNDETGEKLGIREITWFFRHDVEGDEAVQEDTGRTLLVGVYAARPKVPEGE GREHEELEVKLEGFEVTLFDD JR316_0002946 MSSNSLYLLTSGLALRPADHFGRGSVEVTSPAPGNGEGAVRRLA VTSTQLLERPHPSVSTIPDVVAYAARTFGTKYNAVGWRDTIKVHEEIREVVSVVDGEE VKQQKTWKLLELSDYKFMNFIQFAESVSEVRNGLLRLGITKDDVVNVYSQTSVNWQLV SHACAAISTAIATAYDTLGVDGLTHSLNEPDCVAIFTNADLLPTLARVIPNTPKLEVV LYDGTASVAVLAQLQTARPDIKLMHLDELRDLGHSTDQQLITVLEERKPSPSTLACIM YTSGSTGPPKGVCITHANLVASISSVTIVFGPHVPAGDIYLAYLPLAHVLEYIVELCA MFVGVTSGYARPKTLTDAGVKGCRGDLIALKPQIMFGVPSVWETIRKGVIGKLNDGGA LKKALFYGALNSRRRGTPVLSGLGERIVLSKVREATGGKLKFAMNGGAAISKETQEFL SVAIMPMMQGYGMTESCGMCTLLPPECIQFGTVGLPVPSIEIKLLDVPHLGYFSTNSP PQGEVCIRGPSVFKSYYKRPDLDADESIWAPDGWFRTGDVGTWNEDGTLSLIDRIKNL VKMPNGEYIALEKLESLYKSCDYVSNICVHVIPGATHPVAVLFPHEVNLRHALSLSHD PALDRLKGADLPTLCQDPYVQHIVLKACNEVGAKNGLKGSEVLCGVVLTPDEWTPETG LVSAAMKVKRAVIASTFAKEIEAQFSDLSASSIGFEIRFNE JR316_0002947 MSDVPPLTPAELGRLAGPLLIGYILNWGLFGVLSMQVYVYYLAF PKDSVTHKTLVYGAFLLEAAQTFLFTSSAFKTFATGFGNPAVLDEVDTLWFSVPIMSG LVAFIAQVFYAYRITVYSRTMYLAGLIMLLSLLQLGGSIANGVDTKKAVFFSHFLKRD SYITAGIWEGGSAACNVVIAIGMTYYVRIFSVVIPKYFIRSFHFAYRKLWKQDSVTKK TSALLTKIIRMTVETGTISAILAIITLILTFLPGRPTYYQASISVLGKIYANSMMVAF NSRIVIGSANATSTAHEVHIPIPLSQTTRTREIAVDIEITRDSTAHDVLDSRVGDGSP ARSRFSRGVAEEKEFKGRGDDIDEEALTPFPSASKPYTKVLYRQRHVLISAIDVRLRY HLVLWHASKENVGDDDDDVDSVADEEGGTEYTSDSDAAQEIEDEIDGNGTSSLCGSGS CDEEVTRGKVMESDAVGGEMESGAIDRGDVADVELLRMWSEAVRRGRLLVDVERADVR VRLRGGSWS JR316_0002948 MRKQYTTPISLASYLIIIFGLALLILTHGLVRSAGIVLPILGTS IFCYSRFSLRSAFDRHIEKSLTGDLADIGKHYCKLDVVDEKADGEGVPSRNWRSGFWV AEVVEDAKRPVIVGCVGLGKSYAYSSEDETSAELRRLVISPRYRHKGIGRKLIQTVVH FAREHQIETIYLTTSSYQQPAINLYKKLGFHLEGKREMTIQMQKWKIYAFRLNVNGYS L JR316_0002949 MSAALTVHPDLSSSQHELSLGSFASFSSIPGRTGLRVPSTSSRT TAQSSAPLSTPSPPNASAFRRKGDDPATSLSSRLDMLNDDGDEPMGGDGDVLDTPSAE KKRWTDAPETPGAVSRSKRSKGEGKGAPLTLRDQEKHIDNLKKENFNIKLRVHFLEEQ LARLAPDQIDAALKQNINLKIEVQQRGMEIKKLKKLVLSLEHELERLQRSGGGGSNRG RERELEERLEERERELQELRRKTSGHDDSVLRELEARNAELEDELENTRGLLEENMDE IDRLKDVIERRGDDSANESSVGGESRRERMKRRIEELEMDNDDLRAKLQEHVDALAQR EEEKEDLADEVDALRLELEDVQRRREAESIERSESRAQILEEREEREAVEDDLNALRD KLAALIIELQQKEDEIDLKNKEIEELVGEHQRIVEVVEDEWRGEVEEARGQVEELRDV LAEREAESKDLRVNISELEANTNDLHAKFETALAHLEQESDQKDSELESLQETIDKLG EQIYQLEDENDRMKEEHERIREDEAAERERLEALSAALKEKIASLKEELAQMTENYET CSQEIHAHRTRQEELAQHIEDLVDEVQRERTARERAEGDLEAADKEHDAAMRREKRVL EAKESALQSALNDLARTQSLLSQREADLQAVQSALQALEAESKRLGETHTTTRFSLQL ETDRLKRDLERLEDELTRARKELDDREAKNRDRDSVVDRLHAEKRDLESQLAAQTQAR LNLSEKLDGSIANLKASEAEIVGYKAKIADLEQRLNKDQRSLLNAEVQYRDQLTERNT LLLTIYQYLDKILGVDKTPKKGNQAETKPFTNFGVFHDNLITRLKALSQIQLDFDKRC KEIEGKFSDKLNDMRKQLDQRWKQIDKFESSLKTYAETKAGWKRKFAQKEGELEAIKT TNAEMASQLASMKRPGGGDAMELRSLATRAANAERRLNNAQNQLITTEEKIAAINQKN AAADSKWEARVKEYEARLKAAEERIKRERQGGKERVAELEASVSRLQRQLELAQKRNS QLNGVLDNKDNRASGSGSSTPSR JR316_0002950 MDASSTAQVPISEFQRCNSCHTMKSVGEEHFKARADGNLFKTCG ECLDKKKKARLNKLRLRNANKENLTQASEEGSDDRILSPPLEYEDSALFQGMKIVKLD AFVQTLLKTGGKIDVVTAKVNVSGTEASSSRDMADKVAKAIWECSGYRFIYHSFYMHK LSLSSKFRYYCAQMYSRQRTNKDCESIKERRKPSMQTFQCHGWLFMTVYQELHVVEVS VQHKEKHVPYRCIDVPPAVHEFLKDNPGISFAQLWKKILEMDPAPGYSRKAMRQYRIA MGRQSDDKMETRC JR316_0002951 MAIKDRSVMVVDTPGFDDTCTEDVQVLRKIATWLKKSYEERKTV AGVLYLHDISQDRFTGSVRKNLELFRRLCGREAFSQVVIVMTKGEKLHPQIASKYEYE LRSEHWRDLIDAGATVLGFKRNYRSARSIIDHVLRGLTRKFILQLQKELVIQKKLLPA TEAGKHLKTTLQQVLALQSTVLDMTCAMATTEEENAGELEERLRELRDEMKILSEQIT TLRDGSVPYSNTFFCCTRNLLHN JR316_0002952 MQKVFSKPKIASTIRDQGELEKLLNIRNIVIPVMGPTGVGKSTF INAIVAKEFDEIDSSSMKPCLMEVGHGLVSCTKDVQASCIKSPMDLVRMQQMLDHSVV LVDTPGFDDTYRDDFEILQKIADWLKESYNDQHVIAGVIYLHDISLDRFPGSAAKNLD VFKSLCGRQAFSSIVMVETKGEKAFPDRVERYDQEMRNSFWKDLVEAGVTIRSFDNDY ESARSVVDYILTEFTQKFILQLQKEVAEDHKLLPDTDAGRKLKLALKDVLERSQDLLS KSSVYNVDPETLKVMEETVKLLSAQVAALRKPSPLKAFFQRLSNLF JR316_0002953 MGTSVNGSGPILAEDENIRNFLQKYPENVDVHVVIMGRSGVGKS EFINEVLREEYGHNLKPEQLMKVEHTLRRCTTEVRTAGLRKTSEGRRIVLVDTPGLDG VINGNEDQAPPIETVANWLRDIHTANLLLAGIIWLFDISQNALCLEDYECLRTLQELC GADSLSRILIATTKWEKERGEQTEKIMSLEKFLEPITKAGAKFVQGKCPARDIIAKSL AGHNSGAVLQIQDEMGVKRKPFSKTIVGASYLRTHKPASSDRASGAYAWFRRLFTMGR FGK JR316_0002954 MLFSPISVFLAIAVYGGLVTAMTTEGFLALESRSALSKFEGPGG VEARGAGDMNVHLRELFDEEDLYEREYFDDAALEFVTRYGDDAQDQKRREPEPIYLDE ADAYEARDYYDWLEELDARYYDELEERFDIGMFDADDVNARELEQSEIDAREPRRHFF CGTAILNPRPLSDS JR316_0002955 MSAYDLHDHSPRSSLDSNDDIKLNTVDATSMPPQPSPPAIPPSI RLLFSFVPRRKLLLLVLPAILTSIIAGGVAPFMTYVVGQAFDAFAKFPLTPNPPQSAK DELLRGVGIAALELIGLAVGALALSSITSSLWIWIGETNVMGLRKTIYTSVSQKDLSW FDGHMGSEEDAGAGGLMAKFSRETDEVRMASSLASGMLIQYLTTCVTCLILAFMRSWA LTLVILSALPILVIVQGLSQGLAAPRLAHEREQTAVAATIIDRAVAAISTVKAFNAAS LEQSRANDLFVSLRLAAKSLNKLWAITSGISQFVMMAMFVQGFWFGAKLVREGRISAG DVMAVFWACLIASSNLQMCVPQFIVLAKGKIGMAALLTLIDYNPSAPPSPRKIIPTKF SGGLALYNVTFAYPSRPTVPVLSDVSLFLPANDTTFIVGSSGSGKSTVTHLLLKMYEP QQGLMNFDEWDMGLLDQTWLRSHVACVGQQGAAGVVIFDEKSVYENIAMAMHDHPNGP PSRRQVEGACRAALLHEFVRDLPQGYDTLLGGGAGVGLSGGQKQRLAIARALLRNPAV LILDEATSALDATSRILVFEAIKRWRHNKTTIVITHDLSQITAQDFVYVLKDGRVVEQ GYRSDLEEVKADYGSDQGEFKKLMDAQRETGGFLPEKDDEEKPVPALDLQEEADENKD KEMPPYLKHQSLALRPMTFGAWMFDVLDDLIGTKPAIAASATVHPSNETQESLENQPR RKRRPSSAMFPSGLQLPTSPDSAHTVNTRRYSLPPTPTSATFTASTYRMSTATAATDS VEELEFNLQKKTMERSATTARQVRTTRLTRTRTKWNGSDANALSHIKVEKSAVSGGPE DQDAESEEPLPPFWALMRIAYRSISRKPLLFFGLVVCVMNGAMTPIFSYLLSRLLYEV SIGAQDLNAINTYGGLVLGAAGMEGFLLGLKYFVMETVGISWVTSLRKRAFGKIMSQD RAWFDLSKHSPARLVQILVKDGDDARDLVAVVWGQMVVVVAMLSVGLVWALVAGWQLT LAGMAIAPIFAGVMAIQTKLVARCEVRNKRAREEVARGYYDTVINIRGIRSMSFDGVF KAQFDASADKTLATGIRGGFVEGCTYGVASGLIYFAEAALFYVGAVLIANGTYSYLQM VQVLNLVVFTVTIGSQLMGFTQKIAKAVQATADFNKLSNLDTVTHESYGTLCTDLSGN INLNAVSFTYPSRPLAPILKNVDLRIRAGECVAIVGPSGSGKSTVASLLQRLYEPTSG SVTIGGVDTRFMDVGYLRDHVSVVSQQPHLFDASISDNIRYGHPTISEVDIRYAAKKA NIHEFIMGLPQGYDTHVGENASLISGGQAQRLQIARALVRPSNILILDECTSSLDTEN QAAVLEAIYSVKEEGGRTTIMITHNLEAMMMCDRIVVVQDGEVVEEGSYDWLMERKGV FASLARGGEWIG JR316_0002956 MQSVQSFTNRAGPQLLSGFGNIFLLGVLTVQVFIYHTVFHHDRL VIRVLIYSVYILESLQTVLLIRDLFRNFAEGFADPESLDQIGLLWFFRKQTPKDARNK LTIQKIARITIGTGALTGSSLPIDYIASTPNILLIYTQTATTAILCCVLNFIPSKPAY YQTSTAILGKLYSNSMLVLLNSRMSMSEFGGDAAWRERYVMSSIEFRDLPGFEQQQQQ QGRRGSRGRSSGASPGSGSVSGMAPGEREEERNSDFVSSIGSHQDGSLYDPGHCVSRV JR316_0002957 MMLLTGAALVIGALSFAHVASAEPTVDRLVSKRYLEKRGSGFAN GGNRFNSGKGPNGKPVNKANYELSFYHLNDVHAHLDEFRSSGSSCTDTTKGCVGGYSR VKTVIDSKRKTKKNSLFLNAGDEFQGTLFYTLFKGEKIAQTLNQLGFDAMTLGNHEFD DGDDLLAAFLRNLTFPVISSNIHSKNRKLAAALTPFKIFNKHNLALIAVTTETTSTIS SPSNLTTFEDPVIAAKNTVKFIKRYYPYINRIVALTHIGYDKDIELAKSTRDISLIIG GHSHTLLGNMTGAQGAYPTIATNLDGDEVFVVTSYRWGEYLGYIDVEYDRRGKIVSYE GAPIHLTNATAESPKLKAQVKKWSGAFADYANTVLGSTEFPLIQTTCQLEECTLGSFT ADSMEDYRPTVDGAIINAGGIRAEIDAGNITLQQALECFPFGNSIAELDFTGAQLWDI FEGIVSKKNLVTDAPVTSFVQISRSIRFTYNPANPVGSRLITLSIKGQPIVLTKTYRI STLDYLATGGDNFWAARTDFVSLDTMDEVWADYVRAVTPISYKVDGRIATTTETVPQK GV JR316_0002958 MAAEEITIGNYIVRRLEEQNIRSIFGVPGSYLANFLDNVESSSI KWVGECNELIAAYAADGYARYRGGLGVLATTVGVGELSAINGVAGSFAEELPILHIVG QQPSIDQEEGLNVIHTLGDGKYDTFAKSTTPYICSQFLLSKKEIGLGVVDQSIDKVIV DCISKSRPAYIALPADLVDTKIVTTRLHEPLKKEEIPNNKDAESTAITHIYQRFTEAF AGWQDNNVLVIADVSISRHHCKKEVADFLAATDLPVYGTPLGKTVVDETSPRYGGVYI GDLSDAEVKKKVESARLVVLIGPLTTDFNSGKFSYKIKDENAIKIHYDHTEVGFASYA RVGMKELLPKLGERLKDFKNRASKLTVPKFELKPVTDSDKQITHDWLWWRLGAWFEKN DLIITEAGSVSYGVLDLPLPSGSSLLAQKLWASIGWSMGATHGAMLARREIEGAQAPK TILFIGDGSFQMTVQEISGFMRSGINPIIFVITNGGYTIERLQHNVEGEYHNIVTWSY GDLLKVLASTTNPIETKTYKVSKETELGKLLDVQKIRNNNCLQLVEIDVGRDDAPPAL RRALGIPDPDNSSADHGKQGVFLPRRDFKAPLGIIGW JR316_0002959 MANTAGKSDPSSVYIKATQYFVNQKLEDLKNKTFDFKEISQGDK LTSGSLSWNELRSDFQEDVITSSRVAIIGAGVAGLRTAMLLDKLQIPYKIFEANDGPG GRLFTYHFPSDDKSPAGKHDYYEVGGMRFPDNAANKPTFDLFKELGFHFRNDQNPNGE LIPFVYGLDDNIRYFNNITSTARQVADGFNLFQEATPIGNVPQDFTDMIDTDEQGNVY RGVDACFYKAFNGLRAKLLANFDEEWPKLLKEWDWASTRSYLAQGPELKFPTTVIDWI EKHKSGTGRYSRAVVEEVLESITFDHPRSEHFDWWCIEGGSEGLIKKMLSTLSTQPTY NSRVTAISEQTTLDPCKRMRVSIHGQGDEYFSHVVSTVTFSVLRTIDTDGVQMNFGQR EALRALNYGQSIKIAMKFKNRWWENKNVVKSSQAGGASRTDRQSRVVVYPSYGLNEDG PGVLMVSYNWDQDAARFGSLIKNTESRTEHPTPGRTPTKFEQVLLDQIYEDLTILHAP DKQKRAEFKKKLIDDTLDFHAFDWYHNPYTMGAFAQFTPGQFSTLYADILQPAGRHGN FHFAGELASHHHAWVAGAIDSANRAVGHIEQDHFTKAKWYKAGSKVPRSLVFDSDDSM VLYHLLGKVTEQAS JR316_0002960 MSVKSPAKAQGTSKDVGFIPVVFPLDRNMPFSPSIKAGSSSRKG SSSLSYMERLQQKHNAMARIARDQPRSLSLSDQNTEVDNERVLLLSKKVREAWLNTDA NSADGKYTFLAQLLRLNSTSGLDGRWSAVRTDLPPAEQLPGARWINAKTEVEWKEWEK RYETERRIKEKVEKWKKTVETPSTQPSFQDSLVSNSASARKGSKAASASILSVPADPL KNSTPFGFSVVKRVQKSAVGKPSGSGSGKATVPPEKPGDSASKPTKSSHNKHIAELGE HSFIPPSFPPSQLLTSTPKHAAKPSNPRKPDPIPHIAPPSTSENSILPDQPLSSPRVT KTYGRQHPSPSQPLEASASLPAIPVTPTRKRNPHNDINDDLNDDDSVFNLNKKRLLAS PATPDSNTNKERALKRARTLSELQSSPVIPPPSNRRDNANVLRSPRTPITSKRSVLPP VANPPVAATSESSPDPLLEASPYHLGNSPKKQPAIEVPTLINLLASAKKGKKTSSSKP PSASKGKGKAKETTTLDAEDGVQGKELHSDVGDDVHEKPPAAPFLFPEAVVEDAVMTI AKDTAEQEPVHADVNDLPEREKDHHLFEPYGNSVVMAYEDPNLLPVDDAASPAKSLSS LAGSDSEDDEIENVDDGNNFLLSFNPVATSTQQHRGPFASSALRNELGANNVSAEKES QPPPNLSQMTKDSWESIYAPTSMPKSKSKASSSKSKSPVPQPQPRHYQIPKTDPVTSS NPFAYSSQLTSGVAKNVYDVDRLLEQDVELAYSGWIRDPYADEIEDADGFKDPESSP JR316_0002961 MSRRESRSSLGARQNDALVEFENFKKKFLLANKHITKLNSTLSV RIEELNAQISTLYVENLRLRASEIALTAQLKKEREKSQKVIADAETAAQNLAKHLIYL RETHNIPTVSNDPPSPPSPRARRPALDVSPSSPQLNRISRPPNVPGIYEEDEPSGSSE ERATPPRKKTKSKPRLSASKLPLPTRAPSPTPTGSNDVSKPKKTIRRQSGLLSVNTEV LSVPRSGSPAFGSPIRLEAGRAEEAEELAAVHGELEITITPKKERRKAKSKEEPEGEV VSKPPKEKKKPREEVDSSDILKPKVKDTTAPRTALQPIDSNVYEQTNTDGKTFLRPGS PSGSAPTSRGSTSPVPTGSSELDGTQNANGNRERRTRKSVNYAEPKLNTKMRKPDNVP GLTEPVRKKRSSAAAVMTSTSYKPPSSSSSTGNDVDQDDIDEENILVSSSVGVHPAPI PLPLRGTSGNYINPEHFPLPPSRPGSAAAMYSPGPPTRTTGSTTSGVSVTSSCSISTS SSNATNITVKKKRSRPQISALSDEESDGAEADEEYLGSGASSKVTGSGAWVNVEGRRK ALPKRSAATAAVAAMEDIRRHSMII JR316_0002962 MTSNRLKDIASHISPLPSTSVAEMALPTFDELPHYKNFPGCAWG VWGADDQLGTVNLLTEEVVQRAAKEEIKLGRTVSLNWPINFPEKPLFNRKSPEIKMII KRDNGMPRDDEIHINSQSGSQWDGMRHYGLIEHAVFYNNTDAKSLPGGIIPISNPNDI DPAMSRIGMQNWAAHGICGRGVLLDLVRYYEDTNNGKLPYDPWTTYAISVSDLEAVAK HQGVNFRQGDILLLRVGFIQKYYNVSTEDKHALAQRPETFAGIEQSIDMKRFLWNNHF AAIASDQPALEACCPSCGRHLLELCSTRLWGMPLGEFFDLEKLSQTCAETKRYTFFFS SWPLNIIGGCASPPNAAVRTSSKNVPSSDICSLILQAYF JR316_0002963 MTNVASGQKRPWDGSENEANKRPRDKDEAKDWRDVYLRSPGRKA SHGRQHSNDRRSPGRHDTGSKGGASGGRRRRGSDFRRSSDHHERAKDDRGYSKNERRR DDRQRSRSQVRRSRSRSRGEIEEKEEGEISPRRSRSPPPRPMHAENDQPTERAQPETK DVEMELDLPSSPPPVEDVLAARRAKRQAIMAKYAGVASVSTSISPSPGPSSAVQPPTP SLSVSNPVSQTPLRSEHAASTENGQIDEATIRRDSASVSPTPRDFTLAKDGGEEPGIE NTGAEQFSAADYDPSQDRREEERKRFGDVQPIELSEEEEEEEEEDVDDIFAVAFSDKK APKKKKKVIKPSAPALITTATLDTAADPEGYYSVILGEQLDGGRYQVFSSLGKGMFAN VVRARVLQGDSGDTGKEVAIKIIRCQESMYRAGLKEVQILNKLKQADPEDKKHVVRLD RTFEHRGHLCLVFESMSMNLRDVVKRFGKDVGLNIRAVRAYAHQLFLALSLLRKTNIM HADIKPDNILVNEQKTLLKLCDLGSASDVSENDITPYLVSRFYRAPEIILGVPYDFSL DIWSIGCTLYELYTGKILFPGRSNNHMLLLMMELKGRFNSKMIKKAKFGDVYFDEMGG FESVEKDRITGKDVVRKVHIAKPTRDLRARLMPSSSAKINDEENKLLTSFIDLLDKCL SLDPTRRINPREALAHPFIRG JR316_0002964 MATTSNIISTKAVAPRGKGKQRKQFLEKNDALALAASIADTQEK ISITKAERHHKPRDESLAKQERKHSMSTKAKLKETKAALKAKQVNAKRERAKSRKERL KPKVDVDVGVNKHASGKVRKTVSFA JR316_0002965 MKRFHPVPSKTEDSSSRRIPLGFGNAVVLQTMLIALLVHVPENC MAIGTGFGQLFRGVGQVGGVAISSAIFQSKLETELRKRIQGPDADDWVKKIRQNARLI IQLDPDMQRKARDAYSIPDKKLEHRKPRPKSSQEPTEPSTQTSSSSGFDSHEEPASSS SATPFDSDGDDDNDNDFNDPNKTQDFRKTPRRRLSTYENADEVIADLEQNKVANTGRM QR JR316_0002966 MRSTLIFAAFLALASPTLSLVAGSGESEQQVMEAINGSVHTFES WSWVNCGLSDDGVKIESIQISPDPPKPGKDLTVTVKALVQREIKEGANANVIVKVGLI NIIKKNYDICEEARKANATVQCPVLPDSYTISHTVALPKDIPPANFNVEVKGLTPDDT DMFCVKLTADFSKA JR316_0002967 MTVIGERMTAAYYIALHLAMDTFTIHVHHLQGLREPVMLGNLLP EDFRRRHLPTMRIDRLRKDRRVQLQWVRDNIIQDRLT JR316_0002968 MAEKKVKKTWFSTGEEEVPWEQWVINAELRQPKNDRDRETFHAN LASALTKAINTILTYTASDKGRAAVPPITDASSISPFPFKVTAKVGNLEIG JR316_0002969 MPPRASSNRGGTHRGGANVPPRGARGGGAGRGGQSSISLPGAAA PSISSHVKTVGVKRPNYGSAGRAMEVYVNSFAVKDVPTGHVFHYDVVEPDKLPARFNM KLFKVLQEQEKNVFEPKISYDGQKNAFAPRELPLGPNDSRKFPVSLPQANGGSKPTRP PKVYHITLTKVAKINLAVLENYLNGQQSHDETVLTAIMALNVVIRMEPNQNNTFNKRS FFIPEGRRPIGKGMELWRGIFQSIRPTFQKLVVNIDLATAVMYREGPLINLCMEYFSA DVPNIGPKSFTANVLSEYRRVQLARFISGLSVTVATTGSKMRVIRGLSAEGASTYRFE AREGHSLTVAQYYKSIGMVLQYPDVLCVQLGSSAMVPLELCFVPRGQVMRKQVPADKV NDVLEFSTMRPQERLEQIKKGIRDLQYGQSDYVRDFGMEINPNPMKVQMRVIDPPKLR YGPGSQPIVNPRNGSWNMLDKKFYKPATIARWIVVVYESRNRFRDDVQRFIIKSFLDA CKGVGVVVENETPLVKFEHPHGKIQDQLLLAGKECMAKLNGLPTLMVIILPEGAGDIY TAVKHFGDVTVGVATQCLKFSKCARAKSQYWANVLLKVNVKLGGINVIPDPGHPSVAA ITDPHNPTIVMGADVIHPSPGSNDRPSFTALVGSVDSNAAKYVPTTRVQTGRQEIIED LEDMTKEILQLFISYQRGVEKKSGNLKRLIFYRDGVSEGQFKHVLENELPMIQRACAE LKLNPKITLIVVGKRHHNQLFPTGPTEDRSGNCPAGSVIDREITHPTDFDFILQSHAG ILGTSRPGHYSVLHDEYGFNADSLHALSFALCHVYARSTRSVSIPAPVYYADIVCSRA KNHYDPQGSLRLSETGSQVSGTSGGYLESFKAGFKPLHSNQKRLMYFCVSLNLVLDLK JR316_0002970 MPIIPNNLAPPPMPVIPPTLDPPPMPVIPDTLDPPPMPVIRDDA APPLMAAHVSYTSVVDKIIMDSRLAIFSERKQGRYSPPPEACHLLLQNPYFRTYGRFD PMGGYPGSPPTQSDIEFENVTDQTAYFNPPFLYNYTEQQLRATYDQIIDSSVGDSSYD GKVTTFKNLRLHQLQSEILTYNVLEEIDAGLAGIESSLEKHLYTGRDE JR316_0002971 MASSSSTTATFAQRLADWEKTFTECYRNGESAFNAQLEQLYRDL VPLCQEHVRDAANFRLVDYVASPVVYSYKTSQGKDGKQVARFEIDWANLHHQVANFKA YQQGQEAQRKRREEEEQEKRREKEEQEEEERQRVEERRKREVRRKREEKKKREEEEER QREEEEERQREEERRKREEEKQKVEERRKEERRKREQERKTREQERQKAEERRKREQE QEQETDEERNKEETEKRRAEKGKGKAVEPPVEDGPVTDAHKDKGKRKAADPVESIQLA PADYRGPRTRKGEIIPHITASNMPGHPAYREKLERLAKSKQGKFRSKAIIRSHTDEDA DADVDEDDEGDDQEAPPTTPTRKMLTRSAKKDANQDNIPPIRKARSRSEKARQVPEGM VDMVERCTGCTKFKVPCHVKGETGTEPLVPVKHQSCESCKSRKIHCSFYPGRFYPGRN TVAGQFNLSTPLGSYGEVLKLEEGEDVPAKGKAGEGSFPEDVGELLVQLFERQGRLME RMDGLSASMTAINARIATFAETNLAVEKRMKTVEDSFQELKAEWTTAKEQVAGNTSLS VTMFNNIKQAIQDVQYVVGVLLEQDEQRNPAPKQAAGPSKTEVEQESGPSRTREPTSA PQSPSPPPPPAPLPSPPPPPAAPILPSPPPPPPAPVLPSPPPPPPAPVLPAVSAPPTA LFLPGSTPEAPSPPPAGRPSLPPVPPVLSLSPPPPLPAPRPRSSTSKAAPLSKGAPLS KAAPSSSSKAGPSSKAKPLSKAKPSSKAGPSGNGHSSELSDPSDSDEVEIVEEDVEIV ASTLPASNIATKTRAGRKRKAETTLAEASRSPKKPKAQKK JR316_0002972 MPTNASPDADPLPSTANATTHNGPRNGQTAEGDFPPEESNIWTT KEMRVLKKHVQPYKDTSKSSKADYIQNTVIPELQATWDHRYSRRARKEDKQLHKEWKV KKHRIFNWFRNHASNRIGVKLEGLNSRITFQMVFREEKSAEIDSEVALLSGNAARGSK DWMKFYQQGRKQVEARLTQEERDRFMEILEEWNKKGVSKSMKAKTAARQGRKILRQME KLKWQRMGMRSITFEGHYDIEGKIEYSMTQTSDLALDDVRIPSFGQLFPSELAAFHRA FVQYLVHISEIEKGVANPALPDASFHEKSLKFSSNGFPIVPSPIYGSTGREVAYAQKS IIRIYMNKVYALAKDRPGSSVPWDALERRSAEMIDPEYWPSSIPVTDPSRLRLESTSA ILKLWRDRQAQGDIPFKFSKVFGNGYDIMEPLYPSNLFDGLEAHPIPPPPAAITKRRL RQKAIRLQTQSSSDSESSNLSEFDNGRGSPASAMPRTTARFEVTPETDETPTDAPSRS SEPPSLPSRSSPTIVNPSSSPTPEVEEAPPKPARKIMPRKRTKPYVGTAEMDGPDEES SVPAPVKPKPTRRIQPRKKTKPYSDPLDTVEESGVQTRTTQDTDNTRAGRDVTCDAFV ETVTSPDTDETRARRNVTSDALALQEASLLVVAGKRQRKKTLKA JR316_0002973 MGFKKVPDRGSDEEFPNVREASWSSGAKKRKRRRPRIHKLPAES SSTNLPQTTTYSFQNHEHNILEEEIPHYPGDLTDQQVLDNLRQLDDDRTGGKSQNDYL REWLPKREVYLGTMIGGEAPDPDLNGQCQQCQGMSGVWRCCQCFGSRILCSECLRRNH QFTPFHRVEKWTGLYFRPGALWEVGVKLYLGHNGKRCPYPTDPSHLGWDGGNNSSGSH GHGGNNSPVFEDEHGLDLDPLPASNDQESFTAQLLADPEVPHLVELDDGDDEDDDLFE EVDTTYLDQPRPKAADNNGIPFKAIVHTSGVHYLPVRTCTCRSVRLPSIDLQYLEMGL FAASFENVQTVFTVEVLEDFRMDNLECKMSAYQYYQKLRRLTSPAFPKKVLNRYRELR RLSREYRDLVLRRQYGEGHTREAVVPYYRHCHDSSPERMGINVSDPIDGGSDSPSQEV GMSTDPSLGHGGLDGPPVPQMTRTEDHPEVEDRRGKLALFCPACPQPGINLPDNWIDD ADRQVLVILQGYVADGNFKADHLNQKNEGDDVWLSVGEGYMTAPGPYKEHIKEAISLA PRYKREQTCHNYHAQKAENRVSPGKRVRGIGAHACARHGCFCPSSVVDFDKGEKQMHM DWSLTQARETTNTQGITKHLEIYDINCQYCVNLARRLSESTKMHWPPSVKMIFAIGLF HVHGHKTECLYNYASTYVPGVGIIDGEILEPLWSVLNDTSRSTRSATTAHRAEVLDDH MGDSNWKKTINMAATIAAKFKRAREQSGITDQFYRGITDQQDSGLINTWEDEISKAEA DREQGVANAVGKVMASKVKTAAGRQEIELHLSNMELTSNGATGKAAWISSGLKLEQAQ LELRDHVRKLGKHPSTAQKLDLVNKRRSMRTRVEAFCRSAMTFMGEDVLEDIQGDIAP ILDYEVSDNDDPDLGNVNITRADPERQPLPFPSAVKQDFFDGLDAGTNLILKGLRKLE LQIRHGHAEDCLEAVRSALIQLSWQYKYQVRTADSVYMGTRAWDGVKLLNASWKLHRR LYNTNRQKMIYLSAGVRDEDNIRKQYPILQVHDCKHSNAVSDPNIRGGSSDRLSWIWR SRQGLDNDNQLYVNEFFRLNWLRARAQRNRWQEELALTKKEMEWTVRFYVYMAKTWRA RHDFVPDRANAQKQIAMWNDLGRAADKVFRQINPEYPLTSSLNILVVSHLVEFMHLPR LFKPPVEDEHHLLTYDERRALAKVHVHICGARIRAGYRLFIANGDSVSSAILLRVLGY CYVNGFQVDIPFFISEILNQSVHAVLNRTPHHRAVLFESLNQSWSAWEDDEILNQTKS WGYWWRDGFAEGDEWQVAFVTVESQAREFWNKVVLPEYQQEVLRLNNQRKEAKEGASS STSGPPRNQENNPKGKGKAAERTSVPTSGKHGSALTGKHGSAFSPPTGKPNPPTRVAN TRDETSPIAISDHRPPYCPRCGQPILTGVMRELAHLRRNVADKMKNAHKAVTTSSAAL GRYSVLEKMWIDSKELPFSNGKGLSAKYKFQHPVHPSPDSWGAITAQARSFKVSKLKV ASFYINDIFYSFIAVKELPFHPLWYHSPPSNTANIHLPTDTLPTPGSVSPPSNAHTSN AFLFNARSRPVFPGEEDDIDSTSDTTESSTPATFLEHLAQDFEEEADEESSAGDTTEE SDASGNSEELSEDVSETPWDEES JR316_0002974 MDYAFELTQSLSNYMKAQIASRLWHAELPNQIRENFSAETMFEC NLAVEVILQAFENQEYTTWDAEEYLTHLSARCTGQNFTVEARLKDKFSPVHSALQYQT LPGTVVDSAGNILVWYLPGILSETRVESVWNSLRDIETMIHKAVPLATSWRVNDSYFR HEPGWVQPGNINFSPAWFQQGHETSNPLEVSLDLCNPIGQEFIRDTTTSSALLGAILS IIHPEQYRAGMKFLQRLAAEPELVHKAEILKQILTIWSSPFGVMTVISNRDTPYHRDN GSCYSWYDFLMPLGKGEHGRLELPGLGLRYKYDPMTLVAITGRLLQHGAVCDGDRAVI VYYMRRTVFEELGVQEAGWSTTYDLFANLPATNAFDFEI JR316_0002975 MGVGEIECASAGASVGAGVDAGAGGSASASASASGSVSVSAVAS VGAGAGGSASADAGGSASAGAGAGAGAGAGAGAGAGAGAGAGAGGSASAGAGAGAGAG AGAGAGAGAGAGAGAGAGAGAGVRVIQESLVILVG JR316_0002976 MSVQNTLPNIPEGYIAVDGPDNIKYVVPRFMLSSLEHDLETMGA TEDVSVTSADLTQKSNAKKSDAYITLSGILRVPPENSLTDHEMLALHAEVCALQKRLG ISYQDAVHRLFMAEVAKLKVADGDRRYHAYMDQCMAKTLIKLEERHSIREDLEK JR316_0002977 MDSKITTAQSLRAALAKLDADIKEAVKSGEVKKTMRKLNVVLRE VLRVKKAKTHPEIFKEFGHFLWSVVVDYKRCSNASDFDVASFAAKHRDALAKIEGTGP QLTSTTESGLVNPTQVPDMSQSATARIPHVSQSATPCLSDALQLATPRVSDASQSPTP RVSDASQSAAPCVSDALKSATPRVPNASKLAIFRVPDASKSAIPQAAANDIPNVLQSA PNRGNRKTPATQLSAESGGFKLTPSEATILALSNVIMKPNHASRAVAELATSSSTHHV PPPANNPAQKSKTQIQRGKRGSKSGESSRGSLPTKSVGLFAHEVAMAHFDRPDSPENK FRALKLQKMLKKAPPQEPKSGSEYEEVSESEESAVETGSKRKRSVKAPTPTTTTPTPT TTTTTATKCDRCLKNNKECQEILSDSGKRAYAFCSKHKKGCTWGGILVSENRRANKAS AAKTSKRGPKGKKSESTPPSNDEAMPPKKRVKSQQFVIDTDEASEGEQAATRPTQRSM QKQKSKPSESVDFVKISSGSDTPAPSKPAPTSAFSAPSAAAQNPASLPSRCLQDSDII DIPTISDFARRINELEIMGCATQVDLAILRTTNEDLQEELSNLKAEYNSLKRGYDNLY ERVSRHRNAAVDRHSAFSSKWEAGMQKVKAEVKYLSDSLERTDTTLLSLEGRVDEIQM MHQMTSDGAYLSEELGEDLESASEDGLEDGSVAMDLSSDDKASTSSPATNLNADSDVN DNPASASTSDFDADPASDNADPASDHTDPASADNADPASDGTANAVTGKKADHNATDT NISAADHGVKAIGSENIEGSGPIGDVTPPQPPTTPPALPLSPPPPALYR JR316_0002978 MASTENAVLEDIFRAHDRLVRVYDRQEREIIEKHKDNYTKAATN LDRKQVVCEILPDLFNYWSKTRTVSRSKEFMQEEAKKLLAWIRNNWRGEKLTKAATMG KKLKRTTVLWYNRRDEVYKEIASILGIESAGVGTPGVFENRMKAMSNIISRMSDREKR QLDVEAANYASVVYSDEDKRKKAKKYAFKKLDEAANQQWAEMGLMSITFVCQINESGQ LSVQVHDQVASVIGVCSTLFEEQKPDEVTQMKRLISTYVRGLLNAKERATSGIHITAK SDLLILEQDADGFPILPQDFDASKVNRKDLESLLRLYLGQNYKLATHGRTSQTPYEAI ELYQSKFISPEYLPPRFKLKRPQFLDLEDLRKLFTHLRSRQETFPLSQVFRFHKVKKG RKGEDVEDTWYPDEIRNVDTEKPKRRPPPPKKPKKPKKTPMRIEEMQGASSLITFDST QESMHTGTVTPPSTNIILDCMIDPALRSGPISSSNNVVDPKDASQQISGTQSSATQPP AIQSSQSRPRPRPRKPPTTPAEIAEHQKNHQELEDAWRVSDQLLGLQVPTSSVAPAPV QGPAPVLASTPAPEPTSTPTPMHAPTPVQELAPVLAPPAPEPTSTSAPEQEPASVLAP PAPEPASTPASAHKPAPVHARRKRKEVEETLIIEGKRARRPRERTS JR316_0002979 MPSQRTSSNRKSRKKALYEDDANAADAVTYRNQTRTTRAGKVVS ELVKVSLSTASQPHKKIPVDPGEQEQNTFNGDGGFSEDENQPSTSHQNDNAVPKIRKT QRDYINEFVSRVDSLLGALLTREAPNISEDSEHPICSNCDNSIAVNYLEGIEETKDEE EQNQLQQLNWKQAPVGPLTDHTDNIDIQMDTLEDNPENLDPDGPTDEEFEEYLDRY JR316_0002980 MSRLWRWMKKLKWAGFAGHNGRTALSVGKGELAIFCPACPQPGI NLPTNWREDTNQWVYKRIFVADGNFKADHVRSEKPSRDVWLSEGSGMIPDCTEYHAFL KSAIEALTGAPCENTFRAIQNSLLSKSPCDVTGIVGIACTQHGCYAPNALVDLFKGEQ QKNVDFAFLAALASTGVHPDQGTMVIYDIVCQYIIWLLKRIKDHLPNGLKIDRAIGMF HVHAHKDECFFRYAPTFIPGAACVCGEILESLWADLNAIFPAACTATLAHRAEILDDH ACDSNHKKALGITRYLCRRYLEAEETREKYRICFSNLTNAADPEAVQLWTKQIEHVEQ HRLEDPKLMDIYMAKRPGSAPVNTAAIIEEKQLDIRMRARRLVNHDRLTDRVKLQKLR DALKTLMVQLNKLQAKAGVIATGRQDIDISDQILIDWEDEEDVLAPGSGPTVNEDIDL QPICLPSNGAASEIYASDELEARISKARSHLNQIRELIAERSFQFKEVVRKGPQKGVR TRGQTAVKELKDQISMHAQAYSHCQSRIVKLRADDEILKELRILTKEDIKSSTAILNP NLPGSTKFRLSWIWYSVHQRFGPRWALDPTATPDADPNTLSEEADPATLNEFIGCGHE HSIIGGKKKQH JR316_0002981 MSAEPKAIKVIRVFDPFDHNYARWSKKGRQIQKTAIFSTSRFRE IMEHIIKVPTARQKKWMQESLQMELDAVSTCIGNAYAGSQVIEIPLLLRSLCDTLAVA STDSLPLDIDPGMVDLLAAGTSSTALDRRVNSYSHAWWLKNTPPADITDILNGANLLE IMKYHEAELKKFLEKECEDLSKASQSTASVPSDVVPTQIKPILMLLKDADSATCNSCS RLSFLADDLLHQLRGFKTMLMANVGQLSNGVDRIARRAAGFFKLYEASQSLSLKPEPT PDTPSSKWTWDGGDLGERSELESNLRTHSQHPSDDLSSRAERKAPPSDVLDFPKYFKT GTDGSDFSGGEMADFDVGSEHSAKSDNLEDILDAALELMETEDQQAAALESFQELDQT DSNSDSGRSEAINQALDLYADELEDSDSSGSDSNHLADSLANEAADLFFDSADESDHS MDIDQNNEDINEDEDSAQPQFTSKHFSGNLELDLFE JR316_0002982 MSDSESFFDQPLITIRPFNPFDIQVGSQKAEQVLAQGIIYCGAS RLREALIHIIDASLDNHGWMQRCLQEELHYIMAVVGQAYAVGWVLDIPYILRLLSESL FACLPEEFPMDFHPDLLPSLAADDNDQGFEKGVKKYLYDWWTRNTPPSPERMLNHLTI GKIVKFHGEQYAKSFPKAKDSTKPLPPAEPSGIRHGQLSTLDPPPDAPGQTNFIYPTQ IVPDSKPGDIHCGCCAKFRNLSDDLLVQFRGVKNFSTCLADVASNQVACISRRAMGFF KLYELSQELDDAAIEGAATYGRDNIKTRKPEIPADLMNSKQTPPSDKWTWGKSLTIKD VLSISEVSNPKEHTGYAKNLKEGQKVKYSTEDSLSQVGTFDSAAISAVQTLPSATFTQ PDYFKYGTEGSPPSSVGDFAEVADQVPLSSVEDFTEAADPVSSNGNSSDSESDNDIIN QAANDNLFGSESDDSLDAALGLFDDDSSTNAGLDDNLGGTLDEETGRQSDIAEDDVGL TN JR316_0002983 MPSQRTKSSRRSKKGTLYEDDADAADAVTYENRTRTTRTGRTVP DLVKVSLAVPQRRNTGDPWHIDNQDTSEGYEGDYDIHNTDDHRDDGRPVDSRPRKTQR DYILQFVERVDDILGALLSREAPRALNAPSICTQCHNDCISVWRCRDCSIPSLLCRRC IRHEHQVNPMHRIERWNGAYFRSAELWEVGCYLLISHHSAEFPPLCESLTFQKQLLEE LEERKDFEEQALLRVAHPTSESPSYPSRYDNDIFMDDTKEDSEHTQNPDGITDDQFEE YLNQLREDPSNLEGFEDGDDEAEVGDADSDVRFMPAYLSPPTVGNRDPNSTFTGNAPF ADGLNNSYVRVVHTNGLHHLAMVSCVCHGSGQLPLDLMACRLLPTSFQQIRTLFSAQL LDYFRLSNLELKSSAYQFYSLLRRVTNPTAPHTVVNLYNEFRRMSRLWRWMKKLKWAG FGGHNGKSALSVGRGELANFCPACPQPGVNLSANWKDDPNRWVYKRVFVADGNFKADH VRSKKPSRDIWLSEGGGMTPDRMEYGEFLKSAIEALTGAPCENTFRAIQNSLLSSKSC DVTGIVGVACARHGCYAPNSLVDLFKGEQQKNVDFALLSALKSTGVDPDQGLAVIYDI ICQYIIYLLKRIGHLLPKGLKVDRAIGMFHVHAHKDECFFRYAPTFIPGAACVCGEIL ESLWADLNHISPAARTATLAHRAELLDDHASDSNHKKALGITKYLCRRHDEAEEKQEQ YRVAFVNLTQGADPDAVKLWKQQIEEAESKRLVDPKVMDIYAAKRPGTSTVTPDAVPH RPLNPIESWIQFAVVVEERQYVFKSVLIIYHGVNSYTHRLDIRMRARRLINHDRLAER NKLIKLRETLKALMAQLSILQNDAGVLPTASKGNEIPEQLFIDWEDEEDILAPGSGSA VYEPIDLQTIFLPSNGNVDIIHAPSEIHARISQARGHLNQIRELIAERSFQYSDVIRK GPRKGVRTRGQAAAKELRERISHHAQAYSRCRDCLVQLGADNNILREFRVLTKDDVRS STAVLNPNIPGSTNFRLSWIWYSVNQRLGPRWVLDPDAPDNADPNSLGDNADPATVLE FKRVHWLRARAHFQRWKEESTLVRYEMQWTVTYFLHKSATWKSAIKDNPTILPGAIAY AHRKAQMWHHLALISDRSFRSVNKHYKPL JR316_0002984 MSEIDEQDVLDVFQTHDRRKRVYNSLERKEIDPFKEEYFAATSN LERKQVEFAQKEAKRLLGWIRNNWRAPQPTGAELKGKKHKRTTVLWRTRPDDVYKEIA SILGLEHADSTTPLAFETRSRAMGNIISRMTPQEKRALDREAETLNTVEYTDEQKLKR GNKYAFKRLDEAATVHWAEMGLMNITFVARLNESGQLSVRVHDQVASTLGVVSTLFED QKPDEVTQMKRMVATYVRGLLNARDRTRNGTRNENTTEISILDQDPDGFPLLPSNFDA SKYNKEQLEELYRMYMGQNYSTNGRSKHAPFNALHEYQSTFISPEYLPPKVRLNAPRS VSLDDLRRIMDHIYARQEIFPPSQVFRFRKVKKFRKGDETTPTNYPDEDFQSDAEKRR VPPKKPKKAAKKKNTAAPTKPAPLESAPLRIEDMQGASQLVSFDSFQPVEAPSKAPPP PPHTKINMQDIDPVLLNESQANQVIIHTPAPAPAPAPAPPAPAPPAPTPTPPAPAPPA PAPTPPAPASAPAPTPAPAPPAPVLPAPPASAPAHAPTHAPAHAPAPAPAPAPAPAPA PADAHAHAHAHAHALTPTPTPTPTPAPAPTSTAPTLVIGTNGLLDVSILEPGRHTNKN KSSQPKPRPRKKPTTPDEIAQAEESRRLLEEARQIEASLHIPAHADKQAESSGVRRKH REVDEDLILSGKRVRRQRQRSH JR316_0002985 MASQFNNSNTLRLALEAADLELRNAVKGGNLEGFMKLNNRKLKQ FILLRKSKTFPDAFVKFGPESFFWTVTIGYKAALKKGTLNIKEFTAQHARILEEAAKV HVNSVPNPSGDTRAHSSDAKDYTNQGASPPHSGANSHFFGAPHPPQFLICAPCFRSTG TPDPSCASDAVQSHASESRVLGALPYTPVLGGPPTSLVSSGAAPQSRMSDAAPQSRVL GAAPQTRVLGAAPQTRVSGAAPQTRMSDAAPQSRVSDAAPQSRISDAAPQTRVSGAAP QTRMAGAAPQSRVSGAAPQTRVSGEAPQSRMSGVPAASLASSGAATNSRSLGMTVNYR SLGAPATATLTSVKHTHTVEHEPQSSASGSSKPQLATKATKASKLKSKETKAAEPSNP PTRKIIDIHHDLALASFEMPDSPHHSFKKSQGGQSQKVKMLTEEYNRTVKASSSGALG AVEASGSEYEGSESEEEEEEDRSSGRTGSKRKRHETPPLPVVDELPEDDTPRIPHMTP CDRCRDMKITCHIGTKAACFECSHSRKARNTNLPKPSRQVGKSKHTTPAVVLSDLEPL KKKPRAVAPASGSARSSATKSNELTTLQNTPFSNVIELSDDEKANASIPVRVVSHPAS TVPSLPSRIAAPAVSSLPPRVLAPAASPAHVPAPSLPPRVPAPAASPAHVPAPALPAH IPTPAVSSLPALVSAPAVQTPTSVVPLPSAHVPTTTPAVVSLALLIQDLTSRVSRMEV NPATTAPPPDLSGLSSHPLIAHLIERLTVMEDRYAHTRQELMEVSRAHEDLKVEYQSL SLRVGSNQKLVNTSENLLHNARMKISTMSRSIKELDNSYAILLERLVDMERAVDEFQQ QEIEVSRDNFEEESEYISPSASHSPTRSGSPSASFSSPSPAASPAAPLASPTASRTAS PDLVGSPAPTSPTPPASPAPAASPAPAASPAPAASPAPAASPAPAASPAPAASPAPAA SPAPTASPAPPTSPASPPAPRPTAVVPPTVSPTHPASPSSACDGLATSATAYPRLKRL TGTKDGIVHHYESDMDIDSDSPDDNENGGDKKSGVNNSLDVASPSV JR316_0002986 MSDFNPKPQTVESNVPSGYTVIEGPGGKKYLVPLFVVPSLEYSM SAYHKKAEMKTEIANADGLDPYLERLQTYNTIAGTLHAPPDPPLTDKELLRQHAEVQA LVEKLGISYRDAAHRLYMAEMEKLKVADMQRKSFQIFEKRATNTLKGIAGRHNYKSNY FMMYKKMKSEISKQKEQ JR316_0002987 MLTSHKLTSCTKQIETAKALHGGHCLVLVDTPGFDDTHESDVVI LKRIADWLKNAYNSKACIGGIIYLHDISNNRFSGSARQNLQVFNSMCGEAAFRKVVFG TTHWDDIDKSIAKIHLKGRSMLSSGASVCNLELTPASAFGVIETIIQKQAAFSAEHGF GQSFTCPDAGMTIQKEMAVQKKSVQETKAAKSLRTTLKEIVLLQKQMLAIEEMEALGE VDGYDAKRRHLERLADQVQALRVSLPKRLRSLLPF JR316_0002988 MKFSKLFGGLLSLASVVHAVPTPSGGLGTNGSEPYYHPLSDFDF QSINLALNQEWIELDLFHHGLAKFSVEEFEAAGLNAEDRYLIQFMAEQEVGHAELLTN ILGPRAAKQCTYQYPFETVREFVDFCQKLTRFGESGVYGFLEHLNSRDAAQLLLQSIT TEARQQMIFRQFEGLFPMPVWFETGISQSMAWTLLAPYLVTCPAENPRIEWTNFPALN IINNPDATPLYNTSIIGNNTTPAITHNRSEPLSYPGREVFLTWDAPGQIVSYNNSYVT NTTAGAPAYVAWISQLNVTYTELFNIKGRSGQTIQPSGNVYGDNTAPIVNGTMFIAVT DTNVPISPANVSMLNDHIVAGPALYQSG JR316_0002989 MHSTSATIQNLPIEIFSLIFEYYQSENLEEPNDELAEVIVSHVC SAWRSIAIGNPKLWSWYANRHEKKHKQELERLAVYLERSSNHPLYLWFVIKSYSPRPH ILRQKVFTDTTEYRAISLLQKATEHTRRWRCISIDIRGRHESYQRSWCFFSPPQNLSF PELETFEVYMPRLLHNAYLEELFGGELATPKLWFVRVDPIPFVHFNPPRSITTLELHW EYRDPLPSMPKFLEALCDIMASPTLTTVCIIGEMFDKEYTKEFLTKRKRLSTFIKNLR CSSAIFSSAIFRHFQFPRLELLWLREIVFREIFEDVQSIDPTLKIFPSLRTLILLNCY SDQDSYMTSLAQYTQNVKHLYILQDPELLVGVHLVDPDSERSAFSLIVDEKILSSTTI WPDLDIVTFSRPTHDKISSEQVASCIDIVQNRKKEFMLHIPRYNAESWESEDLVLWAS LSATGKLEIIPVTDIYVSIGRWLPWPSRLPPGIKRPFELQDGDSFFGPIYL JR316_0002990 MSAIIPQRQKSTRDAAMLKLSSQIDALTKERNDLHLQIDKIDEK LSNIRAEFGAIYNEPSPLLNLPAEITCLIFDYATITPPGYGSNYLFSSRVQEDQREPE TTTELVLSHVCRRWREVALSYPRLWARFMYREDENILGDELDLQRFETYLSRSGTQSL ELLLDFNDNDFNPGRPNTTTSDNRARVLDMALSHVARWRVVTIRVDFDFRVLNRLRHL TEQEVPKLEYFAFCPYPSMSRAHGTGDIEERFNLADRATALQSTIFTGGAPKLNYVHL GGYGKILPPLSNITTLRLEAEDFAEYVLSWPAMRQIMDIPTLTNLSIVGNVFDPPAME HDLPLITMNVLEHLRYENDAMTLLLPYLRLPALQSLVLRNVWLPEELGQTVPVPVPKP GTGYFFPSLTTLTLIDSTTSTVLAGRFFAHLTKRAKTVLLSIEEPEENILIPLYSYLP KECWPELSTLILNLSTDDDVQLIIELATKGPNRRGKKVQVWLCDVLEKTWREDYRARF ARLEEACTIKTLSETPSSTLEADEQCVWDMLSPVWPPASGERVYPGYTNNDDPFEIDL HSFTQF JR316_0002991 MEANSTENIMPNVFPPPPPGLNYIAAIQPSLTFLMIGTAWGGVL LPLLVALFYFSTKDTRRRPIFILNVLSILLGLFMVFFNAVLEIRAILAPLQPLNPLTS VVFGIVSSGTPLLVEFILVIRLLAVYPFTRTPKPIWCAIFVPLVLIKIGRMVNIIFFS VQYVQLVQTVSGDPISVAQSPWHRFPGIKIEWILQVIDNTATSTLFIFRLKSVMHTPG RTVASGTYRVTYTSKIKALFWIAVSNFVFPVILSIIQLIMLFRDSNFLKGAYTFFTND YVEIVGVLLATVWASTAHWSDQNPTADIESTKVYSGPSRLARHIWLDNSQSSASTGNV VESLGIPGHIELDGLKERSEGEIGPINKPKNARSKRALEARQPKEVEDPRTAIFVKGT HTGEVLNNVMRELMALKRPNAIAFNKKNAIHPFDEASTSIASLEFWANKNDASMFVFG QTTKKRPHGLTFVRMYDGKVLDMAEVGVENWVGMADFKTPKSTPGHKPLMHFASELFD THPRFIQLKSMLIDFFNGEEIESICLPGIEHIISISCGPTPDSLNNATAMAYASRPKP SSGAVVEDTSNFPKVHIRTYTLKLLASGTRIPRVELTPMGPSLDLVLRRHQPADAELL KQAMKRPKLKKSDIESGLGKKKKNQEVDEMGDLRGRVHVGNQDLSKLQTRKMKGLKAG KDEMDVDEDFGGEPNPRKKRRTEA JR316_0002992 MMNLNHENGNRTVEKRPIKPLTTRQERKLVDYLDEAFLQLTRNF KKRTEASSTLKTLPTYLEAVRQILAIVLQIPPVDPSTSLRIAYMLRLTGDALSSIPGY TLGSDETTTRDTLQDLVDFLDDLDQAWIAVLQNQIWDPESAEGVDLVLPAEIEGLSSS PETNGHPVARTLKSTPPSQTDVTRLRSLLFAGQSSLEEWLAHERGSGGEEEPQDVSSM LSRMGLLDDFDSLFVRTLNFLGGFAGNVARNTVDPLDEAVMEDTSCA JR316_0002993 MDRDYHSLHRELHENGELARQPTRTTSLNTASNVVDPVVNNPSL GNAMQIIETLADVGRVIPFVAPAFVILKVIVDLEKRAQDVDAKCSDLLDRITFMLSHL PALQKIEIKDATRQVIERMNEALKDSASLISAYRKQSRVARRLKISNREKFATCAESI NNCCRDLLMSLQIHQTVQLDILTRDIPNDEEDIAAKTFVDAHGGSVDAVMHDRELVKE FAQQQHLVMDDSVMEQLNANIADSVQQNHVRLENILRDNVGTAIADGLKNLAMEINSM EAEQKFICVQCDKEFTNYTNGPKACSFHRAEYDGWSKQFPCCSTAHPCQFGTHRAKHH CDYAYAAFFPRARDVTSYTDTNEKWAVVEDTNLETDDTVSASVGQLFRWKSRGGRVEN NTLLVIVGRVWYKYPYYFNTFTAKELEDITKSVRLSRRTLIYRTSPDENEYALAEWIL SISGKITGIRIIAKSATSANPWVRVCPIDLSTCTKSGDILTTSEGGIRSFIPASPYTL PETVTVGPTLPEAPSRPVRTNFKTRSTPALRVILKAMSDPPLEANPNIAGSKFDYFIG KVSVFNNNPPGSLNPVTIAGISASYRMIGDPEYAPVEECKLLDGFNILPITIEPRQSS QLNFQVAVPRTEEDAKYDIRWWHRAFLARHRPVRIKLVVEDIEGEQCSLVLEYVFKPF ALTKPKENYLGFFFFDNTETHDRCYVEVKPVDNPTGVVEISSSEVKVKRLEKAVYQAL KTGKTEIDLEIGQERNNGEWEWKAYALVDISCRRVYAFKIIVVEGKKVTVKRRGCIGY VLCPTYGEVIDKKRPISYATETAKLPPLEPLDLKEYPQDDAFDDFKPPVPPKPMTPVA EVPPPFGTTTTSVPADLSNRLASIDTNLARIADALERLVAVFPSASSSMNGHAR JR316_0002994 MAEPSQAISEQKRYNFLASTTSEDVVDSVDEIARLKKELDIIKN VASISNNPFRMAELAIEIQIERLRVVEISKARDAALQRLADVYVSVRQKNELIEQLQR EKGGDGGGAGLLSGSQLSRALNSAEVDDLKAHISDLEKTIEDLRVIIRQSAGRPCMPV RSNDPPPSYEENPGKRSVEMGTQTEPEETPTIVDVNNYKPGDPDFKYMPPETDDPIEL ANARNLTLASIPLPPNPPDATLSAIVIPPPFTLHEFLNGAPATLRNASSLSNYRILHN VTTLWCPEREEHGYMYTPVYKCSTNPRIATAHRWAPMDVIGRMSKPTECFYNKEGVWY YAGSYKAFRLDNLSTKEWMQLPSETISAIIKETISGRKNSSPQNTYETSQLYAAGALK VACVGLQCVGFNQEVYKSIIDHSIKFSETKWKSLASASVSSPLTPQSTHASTAGTPSP GVKTPVVSRHMHRTPNYLGSGNSSAGSPCPSSHLGTPTGSLGLGSSLWNISNASASPV SIDAAAKKVFEGGENLATVEQAGKR JR316_0002995 MSSDLRLESLFKVAGKIVLVTGGGSGIGKMIATGFAQNGAKVYI AARKENQLKEAVDDINKVATGPKAEYIVANVGSKAGCNALIAEFRKRENKLHVLVNNS GITWGGPFLDFPEEKGWDNVFNVNVKSIFYMTAGLSDLLIKDSTNRDPARVINISSTA SVEPGSEGALSAEGNGTWSYQSSKAAVNHLTSQLALKLISNNVTVNAILPGLFPSKMT AFGLRTAGEEAFNEGQPTGRIGASSDIAGLALFLASPASAHITGTHTLIDGGSRYLRH AIAPAVKL JR316_0002996 MAQWGQGQQGFQYPMQTGFQQPNQQFQQNQQFQPQNPQFQPQNP TFQQQGPQFQQGGLGIPNGGPLLSQPTGFQAQRQPGFQQPQQTGFQGGSGFLQSQPTG FPGGNFQQQNRPAPPPVPPIPSRFQQPGQQPSFLNMPPPQPSRLMNASPGFGGGGLLP QQTGFPGNSPAPLVPQMTGFVDPRLQMMSQTFMPMNTSAPFGAGGVPQLPPQQQNLVQ SIQQHNEAQRGSSGQQLPWALTKAEKKNYDKIFRSWDAQGTGFISGATALDVFGASGL PKDDLARIWTLADINDRGKLNIAEFHVAMGLIYRRLNGMPVPDQLPQELVPPSVADLD STVDRVKELLANDTRSGSGNKHSFTSKPPTTEGRRDAANYKHSDTEPIGGYYKPANRH VNRSAVRSREDEDSPSADLSDMKRQLESTAHMLDRAAEESANRTREDEELDQEMEDLK YRVKRVKDDLDYVARGPKTAAKEEERRRLERDLLSLLHERIPEVERKIKARDERKERE KRQWARDRDRANDRFGRYDRYRDDDYSSRRDDDRDRDRPYSRGDTLDRPYSRGGDRPY SRGGDDRDRPYSRGADDRDRPYSRGGDDRDRPYSRGADDRDRPYSRGAYDDRGGSYRD GDRSRDDRDRRDGAYDRAPAARSPPPVPAAAPASAIRDPPAAPAPPKSTPSPSVKNMT AAERQAFAKAEAQRRIQARMAALGVTVPSSSTDVDTSVEDRLQQEKKEAEEKARAAEL QAEERERARKERLANEKALQEEKAAPPPQPPAPTPTSTYTAPPPAPAPKAAPTPKVAP PPPKPRVAPAPPVRKGAAPRPPVAAAAPVAPPAPAPPKEPEVDPEEEEWRAREAKLRK QKEERLARMRQLEQEEEEAARLEQERAARVEAMKARAAKPPTPPPAAPVAPPAPPAPP APTRVEADRKPAPPPNFSTPAVSPGNKSSTNPFSKMLDKESTSSPVSPPTANGNGNTS PNPWANIAPVSTPPSFTPVRASSIPPPSKSPAPSSAKTSYQTAPSTTDDDWDDIKEKD DDDDSSDDEITRSRSVRTNIAQQLFGGMLPRPTSAAASAPTSSPSTPAPGGADSGFGG PPPPPPPPGPPPPPAVKAAPVVVASGPADVNALLLSIQGGKKLRATKTVDRSAPPVSG RVLGDTAPPAHINNAPRPASPPAPSPPPAQEYIPPETTPMSHDDGNRSSNRQSVAWLA DRAADVGSIPEDIHRLPSTAEEEEEVYVPPAPAPAETSIPKIMVDEPTPEPMSDLMAD IDKSTQLKVRSLYAFEGDGPEDLSFGENLIINANPSKSGGDWWYGSVVSTGKSGLFPK TYVEVVKPKKAKAIYSYTGNNADELPISEGETLSIIDTSEEEWWKTERDGVVFIVPAA YLELVEDREVNVKPSLAVNSVPQVGNVPTTESTANQSNSTYDRAESDSDDSDSDSDSD SDYLSFDESDNEETATATTKDKEARERERQLVLEAAGLIVNRDVGPPPVRNRSVKRRP APAAPKRSPSFYKDLPALPQSEPEPDVEPISHEARLDDAFARYEQFKNAQGNLNRLSV VSTDSGTNLPSSPATTVSSMSPAQGQRESEGRYSHFLHFLTGSKSSSTEGERRSASTL NISAPIMNVSTSQGTPQDGPSRSNSPSFGMLLDLDGSLLYQSWASLVDKDALDGIPPS ERKRQEAFVSSLEERQKDCRLYVDKIGDILLSHVPNMGVYMEYCVNQSTAIKVLQSLR DSNPELASHLQILHYTEVGEEHDGIAVARDMTEKLLDHINEAIRDQEGYETLKKISQN LWIGQGRLDLTAPTRFMGPRRLLRQGVLIKAKSGKKLHGFLCSDILVLLDESMKNLYR MPIPLAHAQVKEGGKGMCYPSQETFNGCRKLAMRRDALDTLKTEPREESAADDHAAQA QVTLPSFSMLRLLV JR316_0002997 MEDAPLPAALQSTHNGLNACIPIAKLPAEILEEVFKICVSWFYG TKKPKHRLAWTQVCCSWRLISLSSSRLWQRIDLCDPRLAEVFLVRSKQAPLSVFSGTP VRLSANNLAPYAHRLQSIDLCLCPNDMVEVFSGVGGHLSSLNAISLKIPPVSHVIHLD VSFPQVRHLSVDGVAINWDQCQNLVELNIRNLPPAFCPSIPQVQGIFRRSPNLKHVRL EALVTPCLEATCESKLPIYLLNVEDMVISGPQASIAALLTTLKLGPQSRLRLYTSCSN DIFPHGLPQASSTNGDVIRIPTVRLSPHGLRLLYDGTKAWSEEPSRMLLSISAAQMPN IYNSLCALVDASCVTKLELNSGVLYSIPFKDLINLFANLGNLETLCTALNDLEELCTV LQMSLPTTASASSLSLSPISSSCSFKINYGSNSNSNSVQPLYVPRLRNLSFSKSADRW WNFKDRWLTTIAACLKYRQSRSYPVHTIEFLRCQGISTTSTKELADFVSQVVITEDIG TGSLF JR316_0002998 MSSISDPLLALQQAVKSQRKVTYANSSGPCSSLHDATQLVIDGR SFPKSSRTRYRKAGTSSDFYSLDAVYVAWLLRQLNGAEYMKQAREHGLAVGFVSVTER KHVVDWLEGKASDNDRIAPLASDSTTPPGTPPRTTTGQALPVTPRARNAEAATSSPAK RRYVADSHDLEVVKKIKQNESELRDRTTVLRGTKANNFSAVRLSYVEKLKKLKEASRS GTSSIPATPASDPKLVRKARHTQPIIIISSSPSALITMHNVKRFLEDSVFETSQDARA RATAEGNVKPEDVLAIYRKRTSIDTSGKETVIKSTYWVIDGVDALAKFGTDAWDRVVC VMTTGQAWQFRPYKWNEPRQLFHNVKGIYVSWSNDPPNAKIKDWNVTELKIDPHRRHV DKSTVAHFWKMLDTWIQTNKPWLIKH JR316_0002999 MSVLVIPKAPFPSFTQALLSGGHSARFNVDDDESLDERTHHPAS GAVVDLMKTLDTRTSHSWDMTFALNEDDSDTETIFEERPRRTKQCKRPVYLASILTQD LHDSSQADVFLWKVTNIV JR316_0003000 MSDLTNTQALATQVGGHAGVTTTEDGSLLIKPALPRELEFYQKL QGDSALDELRAFIPNFIGTLRLEGQVDPAHPDLAEGIVLQQTAATDQKDMSLFLFLSM SFVNSIVLENLSYPFLKPNILDIKLGTILYDETADEEKVARMIKTARETTSLETGVRL TGFQVYDNVTSLPVNTPKSYGKSIRADQLGEGIAKFFPVGTPVPTDAAAVPAPSSGLP RETLVPILRAIRDEVALVREVFSEIEMRMVGGSLLVIYEADWARAEEGIKRYLADDDE EEEEEEEADDEENASKRPGPPFVVKLIDFAHTRFVPGQGPDEGVLLGMDTVLKLLDAR LKDIEAS JR316_0003001 MQLTANFVVLASALALGSTSVLALPVGSSSFATREVQDIDAREV DEFALEARELPSLSLDARSFFDYEDDLEAREVTTPTSATTPVTGGSAPATPATATTPT TPNTPNTPATPNSAKSVTGKSEKHAAKHAAYKAALADPSNPNHKAAVRKHALKKALAD PNHPNHAKAKKIAAKHAEEKAALKDKTHPGHAAAARKHALKKALADPNHPNHAKAKKL LAKHAAHREALKDKTHPHHQAALRKEALRKSKASGLDGKSSLRKHSKLSRHELDTAEH KHSKLSGLRKSSRLSEKERSGSHRHSEDRLTGARRHGEDRLTGAHRHSEERLSGSHRH SEDRLSSSTHRKGSRLSETEGGSRRHSSERHSVDEPSTHRHKSEALRESKSSTRTEKS SRV JR316_0003002 MPHQLNHDTHGYLAVTFSPNSSFLNAPSSLINAYPGLQYQGQVG ELSDVHLYSMPKDIWLSARDDVLGGLKKDGDVVYVEEQVPRQRVKRGGDEL JR316_0003005 MDFFTLCIISASVFTLTALYFSAPRIFISNSNGQPEGTPAYQYI LLKMKAVLNPPNADIATLLKERADSNQRLVRALNLSNTFVSPDPATHKEFVTQAQSLL TSAKRRGWTHFQGIALEAARWQITQLDQSSQESPGIPFDSFIQNVTLIVVLVGLLRVD KPIDSFSYLDVCTVAKNITTLWALSKRPEPIPATLLEQLSNHLSELVGAEEEKFPYPL NFVVPAWETLWRVVATTVAYSYNDETVCEEFCTFNSSPSEDAFRGEKQLGEDAPHLSR HVSIKSVVNEAMRLHPPSKRIARVRRRAWCPSFIDIWTKPDNTLTRRKHHADVERLLR CQDVWGLDAEKFRHSRHDTQLEGLPQEREESMGFVFGHGPLRCIAASWAPLAAAVISG AIIESLRADKYNLDSGEAIGGRDGWAGWSFNKI JR316_0003006 MAHSISNRWRIKELFFVIVALLAMIGVSALLTLVPISTQTTAAG TYQSDILNGKQDGIFFLGHIFEIDIPGRTFEVQWVVGACGRFLLTPAETYDASQSPCG LPNVPLNIYIDNNLENTYDPQDLYQLDKRSNKTTGFVHFMKTHSIDIATAQLGSKRVY DQLFWYPFDFYHSGSDFFVINTNDNTSLPIIKAVFADPVNNFAPQSIETPTESIVNGT LIQSRNTFLGLRRTVNAKMYTFLLFIVNWGLTVLVAYITMLVMAGESLGEGIVVLPLT IILTMPTIRGLFVENPPFGILLDNLGLVLQMIVVALCSVLILIPSVLKKKPYSSMPTK EF JR316_0003007 MSPPSSSNGKDSAAARVLGSGTSGIAELLIFHPVDTVAKRLMSN KAKVSASTLSPIIFRDAASKPLVTKFLSLFPGLGYAAGYKVAQRVYKFGGQPWFNDII NKHYKSSFTNTFGERKGKMMMQATAGSLTGIGEVVLLPLDALKIKRQVNPEAFRGRGV VRIFMEEGTTLYRGWGWTMARNAPGSFALFGASAVTKEYVLGVSDYSKATWGQNFIAS IAGAVASITVAAPLDTVKTRIQNANFERKVHGVTVVKELIKNEGPTAFFKGLTPKILV VGPKLVFSYTLAQSLIPLFSKYV JR316_0003008 MPPLVDRPVTPHSGLRAGLTSANGDFLELPAGGSFTVEIASNRA KTTLAYNGRDTSEWPDGATYPEDYSDIKAVTPQNLAVFTVRYNTPWKRVISYDVPANL PACPEGGCICAWGWVPNGCGQPNMYHQPFKCKVTGAKSTTPIAPPQPPVWCEGNPGAC VKGSKQMIYWNQNEGNNIAVSGYDLSGSFKSPAYNAKLGFADGAQNDIFAGAPSAPAG NSGSNNSGSNNNNSGSNKSNSNNGSGSNNANSGGNTGASPAPANLAAGASSSASASAS SASAASVSTSNDNGNPAPSCQKRSSRRRRRALLPSPEEGVLVKKSPEPEPQVKVAATT AHRRLHAKRQWFSL JR316_0003009 MYCLNGPQAAQDLNSYAIVSPLYQLNFKDWWFHHINNCDLYPPA DGDFLELPAGGSFTVEIASNRAKTTLAYNGRDTSEWPDGATYPEDYSDIKAVTPQNLA VFTVRYNTPWKRVISYDVPANLPACPEGGCICAWGWVPNGCGQPNMYHQPFKCKVTGA KSTTPIAPPQPPVWCEGNPGACVKGSKQMIYWNQNEGNNIAVSGYDLSGSFKSPAYNA KLGFADGAQNDIFAGAPSAPAGNSGSNNSGSNNNNSGSNKSNSNNGSGSNNANSGGNT GASPAPANLAAGASSSASASASSASAASVSTSNDNGNPAPSCQKRSSRRRRRALLPSP EEGVLVKKSPEPEPQVKVAATTAHRRLHAKRQWFSL JR316_0003010 MNAGPAGGSFTVEIASNRAKTTLAYNGRDTSEWPDGATYPEDYS DIKAVTPQNLAVFTVRYNTPWKRVISYDVPANLPACPEGGCICAWGWVPNGCGQPNMY HQPFKCKVTGAKSTTPIAPPQPPVWCEGNPGACVKGSKQMIYWNQNEGNNIAVSGYDL SGSFKSPAYNAKLGFADGAQNDIFAGAPSAPAGNSGSNNSGSNNNNSGSNKPNSNNGS GSNNANSGGNTGASPAPANLAAGASSSASASASSASAASVSTSNDNGNPAPSCQKRSS RRRRRALLPSPEEGVLVKKSPEPEPQVKVAATTAHRRLHAKRQWFSL JR316_0003011 MNAGPAGGSFTVEIASNRAKTTLAYNGRDTSEWPDGATYPEDYS DIKAVTPQNLAVFTVRYNTPWKRVISYDVPANLPACPEGGCICAWGWVPNGCGQPNMY HQPFKCKVTGAKSTTPIAPPQPPVWCEGNPGACVKGSKQMIYWNQNEGNNIAVSGYDL SGSFKSPAYNAKLGFADGAQNDIFAGAPSAPAGNSGSNNSGSNNNNSGSNKPNSNNGS GSNNANSGGNTGASPAPANLAAGASSSASASASSASAASVSTSNDNGNPAPSCQKRSS RRRRRALLPSPEEGVLVKKSPEPEPQVKVAATTAHRRLHAKRQWFSL JR316_0003012 MNAGPAGGSFTVEIASNRAKTTLAYNGRDTSEWPDGATYPEDYS DIKAVTPQNLAVFTVRYNTPWKRVISYDVPANLPACPEGGCICAWGWVPNGCGQPNMY HQPFKCKVTGAKSTTPIAPPQPPVWCEGNPGACVKGSKQMIYWNQNEGNNIAVSGYDL SGSFKSPAYNAKLGFADGAQNDIFAGAPSAPAGNSGSNNSGSNNNNSGSNKPNSNNGS GSNNANSGGNTGASPAPANLAAGASSSASASASSASAASVSTSNDNGNPAPSCQKRSS RRRRRALLPSPEEGVLVKKSPEPEPQVKVAATTAHRRLHAKRQWFSL JR316_0003013 MFRAATLLTAALWLSGANAHLAAFHKGIPAGGSFTVEIASNRAK TTLAYNGRDTSEWPDGATYPEDYSDIKAVTPQNLAVFTVRYNTPWKRVISYDVPANLP ACPEGGCICAWGWVPNGCGQPNMYHQPFKCKVTGAKSTTPIAPPQPPVWCEGNPGACV KGSKQMIYWNQNEGNNIAVSGYDLSGSFKSPAYNAKLGFADGAQNDIFAGAPSAPAGN SGSNNSGSNNNNSGSNKPNSNNGSGSNNANSGGNTGASPAPANLAAGASSSASASASS ASAASVSTSNDNGNPAPSCQKRSSRRRRRALLPSPEEGVLVKKSPEPEPQVKVAATTA HRRLHAKRQWFSL JR316_0003014 MQHIVFRRGPQAAQDLNSYAIVSPLYQLNFKDWWFHHINNCDLY PPADGDFLELPAGGSFTVEIASNRAKTTLAYNGRDTSEWPDGATYPEDYSDIKAVTPQ NLAVFTVRYNTPWKRVISYDVPANLPACPEGGCICAWGWVPNGCGQPNMYHQPFKCKV TGAKSTTPIAPPQPPVWCEGNPGACVKGSKQMIYWNQNEGNNIAVSGYDLSGSFKSPA YNAKLGFADGAQNDIFAGAPSAPAGNSGSNNSGSNNNNSGSNKSNSNNGSGSNNANSG GNTGASPAPANFAAGASSSASASASSASAASVSTSNDNGNPAPSCQKRSSRRRRRALL PSPEEGVLVKKSPEPEPQVKVAATTAHRRLHAKRQWFSL JR316_0003015 MFISLNNTLQSIISTTVTYILLQTGTSSSCESQHTCIFDFRRLN PDFSPAGGSFTVEIASNRAKTTLAYNGRDTSEWPDGATYPEDYSDIKAVTPQNLAVFT VRYNTPWKRVISYDVPANLPACPEGGCICAWGWVPNGCGQPNMYHQPFKCKVTGAKST TPIAPPQPPVWCEGNPGACVKGSKQMIYWNQNEGNNIAVSGYDLSGSFKSPAYNAKLG FADGAQNDIFAGAPSAPAGNSGSNNSGSNNNNSGSNKSNSNNGSGSNNANSGGNTGAS PAPANFAAGASSSASASASSASAASVSTSNDNGNPAPSCQKRSSRRRRRALLPSPEEG VLVKKSPEPEPQVKVAATTAHRRLHAKRQWFSL JR316_0003016 MQHIVFRRGPQAAQDLNSYAIVSPLYQLNFKDWWFHHINNCDLY PPADGDFLELPAGGSFTVEIASNRAKTTLAYNGRDTSEWPDGATYPEDYSDIKAVTPQ NLAVFTVRYNTPWKRVISYDVPANLPACPEGGCICAWGWVPNGCGQPNMYHQPFKCKV TGAKSTTPIAPPQPPVWCEGNPGACVKGSKQMIYWNQNEGNNIAVSGYDLSGSFKSPA YNAKLGFADGAQNDIFAGAPSAPAGNSGSNNSGSNNNNSGSNKSNSNNGSGSNNANSG GNTGASPAPANFAAGASSSASASASSASAASVSTSNDNGNPAPSCQKRSSRRRRRALL PSPEEGVLVKKSPEPEPQVKVAATTAHRRLHAKRQWFSL JR316_0003017 MDLGGRFVSQVSRQLFQPQGTVLTAFPQLCAFWAAVKTASLRAI QHTAQVIDGLHAFWTAVKTVSLRAVIGGMGQCGEGKDTRRVWDGVIGTGEERDGSRRH SVKREAVLAGLGRAGQARWCSVERSAVTNAPIALLRGMRQNHIGIVCMLLTVASNGGS GVLNVSAVDYKAHRLPEHVIQAQR JR316_0003018 MFRAATLLTAALWLSGANAHLAAFHKGIPAGGSFTVEIASNRAK TTLAYNGRDTSEWPDGATYPEDYSDIKAVTPQNLAVFTVRYNTPWKRVISYDVPANLP ACPEGGCICAWGWVPNGCGQPNMYHQPFKCKVTGAKSTTPIAPPQPPVWCEGNPGACV KGSKQMIYWNQNEGNNIAVSGYDLSGSFKSPAYNAKLGFADGAQNDIFAGAPSAPAGN SGSNNSGSNNNNSGSNKPNSNNGSGSNNANSGGNTGASPAPANLAAGASSSASASASS ASAASVSTSNDNGNPAPSCQKRSSRRRRRALLPSPEEGVLVKKSPEPEPQVKVAATTA HRRLHAKRQWFSL JR316_0003019 MFRAATLLTAALWLSGANAHLAAFHKGIPAGGSFTVEIASNRAK TTLAYNGRDTSEWPDGATYPEDYSDIKAVTPQNLAVFTVRYNTPWKRVISYDVPANLP ACPEGGCICAWGWVPNGCGQPNMYHQPFKCKVTGAKSTTPIAPPQPPVWCEGNPGACV KGSKQMIYWNQNEGNNIAVSGYDLSGSFKSPAYNAKLGFADGAQNDIFAGAPSAPAGN SGSNNSGSNNNNSGSNKPNSNNGSGSNNANSGGNTGASPAPANLAAGASSSASASASS ASAASVSTSNDNGNPAPSCQKRSSRRRRRALLPSPEEGVLVKKSPEPEPQVKVAATTA HRRLHAKRQWFSL JR316_0003020 MFRAATLLTAALWLSGANAHLAAFHKGIPAGGSFTVEIASNRAK TTLAYNGRDTSEWPDGATYPEDYSDIKAVTPQNLAVFTVRYNTPWKRVISYDVPANLP ACPEGGCICAWGWVPNGCGQPNMYHQPFKCKVTGAKSTTPIAPPQPPVWCEGNPGACV KGSKQMIYWNQNEGNNIAVSGYDLSGSFKSPAYNAKLGFADGAQNDIFAGAPSAPAGN SGSNNSGSNNNNSGSNKPNSNNGSGSNNANSGGNTGASPAPANLAAGASSSASASASS ASAASVSTSNDNGNPAPSCQKRSSRRRRRALLPSPEEGVLVKKSPEPEPQVKVAATTA HRRLHAKRQWFSL JR316_0003021 MFRAATLLTAALWLSGANAHLAAFHKGIPAGGSFTVEIASNRAK TTLAYNGRDTSEWPDGATYPEDYSDIKAVTPQNLAVFTVRYNTPWKRVISYDVPANLP ACPEGGCICAWGWVPNGCGQPNMYHQPFKCKVTGAKSTTPIAPPQPPVWCEGNPGACV KGSKQMIYWNQNEGNNIAVSGYDLSGSFKSPAYNAKLGFADGAQNDIFAGAPSAPAGN SGSNNSGSNNNNSGSNKSNSNNGSGSNNANSGGNTGASPAPANLAAGASSSASASASA SSASAASVSSSNDNGNPAPSCQKRSSRRRRRALLPSPEEGVLVKKSPEPEPQVKVAAT TAHRRLYAKRQWFSL JR316_0003022 MFRAATLLTAALWLSGANAHLAAFHKGMYCLNGPQAAQDLNSYA IVSPLYQLNFKDWWFHHINNCDLYPPADGDFLELPAGGSFTVEIASNRAKTTLAYNGR DTSEWPDGATYPEDYCMPRINLWRPEPHSQSRTTTPWKRVISYDVPANLPACPEGGCI CAWGWVPNGCGQPNMYHQPFKCKVTGAKSTTPIAPPQPPVWCEGNPGACVKGSKQMIY WNQNEGNNIAVSGYDLSGSFKSPAYNAKLGFADGAQNDIFAGAPSAPAGNSGSNNSGS NNNNSGSNKSNSNNGSGSNNANSGGNTGASPAPANLAAGASSSASASASASSASAASV SSSNDNGNPAPSCQKRSSRRRRRALLPSPEEGVLVKKSPEPEPQVKVAATTAHRRLYA KRQWFSL JR316_0003023 MPFSFALLLGGGGALLSSRVAAQTIPAIPAVLPVNWTSVGCFSD ISTSRTLAATSLVQDPMTIETCISFCDSGGFLFAGVEFGHCDSTIQIPSTAEPATDCN MACSGNASELCGGPIRLNIFQSNRPPPVIVQNITTGTGLWTYQGCFTDSPQARTLGTG ANIPLGTTPESCAAACLAQGGFTFAGVENGHECWCDNTVHAPTQRVGDADCRQICQVN HAEYCGNANRVAVYEFSPTGKPPGPQVCLDTNLANFTLRAQFKNPPITGPSSVPLKVV AVEIVKNVVWTVLSACTTCCSEWPSISLSNSIISPHSVVVSTQQMTSTFTNDGESPNF VASVPAFAGSQAYCTMTDPTAPVGSPPILAFNGQANAFSLCTNTSANARVDLVFSPVT DTSGPTTYKTSPDPQTGCSGGGIIVYIVEPSDVVGHIRVGTRPGSHESA JR316_0003024 MASSTEFSDRKPSNRTLAYQDKLPKLPIPALEDTCSRYLRALEG LQDEKEHEITKAAVKEFLETDGPKLHAMLQEYAKDKASYIEEFWYESYLSHSDPVVLA LNPFFVLENDPTPDRGTQLPRAASLIVSSLGFIHDLRAGILEPDNVRGIPLDMDQYSR LFGTSRIPTDEESRLIVYIQDWFDVLDEDNLPVLTEREVLRNLQAIVSDADKTDKSKV ARGAIGVLSTENRKVWSGLRGMLAKDKNNKSCLDIVDNALFVVCLDDAAPESLADLCS NFLCGTYNLQEGEQVGTCTNRWYDKLQIIVCADGAAGINFEHTGVDGHTVLRFAADIF TEGLMLLARSINPSAPTLFHAPLSPRARAYKPPRGGQKSPIHTEKIDTTPKKLEWNLT PELRAGVRYAETRISDLICQNDCQALEFKGYGKNFITSHGLSPDAFVQMAFQAAYFGL YGRTECVYEPAMTKAFLHGRTEAIRSVQPESVHFTKTFYSEASPSQKVAALRKACDTH VKLTKACSQGLGQDRHLYAIYCLIQRQLQNKTESEPNGIVPSSPSPPPSYKIDKMPAI FTDHGWALLGTSILSTSNCGNPALRLFGFGPVAAEGYGIGYIIKEDGISICASSKHLQ TRRFLDTLQGYLLEIQRQLIQLHRSANQRPAPFVDHLGILRDSKTGRPIHGATSDPGS SDEEEVALPGYSFFDSGEVALLGRKKKSPFSDIGKVIPLAEY JR316_0003025 MAPNLTNTLLLITFLVVLLPVETYAFGAGDIPDFAYLNDKAFRH GDIENILETLAKSAGGAAVGSGLFGKFASSLIDRVKGGSNFSKSDIKKVYFGNWLRDY SQANLILKAMDIAGLSKLTADTLVLVVSVLGFMTFGFASEEYEVTADRLGVYLPVEHI DNPKGYAEAEGDARQFHPKLRPPVDPRELEIDENTGMKKYIATENQGWDTSTAFIRRT LEACIEYGRRSGGEEGPDLWEAYRLLGTGLHTLEDLLAHSNWCELALRKMGHSNIFCH VGDNVIIETPNGPAPPLVTGTFGSADFLHSLLGEAGDKLSQASVTDLSAKINNSSQED IGPKIETIKKIIGMFGGGEDDKINQGEEIKQQAKAYDFDPDNIAPPEVQKQLLDLLKW HDDIMRDITKKIEMVPGLSNMLEELSNAMNEYVYTVLAPYIGPILSQATSVLDEGSKA VIDNEDQYEVFDNPDAHDPSHSLLSKDHFGLILNEPAGKIAQIVVENSVNLIVQAWSN DENPNRTIDKILEAFHHPYYATGRSEIQNRMFDHMERWIGELGDDADIILDSLTKESV RDHKNKRPGLNDTEEAGYGGCGHGSAPSRRPSYQVSEESETQEYSSRDYGSRSYGEPT YGGGNYERPDYGDASEYQEGGGYQSQYRVDHFLEGYAPSYDAPPSGYESGYGGDDTFG AENLNISEDRYRRSDDDNGEYYE JR316_0003026 MHASALSLPIELLYFIFEHLQNQTQEEPNDLLAEIVVSHVCSAW RSIAIGYPRFWSWYAYRHEKRHKQELERLVVYLERSCNHPLYLWFVLRSYLPGPRILH PRAHSDTKESRAISLIQKATKHARRWRCISIDIRGAEESYQRSSSFFSPLQNLSFPEL ETFEVYVPRLLYSAYLDDLFGGELATPKLWFVRIDPISSLHFNPPHSITTLELHWEYG DPYPLMPEFLEVLLEIMASPMLTTVSIIGEMFDDACTTGFLSKRKRFSTSIKNLRCSS SIFSSAIFRHFQFPRLEMLFLQEIVLRRIFNFRPIDPILKIFPRLRTLILLNCYSLTR ECIISLAQYTQNVKHLYILQDPALLIGVHLVDYEERSVFFAIVDEKILSNTTIWPDLD TVMFSTPLPHVISSEQVASCIDIVQIRKKQCMLRIPRYNAKYWESEDPVLWASFSDTG KLEIIPVDANKSIGRWLPWPSRKLQGIKRLADIYNGDPFLRPIDSSLEDYDSSGNS JR316_0003027 MNASILNLPIELLSLIFEHHQNQTQEEPNDLLAEIVVSHVCSAW RSIAIGHPKLWSWYAYRHEKRHKQELERLVVYLERSCNHPLYLWFVLRSYLPGPRILH PRTHSDSKESRAISLLQKATEHARRWRCISIDIRGEEESDQSLWSFFSPLQNLSFPEL ETFEVYVPHLLSSACSGDLCGGELATPKLWFVRIDPIPFAHFIPPHSITTLELHWEYG DPYPLMPNFFQELWDIMASPMLTTVSIIGEMLDDEYAKEFRTKKKRLSTSIKNLRCSS SIFSSAIFRHFQFPQLEVLWLREIVLREIFEDVQPIDPTSKIFPSLRTLILLNCESAQ DSYIITLAQYTQNVRHLFVIQEPDFLEEDPFDLGERSVFFVIVDEKILSNTTIWPDLD TITFSRPIDYDVSSEQVASCIDIVQKRKKQCMLRVPRYNAERWESEDPVLWTSFSDTG KLEIIPVDANKSIGRWLPWPLREPQGIKRPADIYDGDPFLRPMDSSLEYL JR316_0003028 MKFSKLFGGLLSLASVVHAVPTPSGGLGTNGSEPYYHPLSDFDF QSINLALNQEWIELDLFHHGLAKFSVEEFEAAGLNAEDRYLIQFMAEQEVGHAELLTN ILGPRAAKQCTYQYPFETVREFVDFCQKLTRFGESGVYGFLEHLNSRDAAQLLLQSIT TEARQQMIFRQFEGLFPMPVWFETGISQSMAWTLLAPYLVTCPAENPRIEWTNFPALN IINNPDATPLYNTSIIGNNTTPAITHNRSEPLSYPGREVFLTWDAPGQIVSYNNSYVT NTTAGAPAYVAWISQLNVTYTELFNISGRSGQTIQPSGNVYGDNTAPIVNGTMFIAVT DTNVPISPANVSMLNDHIVAGPALYQSG JR316_0003029 MDFLKNLHLDDKKQSSDNHTEPTSQPSTASRAGSFLNKLGDALN EHKSPTPTASAPAPQVATAPTPSTASNKEDIFSKIGGALSGRKTPEAAPDPAPFAVPA SSSGKEDIFSKIGDALSGRKTPPPVSVAAPTPAPASSRKDDLFSKIGDAISGKPSTPP PAPVAAPAPRKDENIFHKIGDAISGKQTPPPAPAPRKEENLLSKIGDAIGRKQTPPPA PAPQKPQNLVGKLTEAVVGKKDEAPPPNPSLIHQISEVVVGPKKQEQQKPQGLMNKIN HSLGGGSKGEEEEDRLDKAIDMFQEHILREGPQNNESALEQAKDKQIANMIRTTVGMK EKK JR316_0003030 MASAKLATLIIRTLAKPISTRIKEQARQHERFRGLCVNLAQFMY RSEVKLRTNILGEPAKHIRPLSEAKAIDNGANALAEGFLFTVAAALIIGETWRSSRNQ SKRRDTVDDQLEDLGARIAGLNTRIEEMAQKWEEERSAETQRNDELARILERVVEIGL RGGWVEFKDTPVQLPRVQLAPPALVQLRGGAGLLPSSSSSSQSADTDAFPTPVAHTGP TSVFVSASLPEPLPSSSPNTSSSHPPSNSTSREPDSTPKVVPGNVRD JR316_0003031 MHAAMDAPTEILQIIFEEACHAPGAHASEDVPLQVAISQVCRSW RAVAIGSPKLWSQYTYWNGKNFIKELDRLAHYLERSHNQLLDLSFRLEPYDLIKKPVL RPTSSLSVESRALSLVQKATEHAGRWRRILVHIDGETEETYPDPPQSPLVFLQQISLP NLETFELITSERFASPEYVSALAGMAPKLNFIRIDAITLLSFTLSQNITTLEIEWTSS SIHRSPILLALREVIASPTLISFSIRGVPSRMSPPAEYYENVQAKVSNLKHLRCSNTI AAPTLFFRIRYPQLESLTLKDLTIAHIAWKFPPSILGGIFPALRTLAFIDCGLNESEH FVYSIAKHANNISHLYVLKGAFRMNYKCSFYNLMLHILEGFLHSRDNYCKNLTFFVNQ AYDSWQDEDDIRIYRRYALEGRERGTVRLPSFIVDRYKVLDDGLWRELEDSGVLEVIA GQNLQMFLPPWPARSGVGESEGLGHGTRDPFYAASTGGIFKS JR316_0003032 MKFLSAIALALSTLPFMASAAATAPECRPFCCDALVPSIRPAGN VGINCSPGFDCPFGGQISACCVRLAPNGAETGTGIGCN JR316_0003033 MACLVTASPVPAPAPGLGIPLLSGGAESSSNAASSPLAILDNLS ALPAANSAPNANTNAVEAPVADPATAGGLAEPLKPLSLLGRVVAYRREHRERDLDDEE EYERQERDAAPAMMKRAERFQLAMMRRAAAARA JR316_0003034 MKFLSAIALALSTLPFMASAAATAPACSAFCCDAVVPSVRPGGN VGINCTPGGLDCGFHGQTTACCVRLTPIGARTGTAVGCN JR316_0003035 MPQIPATSPATGLPTTPSFLHTTSFSFVDTHGRTLLLRGVNLSG ASKAPVGRLSHVLDGFWESAGADEDTDCWYGEEEDDEEAIVDDEEDEDRVGESSGSSS GRSSRASSRSSGKGKGKARDKLSFVGRPLRLEDGSADVHLARLRGWGFNLLRFPFTWE ALEHEGPGKYDYEFMDYTIQVLCKCKEYGFRVYMDPHQDTWSRFSGGSGAPFWTLPAC GINPRHITATQAALLHCEYPSPYDPSGPAPATLPAMAWSTNYGRLLSQTVFTLFFAGR DFAPNCIIDGVNIQDYLQSHYIEACGRLADRIRAYDDAQIAAGVWRRGEGLLDACVIG WDSLNEPFEGLCGWVDLAVNPTAQGSTLKKGTYPTPAQSLRLGMGQKQTVEHWTFGAF GPTRDGVVEIDPKGRKVWADAAALSPDADSDWAGGELPDGTHPRWGWKRDVSKWPLGT CIWAQHGVWDVETGFVLRPAYFRTHPVTGVEVEFLSDYWKPHWLAYAARVRRAHPEGM VFVQPPVFAIPPAFTEEELGGRTAYAPHYYDGLTLVTRHWNWFNADALGLLRGKYTSA LRAVKLGEGAIRKSLHEQLGYLQGDVSAASGVLGGDSTRGYPTVIGEIGTPFDMDGKR AYGWTDSGKHQGDYSSQEKALDASLQACDAWVLTKGKERERERETGGGNGRGDSLNYT VWTYVPDDHSHEWGDGWNLEDLSLWSPDDVVRDDEEECCCCCDEEDETDIVRRQQQQQ REAEGVYGDVQDMRIPRVSSDNNELDDVVAEADATTLRTDSRAVLLMDGEREADALAS LAGAGAHASSASAIKCNCSPRNCARRRRRPQVSRAGSSAKLVKADRKLQRQQQPERSL PMTAALSSLSLATLGSSTGMGVDVHALSQQVQRQRFTRAQSSAQQLEQDERGRPGNAR SRSKVSVASTATASSFDSERTSKSSLVKHRHHHHSKSCPRHHPHTRSSSSNSTSSGIP LPLLRAGYDLNPYTFLTNGARAVRAFARPWPVAVVGRAVDVRFDVAKGVFKLVVWVGW EDRPPAGSSNRSSRSSGTRTQEDGDEGGVERRMPTEVFLPLVHYAHPRLLESARSGKD STRRSSKEEKAKAMEKYAAQGNLKLRDERGRGGYPPTASMASLVPHDGDEEGAAQRRG TSLESSVTTLAPTPVITSAPPPPHVRALEPVTRKSSDELSSPLSTMTNPIPGPMSASS TGASASTSTTAATLVNVITSSGTSKSGPKSSISTFTAASGKPSSSLFPPGIDPTARAP LLIGDTFSPSSSPPSPSSDPEDDRDDDRRAHPLIDVEVSLSGGRYSIRGQRLFWWYDI PKEGEPRREYVLEVKRRGGPVGVPPTKGPQDKKKSRAKNGKEGWSGASASMLSLFVSN GSKEQVGEDKESRRRERERRKRQQQQGGEGEDKNRGCCQSLCDEHGGLFGGCVIA JR316_0003036 MKSSPCIRSREPNENDNSSYKSLCTAFDNRLRLFMNTELFPVNN EREQDHIPAAQNGMQSQFGAPLRLGGNADARVLTLTVVTSHTDNLADAPNAALGTPSS SVTAPLATCAHTVAVDSPRPRRGRPPGSGYKQKRAAALALEGVAPPAKRPRGRPKKQK LASPAVSIEFRTSTNPGTSRITPPALLAPPYSFVPPSRSSNHSPRPSVPPQLHSGQLV ASNPCTTRPPPSTHTTPPHDTIIGSEDPQREIVPLDDDDASGDGVGVEDDLDDDEDCP SPLSSGAPMVKPLPEWLMEQFRQHLKESRQRDANGLPPLYANQHTFWFPTKSNGMGTK QFSDGLRTQHLLRHDLLNLQYLTHLCERRNTLDGTWNNRKFESFLPFNDTSPRGRHGY IPSAQWIRDMYDSFIEKHGHDFNQHTAMLTGEICAIDHSHKITKHLARVEGEQVFTGL LTVTNEKGEIRTCNLVATKAQSQSELPLKAMAKSLDLYGHSQPQLFFTDNITDKAFLE RCFPSLRAGVVPVEKYADLEPFELSPQVKLLPKDSPHSINDAARSILDDVPQDQGYIA VGFDSEWNVELSSRGHVTHRGKTAIIQIAYQDCIYVLQISEMLAKGDLPHQLKLLLAH PRILKVGRMIKSDLSYLQKASNSSYDFVGGVDLGKLAKDRLLISNISKTSLADLCARI LHKRLDKNVPERISNLWENIVLSPEQLSYAAKDAYASLCLYKEMQNIKVPSLLPSLVE PCMPVILFSNDNTTIIAEGQISRHARSQTYDGIKVSARRTVIDVLKVLVPGAIIPQHR RQTLKSFGQTPFSIVCLQSHLRLYDPAASSTRTIKFCESASSEPETETPYESASIFQD ADSECDFAAPNDSEPSCSVGDLLSDDLSEGCSTDSVQGFEVDPESVLFCKEYLGKDPD QWDYTLHSRVIKDVWHVFHMFYIPATHGLRKQFTRDLRDAIFIPDKDDKDRIDAIGAT WSPPQTYEQLRNSRSEWHHIPSPHIVYEYLAKVFRTYGPLRDPKTKKPLFSVEGWKTA KHILELAIGGYLSDPPGIPLYTRIGTDKSTGLPIYRCARGTNTTEGGVHTHIRSRLPK FGTSFRHMQACLKDFVLRHNLQTGTYNSTGQPYRSHFSIWIINKLQELTISLDNILIN PVQIDGWVNGNLYSPTTEVLGILPIPESIRTATGMLPYNSNTVIPNQPQMHQYLANIQ GTRKPVLPVHTATEKKLFHDLIKSHAAFSPVSGEPQWRDAVPVWNAKADITNDVSYKL VEQLSAYYNKWKTLSHIHETLSLTADIRGPLSIIIHDPSRSRKAPVVPFKPCPQPLTA CEGLLETNDNGNRMLAPLPITTNAMHVDSDLPSQQLSRDDMASRDIEFWRETDVISAP QQLEHQQMCPTSPRSHQLQNKIIMEKLARQRVVESLQKTKPIKKPRKRRTCRKCGQRD CSGSSNVNLCRNRCQDCGQYNSCKGRNTKRPTKKCYEGWN JR316_0003037 MPTNPSLRVSATTVPEIPNRDAQWPAPAAVIKMTAESISGAFSP MREPIPLSTLATDITARITLRTFLPSADGDVRLNNSQMTAIKHALEHPNDTNSPEALV NSQSSDWRLYDVLKTARVLRAQIWNGLVDESEQQDLGFLRRCKQLWVTRPTEDNVDCT RDLERGEELYEGERMGLERVVKAVVKEVTRECELVAVTTPGGGDVDVERVWMVKLRLV VPHSSKALNRLMINESFIVPQIGQSAYVNAPNLLDFDEQKSGT JR316_0003038 MADVIPIECELPGAEYAFQDVTSLFLEASAEEKTVELEPDNLLF MENFTLQDAMSALEIGEPRLDSGLVVQEQLRPPFDPLKPLLPEEVCWILDKALAFELE FHAGNFLAHTVHTLLYVHHIRDIDPDVLVRNTANVDPARPIELITIVLRSAVQGLLKC CDLTWRELARGGMYDVQDWQSDKCEVSLLEGMPTHHIITKLDDSIIWLLESSCNAHNV EKRAQSSIASSKVMSTIPSQDRFEFQRLLLLARDHLHTVKSNPSPDCEPGSPAQLAFD PYIGRRLQTATPIRIVTPPSIEDTYKSLYRLLDGLYEVGLLETFDQITAWEIVGNLKS WLAQPPPTTPYVRSLLQTTFYDGVLILNKYSFEWMINRFFFETVGVKYDAIKSLVTIR WKGTEAETFFKKAERTLKRRHFMKLLIEWHALYDILLQIRDNTDLEGLPRGHILNHLP DAALLWRLSDIREIVFSGFQMELYAKEEKSFAYWYAAQVIDKHLACLDDLLPVVDDGS SVHNEMLFQIQFLTALQSLCTASFVVSLPLTSFDWTRTKPTFFRRYKWAFKLEYEVFS SVPVAQPELHEFIRTCAHILQNGNPVPVEPVHLAKSILTDLVKSNNVGGWAGLWARER VQLIQNLLSVCDNLAGLPSTAEEMEDFRPMNLKWDPEYHPWFPSLSQPQQPHSGKVNG NGFCMKDPES JR316_0003039 MGCGCVKMFSKAFYSSRLRCLTRRRHSRWYSSLPDAAPVPSKGR VIFSGIQPTGIVHLGNYFGALSNWVKLQNEAGPEDDVIFSIVGWHALTLPQNPKSLSA SRADMFATLLAFGIDPRKSVVFHQDDNRCHVELTWILSCLTPVGKLQRMTTWKSRLAT SRNSNDESEVDESMLNAGLFTYPILQAADILAYRATHVPVGEDQTQHLELSRELARSF NLAFDRRKKFFPTPTQLITPCKRVLSLKDPQSKMSKSSPDPSSRIMLTDTSSQIERKI KGAVTDSIQGITYDPVGRPGISNLLTMLAACEDAEVEKVAEKYADKSNAVLKKDVSEA LENLLKGPRAEFERLRQDPGYLAEMAKLGASKAKERSQKTMKEVRTMIAESHDQPQIK ELDGLDRINAETPAQSVERASRKVKRLYEKEGENSDVAARILRAMENLESRIAPVFKQ LAEVKELNEKLQERLAAAESSKVGYKEQLVKARREVKNARDEVERQQQRAEKYLNLVK DKEIEFKKLQEDVVTRENEVCIAVIYERSNPLTRCQTKLLKMKMKALTKTQRRKPRQS SDADASLLIELAPADDSDNKRLKIRKLSKPSLQDMNTDPPRRIPANKKYGTNLVNGIV NANKAQNDLFKIGKNNQRTEMAICWVPQLASVKVEKKIEERTPTANVDTEAEA JR316_0003040 MDPLEAQAARRVESRKKKTDEKAKQAEELKTLGNESFRSGNYLK AVKYYVEAINVCGPTAVLLSNLSAAFAKANYVEESIWAASTALECDPRFHKARFRRAM ARKQLKFYAAAIKGTDRGLPLSAIVRDHPRIEDGPWETYEESDTEDCEHKGNLTPCRF YNHAGCARGSQCQYSHAPDDNSIRDNLGKNVCVELLLGTCKFGDAKCIYSHDTSFLPA TGWWNDPSKVQAAREMRNDGDDLDDMFHEGILITDSKISGYTARRRHEIIMSQLYEYY QAIKEESKTPPRTPSQSDRFVLVLVFEYDDLVRSIHSHLLAALRRKIKVVEISNSIDS ALQHLAMPNLAAVLVADPIIAKEHRYAGVVSKLVEYVKTGGSVALAGQFSNHISPPQF DRFIKRSFGLDWKFGAYHRTTFKVNPSNELVNRNPSLVATYSMKTVHIADISPDMAIY VPTDGARLQSMVFPAHLIKDTSESPAVCARVGRGLLSFLGDVNGEESSTNTTLAMLGL LDEPNPVVDPPPPDLSSSCNAAGPSNGTNGNPDSRPSSKKKKGKKKKRSNAQANPQNE NSGDGMEGRSGYAVLNGNSGNIQLATDFIRGPRSQWGEQTGYAYMSEDDENGGEETSE VKKSSRAVSEQEIVARSGYARVCEEPPKTEVTGAASGTERVAAASRTSGFVLVVALSN YDMFMRIHTEQIEAIKQKAEVKSALTPSQVLEHLKSPDIRGVYIADEGIARPANSQAL NEIVQYFKRGGQVVIGGLFPSMTGPPDITKVLSVFGVPWKAGSYTRTLVERNNHHETA ARNPSLSEEFSMKAVHLTGFHPEDLFYEQYPQTSNNWEAPVLRTKRGNGHFGYIGDVN AEEESTDIILAMLELLSSKREVVPDSKKFFMILTSMNKDEEYMNRFMGDFIKDAKKKA EVLLGLSNARVIDLLPSRDLLGIFITDPYILNIEHEYLLSKVVEYSKQGGTVVFGCVF SRLVSLSQFRPLFWNNWELDWEMFMGEEDAVVAKNAKNPLASKLDQLKAPNTFQLDGA NYVRGITSSMAVYIPQKRQRLWKPADGNFSSSIVYTDVGKGHLGFIGVSIMDENIRAI FYSMIGLI JR316_0003041 MSHGLMNEVVDSSGMHSTSATETAYNGSQGPRPFSSHSDNIIFS PHQDTFPSIQSHSTPDLHHGYLPKRIISRLSDNVYANKNDRSNV JR316_0003042 MFALCQVPSDFALTLVLELVYRRVLYSSDQQLAAQVDTEQKQAD LKAFAAHGCYGTSVITALTAQNTKGIQGVHATPPGFVAQQITSIFDDLDVRAIKTGML FDAAIATVVAQCLKTHVAQGALPPVICDPVCVSTSGHTLLHEDALQVLISELFPLATL ITPNKPEAELLLSKMGVPDVEINNLDDMLSSAKKLLSVGCEAVLLKGGHVITSIDEVV LAQEKYSGLKVIKQGMLGDNMEIILVNYPEIAIENLELVADVLCQKSGSTTIFVRPHI KSSSTHGTGCTLSSAIAAELANGSPLEDAVANAALYTHLGIQAADPIGSGHGPLNHFH NVTKILIPQRTETNPYPFTRLLIEGASSSWKDYVEHAFVKQLGEGTLDRARFIHFIKQ DYLYLKYYARAYGLLAAKSTSFGWIRSATETILNVLHEIGNHKTYCSTFGITEAELES TPESAATTSYGAYIMDVGLQGDSMKLVMALTACLLGYGEVGLWLKKQASTKNSWVMLE GNPYKHWIQEYSGSMYQDAVRIGLETIESYAVADPPSAQRLKEWQTVWERCTRLEKGF WDMAMQLSE JR316_0003043 MHTPSNVLISLVSVRCRQEEQSRLARIFQPHCFFSLGFRTMSSS KRTASNRLTSRVSQFDPQVTLLEISGPQDSPRRSKRIKTEIKAEVKTESLVDLEDLNL VKLDPESETDTPKKRKRATPTKVVRPSLQDAAQSSNPTPQKKPATNVTSPKKGKTKAI PQELGTPHPAPPKWRETYDTIKEMRSRFVAPVDTMGCQQAQVAETDPKNRRYATLVSL MLSSQTKDEVTNAAVDNLRKAFGGSISVDAMIAADDSVISEAIAKVGFWRRKTTYLKQ AAIRLRDDFDSDVPSSVDELCSLPGVGPKMAFLALQIAWNQYDGIGVDVHVHRITNLL GWHKPPTTTPEQTRLNLQSWLPKELFGDINHMLVGFGQVVCLPVGPRCDMCDLSAKGL CPSARTVTTKNRKEIIFTNVTKVQDSPPKVEIKLEEETEEMKYVPPRPSEELELKQET EDEKPILHEPPKVDANLEKKPRKELYVPPPPPPPAQS JR316_0003044 MATPSPSTTESTLTITITKEEVTTVTVSLSPTTVTEIITVTSSI IPSTPTNSAPNDLPTDGTKTTWSSPPDMTDLSSFQISKFAGGEKNMKIIVDTSSRNND SDLAASSQGNPVPDSDSSVMQLLYPANSIDPANKPQGGAEFYASPIDISNARNVTMGY SVFFPENFDWVLGGKLPGLYGGHTGCSGGNAALDCFSTRLMWREDGAGELYLYAPKDK QTDALCSDPNSVCDATYGLSVGRGLFNWQAGSWTTVKQTVVLNTPGKQDGRFTLHVNG EQAIHRDDIFYRDDLKAKKVSPSKTRKTTRPKKTSTKHPAPTSTSDDGDDGGLLGPIL GGILGIRRRGTPVPEGSHDGSRQIVQTTLSDPLGPRLRETRLQPRGPRTFDVIVSTTG VPVAAATTAIPVAIESVEESEVKFAGIFFSTFFGGHESKYATPQDQYVWFKDFSLTYN A JR316_0003045 MPPYRRLQQYAIGISIISVIYNGLEGGISIGFGAESNSRSLIFF GIQSGIEVVSALLVVWRFRKIAKPGEERGAALNTKDLQFEKYGTFGIGVLLIALGLGT LASSIAILVLHREPDTSNASLIISASALVIMVLIWLPKRYLATALNSSTMRGEALDIP SLAWRMVDRRGDIYDPERVIRLGGVQDGCVG JR316_0003046 MFSRSFIQSALVLVFALQVHGHAAVAPVLGVSGNPVRADVKRPN NINPCGAGVNIASEFDSSTAAPADASGSFNGVVFNFNRLLDGSRQVSAAVDPTGTGSS FTPMTVTTNGDKVSQNLGSQPIVAQLPAGMVCTGGATKNKCLVQFKNVAGFGNCMVVS QDSNSSSNSTSSDDSSSTTVDSTSTATDSADLSTATDNVFSTDSSDLSTTSVADISSA TVTAAAATATSTSLFGSSQSTGSQSTGSNLSGNSVASGIKGNIANKLTGLKEKLQGAA KVPGTRAARSLLAELAGIDH JR316_0003047 MAPKTKRTEESEAEPTGRASKVARTSKNGAASKNGTKKAPAKAP LSTEQFTAKALPLHVNLTHTPPSITKDGDIDAEGREEHPTPVEVATDSTSGDVGFIGN LTLVPTTFSTGSYGWKGSKKIVVELQSGDVDAEGGREKVQVMLSVNATVVGSKPSKDK KEQKGGKATADEVEEESNSADQEAEDTEA JR316_0003048 MPSKSTLALVACLAYGGVVMSLPMKNSYDLAERDYTDLETRMYD MDLEDFDARADSPTAATDATTDATTGSSSTTTAAAAATTTASEVSKSTKHGKHHHKHH HGHHHHKHHHGHHHHHGHHGHHRLHHKGKSQKGTSSDLAGSAKESAAATPADASAAQA TLAARSPIRGAYGGVRPRVGLRGGARFRHGGKFGKFGKQGRHGRRPHRHAGHAGGRHG QGQKAKQADTSTQTPAGADTGAPTTPDASSSDAASAPPVSARGLLSFLKKKKADPTTS TSASEPTKTGTDVADAAKTPAAGSEEAAPKKPHHHHHHKHGKHGKHGKHGKHHRKHRH AKESATTTSAPAATKTDASAAAPTTAVSPIDI JR316_0003049 MLGTDFFNFGQLFWSFGVAFVVFVVYKVANLIYDEFTSSLRDLP GPPSRNFMFGNMQELGLTKWEQQYGSTIKYKGFFGTTYFYSTDLKAMNHILMNNYEFL KPNPNIYIFKRILGDGLVFADGDVHKKQVSTFCHKTTSREILHLYLDYATLNYCRLKN PGFAPQQIREVTDLFVEKTAVLRDIWAEKLSQKSSEEPEVIDVLSWFSRLALDIIGIA GFDYNFDALVDDPSKNELGNAFAITSNVEDRINFIGFLKFIFPSLSFLPGDADPEGKR AADTLYRIAAELLEKSKAAIRKADGKSTLSRDILTLLVRANELDDVPESQRLTDKEVI AQIPTFLIAGHETTAVALAWMFYALTQNKNAQRKLREEVSNVATDNPSMDELNDLPYL DYVVRESLRLHGPVPATSRVVGQDTFVPLSKPVVDKKGVVHNEIRLQKGQRVMVPVIV VNQAKSIWGEDALEFRSGPDKTICEDPNAGKIFQKPHPVFLEYGVTA JR316_0003050 MSSTTKLLPFDVPSSLGQFIWSAIAPLALYTVYKLVNLIHEEAT SPLRGLPGPESPSFIWGNIKDIWNSTDSAIYDKWVEEHGSTIKYMGPAGLKRLYTTDL KAINHILVNSYDYPKPESAVYNIKRILGEGVLLVEGDIHKQQNPAFGPQQIRELTEIF IEKAIQLRDIWSSEIKRQNDDGPAKVDALSWLSRLTLDVIGLAGFNYNFHALTDDPEL NELSKAFAIMFKTGTKIDVIGIMRGIFPWLRFLPADRDAEAKQASQTMFRVGTELLEK TKACIKKEESNKRAWAARDLLSILVRANAMPDIPESQRLLDKDVLAQIPTFLIAGHET TSIATTWALYSLTQNKDSQTKLREELLTVSTDNPTMDELNALSYLDAVVRETLRVHAP VPATMRVASKDDVLPLNVPYTDKTGKKHYEVHVRKGQTVIIPITPINRSKSLWGEDAS LFKPERWYNIPEDVAAIPGVWGNTLSFLGGPRACIGYRFALVEMKALLFTLVRAFEFE LAVPASEIQKKSVIVTRPVLASDPNKSNQMPLLVRHVIL JR316_0003051 MTNRPDIEAGAAGQMPNDYDANDTETESLLPTTRPEEDVLLHSP KKSRSTFHDKACGQPRFGVLHITGAFVLGTIATLAAQYAICGSSCFTGGQQGSRSASA YSHQNQEVVTALAPPWVGSTESHQFPPPNPTNAFPSLFPSDVGYAGPTPTGAEAGVIA TAPAYPMHTGQCSHELLKPASLYGEAKKDPEHNEAKGKKSKFNLFRSWGNLSPWYSVD RGRFGVDSSPDVPETCRVTGLHFLHRHGARYPTAWASFGGPAKLGRKLHRSPESWNAS GDLEFLNGWTYKLGEEILTPFGRQQLYDLGISLRIKYGFLLQNFTEKNTLPVFRTESQ DRMLASAQNFAVGFFGYPLDGQYQQSITIEEDGFNNTLSPYKTCPNAKIIHKSERGQW YIKRWANIYLKEAQKRLQKQLHGIDLTIEETYMMQQLCPYETVALGYSKFCELFTEEE WEGFNYALDLQFWYGSAFGSPVARVQGIGYVQEMVARLTNTPIQTHNSSTNATLNDNP ITFPLGQSLYVDATHEVVVLNIITALNLTSFAGSGPLPYTHMDPNRTFRVSELAPFAT NVQFQLLECTSTPGQQIRVIINDGVVPLTGIRGCPEQKDGMCPVDTFVAAQKEIIKEV DWLYDCHGDWTVPEGTEWETVIGSPPKRA JR316_0003052 MTGRSLGGPADGIPPQAECFRQRVTSLGALEPMFSLRRLLAVSL GLAGLVNAKSSTGNAVLVVVEPTRQGDFSIFFDGLKNQGYDLTFRAPKDEAPAIIQYD EASFAHVVILASETKNFAKDITPQSLISLLDLKTNLIVALSTKQTPLSSLAPEFSLVL PPPGTPLISYFPKRDEPASLIPITPSKYDATNILSKNLAPIWFSGVPQSLGNNPLLVP ILHAPPQSFASEVDGGSADALVDSAEKGGEGLWAGSQLGVVTGFQTLTGARITWLGGA DVFSDEYARKEVSKGVPSGNTQFARDVVAWTFQESLVLRVDKVEHHRQNETEAKELYT TNDQLVYSIYISKFNAKKDTWEPYSGIKDLQLEFTMLDPHVRTSLPPVPGTPGKYSTS FRAPDRHGVFKFVVDYKRKGWSHLHSSTTVPVVPPRHDGYPRFLSAAWPYYAGAISTS VAFFLFSAIWLAGEVKEGAKRSVKSGKTE JR316_0003053 MGASEELEYLKSLVNQLNEKIAALESKAKAPAPAKTPAQQLRTI LIGPPGAGKGTQAPRIRDDFCVCHLATGDMLREQVQQKTPLGIQAKKIMDAGGLVSDE IMVGMIQDQLDNNKSCKNGFVLDGFPRTVPQAQKLDGMLEKRGEKLDSVVQLLIDDQL LISRITGRLIHAPSGRTYHKEFHPPKKPNVDDVTGEPLIQRSDDNVETLRKRLGAFHA QTGPVVDYYKAKGLWHGIDAAQSPSVVWDNLKSIFVGRK JR316_0003054 MRRVHECVYDDSSRKSRTQTLREKVSALEAKVRELESSNPSPDP SDPSSSSSAPPVSTGAGPSNAFSYDDPFQNQPGLAMNPLNSVSWLSDDLDSLIPLVPQ EWISTNPNYDLQTDSGSGSSSSNSQKASVLLDVSLPHYSAHPSSVSPIPFTDSSGTPV PDLFDRSAPDPHTMNLTIEMHNMLVQTFIEHRKQCCFYSNTSRFDRSSSATVYQNTPP NPALMSAIYLMGSFFVQNLGLQKQLCEQTQQEVARSLHNQDQLMDVVQASYLLAQYFF FNGRGMEGTRHLTTAKRIAFDLGLHQVSLPTFSFDFDYSFDSSSHDWQEKTAVLWGLF MVEKFWALNCDCWEAYAQADFDAPCRYITTPLPVQEGADLELVAANSPLNAMFDSDVF RGTLLSVDAFKALACCIFDRALRFHNTPASSKDSATWAYHRSSEIALERLSSLIHPLT WRDSHDTGKPTIDTDLYIAHALIHASTIHLHPDSTMNLKISWAAKKLVELVNHLSEND YCYLDPILAVSSNFLCEFISW JR316_0003055 MSQTQDHRFSLNSNTAGAPLPLIPRRTSSSRPTLTPSPSLPLPT RSSLTSSASYPSSSITFSPGGGGARYPARNDSDSSSSSSSPSDGTRPTIRTRRVRGVR NPAVCAAELSTPVPKQSPVTTPAHPQKGVAFPSADSASNKPPHAGPSRPLLYTPGMSL RLCAESLKAPRNVSESHVSHPGETSAQGAQSASALCESFGEARLIRKKSGQLVKSSLK SSRSASRNNLSVFTFPQSSKSEPTTPTSKAVHFDSKLEHVKLFLAEQKPLAVSRDGSP TDDTSGTDSDFPRFIYGDEEDRRPRKKLVMQVANMPARINPYSDVALEELTLSGDGSS IYGKVRVRNLAFSKWVAVRFTFDAWQTTSEVTGKYCDSVDSDFDRFSFTIRLNDLLAR IEGKTLVLAVKYSIEGKEMWDNNNGQNYMATFTKTKATPPPSEEPRATPPPRKTTLSD DEASTDMADLRSKLEKVVQSNDRRPQVPASADATLSSFRGSSSFASRYDISASLKSSW NPEHQAQPLHSRTQSFPISAASRSSSSSIPWPQKSPSDPYAFRHAAPHHPAAYSTPAP IKSKPAANLGSPRDIGEDAFCTVPPRQNFEYEDAPFPVQQPRPVRNHQRGYFDISISP SPSFVKRTPPGTPREHDELFVSSPQRYNSFPPLEPSRNVLPPSMSALSSAGFRGVYRE DVVDSELSTPSMATPSSSRESTPSPTEMFGNESETGLSPDTHYRQFLNKFCFFTGPDV AVNPQRQQQHQRQQHQQLQRVEDAELIPRTHSASDIEEFLSSLHTLADDEPVSISPIR SSSLDDLYLNSNRSGSITPTVSRLAMANSNSNSNSVAQMTTPVLL JR316_0003056 MNFTASFLALLAAAQSIAAFPFGGSHSDDLVAPVPVTQLVCDGD TYKCTASLDFGDGRWVADWNTAVFHTGLFGGIDHKETIARMAMAPVPVTALNCDGDTY KCTANLKFGDGRWVAQWSANVFHTFAPSVEEPNFAPVPVTKLTCDGDTYKCTANLQFG DNRWVAQWGSNVYHRGFTATSEKPSFLQQ JR316_0003057 MKLQLLKASIDEKFVLEINESICLDVDERDGAFVAVPLFRVNTA PIGPHPVGSYEIWVPQETFSAVFSYLCMNRGNLSILVHPLTREQSAEDHDTRVAWIGP SYPLDLTALPLRSEEIPLQYPSLRLGYSAKPQLTLEMRMKLGANVEHLLASEKEAARA PPRV JR316_0003058 MWRVEQALVRITGAYPAFMRPREYPVLDSTPVTADLEIAYGNYN SMVLDASGIRGQGVVIWDLDSGDSTGASVQSSKNTYSSRISAHPSTILALNHETIETT AHQVLPYAIQQLKAAGYRLVTVAECLGKPAYQSVVAPSPRDVRVSLT JR316_0003059 MISLAALTTLCLAFLGTQALPTNSTLERRAATVYDIVNALDAAG AKGTFFVNGNNWGCIYDQANVDRVKYAYNHGHQLASHTWAHKDLNTLTWDQSEPSV JR316_0003060 MHSPSPPTPSPATPAPSTTPAPAPASTLPRNGVPPQTIVIKLGT SSIVHETTHQPLLSKLSAIVEVVVDLKRHGHRVVLVSSGAIGVGMRRMRMERRPGSLV GKQALAAIGQGRLIALWDNLFSQLEQPIAQILLTRGDISDEIKFGDNDTLSAITSSMI HADYLFLLTDVDGLYTANPRKEPGARMIEVVESVAGIRREVSTNTLGSSLGTGGMETK LIAAEIATAAGVTTVITTSQSPQNVFKIIDRVLPRPPHTLFLASPTPLRDLKAWTRNT LNPSGSVVVDGGAYEVLAKRESGGRLLAVGVLGVVGVFAAGQAVRVVVLAGGREEEEE LSSRFEEGEGEEKQREKEISESDVIEVGRGLANYNSAQIMAVKGLNRLVSVIAHCVMG WIADVFFLDSALLPRVLGYADSEYVVENITIRSGAPVTSAANSSPAVLARGRGMQSLW LILAGNSHPELAQAVAERLNVPLVPVTVKKFSNGEINVKISESVRDEDVFIIQSGCDD VNDNFMELLILISACKTASARRITAVIPCFPYARMDKKDKSRAPITAKLVANMLVVAG CDHVITMDLHASQIQGFFDIPVDNLWSEPLMVGYIKREIAGWRDGIIVSPDAGGAKRA TAMADKLGVEFAIIHRKRNGKSLSAPEHMEILVGDVQDKVAILVDDMIDTGNTLTMAV KTLHEKGAKSIYALISHDEYEYD JR316_0003061 MAEERDGTLGTASRPSRVLWRGFIAEAGRGDWLGEVIMTLLTVV AVAFELSSKYQIKHHAPSVALSQRPVESEVDQSGCIKTVFRPSQSTLHRWREAQLAVG CAHGVLFIVTKAGGGELSGESTSKSTSKLTVASAYSNHQHSLSITLNTRINHHPSLFT HNNRGGREIESGMK JR316_0003062 MSPFTLSSKRQINQQAPSVALNTHHCTDLRSGFGVRWIQLGDRG GRRIHFQVILLLLTIVYRVDTVLYLSRNLPINPTRCQSSWRMGREDVLSSEKRSE JR316_0003063 MSNFSQHPRTQISLLIYNITYYSNPSALKNSPKPPQTAGMRDLH HFTARREQLQIADFSLHHGTSSLQPRITTSIRIRQTASNGEYAANGRGTHATLLLHSR TVSNQVRSSGLWSTESQRYSRAEEC JR316_0003064 MHSPSPPTPSPATPAPSTTPAPAPASTLPRNGVPPQTIVIKLGT SSIVHETTHQPLLSKLSAIVEVVVDLKRHGHRVVLVSSGAIGVGMRRMRMERRPGSLV GKQALAAIGQGRLIALWDNLFSQLEQPIAQILLTRGDISDRTRYLNAVNTLNELLSMG VVPIVNENDTVSVSEIKFGDNNTLSAITSSMIHADYLFLLTDVDGLYTANPRKEPGAR MIEVVESVAGIRREVSTNTLGSSLGTGGMETKLIAAEIATAAGVTTVITTSQTPQNVF KIIDRVLPRPPHTLFLASPTPLRDLKAWTRNTLNPSGSVVVDGGAYGVLAKRESGGRL LAVGVLGVVGVFAAGQAVRVVVLAGGRGEEEGEGEEKEICESDVIEVGRGLANYNSAQ IMAVKGLNRLVSVCYGMDC JR316_0003065 MASAYPNTHHTSPITLNSHIDQHPPLFVVNSRCGPSRARWISQD ASRPCFVPRSPLCINGERHSSLSGVPTASFSLLQRRAEENCQVNPRPSKYFINQLTTS VNLKAHHGHRVLLRSVFFANHPQHSYQPPSIAIHPQQSRRPRDREQDEIAGKRQARIV HLGINGEGCPSLLGRGQASFLVVTEAGRGDWLGEPTSKPIQQALN JR316_0003066 MCPKSAPNVCATAERRHDKRVTSARLCNDQKRRLAPTQQRGAPL AIDTEMDDTDGLDASGLFHPALDLSATAIVDGE JR316_0003067 MHSPSPPTPSPATPAPSTTPAPAPASTLPRNGVPPQTIVIKLGT SSIVHETTHQPLLSKLSAIVEVVVDLKRHGHRVVLVSSGAIGVGMRRMRMERRPGSLV GKQALAAIGQGRLIALWDNLFSQLEQPIAQILLTRGDISDRTRYLNAVNTLNELLSMG VVPIVNENDTVSVSEIKFGDNDTLSAITSSMIHADYLFLLTDVDGLYTANPRKEPGAR MIEVVESVAGIRREVSTNTLGSSLGTGGMETKLIAAEIATAAGVTTVITTSQSPQNVF KIIDRVLPRPPHTLFLASPTPLRDLKAWTRNTLNPSGSVVVDGGAYGVLAKRESGGRL LAVGVVGVIGVFAAGQAVRVVVLAGGRGEEEGEGEEKEISESDVIEVGRGLANYNSAQ IMAVKGLNRLVSVCYGMDC JR316_0003068 MASAYPNTHHTSPITLNSHIDQHPPLFVVNSRCGPSRARWISQD ASRPCFVPRSPLCIDGERHSSLSGVPTASFSLLQRRAEENCQVNPRPSKYFINQLTTS VNLKAHHGHRVLLRSVFFANHPQHSYQPPSIAIHPQQSRRPRDREQDEIAGKRQARIV HLGINGEGCPSLLGRGQASFLVVTEAGRGDWLGEPTSKSSAAYLVYRVAVQPIQQALN JR316_0003069 MDSPHTPSPSTPATPAPAPSTTPSPAPTLPRNGVPPQTIVIKLG TSSIVHETTHQPLLSKLSAIVEVVVDLKRHGHRVVLVSSGAIGVGMRRMRMERRPGSL VGKQALAAIGQGRLIALWDNLFSQLEQPIAQILLTRGDISDRTRYLNAVNTLNELLSM GVVPIVNENDTVSVSEIKFGDNDTLSAITSSMIHADYLFLLTDVDGLYTANPRKEPGA RMIEVVESVAGIRREVSTNTLGSSLGTGGMETKLIAAEIATAAGVTTVITTSQSPQNV FKIIDRVLPRPPHTLFLASPTPLRDLKAWTRNTLNPSGSVVVDGGAYGVLAKRESGGR LLAVGVLGVVGVFAAGQAVRVVVLAGGRGEEEGEGEEKEICESDVIEVGRGLANYNSA QIMAVKGLNSALLPRVLGYADSDFVHCYAIFFVNGFVLVHGLIAIENQIAQWKGANTD RRLLMYAPVTSAANSSPAVLARGRGMQSLWLILAGNSHPELAQAVAERLNVPLVPVTV KKFSNGEINVKISESVRDEDVFIIQSGCDDVNDNFMELLILISACKTASARRITAVIP CFPYARMDKKDKSRAPITAKLVANMLVVAGCDHVITMDLHASQIQGFFDIPVDNLWSE PLMVGYIKREIAGWRDGIIVSPDAGGAKRCVGSFLLRSGPRSRTTLIQRLAPPEGQNG A JR316_0003070 MISLAALTTLCLAFLGTQALPTNSTLERRAATVYTKCTTPNTVA ITFDDGPYSYTYDIVNALDAAGAKGTFFVNGNNWGCIYDQANVDRVKYAYNHGHQLAS HTWAHKDLNTLTWDQIHDEMWRVEQALVRITGAYPAFMRPREYPVLDSTPLTADLEIA YGNYNSMVLDASGIRGQGVVIWDLDSGDSTGASVQSSKNTYSSRISAHPSTILALNHE TIETTAHQVLPYAIQQLKAAGYRLVTVAECLGKPAYQSVVAPSPRDVRVSLT JR316_0003071 MSTASTPSTPAPVRIPLELKQVVESEIKEWHFHIYFHQNNAEEN AAALKLRDSVLRLRRDGAFVAVPLFRVNTAPIGPHPVGSYEIWVPQETFSAVFSYLCM NRGNLSILVHPLTREQRKSAEDHDTRVAWIGPSYPLDLTALPLRSEEIPLQYPSLRLG YSAKPQLTLEMRMKLGANVEHLLASEKEAARAPPRV JR316_0003072 MNFTASFLALLAAAQSIAAFPFGGSHSDDLVAPVPVTQLVCDGD TYKCTASLDFGDGRWVADWNTAVFHTGLFGGIDHKETIARMAMAPVPVTALNCDGDTY KCTANLKFGDGRWVAQWSANVFHTFAPSVEEPNFAPVPVTKLTCDGDTYKCTANLQFG DNRWVAQWGSNVYHRGFTATSEKPSFLQQ JR316_0003073 MIEIRDAENSVKHEEWVNQYGSTIRYKGFFGRSRFYTVDVKAVN HILMNNNIYQKPESVRYNLGRILGNGVLVVEGDKHKQQNPAFGAVQIRELTEIFIEKS IQLRDAWASETNKEGSNGRIDVLSWLSKMTLDVIGIAGFNYKFDALSTDPTKKKNELN EAFSTIFRSGTRMSLIPIIRGLVPALRFLPAENDAEAKIASETMARIGNELLRDSKAA VLEEDKNGKTVKASFQRRDLLSLLLKANMSTNLPENQRMSDEDVLAQVPTFLVAGHET TSTATTWALYHLTQSPEVQRKLRNELLNVSTDNPTMDELNALPYLDMVVRESLRVTPP VPSTMRIAEQDDVIPLSQPVKDRHGNILDRIRITKGQTVLIPILALNRAKSIWGEDAA EYRPERWQHIPEGAGTIPGVWGNMMTFLGGPRACIGYRFSLVEMKALLFTLIRAFEFE LAVDPSDIMKKSTIVQRPLLKSDPEGGNQMPLILRSVKV JR316_0003074 MNPNSPGHDSLESDIEMIDVREATSPPKLHQNGHIHPDPEWDDD DETLPNDDGSRGLLSGNAERTRFETKSVKKWPQVKSIVVESAPALLMTTVSLLFTGKL LDKVSHWRAMQEVDQLIMIIPVVLNLNGNLEMNLSARLGTAANIGELDDPTVRRSMIM GSLALLQVQTVSVSFIAACIALVLGRFVPHNGPENAPSLSNNSTTTVVRDLATFSLEH VVRDVEVGRKSGIPTLIMVAATTMAAAALSGVILGSFMCTLIVLCRKFDRDPDNIAPA VASCLGDLVTLVLVGFVSTLLIPFIRTPIPFIVACLVLGIAISCFIYVLKNERVRPLL KEGWSPLFGAMAISSGTGIVLDMFVSRYEGFAVLAIVISGLPGAAGSILVSRLSTALH AAKLALNNALPSYSTPHKHPEPSPLLTMVTLLLITLPVEVVFLSVLDGLGWLNLPILS LHR JR316_0003075 MASRLVVYSTPVSINSSLLKHQPDAVPSIDELERLQAELIQAKQ SALERGRKAEEDIRTIKESVRRITEREKGKYKAVDEVKRERDYTPLPEADDIKPSSAF HGSSLKSRHSHPTNALPTSSSRSSVDPRRSAADELKKKKKKRKREGGDSDVEPDIQRP RKLSPPLVHATNHPPPAKVPKPSVLSTVPHNKNVSGPDFSVPPTQQLLPPRPPIPPPP IPGPSKPIEVTEDFSKAKVPAQILVSTFYTSIEPYIRNIKEEDVGFLEYTGDEVEPFV MPKLGRHYLDVWEDQDQGILPASSILVEPQIAPPSTFAAPTPKWDPSTLSEPDLVGEE KGHGPLTERVISALLPIPDVINQWKGVKAAEDAMEGRPGGSGAAAARRERLNVTDLEA RIRDTMRYHGLIDAVPDFTEKVDDPIATALREAQRELRQVLATNKARKARLAAIARDR LGYQEYLELRDSIDKNITTLYAKLQKKDAPKANKKKKKPLQGAAAAAAANAAANAAKA AKGSVAPEDQPLTLAPCPAALGLTPDEDSFLTVNDQLKQLVETRRQWVDTVGSIFDQK EAENPGRIYGLPKESIYLGIEEEVNAMMPPEPPKASSSSNVTINGASGQSAPSGGPNG MVQNGYTGGISRSNGSFTVNSSHKGKERAKNDAMDIG JR316_0003076 MSRSSPSPSSSSRSSITPPPQTVEKKKKTSSKSKKTDHGKNEGV DPNWAYKPPPGAALVKADDVNGGEFDWDKINNDEDLELWLIRVPDSVKPKHLENLTVD VPSSSTSTCIGTLKRKHTAFDIWSVGDNGDNIPIGGEEIKSISCLLPRSSKKGKLYPV PKPIAQHVVIAAQPVVPTLPSNGEPALQYQNPPRHSYPKEILKHRFTPFNSVINTESD AAKMEVDAPEPPASPKKKRAKTTDVSATNEDTPAVEPETESKKSKTKKRKGESGDAAE ISAKKAKKTKVA JR316_0003077 MSKLLAFVTIAVIAQQVTAVAVWGQCDSGSVCTYSNPYYSQCLP GSATTPPTSTPATTSSPATSTTPSGGGSSGSGLNGKFVSHGKVFWGSCADSNTLNIAA NAAILKSDFGAVTPWDATESQQGQFNFGGSDALVNWAVSNGKMIRGHNFIWHEQLPSW VTSINNKATLTSVMQKHISTLGGRYAGKIYVGRLQVRTSLFHVSSSSDNDASEILNED GSLRSSVWSNVFGESFVQLAFQYARTADPKAKLYINDYNLDSNNAKTQGMVALVKRVN ANGNFIDGIGTQMHLSAGGAGGAQAALTALASTGLDVAITELDIANASSNDYTTVVKA CLNTPKCVTITSWGVSDTNSWRASTNPLLFDGNYKPKAAYNAIISLL JR316_0003078 MPSKKHTQSVLEKQISKTIALYIDSAITAPPKHQPYHNDVRDFT HVFEVFWKEDFSGIDLDAVYKRKPDDPSWLSYFSDHKINAVRLVLAVRDRDINLVLVE GQPPPAPAVDSVSLKDISYETFAGILRGLVRATKKLEETEISITSEIIRYLESMPNQT CEDELSDGFYEEFKLLRSKVDIHQAYATTPEEPDWLARYSNQAINIVRIVVLLRAYDE SAASVLESFGDQELSLEYVVFEDVSLQDFLDVFRQLVGAERRLRRKSEAENSLDIMEP PSSDSDTASEHEADEKTIHAKDAPPRSIYELDFVGSESTLHDDQEDVIKIEEVEFDTE EEFRRQLEQWDVHEALEDLPEDIIGPLLEDSKTRRITIAILRKALDVKGSTNTAINRN LNIILHELRREYRIAHTPSFLYGMEYTYQRPVTPFIYGYLALSSVSYVLRSRCRALKT PTASNLVANVDITKDDLTATVEELKSFPVFQEVMLDRVRMMNTRVDIYQDVISHCIEI GSALQTICVFNGPANKPHFTLDPGKCRDLRTAIEDFTQFDDAMLDKNHFQEFPGCMVK VTISANKASGILTLFKNIIDLKYTPVCMQNEDLVNAVDGATVLHSRWHKRSTKSENSL YFPLQPESSGA JR316_0003079 MSLLAPLIALYRYSLEPVAPFTWLGWGISSLDVVAAFRLCVLLR QIREDALKKHISTKGSQAPEEASFVKSASTTLLVVYGAPFLGQPPSFMISGIVPALYT AIQAIVDNLPYVPEISAELEVPLSLLDGFTRAYLFPVSLSVQTPPELKAYGWTTADLW CAPVVTGLYALLTHAQPYWAELHSILSGVLGSTSLGEPVKPLDPETARAVCALLLSGL FFGRTTKNFGLWKPFTKKIEPKVKTQ JR316_0003080 MPSSDPSTSPLQKEITEKLVQYIDRAITAPPKHQPFVYFNEYDV FVRNMETHTAGIDMKHPYDAKPTDPSWVKEHDNYSINLARLFLAVGERDTYPTLPGTS PSLSLDSVDLKLISHARFQQVLFHIANATERTVNSQPPKDPAGCDRVFAESRKKTFNI NIEQPYARRADDPHWMVRYSNMAINVVRLSLAVRANLAAAPQSAAAAHRQPPMRIKLD SVSLKDITHREFFEHLTGFFDAQRRENERVAALNDTANALAAAAAANATGQANPSATQ NPGVFNLEDAGDDGEDGETGYEDDDYAVKDTDEDSDFLEAVLPTPLNNASDSNSESEA SDDDLDDDDDDDDDDDDDDLSYFDSEEDRDSVFADWCRNEENESFPIDIIEPLLDDST THDITVDMLVALLGVAKAPKEKLMKDVWSVLHEQRKAYRIAHTRPFMLHQIPEYKWKL TPFLEAYEFLTKAVYMMRRIPAGLSSPESIVPTLETVESLLEGLKGIDADTVPDSRAV LAERMRVARIRMRMCMSMYHHMHAIQAAHFGSFTPTGSPRNPIFVVDPAKVPALLEAM ENFKQFDEKYIGRKYFKEFPGCLVKPFGVVRHLKDVYENKTIPEFNIYPDIMKAADIA KELQDKWHERSLDAKNSLFAPLRY JR316_0003081 MIVWNADKFLVSGTWDESYALANAAAAQMTLDEKMGIVAGTGQL NPARRCVGDTIAVPRLGIPSICFQDGPAGIRLSKNVTGFPTGINVASTFSRRLMRARG VAIGEEFRGKGVHVFLGPGLDIMRNPKAGRAWESFGPDPYLNGEGGYETVVGVQSVGV QTCSKHLLANNQEHWRYGLTANLDDRTMHEIYFYPFLRTIEADVTSVMCGYNRFNGTS SCHNAGLIGPDGILQKAGFKGFVVSDWGATHDSAADNANAGLDMEQPGDYILVGGGIY KGGLKNAVNKGAVSTDRLNQMVARILAPWYHLGQDSGYPPTNFDAQKPDGSGDRNLRV NVRSAAHTALVREIVSASAVLLKNNKTTNAQGTVIRGLPAVKGVAKTVAIVGQDAKLP NLNCGDLNECNDGTMSVGWGSGSNSLEFIVPPVDALTSFIGDSATISTSLSNDINSAV NAARGKDVAYVFVNAMSGELGFYTFVVGNQGDRNDLNLWWKGGSLVESVAAVCNNTIV VVHSVGPVYFSWSNHPNITAIIYAGAPGEQTGPGLVDVLYGAYNPSGRLPFSIADTES AYGTTIVYNSLGFPEIDYTEKLLLDYRYMEANNITPRYEFGFGLSYTTFGYSSLSISS TSSGYTVSFSVTNTGSVAGTEKPQLYLGYPPSAGEPPKVLRGFEEVDLATGQTKTVTI SLTTRDLSVWDTPSQSYVRPSGTFTVFVGASILDIRLTGTI JR316_0003082 MLLGREYYQTSFEPLLVLGPITIHAVSGVLKRILSPPGRPPRKL SNLLSLTGYGTMLLFLPIHFLTHRGYPMLETAPIYGVGPAELDYEFVKTGLKTWPIRS TILYGGLILSTTLHLVDGMTLIWNSWLKDSLSSKMASWKREARPKRILMALGCLALPV MTGLYTLFKEPMMTFTSMAKRYEAVYLTSLIYRL JR316_0003083 MDGENPSASNIPSSAPPVMARLDGDQAGVRAVAFPEDDHIREEK ITPGVRPKGVEMKRTMTQEEKDLAAAGYDHLRPQEKKSEEAHVDINEHQYDFDALSEA LKTNFDTKDPGQSFGLTSDEAKERLKRDGPNILTPPKKKSAFRKFLERLFTMFNILLI IAGLLEYGLLGFGFHANIQNTYLGGILILVAFLNAAIDFYQIQKSEAILASFLAMIPP SCRVVRDGTISTIAAADLVKGDIVLLRSGDKTPADLVLFSGTDLKVDNSSLTGESEPQ ERFALPHGSKKRAVEADNLVFNSTLIVNGEGWGTVVRTGDHTFIGQIASLTGGESGNE SPLAVEIARFVVIVSCIAILFAIIFFAVGITTVYRGHASQTVTFAVSILVAFVPEGLP SVVTLLLSIAAKRMAKQNVLVKDLQGVETLDKTGTLTRNQMTVTNLWSGRKMSSAFQS NNDDETTAKFSIESSGMKEMVDIAALNSRIKFDKIDIPFDQRTILGDATETGLTRFAG RHLNTDYDSYIKAHPKVFEVPFNSTNKWALVILNKPHSDGVLTAFIKGAPERVLAKCT TYLDNGKMIPITEEFKKEYDEAYDYMASRGHRVIACAQKLLPGDEFPVSYPFSRNDEH YPSAEYCFVGLVSLEDPPKHGVREAIGTLRLAGIKVMMVTGDHPKTAEAIARKINLIL GDTRETLARKTGRPMEEVYEDEVTAVVVHGDDIDGLQGWQWDQIFSKEEIVFARTSPK HKLEIVKRAQALGHIVGVTGDGVNDSPALKKADLGIAMNISGSDVSKEAANMILLDDN FASTVKGVAEGRQIFVNLKRSIQYTISHSTPEVIPQLLCRVDVVVPIPLPLSAILILV IGNPFSSLKLVNDDETDSFMMNADLGFELCVALSFAWDQPETADGLMRLKPRKPVNER SILALKRRALVRSKTLRRDAETLEVIRPSRLSAWLSKLKTPFKRSTWEELLESNDNET LVDSKLLSYAYLEAGMLETLACLVAYFLVFFKKGFSPSDLRSAQKIGGYFTNSSPDFI NYRGQSIPAHQQVDALAQAQSIVYLSIFITQCFNVFAVKARLSYPFGKRVVANKWNFA GILAGACLGMFIVYTPPLHVVFGGSFHLSPLYWLIPVAFGVLLLAWASLRVVLLRKSV EHMKVKDIKGLMMFPTMRTMSMRSRGSRH JR316_0003084 MAETRQDSSGSPAGYTPIWLTANAFEITQLPTGRYHMYHVGKPP FLRRAGSAARLLGWLTIGELAEFDPPALRTYRIRLMQHLQTIVAPEIFTPPILYDGQA TAYASRLLPHAKNENGAYFISMKSWKPLHAAGIGIIKIKFSLTSAEQIDPRHVYDLIQ EKTRTPRALAALNLVQLLIQQGQNLYRTRKTWTSFNSTNGLKLEGSGLEVWDGIIQTV RPAHGRLIIVMQTSVGLAIDGTRSRPKSIRGLVPTAGEYFLHENELEPTVAQDVYTQL RNPKNIGVRLQSNDVVPLELCEVEPGQLFKNRLPYDLTTKFTRKAVVPPSSKMCKIKD AVQLSIHSKFILEAGMKISPSPITISAKLLLPPRIVFNPTDPHLTFKNGAWNTLQQGF TNPVAMKYWVIISFATETKKSIIFHDLRRHKSCIVESCTRLGKNGNVMNSCLSIRSVT NLGPSDVNESPFMVPAKISDDVEFTMSDAIKKYYDIQVFGLAEGQKPPITYSQYLREL MVVVILPGLSRAAPIRRRVKWWGDITLGVLTQCLQEGRLPTRQSRPNNISQYYNNVAL KLNARLGGTNFYSDSQVMQQLKTDRFIIMGAGVRHPEHSVRRPSFTGVVYSLDDTATQ YAALPGIQVPGVDRIMDLQDYVFQAIDTFGRKWKGPPKRLIFYRDGISETQFKSLALR EISDIKSAFSKLWKKYEISRDKTPFPLLTYIVVGKREVKDGYTFAGTVVDSGVTNPAV PNFYLQSHSSKKDWQRQNASRSRHYSILHDENWHYDMQPLQELSYSLCHNYAKATCAI SIPTPVNYADLVCSHGMLHFHPEEDDLDEESAYTYWNYEEKEIAMERWRKAFRKVHPN LETSIQEHHGGGPQAGGTLKFSSLTAPAWGFHDETLPTAEPRVN JR316_0003085 MSAPLATGPQTHAGYVPIKLVTNAFEITQLPTNRYYSYDVFTPE CHSRHKRVQLMHHLQITVAPDVFKRHLLFDGRSRVYSDRLLSLANTGSGNYFVCLGKS GEVDPSAKGTVQIHFTLTNGDEVDARHVYDLIRENRSTTRALVATNLIQLIVRQFPNM HHTNNGRAYFAGNDKFDLGCSGLELWRGVFQSVRPTIGKMILNVDTCTATIYKSGYLP HICMDKLGTKDMRTLVLRETDSNFKILEKFLKGVRITVRTGANRSGTQTIRGLVPAAG DFPFLKDGFENMTVSSYFFQTYNICIQNPRIIGVRLTSSRNPRKDVIPLELCDVQPGQ FFKRKLSDEMMADMMQFSTMIPQKRLKKIKDAGKVTVASEFVLQSGMRLESEPMRLDA KLLHQPPVVFDRNSPKLQFKGGQWNALDQRFTEPREIKFCMVVSFSARFKEREIMEMV ATKPKSLLGSCAKFGTHIGALPHVAPPGNGYNVKGTMEKAVESYFKKSEDRLPSTTDK RAYFLRKLIAIVILPLNAGGIRDRVKHWGDITQGVATQCIRENKLLHAKDQYWTNVAL KINARLGGVNFYSPLDAFQPYRFMIMGADVGHSGPTVNRPSVTGVVYSLDNSATQYAA VTGIQASRVERIMDLKDYVLKALRTFIHRNDKPPRHLIFYRDGISEGEFNTVAPLEIE DIRSAFALLWVEKRKTQTDQPFPLLTYIVVGKRNGEVRNAFPGSLIDSGITHPSVHDF YLQSHAAIQGTSRSSHYTVLVDENWNFNMPEIHKLSYKLCHNYARATRSVSIPAPVYY ADLVCSRGMFHINPDDMDLRFDDNQSSSSGTSSSQSPKVNVFSLRNIFDNTLFLVDHT TRHRYGDTIILSPAVLTLTPKSTIEIIAYKKW JR316_0003086 MPINTGTLDHLVHLTPPGTVEEASRQFEDRGFKVLPGGTHVGGL TANALVVLADGVYLELISFTYPASHYPIGSPEREQREKHPWAKKEPGWIDFAFLGNGS HTDSVAQTINERGRAEGSGVKYSPEEDGGRVRPDGQALKWLISAPAVAGRGVLPFFCG DVTPRGLRVPSEPPTNTEHPSTASGIAFVRILISSDSFEAIAGQLTSVVGKKPISSSS RDVIWDLDTVNANHLGSYARLILSVPGDEDEIAFIETSEGGTGIYEVGFYVKDGSKSG SETTPFGKISWVSR JR316_0003087 MKIAFATFLTLFTLFSVTFASSGDRSPLFTGCVGRCNVHHCGMN EVGLKVWLRVTRWSCVDDCKYLCMAEITDTDVRNGKPLQQYYGKWPFYRFLGMQEPAS VVFSLLNLWAHKRGREAIRRKISEHHPMRPYYLMWSAISINAWFWSGIFHTRDTPRTE KLDYFSAALSILYGLYIAILRLFHLYPLPQTSRLTLSAKPSQPSNSWKRISITILFSI MYIAHVSYLLFLPRFDYTYNIVFNTVLGVSHNILWAIYALPTSMSFIRRFPSQPKSYR PKFVSKAAWFVVLMTAATSLELFDFQPWARTIDAHSLWHLATAPIAFLWYQFLIEDSN DISWREQRL JR316_0003088 MSAANKEPLHMQINAATRTRPSAAESPLESTTVDYAKYVSQGKG LDSVARVSPDGRISLLFDFKDSKLPEIPKPQSRNTEEFAIDPREWQECPSINIVIMIV GSRGDVQPYVALGKQLLKDGHRVRIATHETFRTFVGDAGLEFYSIGGNPQDLMSYMVK NPGLMPGFESLTNGDIAKKRKMLAEMIDGCWDACHTPCPVTGRSFIADAIISNPPTFA HVHCAEALGIPLLLSFTMPWTATTAFAHPLVNITNSNAGFGVTNYLSYAAADLLTWQG VGDIINTFRTSKLGLSPLGIRVGPGYVDRLKIPWTYCMSPALVPKPDDWKSNIDVSGF YFLDLATNYQPSPELLAFLSAGETPVYIGFGSVVVDDPAEMTEIIFEATKQAGVRALV SSGWGGLGGISVPPHIFILGNVPHDWLFDEERVSAVVHHGGAGTTAIGLAKGRPTVVV PFFGDQGFWGNMIHKAGAGPKPIPHKELSVHKLRDAITFAVSPAAKEAAKAMAQKIYE DDGVRKGVESFYRHLPLLNMRCDLDPSRVAIWWSDDLCLKLSALAAQTLADAKLISLQ TLKLHRPKEYKVRKKVADPMTGAGGANAMFWTLTNPMKFGSFLQGQETPPPPGILDAM ATVHDGLRRHSADQRLVQSPEYFQDSIQSVFETNKEVKKSSIFESKGFLRSLKNLLPG SRPSTPKSPKSPTPKSPTPNSGSSFKSFMMPVQGSWRFGLSASGKTQYQRQRLTRIAE GRKAVKASTDDQRATIIRKFKEELRNTPARQKAYREAEERATHSGSVDYGHSKALSLD QGKSLTRQKAGWVGAA JR316_0003089 MSTIAITGIVAISSAFIFFTTKRTRIRVIKLDSRWESLVWTWRL MRSNLKFPETLKDWHYKTYDSDSMTLELYSIKYFWLFLDPFFSSRGYRFYREHPDPDR WNEIVPAPWPPQAKNASYPYARHVRSNEPVPRSILYPRIWFARDLQGREVVIKVISEV DKPTQELKVFQRLNDKKLRDNPANHTIYALEYIKFDKFIFVVMPRWDCACVAEFESVS QLMSFALIWLETFAFLHSNKIVHLDFMAQNTAMNVILQPLEYFRRTGLRDPNEVRYAL IDFGISIAFPEDTVIEDLRMIRYNSWFIRNIPDDSVIYPYNPFKADVALLASVLQTYV RHLESLVPELGPFFDSVVNMNDPNQLTASQAFARFKEICDGLSPEIAGSSFDSWIWRR GGIIKKKNGKPITVDVDEPSMD JR316_0003090 MSAIIATIAAIMSTLVFVTFRRTPIRVIKLDSHWESLLWTWRLR RSKLHFAEKLEDWYYKTNDSDSMLGEILTIKEFWLFLRPFFASRGYTLYQKHPKPDWV GSIVAAPWPSQAKDASYPYARHIRSNDPDPKWTCSPRVWAARDALGREVVIKVISETD KPTQELRVFQRLNSERLRADPANNTIYALEYITFDKFVFVVMPRWDSSLFPEFETVSQ LMSFALIWLQTFDFLHRNKIAHLDFVMQNTGMNVILQHRELFRRTGLRDPKEVRYALL DFGFSVAFPEDTVIEDVRMIRYNSWVIHYIPDNWVIYPYNPFKADLAMLASSLQLYVR HLEHLIPELGPFFDSVVDLNDPNQLTASQAFARFKEICDGLSPEIAGSSFDTWTWRPG GIIKKKQRQPITVTEQD JR316_0003091 MTVSFHKYTGDFFPGTGKLDDNGSGPGKHFALNVPLQDGIDDEM YLTIFKTVIGDTVTAFRPSAIVLQCGADSLGCDRLGAFNLSIAAHGECVNFVRKFNVP LLVVGGGGYTIKNVSRCWTYETSVLVGAEIPDELPRTVYDSFFADSHWKLHPPLTGKV DNQNSPASLQRITISIRNKLRYLQGAPSVAMQEIPPDLQGLLADENKTAEEKDEEKGT GQAGERRLGRSTNRAEYYEGDNDNDNDSPAPSTRARGGSTGRRARGGRRGRGRGRGRG AASASASVSAPPTPATPSRATGDANDDDDDAVPEPSPAPVKRGRGRGRGRGRGRGRAA AADKERDKDKEKEKDPGTPTTETTSTPAMDVDVDGPGFVKWSEDHHTTIHSRLNDAFN FQGT JR316_0003092 MSTTTLPILLPGYAIPKSISTPHLDWKPRRYLSEPQPPPQDEGK LLEQELANARQMIDGKIIKKTRPRRTVDYNGGMGRWALLRKLRPNPNYVPNLRPAPPF IIDLLPPKAYPENPSTSLCTKFVHTSTNKIRCPVNVVTWTPEARRVLTGSTSGEFTLW NGLTFNFETILQAHDSAICAMTFTHSGAYLASADKTGIIKYFEPNMNNLTAWQGSSSR EAIRGLSFSPDDRRFATASDDSSIRIWSFAERRVESVLSGHGWDVKCVEWHPTKGLLV SGSKDNQIKFWDPRTGTVLSTLHQHKNTIQALSWSPNGNMVASASRDQTVRVFDIRAM KEFRVLKGHKKEVCSVTWHPVHPLLVSGGSEGAILHWDLSTPDDNSFTQAVSAPRATL SQAHDSNVWSVAFHPFGHLLASASNDHTTRFWSRERPGDATSVFSGGGEKPPEIVDMQ GQEEEEDAMVPGFGAGGGGGNAAWWGKEEDGGAGGGPSDIGPRRGGGYPDHAEEDFIP GFGGGPPADSRPRQNGYSSMAHDSYENSEKDEFGRDRDLNSGGGHGHSDDWGRGGGGG GGYNRGARFGPSRRGRY JR316_0003093 MVWTWRLMRSNIIFPEALEDWNLKNLEDSHGDFIYIHSCWMFVL PFFVSRGYAMYQQDPIGLGNLNPPPFPPAAKATSYPYSRQLPSKTPIPRATWAPRIWA ARDALGRDVIIKVISEVDNPSNELKVMQLLNSKRLRSDPANHTIYAIEYITFDKFVFV VMPRWDSAIEPEFSNVSELMHLTKIILEAFGFLHRNRIVHLDCLAQNMGMNAVMTTAE IYRRTGVRDPKEVRYALFDFDAAVIFPEDTVIEEARMDHVLSFDRHWVPAESITYPYN PFRVDVAFMGTCLQTFVSHLESKIPEPGPFFDSLIDINDPNQPSASQALSRFTDISNN LTPEVASASFDGFIWRQGEAPLTTSDEGMEPSYKVRYRGFEAWLKHCYKGDCQMLSTE PVIDEDLQTVTASVNLEPKTPFVLLWRRADGQRPVSALCQVFIRNGYYRMETEDFCVA VVTMDKTRQKSQNMRTTYGYHNLPYKIKGCLKAGRLCDYSAGTCPYSAAEQAKSPCSL RLEIRRIRGKVAEPEWVVGPDRQQYKKNNADLMNIIDDEKQNMLPFATFVFEIPVPEE DGGNIPPTIWAEEDTIYSRPPPTGITANAHVLITRSLREDSSGGRYPTPRSPNIIEIN NNDVEPMPLDGSKSGTAHPQDRKPKKELKEEKQNRTQFVDTMVVRIDSNRMDVLTDYL ATDRLEKELAGKLQEKIKVKKKKIKHMKRLLAAD JR316_0003094 MKSKITFPRTLKDWYYKGDETFADDLVYVKHVWLFLRPFFASRG YAMYQQDAEGFSDLNPPPFPPVAKTTSYPYARQVPCNQVKQRMAWSIRMWPARDILGR DVVIKVISEVGNPSPELEVMKRLNSKGLRSDPANHTIYAIEFITFDKFIFVVMPRWDS AFLPDFATVSETMSLALTCFQNIGMNAVMDSSETIGKTGMRDPAEVRYALFDFGASIT FPEDTDIEEASLTRYNSFALHDVPDESVKYPYNPFKLDMAFLGTSLQTYVRHLEPFVP QLGPFFDSLVDMNNPNQLSASQALACFTKICDGLSPDIAQVPLDTRVWSNGVIEKKDG ELITVVESL JR316_0003095 MKSKRVGVRMIKLDSLWESLVWTWRLMRSKLAFPETLADWNLKN SKNYHADFIYDPVGLGNLNPPPFPPAAKVTAYPYARQLPSKAPIPRTLWAPRIWAARD ALGRDVIIKVISEVDNPSNELKVMQLLNSKKLRSDPANHAIYALDYITFDKFIFVVMP RWDSCILPEFENVSELMSFAKTVLETFDFLHRNRIVHLDCLPQNIGMNAVLESSETSR RTGLRDPKEVRYALFDFSASEVFPEDTILEEAHTSRRDLNFALHDVPPETISYPFNPF RVDVAFMGTCLQTYVRHLENRIPELGPFFDSLVHVNDPNQLSAKQALARFTDIFNDLS PEVASAPCDGFIWEDGTLTDLQIRLTDECRTVEEKVKRRGQARDRQGNSASEDQPSTL NATNAKPKVKAVFRLWVPEAAVPALGIGLHHCNCTCQREEGGKGEVEGRSTVTPQLRL EGGGKTQPIGDDTDVDV JR316_0003096 MDIITLTIAFILPGLIFMVTKRVRIRVIKLDSRWESLVWTWRLM RSKLVFPETLDDWSLQDPKDYNEAFVYIHSCWMFVLPFFVSRGYAMYQQDPSGIGNLN PPPFPPVAKVTSYPYSRQVPSKTPTPRTLWVPRIWAARDSLGRDVIIKVISEVDDPSN ELKVMQLLNSKRLRSDPCNHTIFALDYITFDKFVFVVMPRWDSCIFPEFESVSELLSF AKTVLETFDFLHRNKIVHFDCLAQNIGMNAVLETSESSRRTGLRNPKEVRYALFDFGA SEVFPEDTIIEEARTSRRDPHFGLHGVSFNTISFPYNPFRMDVAFMGTCLQTYVRHLE NRIPELGPFFDSLVDINNPNQLSAKQALDRFVEIFNALSPELASAPCDGFIWKDGVVR KRHGDLITITE JR316_0003097 MSANPKYAPGSKVVFIEEFIGPSNVQKAPKGQTADVVSSHVSQG KSHHYNQGDIVYVVKSHATGMNIVGVQEEYLEEVS JR316_0003098 MPFIFVSLAIVLPALIFLTTKRVPCRVIHLESRWESLVWTLRLM KSNIVFPETLDDWNLKNLEDTDADFVYIHSCWMFVLPFFVSRGYAMYQQDPVGLGNLN PPPFPPAAKVTSYPYARQLPTKAPIPRTVWAPRIWAARDALGRDVIIKVISEVDNPSN ELKVMQLLNSKRLRSDPANHTIYAIEYITFDKFVFVVMPRWNNAISPEFANVSELMHF TKTILETFDFLHRNKIVHLDCLSQNMGMNAVMNTGEIDRRTGLRDPKEVRYALFDFDA AVIFPEDTVIEEARMDHVLSFDLHYIPKESITYPYNPFRVDVAFMGTCLQTYVRHLES KIPELGPFFDSLIDVNDPNQLSASQALSRFTEISNNLNPEVASAAFDGFIWRRGGVVS KRTDDVLTIND JR316_0003099 MSAITFTVAIFFPSLVSLTSKLFKQKFITLDSRWESLVWNWRLL KLNLAFPEKLEDWYYKDWDRMEESMIYVQSVWVFILPFFASRGYTTYQRHPTNFTELV APPFPPVARNAAYPYARQVPTNVQESKMIWSLRVWAARDALGRDVVIKLSFLFHILGY SDVFYVRIISEVDNPSQELKVMQRLNSEKLRSDPANHTIYALDFLTFDKFVFVVMPRW DSSWAPEFENVSELMHFAYICFQTFDFLHRNRIVHLDFLVQNIGMNVVIQHTEMFRRT GLRKRDEVRYALFDFGASVAFPEDTVIEDTFTTDYLWFGVHNIQEDSVKYPYNPFKAD IAFLGTALQTYVTHIENLVPELGPFFESLIDMNDPKQLSASQALAKFTDICDRISPDV AQASFDTRAWKNGQCKSAFDGIKNKTEAVIPGTVKKKDGEVITIL JR316_0003100 MVIVFLTVLAILPVVIFMTTKRVRIRVIKLESYWETLIWTCHLW RSKLIFPNTLADWYHKDLENMDADLIYVQSIWLFLLPFFASRGYTMYQRDPDSFGDLN PPHSPPSTKTTMYPYARQVPSRNLNPRKAWSIRIWAARDSLGRDVIIKVVSEVEKESN ELKVLKLLNSKKLRADPANHTIHAIEYITFDRFIFVVMPRWDSSILPEFDNVSELLSY AKTVFENIGMNVVLESSETIRRTGLRDPKEVQYALFDFGGSMIFPDDTVIEEARISRR DLNFHLHGVPPNSVTYPYNPFRMDVAFMGTCLQTYVRHLEHKIPELGPFFDLLVDAND PNQLSASQAFARFTDICNSLNSEVTNAPVELFTWQHGM JR316_0003101 MVIAFFTILAVLPVVIFMTTKRVRIRVIKLESYWESLVWTCRLW RSRLIFPNTLADWYHKDLENMDAEFIYVQSIWIFLLPFFVSRGYAMYQRDPVSFGDLN PPQFPPAAKTTSYPYARQVPSRSLNPRRPWSIRIWAARDALGRDVMIKVISEVDKPSN ELKVMQLLNSKKLRSDPGNHTIYALDYITFDKFIFVVMPRWDSSILPEFETVSELMLF AKTVLETFDFLHRNKVVHLDCLTQNIGMNAVLESSETSRRTGLRDPKEVRYALFDFGA SEIFPEDTNIEEARISRRDLNFGLHGVPPESVTFPYNPFRMDVAFMGNSLETYVRHLE NRIPELGPFFDSLVDVNDPNQLSASQAFSRFTNICNGLSPEVANAPNEAFIWQDGAVL KRYGDIITIPDPTDF JR316_0003102 MPNGVSKAKFKDGIFVRFTKDYVSNGGVYRAPKGLVGQVVESQV STGQFNIRTLGNVTYMVKSGTTGTNILGVPEDFLEVVT JR316_0003103 MHVRSQVRLPTQEYHGQYESGQLAMHLEGTVISEVDKPSDELKT MLLLNSQKLRSHPANHTIHALEYITFDKFVFVVMPRWDSAIRPEFANVSELMHFAKTV LETFDFLHRNKIVHLDCLPQNIGMNAVMASSETSRRTGLRDPKEVRYALFDFGGSVSF PEDTVIEQARMSRRDLNFDLHGVPSESVSYPYNPFRMDIAFMGTCLQTYHLENKIPEL EPFFDSLVNVNHPNQLSASQALARFAKIFDDLAPQVACAPLDAFIWEDGMSSDAKLML KINWHFSSRSSSEKICYRIYTITILRELETSHMPT JR316_0003104 MSAIISTIAAIMLSTLVLVTFRRTPIKVIKLDSRWESLLWTWRL RRSKLHFAEKLEDWYYKTNDSDSMLGKIFTIKEFWLFLRPFFASRGYTLYQKHPKPDW VGDIVAAPWPPQAKDASYPYARHIRSNDPDPKWSWSPRVWAARDALGREVVIKVISET DKPTQELRVFQRLNSKRLRADPANNTIYALEYITFDKFVFVVMPRWDSSLFPEFETVS QLMSFALIWLQTFDFLHRNKIVHLDFVMQNTGMNVILQHRELFRRTGLRDPKEVRYAL LDFGFSIAFPEDTIIEDVRMIRYNSWFVHYIPDNWVIYPYNPFKADLAMLASSLQLYV RHLEHLIPELGPFFDSVVDLNDPNQLTASQAFARFKEICDGLSPEIASSSFDTWTWRP DYSRHTLDLWHLIKMPFLPTLTIIFAVILSLPVLYVYHYKRYPRIIKLESKYESLLWT WRLMRSNIIFPEALEDWNLKNLEDSHGDFIYIHSCWMFVLPFFVSRGYAMYQQDPVGL GNLNPPPFPPAAKATSYPYSRQLPSKTPIPRAVWAPRIWAARDALGRDVIIKVISEVD NPSNELKVMQLLNSKRLRSDPANHTIYAIEYITFDKFVFVVMPRWDSAIFPEFANVSE LMHFTKIILEAFDFLHRNRIVHLDCLAQNMGMNAVMTTAEIDRRTGVRDPKEVRYALF DFDAAVIFPEDTVIEEARMDHVLSFDRHYIPKESITYPYNPFRVDVAFMGTCLQTYVR HLESKIPELGPFFDSLIDINDPNQPSASQALARFTEISNNLSPEVASASFDGFIWCRG GVVYKKDGDVITITE JR316_0003105 MSLVQLFVPTEVAHDTVAELGELGDVQFKDLNPNVNPFQRSFVG EIRRIEDMGRRVRFFAAQIAKEKDVVPIRSLYDSPPLVTVGPRAAQAIDELDTTLAEH EKRLVKMNESYETLSERAKELIEARHVLRETAVFFDKAQGHQTDIRSSFDDSVTPLLQ DDHEAAISHSNMQFDLEFVAGTIERSRMPTFERVLWRVLRGNLYMNHTDIPEPFVDPA TGNEIRKNVFIIFAHGDTLLAKIRKVAESMGGTLYPIDPNADKRSESLREVSNRLEDT QTVLYNTGLNRRSELMTIGQSLRSWQDVVKKEKMIYETLNLFNYDVRRKTLIAEGWVP TRDITQIQLALRSATEGAGTSVPPILHVLTTHKTPPTFHRTNKFTEGYQTIMDAYGIS AYQEVNPGLFAIITFPFLFAVMFGDIGHGTIIFLAALYMILSERKMAKADLGEIIGQF FYGRYIILLMGVFSIYTGLIYNDIFSKTLHLWHSAWSFDDTNSTVVSGVLGDHRYPFG LDPGWHGADNALVFTNSYKMKMSIVLGVIHMTFALCLQVPNHFKFKRYSDLYTNFIPQ MIFLQSIFGYLVVCILYKWSIDWSKATTQPPSLLNMLITMFLQPGTVEASTQLYRGQG TVQVILLLLALVCVPWLLLTKPYLAWKEMHKIQGQGYMSIGHDGGALDDVHPNTAGVR LEDEEEGQAMIQDGDGEEEHHDFGEVVVHQVIHTIEFCLGCISHTASYLRLWALSLAH AQLSEVLWSMTLERFLGPTSIFGWIMLIIMGCFWFVLTVGILCVMEGLSAFLHALRLH WVEANSKHFEGGGHFCESGGREMKRECSAVSLASGLGPLPAINDLDNSSIISPQKILE MPAAVASAAAASTPASLKAAVAPIEGQLDITGLFQADKSTREAAAKSLAAIAQKDGPS ALTSLKFGDAIVKAIADKKSPAAREGAADAISALVKNGAVKSLEPIFIDSGIYNALLE TFADKMPAVRTAAVEAVRLYISAMNPWATSLILPALLHEIKTAGKWQVKMGSLVALDQ LVVSAPVQTARLMPDIVPVLSEAIWDTKADVKKAARESLTKATALVSNKDIERFIPAL IKALINPVEEVPGTIALLSATTFVSEVDSPTLSLMVPLLSRGLSEKLTATKRKVAVIA DNMAKLVDSHVTVRPFIPKLLPGFIKVEGTIGDPEARSVVARAIATLRQVGQVPADSD GSDLPPLHHADEKQLAHSLIAIYKKLGTTISAGNVDTMYASSLAANLVNAKNFDVPEW DGLAPYLAFVASTPDPITVAREWVVRSATEGTDDGEVPEDEEEGEDLCNCQFSLAYGA KILLNTATLRLKRGHRYGLCGKNGTGKSTLMRAITNGQVEGFPSPDEVRTFYVEHDID GSEEETSVLQFILDDKRVEVSREEIVETLASVGFSDERQKHAIGSLSGGWKMKLALAR AMLFKADILLLDEPTNHLDVVNVAWLENYLTNLKTCTSIIVSHDSGFLNNTITDVLHL NRFKLKRYRGNLEKFVQQVPEAKSYYTFEAAEDYKFKLPDPPLLEGVKTKEKSLLKMR QVGFQYPTQPVQQLYDITLQVSLSSRVAVLGPNGSGKSTLVKLLIGDMEPNKGGEIWK HPNLVIGYVAQHAFHHIDQHLDKTPLEYMLWRYQTGEDLEEMMKANRVITEEEQAKMK EGANIVVEGQKRIIDEIINRKKLKQSYEYEVSFKGLSSSENIWIPRDDLIKRGFEKKV LEVDTREAQRAGMLRPLVRREIEKHFADFGLEAEFVSHNTMRGLSGGQKVKIVLGAAT WRRPHVICLDEPTNYLDRESLAALIEALKVFEGGVLVITHNRDFSESICKEVWAMRDG RLEASGHNWVEGQGSGPRIDKAAGEEEDQYDAMGNKIDSKKTKKLTSSEARKLKKERM ARKKRGEEITDDEL JR316_0003106 MVAPDVFTPRALYDGQAIAYAPRELALANNGSGTFNVRLGENRA AELGARGTYQIRFTLTLGEQVDAKHVYDLIRERKTSTRALVATNLIQLIMRQGPNMHH TNNGRAHFTGNEKITLPNSGLELWRGTFLSVRPTMGKMILNVDTCSAAVYQSGPLIAI CMQKLRLRDARELDLGERDPRFKTLEKFLKGLRMKVKTGGGRSRTQTIRGLVANAGEF SFWKDDTVEMTVADYFYQTHNIRLQYPGIIGVRLTPDSSPRKDVVPLELCELEFGQLF KRKLPDELTADMVRFSAMRPQERLRKIQAAAKETGGYDFVRESGMHMDINPMRIDAKL LDVPQLHFANLQKPLDITNGAWNVVRQRFTNPAKMKYWIVVSFVNNIDQGAIAQRMTS GGASLLKSCQNLEISPTPLIKARANPHDAAGVLYQSARQYSKQIGVPDDNDNFDLFLG QLMVIVILPTNAAGIRSAVKYWGDVKQGVATQCIRESKFDKANDQYWNNIALKINARL GGTNFFSTSEALKEIKSEPFMIMGADVGHPGPGVQKPSVTGIVYSYDQNATRYVALTG IQPPRVEQIVDLKKYVYRAINSFAVKNNGPPARLIFYRDGISEGEFNKVAAIEIGDIK EALVKVYKDNTVPANKPLPLLTYIVVGKRHHAVFFPGDQQGADPRNGNALAGSLIDSG ITHPAVRDFYLQSHAAIQGTSRSSHYVVLHDENWNFNMKRVQQISYTLCYNYAKATRS VSIPAPVYSGMLSWNVPHRPQRQGARLRRQHHHRLGLFHYLGHEQVAKRLPARPQKTF JR316_0003107 MADLQKLGDIERLSKHVLRVLGQNPGKFTLQGTNTYVIGSQNPY FLIDTGEGLDSYIPILQSALDSARVLPDQPDVSDIILSHWHHDHIGGLPSVLKLLKQT WKDRFPDRPYTPPRLHKYPASNTQPGRHTTPHNILPNVIADIPAELYTPSPSGTIFHD LSDGQTFVDSSNDSGAPLLRVLHTPGHTVDSICLYIPQDRALYTADTVLGHGTAVFED LATYLASLNKMLHFGSPPASPGEVDLEYVTLYPAHGAIVANGRQTISTYIQHRLEREA QVLAVLRSPVPVELHDGANAETKTTWTTWNLVRVIYKAYPENLWLPAAHGIDLHLRKL EGDGVVRNIGGDAQHTLWKVLPRTPSL JR316_0003108 MSSPSASDAAAHKRKRSPSQTPEADGAPPSSRRRARSPSEDSGS DSEGPSPPKDGKLEARVAAMDLDKAEDEAKSTALVKAAEKEKEKESAQRAEFAKLLNT RSGGVYIPPARLRAMQAAASSDKSSPEYQRLSWDALRKSITGIVNRVNVTNIKLIVPE LFNENLIRGRGLFARSVMKAQSASLPFTPVFAALVAIINTKLPQVGELVLTRLISQFR RSFKRNDKSVAHEIIALQILVLLLERPTDDSIEIATGFTREVGAFLAENSPKANATVF ERFRAVLNEGKISTRVQYMIEVLMQVRKDKYKDNPILPEGLDLVEEDEQITHSIQLEE ELQVQEGLNIFKFDPNYLENEEKYKAIKAEILGEDSDDESGSGSEEESDESDDEVAET KEGIEDRTETNLVNLRRVIYLTIMNALNYEEAVHKLLKVQLQEGQEIELVNMIIECCS QERSYSTFYGLIGERFSKLNRVWTDSFEQAFHNYYTTIHRYETNRLRNIARFFGHLFS TDAISWMVFECVKINEDDTTSSSRIFVKIMMQEMMESMGLKNLAERFKDPEVKQGCAG MFPMDIPKNTRFSINYFTSIGLGVLTEEMREYLKNAPKLIMEQRRAMLEAETSSSSDS DSDSDSDSSSDSDSSSDSDSSSDESSSDDSRRGGGRSRRRDSRSRSRTPPRRRGGRDD SRSRSRSRTRSRTPPRRKSDRSPPPAASRRRGHSSSRSRSRSRSPPPPRRRGRDEDGS RSPPPPPRRDRGRDRDASPVPPPPRGPNGRDRDRDRDMMDTKLDGSSRRPRSLSPPPP PRGGGGYGRERDQRDGDRYGRASPPPPPVGGRGGRERSPPPHMRDDRARDRDGGRRSR SPPPHMSRGGGRDEPRRDGGYRDRPRSPPRHERERERDRDRDGGRGGPSREQDNGYSR RR JR316_0003109 MGEIVPAPWPPQAKNASYPYARHVRSNEPDPRWILSPRVWPARD TLGREVVIKVISEVDKPTQEMKVFRRLNSKKLRTDPANHTIYALEYITFDKFVFVVMP RWDMSSSPEFETVDQLMSFALIWLQTFDFLHRNKVVHLDFLEQNTGINAVLQFSERFR RTGLRDPKEVRYALLDFGFSIAFPEDTVEEDVRMIRYNSWGLHGIPDNSVIYPYNPFK ADIAILASSLQMHVRHLEPIIPELGPFFDSIVDLNDPNQLTAGQAFARFKEICNGLSP EIAGSSFDSWVWYPAAKLLSTPSIDTRVATAMKGNENYASQDIKRFKIDEYLLYLNNG VENIQKLESLKKRPLEELQSKTTFIENANEISKHIQETQRYPALLEFRKVANRRQSGY FRKTASKPGVIAAFTSENSSEPIGWQCPDRVFGWPFIQLIGDIASESCDIEVIKNQIA QDLHYMVLARPDLYVSHALLIEDSRITFLCAVTGEGIFDVQLDYTDPNFQPLLFALIS HIYDYGRFKDERYTITYNAESRQSEYTLKFETAPGLTQWETFHLIYSSSPFGTTTYVF AAPDESNPIMVNGQRLRVVKDQYCYRMARFSEPDILKHVRGTPGVVTLAYDEEWEPLV PTATRRVKRRLGFVEQGDPFMNIRTVREMLEIAYDLLEVTRYLRFKRHVLHCDLSNAN IVVDRAPTTPATNEYVNIQYNDINEHDIVFIKHLLGERFGSQENFGNHSNRINDSNSP LQTHVLLIDFNLAERLDSTARQSEIKKKGTHPFMARAVENEEPYPDLSTRMFTPFTAL PQAPSMYKKLHPERTTRFDSEKLGLYILKEAPGDLRSDRVWRHELYHEAESIFWIIVY WLLRANPEPGTELSKETIPLPIWVSLLESAYSRNIVIFSFQQSAKYCARNIHSKLLPV LELVKTLAWAVYPGPYFLPDDNPRTQPDYLHEVFQRAILDFILTNKNEEFMNLEINVL NPRHHDDYKPEELSSTSHLTPSEPYRSLSSAEDEQSAPVNDALQKFRYEGDGSDLEVA JR316_0003110 MPGSLAAARGTDFKTRRTPLPVAQLSLLLLLRFTESASTFVIFP FLNELLSSVTGGDGSRVGYYAGVMESIRQLLSLVSVMYWSKLSDQIGRKPILLLGTLA LAVATTSFGFSKTFWGLVVSRCIFTALNSNAGVIKSMVGEITDHSNSADAFALLHVPW AVGSSFGAFSGGLLARPHDHFPDIFSSQFWVKYPYILPCGLMASLAMFACCVVFLFLN ETVPTGFMFKHVVLDSEEEPLLRSSDHSINKTSTPEPVPLKLLLKWKTLLPLLNYVSI AALHASYNCIQPLFLAMPVSLGGLALPPREVGIILGTYGITNSIFQTVMLGRLVRRFG VKSVFVTAIAAFIPIFTFSPMMNLFVSINGFSYVVWFMLGCQLSCALVMELGYGCVYM FITAAAPNKRSLGATNGLGQTLVSIGRIFAPVMASSLLSLSIEHRILWGYAAYIALML LTVGGIVLASRLPRRLD JR316_0003111 MPPKGGNAKKESGRAKKAENEAKKQEAAAAEKERKEAEKWVDKD VKGGKAKQEEKEEKRKAELARKAENARLLAEEEKAAASSKPKAASGPKKKAAATPKPA GPGAIAAGGGLGGPSNPKAKEPTPEVESYAATGIDNALDLLEVVTAKMDKASVGQQAA GIERHPERRFKAAFEAYQEREMPRVKEENPGLRLQQYKDLLYKQFQKSPDNPFNQVTI EYNATKDDKVEALKAKQAQIEQRLREHK JR316_0003112 MARMFLTAPAEPSSPPVLEPTNTLAVTSLPKSFFDPLILNMLRD HFASFGDINQWVPLPGFGRVIIVYEYDHHAELAKAKSDPIIIDGGFDSSQIVLRVYRA DPNPLIPRGERSWIPTTSYLKPPAIEKNFLISPPGSPPVGWEQIKEDPPNATPLADDL IQALRRLQTFEEQPAFEQLLDPMDGSGVGVYVEDCDAHLTVEISEDQWVYGETAPARE KWRSFATAMPPPISSN JR316_0003113 MSVRNADHVHGAQSTPQQAVAAQRLPYPISAMRSLFLISLVATS LNIAAGAPPSGLYNEALSFQKPFLDISGDEFLDVASEWLDDAKKAILKGKKNLDKWFH DGREFIKQDNLLYEYITHPEFQKYDLRVTEPKLCDPTVKQLSGYLDIAEDKHLFFWFF ESRNAPADAPLILWLNGGPGCSSSTGLLFELGPCAVANEGRNVTSNPYSWNTNANIIF LDQPVNVGYSYADDGTSVNNSPVAGKDVYAFLELFLNRYPQYSKAPFHIAAESYGGTY APNIANVIFKANQELAVSPKAHVKHINLASVILANGLTDPYIQHGSIADWACEGPYAV YNDPQGPECEALRTKIPTCQRLVKSCYNFNSRFTCVPAMAYCNSQLFGPLMQLGLNPY DVRRKCDRNSDGPLCYKQMEWIDTWMNEPSNKAAIGVNPSRNFESCNMAVNQAFALAG DGAHNSAILLPELINSGIRLLVYAGNADMMCNFIGNERWVEKLETQFTSEFTQAQPVP WITIETGRSAGTVRSAGGGGFGAGNVTFVTVHEAGHMVPYDQPEAALDLITRWTQDIS LSVTN JR316_0003114 MPSLSRVLGWAPPDNEDYLDVALSSSPLLPLQNPTFHISEPNPI RRAPRRVTSRNFESTSPASSNSPLSSDGESPNEKRVEGHGKHADPSWVARPRNEFILF RCDYVRKHSREGKRVRRPPGAEAEKTLSKQAAEAWHHLPPEERLYWKERANGERNEHA RRYPDYRYRPKKSAAGRRRQTRSSPNKQQSGSPEKVPAPNASDKEPASPTVTRHTSVA DLTMNRMRPRRSVSVPELKTEPVQHRRLRSTASQGWITISNSTVGPDTQRRSSQEYLS TSTRIMSDVAQGEYSVSPAPLPRLLQASSSSLLNWNGERMVAAVPQPTQFLSSTSVSL LSGIDISGGSMLTEFTSGGNPIAPSQLFNMGQGHYSAQGDIWMPQLQSYPEEAAAPTA AGDELGSDANTQDSASDPSPLGSTVPTIPEYDESGNGVNLSSMADYGLHSTGCTPIDL PGATPEAIYSMDAEELFNLSY JR316_0003115 MSSMAHENAALVAKREIPKINGSATVLVVVLVLIILVACSAIAY ILRADMAEDEEALTQSSRGRYRISREGQSYPKRSRNWLSDILHLPRSRQRSPKMRSDK PRIVVGHAGQGWIPAGSGNDFDFDSSDNLPSQKRNQSTPTKTRLADQDTSSLATPRSS IAGSQQHVSRMYSSTSDATSSVRFDPHSLRGLSYADTSLSAQGIIPSIQSQLYSPPSS PSLSPIPHDPSQTPLTTLESLKHSRSLDSLDGPSQLLASTRPSVRTFEGGTKFIEAL JR316_0003116 MIVERASYNWAYSEDIPGSRCGPEDGPWPRPYQVLSEGELWREL RTLAKPQTSQLGKEGKFKADSINFQPSPTTKTQDRYVVTQLEVNGRLWAFTGVFDGHL GDVTVEHVAHHLPIIVRDFLREAESVSGPGKLTPESISELFTKAIMAFDDAIAHDVLD LFGGSVDKLSEYTDAQIREIINDQHKGGANWRKARLCMYGTTALVALVDPEHKDLWIA NLGDCQAIMASPGNNAKDWNIEVLTTNHNGDNDAELDRVRKTHPGEPECIMDRRVLGA LAPTRCFGDIPFKQPPAFTRRILYNLFPGFHNTSPWEEFLVRNQTPPYITAEPQIIHR RLDGDDACPSVPPTNTTLSEDNSRSSSRSSITKNLFWSGNKTSQQQQQSLTPLPRFLI LASDGFTDLCNGEGQKRIIESWARGMVSSNPPETVTDAAPGSRQDNMALRLLRRAVGG EDRFGVSRVLTLDMDVAWIDDTSIVVQTL JR316_0003117 MSRTSIPDPQTLSRGKWEKYKGRRAALPNLFAFAMDSLFIFLYF VSLSASVVAQSSVVCVAGQCLQGISNTTFGVKLSGTAGSVLLLPGQYTSTTNPQLVHS LLTSPSASLSNSAGFNSSTTVSLPLNINLEPGIAVYAQSKYSGQAAFSSLPTSPLGNI STPLAANSLVLADNVWAIVSSSSSDNRVVLWDSVPDISQLPSGTSQSLSLVDLQSTAC SPPCSGSSVCSASGTCICPAGFTGSSCESCADGFFGPTCQPCPSGCTSCDQGISGSGR CLTPAVPNAPSTCSCLNGQCGSNGQCTCNPGWVANTNGTACAKCAPGFFLTSTGDCKV CQLGCTSCADTTGTCISCDEGLTQDANDGTKCSPLTPKTSAGNVCPDGSFVNGASCSL CSPSCQTCSGPSSNDCIICASGQFRLNGNCVGTDSNGVCQGSNGMVADNVKKECDTCG AKCTSCRIPNFTLASTFDQAQCTDCLPGFVLSEGKCVASCPTGSFVSPQDNLTCTACS STCGTCVGAADFCLTCKPGQLASSGQCVSTCPSNTFASSGSCIKCHPDCASCSGPSFN QCSTCPPDRPVLTNGRCLPTCAKAQFFDPTTSSCQACDSSCSSCSGAGPSNCLACAST TQVLRGGSCVSANCNGASNVVPGLGVCLSELVQAPSRTDPNAPPLPSISGLGDPTVIN TRRPLAWWQILLMALGCAFIFVVIVMLWRRRAKKQRAKRTAMFAAAKKIDRPGRWRDR LVRFGERFFGHRDRLHKMRGAQRDADMLPVAYNHHDRHLANSRPQSLASYRQDIKLKP ILVTRKPAPPVQNEQSKKKRDSNDSDSLLDAYAYSTRSRSSYSPSSLPGLDDRHQYRQ RSRERRIEHDSLYAEVTGVRRNTPEPRQPLKRDVSGLSRLSNNTVDTYANRREVSTKE GVLVDIGEERSTPAFPLQMTVPLSSTNTGASSSNHNLLYNLGMPVTEAQAYAMANRPA LGTNLSPPVLGPAMTGGGGSVMPIPIHLGPGSNMTPGSYWLTPVAPLPTGTPQQQQQD PYPSVDTVVLQPMMTGTSSRNPFRQGHF JR316_0003118 MPVTFSPASHPLKAFEPEYLSWHSRKADGGISPRIILKDACYNQ YRQSDEILQSSFDNLHEDSKTDDIHIIPQSNGFVNTVTEAYNNHRALVIRPDDVWLAI LTQFSSFLNANAENIRSQFVRHKGQKELTITADRRKVDYGHVANQMTKEIEKNVVDPH LRAWILPDFSTTTANDTTVCSIVMMAAMKEYFSYKIHLLCGIPRVTLDGEKDDWEKIF ARLEKLKEYGLPTIAWYHLLFPIIDRFVRSFDEPENEQNLDFWQKVAHYEGGGSGPSY LGGWITAFCVFDDKGKWLGHPFTENATESPNARSLSSTDFFSKYIDIAPVTLSEEEGG EEDEIEGDSQSEKNHLQLDGVYYHQIDTQDVPSGYSEVDVLLEDDDTEYRCMMVAGHV GTRILQSVDGGMKDTVKPVAGWWMLTKLPEGKFKDDRPETGVSVGEGEPRDSAMEATV AEQQEDKLEENQDMKESVAWCKCIVV JR316_0003119 MDACFHCLGLRSGKKSSEKHLERGKSPATDIVKSNITPIHRPDE PSFKWKFVDANAFIHQGALKFVQVENLQSLDFVAISYTWSKNMLLWRKWILSLGPSQK ASQPPSDNDASEDMKAFHQFFTVVCFLVLARSKSLFWIDVLSIDQDSSAEKAFFVPKM GSLYAAASETHAYATGSNFVAMSSKELYFPVWETRAWTLQEYVLSNTVIYCYCFAGDV MRDIKLLKKQNSARLPSPITELRTPTLVRYRSSIHDNTFVLETRDGKMTCCFDEDTWM GPELTVSSYLTNEMFGPDMNLVIGRSTLSKIIYSMKQNPSRTKLISTALTILGGRKSM YPEDMMYSVLGILEMADFKVRYNIGFDEAKMAVFEGMKPDILAIVLGTDWGCLLDAKN NDSALPRVIGSQPVLGIERMEVTNSARYTREIGTTITSRKERFRLWKDPSRSQSRSTG TVRAMLGSQESRLMVMFCASLFDDPAYANIPLSDIPDENIRPVVVSGESRLSDDDYFN KEVVYDKEVELVELGVCTHHALFPDPGDEKYMRNTALLALECEKLSDRLTEPIVTPT JR316_0003120 MASVGKWAPGASYGPVLSQTDLYLLNSGQNTELELNPIIEGKLD KVPLQFNLISGYSGSMVTNPNGEAVMQGRDEPATLPRVSQLIVISRHSPWCTIVKKET GVTIGDLCSALFKEYTENYVTDAELSTVPARVQDHMKRTAANNFVSAQSNQVPAGWGY SYPPANLPDKIRRVDWLRERVYVDRFDRDDGYARSRLGFKAPNVLVMDLTG JR316_0003121 MSNRSRTPNTPFIPPLGSPDGSPAPVVPNLPSNRHSPNPAHAYL HSPYATTPFVPPANISPMGTPGVIPSVIPGGAQPRGLPPRQGVSADFVGYPNGVDPWT AQAQGLPSTPMLGYGNPMSPWHPQTPASAPAAYPNFNTPWNPSTPLPSQWSSNPRGIP PPVPPPGHGGYSPYSPFTPAYPPPGQLPGGHGGAPPAWGMQGMGMHPQMHPPMGPPHH GYFGAQCPPDWVQQGFGPPPHINAPPPPQRVAPENQRTDQVSPFAIGPHYGPVLDPSL IHILGVQLRINPLLMPLPEDGSDQVHLNWNMLFPTSDVQRSSDPSHISWSKGRDEPAT FPRVTQLSIVTESLPWIIEARATEKARGLTCGELIDAIGRSLGRLASEADYRLLSSQA KRDLKFAYEYNRQRHQDVPGGKLGAGMKRLDYLGRSTMFAGIELNDRVVRRMHGMALP CFVVMRTSPSYPMTEKERRDQEARQKSASSHGHRSRAGSVNARITVESPSSTGGSDTD SADYDR JR316_0003122 MARGTASGRAESGRRAPQRIATPSGSRAVATTGTTYSNPNATSE NDDDASFFSESAPDNDDSDNDDFAPHMGARGKRKRADGSKSSKSSKSAASAGAAAGKK PPRKKAKGKAKEEHLILNLSNLSEVTFDILHEIFSYLSPLDLLNIALSNKANKAFLYS PECKSIWKAARRNFPGFPECPDDMTEPQYAYLAFGKECFKCGKTAPKKLYTVWTARKR LCSTCLNKNFESAYLYGQPRYSHHQDIAPLLKFLPTITISNSGIYSCYETAHRWTSKR YSPNVDIKAWVKTMVAKWSVINEHAKACEIWEQQCLDVVKETKKNTFDARVDAILDRL ETTGWQAEVQDIRTANEPERRREFSQQPLIRKICQKDITQRIFQNQSPALAAFMEKYR EDMRLRMREELLKARIPYLNNVIKAYTATAPPNEVSPAVSDFFAHKDVRDFVINTPSI TSAADFAPLLERLPAIAQEIVKLMTEKLRRMVATTGGEFAADPAIVLELATTTFRCPD CESGNRQEAGLMRYPRVLAHHHALKWHYQRPVEDDDMKILRQVTGVVPWNQSQIIEFD TSAAVALKSVIKLCGLDPKTTTKEKMDELDPIVECLTCNALEKGRMVMRWQVASRHFN NEHKYATLARRMRISILKGEDAETIRARLNEEEERTRAAADYTGLACAQCCKHGNTVT LRSHLLKEHGIDKSSVKDNIAVRIDSNSVQKQFYAWPPRKEVKLENPVQT JR316_0003123 MTRRAASERGSKTQQRAAQKNATSSGSTTEDTIHLTNSIAPSES NDPEATFSSASDDDDSDDDFAQQPGARRKRKRDGDTPKSSKLSKSAASTGSSAGKKPP RKKAKGTGKEEHLTLNLSNLWEVTFDILHEIFSYLSPLDLLNIALSNKANKAFLYSLN CKSIWKAARRNFPGLPECPEDMTEFQYAFLAFGKECFICEKKAPKKPYTVWAARKRFC SACLNQNFETARLYGHPRYSHHADIAPLLKFLPTVTLSNGIAYTCRDTARRWVSMRSN SSNASKKAWVKTMVAKWSVINEHAKACEVWEQQCLDEVDEMKKNNFDARVDALLDRLE ATGWQAEVRDIKTVNRPVRRRMFCHEPLIQKIFQKDLTQRIFQTQSTALAALMQSYRA QMHLRMRRELLVARIPHLNNAVKAFTATVPPNEVTPTVGNFFTHKEVLDFVINTPSIT NAADFTPLFERLPALVQELRKLMKEKLLTMVGMTAGDFAADPAVVLELATTIFQCRDC EFGDAQRASLMRYPRVLAHHHAVNEECRRPIQEKDVEVLWEVTGAMPWNQTGVVKFDM YAAVIMRSVIEHCGLDPKTTTKERMDELDPIVECLTCNNLEEGRKVMRWDVVPRHFNK EHKYVNLSGAVRIAILKGEDAETIRARLNEEEELTRAAADYEGLACAQCFKHGNTATL RRHLIKEHGLDKSSVKDNIVVRIDSNSVQKQFCAWPPRKEVKLDEGKLVVRPKKY JR316_0003124 MFPVAVKDDVIPLGKPVEIRMVIFWTQYKSANARVNRNKTIWGT NAAEFEPESWKPDAAHSQANQSLLIKIFNQQWPFLLPDERFRAQFASLISSTDLPGSR AASALPFNPNRTIKRSKIVVLRECIRALELRMPPLFVIAKVYYDQWMSALHVLPGPPS SSWVYGNMKEMLEADNFMLQDKWVEEYGSTISYKAYFGLNRFYTVDVKAISHILKNDY IYQKPEHARYSLSRFLGNGVLVVEEDKHKQQNPAFGPAQIRELTEIFVDKAIELREVW KKEVNSQGETGHVEVLSWLSKTTLDVIGQAGFNYRFNALTNGKNELNDAFKVLFQSST RIPLIKALIPALRVIPSEGDTKAKVAMTTMKRIANELLRDSKMGLMAEDNNIKMDDYS LKRRDLLSLLVRANTSKDLPAHQRMSDEDVMAQVPTFLVAGHETTSVATTWALYALCV DIQIQEKLREELFAVDTENPTMDVLNSLPYLDAVVRETLRVHAPVGSSIRVAVKDDII PLGKPIRDRNGNLLGAIHVCKGQSILIPILALNRDKSIWGDDAGEFKPERWKSVPQSV GTIPGVWGNMMSFLGGPRACIGYRFSLVEMKALLFTLLRAFEFELGVPKEDIMKKSSL VDRPLLKSDVAAGNQMPLILKPVGCPH JR316_0003125 MGYQQLLLALLGSLGLYLAYKLAKVLYDQWTSPLRALPGPPSSS WIYGNMKEIYEAENSVLHEKWVEEYGSTITYKAYFGMNRFYTTDVKAVNHILMNDYIY QKPEQTRFALSRVLGNGVLVVEEDKHKQQNPAFGPAHIRELTEIFVDKAIELRDVWKR EIDSQGETGRVEILSWLSKMTLDVIGQAGFNYKFNALSNAKSELNDAFSILFQSSTRI SLLGLIKVFIPALRFIPSEGDAKAKAAMTTMKRVANELLRDSKMGLMAEDNNTKMNNK SLKGRDLLSLLLRANTSKDLPAHQRMSDEDVMAQVPTFLVAGHETTSVATTWALYALC LDIGIQDKLREELLAVGTDNPTMDELNSLPYLDAVVRETLRVHSPVGSTIRVAVKDDI IPLGKPVRDRNGKLLDGIPVRKGQSFLIPVLALNRDKSIWGSDAGEFKPERWQNTPPR AGSIPGVWGNMMSFLGGPRACIGYRFSLVEMKALLFTLIRAFEFELGVPKEDIIKKTS LVQRPLLKSDMAAGNQMPLILKPVSRISD JR316_0003126 MNLKQVFLRYFLPTITEVYQAQHEQYKKEYEPTIGALEIELGII ERCIVDRRRMRSALVNSMRYSKCIPVPSSSEAWPSSERRCGQAPSRSQSWDSVSTVFG PANYPSVCGSSPRHSLDDNAIFELRERIEDVQALQSYAEELKKSINDCRFLVDTQATA DLTGYRELRDWAKTMLQAYEYATTVLISISTVGASLVYTTIFSASRGNIGYMCLTFPL FIFAFVVSVMVQISLRWAASLPNPVAFASQTLWKYIIRISLALAALSVLSALAVLNVT IFFLDPGSSSSSIDNDISLNTVGGVTKGIVSIPGIAVFAVCVLGFLILCGAIGVSVFA CRRSCLTRHDPVNLTADAVKRRNELSLESFKSCNI JR316_0003127 MASSHLECPAATGGSSLRSRSSGSSTSSLSSTFSDLTSSTSASS SSPPNLKTNAFFAWPFSTRPPSPTPHPPATPAQSKPRSLTAEFFGTPVRPPSPPASSI STKHTGATPHLSRIFPSRYTSVSERSPDFSLNILNLDSVTDETPKFNGHVRTPSTGSS SSFSSSSFHEPRLPTPPPSHERTPQRDDTLMQGDFPPTPRQEEGDSYDELTPRPADRQ PGLLRFERDLILERQARQQKLSQTPKPPSPEPVDYEDVENVDEEPRPGSIISLPSPEH PSSHLPISPLSLTFTIASPSPSLSSRPPDRGTPTPTNDYSTSSAALKSSHLGSPDFKP LPSPPPSSDGHDLSHTPSGALADSITTQTPPHSSSLSSVPLPPPAKDADNHTQVPKLR LLRSLGHGAFSAVWLAEDLSRVPLTLVSKKSVRDLRRRASGRDKAREREREREQERER EKDEEMAMDNSVAHDGGEKLNANSSTTGSVPNRNRIREGLMNMLSFSRSATHGSGASS ATSPLSSRPSPVSPNSTTGFDNSDEDAGGALSRNSSVRSAASSFKGPSRAASLRLAPP PHQQDLTLSRDSSLKKFRDRVRGTRPAYRLGRAYLDERHGEMGEPRDVDSASASVTNV SAVAAAVGGTGSGSRDGGMTVSDAGNDGGEVGLSASLSRQSSLGHASSSKGNGRLVAV KMTPRRANAADVGQGRGGAGITKQRLREEEERTRVGFVREVEVLKHISHPNITPLLAH LSTASHHILVLPYLPGGDLLGLVNNDVAWGMLGESVLRRIWCEICKAVGWMHGVGLVH RDIKLENILLTTTAFSSLTPTSPRPTLSTLPAPPAPFIKLTDFGLSRFVEIDANGEAE LLSTRCGSEAYAAPELVTGGGGSGGGVYDARRTDAWACGVVLYALVGRQLPFGEGVVV GGSMATGSKIGGERGKDGGVGRRATAADRRHWLMRIAKGEWEWPGGDDVADEGDDDDD VRDLEHELVGARLCKSRGARRIVSRLLVRDPKKRARVGDLWDDAWMQGGEDEAWWREK EMRMRMMRMEEDNAEIEDWVSRRARQQQQQQLDVDGMTDDSWSFYGPDDSSWRDLELY DTSSSHLGLRINGEDMDGEDGRLEDEREEEQEEDDEDEEEGGCLFDHEGIDSITRQEV I JR316_0003128 MSPPPRAKTTGPSLPESEPEDDDSDDEDYVPQPSARGNRKRADA PKSKGKKIKKILLLNLRNLSKVSLSILYEIFSYLSPLDLLNIARSNKANKAFLYSPER KSLWKAARRNFPGLPDCPADMTEPQYAFLAFGEECHVPECDTRLPKKLHTIWSGRTRL CTRCVRGRFGPAYINGKPRGSDSEFYSKLKPLLDLLPTVRMPNGNIYICVSTSNDWFF ELKFFHHTNKKVWIETMVAKWSAIAEHAKACEAWEKQRLNAAHDSKLNPFNARVDAIL DRLENMGWEAEVLDIRNTDDPNRRQAFCELPLIRKLCKRDLTEQIFKNKWAELEEYMK MYSSDMHIQRRQYILSSRIPHLNKIVTNYSATVPPTEVAPMVGDFFTNKDVFDFLLST PNITGPADFAPLIARLPTLAQEVIARMHTKLLSVIAETAGKFAAAPQDKVLDLATTTF ECNQCYEGWVYTAMPLRYPRVLTHNHTTHGHVSPWSLEPRQKEEADLDLLKDVIDVVP WNWHEVVTFDKDAANVLESVIQMCGLDPKTTTTKEMDELDPIIECLSCNNLTKGRMVM RWQLISFHHRGCHQKFSFAYPMEIRILEGEDAETIRARLNKEEELRRAAADYEGLACA RCFKPGNTVTLRRPSAKVTKSPNALVRHLGTPKGPKAQMAFYID JR316_0003129 MKDGKSYGRFFRAAQFVASYTKHKKLTLDKAGDSDNLEIVTPAR QAFKSLTTDLKKWEDSDYTGVRAAGLLRAITSKARKRAGKTNIALDLNTSDDLAKRVR SKVTKAARMHTRKIVPTTIATDLQLKGIKLAKATQSTLYKHIRNEKNSKYTGRRRTVK VLSSITEYMERIWKLKVSPKDIWKATRHKDLSRTTRYFLWMTMHDAYSMIGENWTRTS YNEELREGGVQEMQTRRKPCTTYSLIAPHPDKTQHGN JR316_0003130 MHNHAPEAFMLLSLPDASLSWTGARTEHGDLYLESVSMPNYDSK DSNDRTVYLVLKVNANEAPIDPASTVRRTDGPGGAHLRSYTLLPAQPSGEQPEMTLTI NMQRYSGNHELMDKLEAFENILEQYVAVYHPGPSPVAGWGSGPSGAPATIAGQSTRVD LKGGNNNDFRGQLVMVNEDTGEVVGAVEDRFRIREDPVMHQKGHENDPVIIEVGDEDP VASDANALEAFARTVPPEQRNWITSSANIASHAISMGTNLLITTITTASSFYINHSAP SPHHTASPKSLSPSTSGSGSGASTPASAGGKPPPVPPRALTFLTSEKTRKNLATVHAY SGTAVKVSAQTVGLIDKMIRRAIGANPKREKYFANVGQQQQQGGGSSASLGLNVPQNG SSAPNTRSPSPGPSSSSLHAPPPYAPRLHKSASFSNDRDTPSSSKSGPPLPPRRSPSP MPMPSPQHQYQSPGTRSPASYAPSPAPSGGPPPIPPRLSTKDHILISADLILSTIDHS TRRVLDTGTEQLGRVVGHKYGPEAAQSSLLMAGTARNVGLVYVDMRGIGRRALLKRAG KTYVKSKVSSNKGKSAAVPVASSQSLPVPQTYAKK JR316_0003131 METALRNNFGRRMSPPELMYQVATHCSLSSLVNLGHADMKWRQV VLKRLEDRVRVFLEPFMNKGSVGTFLELLSTEGAVVMGALVRLGYDPDPDAYWEEYPV GLVPTCRDCLRLISSTRGKSVAIFRSRVETVLPTVLMGATTSQLSVLSSSSLYCFYPL LLAKKEYLSIIENVSSRDLLPFHNFNFGLKCLDAHPTSCLYACPVKWRNSGSSAIGIM AWNNSSVTSSADQQLANEDFMWRKNRLGCNYRDTLLVNQDYLASPLFLPTCSWLLDSA DRHRGHLAGERGQYRKKCRVVGELMETSLNALGLLGNYFDDIVPLETAQHRLYLKAPD HPKYGSDFNTASNTLDILLKSIPGFTLTEDAACLKTMYYSSLLDLANYQVDAEYKALI QTIQGTHMLRRLDIYNGRLVDVTFGLSYDEDLGFETEILVIKALPLPWPNQD JR316_0003132 MKHRTLTWHDMRAHPISSYRREDNDWSYAPSSWIKRADEFGWAH LMAKTDPAPLSCFARGKLICLYAGCNLLVLSPALETNIIGMPLQTYRDIAMNDCPGSN KTRAEELRTLVIPKEYVRPHSSRVDTPRTIKILAAFVSRDWAWAINDFSTLAQMYIFT KDTPWDRELRPGTSLWDFVFARFTGGPDWFLEPVDALLKLREWRSSVLKASKCHERYC QKQISSYFGLAPQEVDSPCTGYGYNRPILQELLKNRNGCFAGIGRYSANDLLYTLGIY PGTPSRVICSDPALYAAFETGLQNYLAQFGTEPFLSRASSVPNTTNPFDFNEHLNSEF LRKWVLVYRRSMAVMSAKLYNFYASRGLFDENHIIGGAYVPNCNQLLDINRRVRKYVP VFFCEDPLAAYTVIRAKIPREWGCDVSRTRVVDDIKRFTYSTTIGTAQFRVPLDNRPF FHDKEPGTLIIGRPRIERTGKVGRPRKGLSKKRLLKLYDVQTGRKAV JR316_0003133 MHADPLYTARKDFSAGVVWKKIRGVDTLVVNNGADGPVDIAHPV LVGRVSDDRPWLEPHGSFNPKYDPEKAIKQAKLAITVVSPIGDPDFEVDFTPSINSLI NVQKAIATSNMHQHLLISIGEGTGIRLNFPIWEKKTEQNKDGGAERFTKSYAVNDECE PWFTTMKEKHYIKQFPLYDSKDDLIQDISVLEDKLVGALVEVTFSLKHYYITSKTDKP NDTFTAIIENITILKPPPTVSHGPYRSLLSPKKKPARRIQTPLGISTRGKQINAAKSF IPVPQPIFTPKGTAINPSGSASSTASSSSSHTLDDSQQEGDENDDEGRQQSPKRPRLV JR316_0003134 MTLPFTAYALFLAGHLFVILLTHTRLSFRIKRSFLWWDDLFSVF TMIVNAYFFVRTYVIVTSRVVSLHGYRLELGLAIEALCLSRTSLLLSPTRLTKERPSV VSRARLLSVIILVMGSIFASVTAFAILSSLVTNTTIAAAIPGLFSDDRVPVNNKHPEP PLTQPQPVRQVRFSTVDLGASTVVDPSYSATAEAEYPDLNKPTPLSPVPSFDTIPTSS SPVSAPSFYMDYWSSKPAVVESVSHYDTPYIEPLPGLKLTPDDPSAPSGSGL JR316_0003135 MYLRRPGRRRNIFLLSTILVSVCYVSPGVAIWPFPPKRFSGNSL VDAGALGLSGDGRVVAFGDFDGDQLLDLLVLGSDQQTLSVHHWNHDAFQFVDGGTFRH PTKVYNVVPGDFTHSGKLDILVMSQSRSNSQLDLTLYPSLPGDGFDIDNPLKLPPSTL SQPIPIDVDGDMKIDLLGMTTSSTGSSSDIFQIWQNTWNASSPNPVLFNVQDANFKGQ QCTISNPHSNAVVDLDGDCLADVFIVCDDGRGGKTYQVWVNAKDKGFSLSQQGNLPSG TQAISFADIDRDGTIDMFFSTCQSVSSKGVGDNCYINIAYNQQLPLCTSSTESGIKKG VRVCRRPEDLCIADPNFKYDLTDTTSNPNFVKFPLSSLFPSSPSLFVSDTSFTPSLPV SLKLGDANLDGFPDLLAITVSGNDHIPHLVYSVPCAAGVVGCAKDGTGRRGWEVATKG VASLQNIKDARSLSFVDMDEDGTLDIMVQRSGSDGHGNFLFVQNNFYYDAFFLKAIVL NGACDNGWCYSPNGSERYHPFGVSYSGATYKYTVLDTSGHRSAAQVGQLPQTSYHSLQ TPYSFFGLGRTNNYIENLFVGTTVHAAEHYINMEGVIPNSKVVILPSVVEGEAWKREL FLRPGEWIPWVTVTVVVASVLLAIIVFVLHLNEKREDELERRKISHHINFDAL JR316_0003136 MKLPATLSLIFVPAVVQAVLTTSFDPVYDNVNGSLATVACSNGA NGLLTKGFTTFGSLPSFPFIGGAPAVTGFNSAGCGTCWKLAFTNSSNITTSINILAID VATPNFNIALAAMNNLTNNQAEQLGRVPITATQVAASVCGLTMSIVFLHPPLIPNIAF TVTICVYGCRSDASNFKMSIT JR316_0003137 MKLSAIVSLVLVPAIVQGLTTSFDTVYDNAAASLATVACSDGPN GLLTRGFTTFGSLPHFPNIGGAPAVTGHGSSACGTCWQLAFKNSSGITNTINIIAIDV ATPNFNIALGAMNKLTNNQAQQLGRVSITATEVAASACGL JR316_0003138 MPSITVFIALIFAIFAQALDCENYGIVNGSDCLCPTGFGGSTCS QPACGGTIFQGSQRPLAAVSGSAANLTAAGCSCENGWTGTACNVCQTADACKNGLSSL GTLSTTSPTLGSQGNDTMVCNTQARVYASSQMSCKVINPTLQAIFPLSSTLNIMRTLQ PGLSPIPNTTSFGAANTAFAQLFYDGVEQFYCSADSCTQNLNSSGAGSADWQCQNLRC TCRPGTTFCGMIGGAINGLGGSLDIECGAVDNSSETATCNFKQATLQSLFGPGGLTLN GCTFGECVRQNAIDGSSANTATDITKSKPLSGGVIAGLAVVGALILLSLLLLALGLRS QRAARRRGVSVERSRASVEWTNLSYTIPGESESVKIPIFGRRKGGATEIYTDKVILDN VTGVVKPGQMMAILGPSGAGKTTLVEILAGRSKSGVISGHFGVIAENADQNSAPRIGF VPQQDILPPMLTVFEALLFAARLRLPETVTEQEKKDRVNALLEKLGISAIKNSRIGDV MGSKNRGISGGEMRRVSIGLELIACPDVLLLDEPTSGLDSVSAARIANVLYDIAHDPV NPTPIIASIHQPRQALHPVYIDLQADTTLFSSQLYQKFDLVVLLSHGRSLYSGPGGFA PAEHFATVSPDTVAPYQQGYNVAEYLLEVANDPHVSLFQIQTTSLQAATPSASGDDEL HKTSSESQPQIILSEKGSTAHLGGSRKRIFSSNNFSRSVYPTTFLTQLQHLCGREWKI LKRDKSLFLTHVVVASILGVFCGGLYFNTGITIAGFQSRVGCLFFLGALIAFSSLSAL HNMVEIRPLFLRERSSGYYSPTAWLLSRFFFDMIPLRLIPTIIVGSITYWMANLAPNA ANFFKFLLILVLYSLAMTLFNFLLGTLFENGGIAILLSALSALYQMTFAGFFVHLSEI PPVLRWLQWLCPLKYTLEALSVNEVDSGLMIQDTLQGVPVNISASLIMNLLFGFGLNN YYRDVLIHVAFIGGFGIGVIGVVWFKSELSCGPEAVRSISGLHSEHQREGVSNFAMQI IKRIELDEYFTYLNKDRNALGPGNIDVAVESLQAFIDLVNKISENVWRDERGSSVNQS GVTFMVGITGQGIYDVELKFTSPEFLSTFYALIKHLYDPGHFKDDRYEVGFNNRTQKF EYTLSLTLKPGTTPTKQGGFQAIYASNPFGTTTYVFAMDDSMDPLMINRQPLRVVKDQ YCRNTRFTEPEILKHIQNTPGVVTLLHDEEWNTPVHFEHRIKRRLGLLQHGEQFMHIR TLRGMLEVSYDALEVTRYLRTKRNVLHRDLSIGNIMVVWNRTNDSNKVDEDPNEPEIC FVKHLLGESDNPQQTSVVLIDFNRAERLDVDPRQPRNDRTGTPLFIARAVQNNGPYPD LPARRLVMVPAMPSAPMIYQQYLPTRAKYFDQDKMKEYTLEQDKMNTTERVWRHELYH EAESIFWIMLYWLMVANADYGDKHAAERIGSTVWNLFTSTVDCEDRGVLLDSLVSKAI KASNIVHSKFFPTVNDLIRPLAEAISTGPYYLPDHESTGDLRTHIEFAHEAFQRIILN FIIAHKDDEFMKHETNVESPRGFTTNILLRHTVPSRLFTSSSQFHTNSKGTRSSQHLT GSKGTTGSQSHTNSKRASPLPEENEGPYLLESQSKKPRRDGP JR316_0003139 MFGKISSWWSPTPAADDKPYNPSDPKMNPLNPKGLKPCCACPET KSKRDDCFLRYDPSEAEGKCKDELAAHIACMRSLGFKV JR316_0003140 MAPLQLTLKLVLFLLISCSVLVGASHNVTVDDDDTSQIIYDPPD SWRETPFDPLNAGGRHRVTSDPDATATFTFTGTAIYFYAPLWPFEVTTAVSLDGNPPV TINLMDPATISPEEDAPETVQSQVVWSVDGLDNVEHTLVVSVALGDDHAVVDTLVYTV LDDDDPTPTTTTDDSSASSTALTSLSSSSTSSSSFSLLPPPTPSTSPVLSDASSATAH KGLSIALGIVCTVFALLVFYGIYWYWRRRQRIRREREEEEEYARNHPSSSEPEVPSVG YEYRRQPTAWQRNSRGRLVAASTGITTTDSPFDSELSGTGSVRGASTRAGRRPRPPAP RRHGPSPLSISSSASESSSSVTGSSSGKKNPLTTIPEMRMREDVDIDASPSVVKSQKA LDARNSREGLATDIGSTQWTTFDDQSS JR316_0003141 MIQPIPGAHADDQPVNFGPVLWDVLNKVASNVGGADYLIGLSLR DPNNANVPILAGAAVDGLGANLDGLLLGNEPDIYAAHGYRPNVKNYTTALYINEFKTA ADRLTNTSAGDIYDKHEIVGPTICCAWNLDALLDDGFSSSFGSNLKYISLQHYPQNNC NPGVFNFQLPYYVQHANVVTLGAWQSSGISKIVSNPNGQPVILSEFNSAACGGIAGIS DTFAVGSLWTIDYSLQLASVGYSAAYIHTRERGVTYNLFTPPEGPNGGPGAWTTNPPY YGLLVTAEALRSENGSIVVDLDISGSKSKTDVAVSGYAVYDAVSKTVQQLVFFNYANV SSSDGSSQSFSVPSGTFAKGQGGVQVKYLVGDSMAEKVNIGWGGQTLATAGDGNLVSS NATWAVPNANIDCSNGCTINVPAPGMAVVFAGVPAKDNNANSNPSSGDTGGNSSGSIR PKATGSQIRVSFSSSNALLVISFIVLAVAASPFDHLFLFTF JR316_0003142 MSEVYKYIPYVDFRSAGEDWRYYDFDTECRWREEFRNSKDPYSW AANKVAEQSIIDEHSQECMEWEQIRPLLQRYRRVKYGDTIHDRKRTIYDRVLAAGWKN ELNFMVRENIMHMLMDDIKVESACKSALTEEELINLDPHLDRIMAKVFELNLEQKRYH LLDEYIQTIEDILTSYSIFLPANSLVIGMADVILHPITQSYLANPNPKSEAYLSKMMP VIAADIKVSLNNQVYDLIRANTPPGYAFNRDIALNLATTIFYCSLCGGDGFSISPADP IRYPRIINHNCAFYHLPDFSCDGAGDSKEIDIDICGQITGCTSWNRFKVLKMNKEDFK ALAEIVIMCGLDPQTATGDDMDALDPIFECVSCNSPELGRTTMRWSLVPRHRKQWNHC NSKTPPDIVLLEEEEAEAVRIRMDEEESRRMAHNMYRDLICARCKTRGSSGHLRYHCK TTSFVEQVSKSWDP JR316_0003143 MGITRDTMKGSGTMRTTTAIPAEAHAHTHTTELEQERWPKMCGR VQRRGQSPLSIWRAPAFRFLGPWRAIRDANALQNGGELLTLDENEIIRLLSILLFMIS SVLPGAHANQNVTVDDQDPSISYSPQGAWGLSANSSLDIGGAHMLTQNPNGTAVFRFT GIAVYYLSPLWPYTVNTAVSLDSGNATLVDLVDHSRPTSPDQGPETVQSRVVWSATGL KNGPHELTMFVGAGQPFAVVDALIYTNTDPATPSSASGLPSTTDRVIASSTSSPNSGS SKSKNVVPVVLGTIFGILGLLLLLLAVWFYFRNKRKKRPISEWTVDGTAYSGAGGDSG PNGRYVYPTPSHPQGKAGYDLSHSGGYYRNGYGYENGNGNGNGHGHGYPMEQTQQGTF QNTRYAHVGMPAPSIPAHDIGMTMSPGRYKPGDALSTITEKSTPSRMGDGGARTPVGV GAYSPASVMTSGSALPGPPTDMGEYYAASPSMGSTAVMSLGEYGADPAGQGAWDGDAA NYRRGEQRPAPRHYKKPVGNYL JR316_0003144 MSYRDPYSGHYAAGASTRYGYESHQQPHYADPQQQQQYPTSQSY RRPYYDDDMANEPEEANPYMSNSNSNGNFNNNAQSYNLNDERHIGEQPDYSYGENARA GAYDYPPVQRDRSVRSVGRSRTGDSFGPARKEASGFDQGEFGPPAVVARKSRTPRALR EYRYDHQGNLWTKGGRGRCAGRVCCCSLMTAVFLIVSIVLTLALYARPPNIEIGDVQA VPVNGSVLQMGGSSGLDFQVNLGVAISVSNPNYFSVGLKKIDLELTYPINDTPVGGGE TKDINFKANSQTNFTFPFHLTYNPVEDPSSAILSDLLGKCGANPQNVVINYKITLGIR ILIITVSPVVSNQFNFRCPVDLSNLSKIAGGLGLREDTNGTSIVT JR316_0003145 MSNVAPSNGDVPDQPEFDFWEFVCCAKCRMPFTLENGAATIPFW LTECGHVVCNNHLNRDQSCAQCGAQDIQLAPLQRQMEAPMSDWFRSIPSALESIAFTA KFQNEAMASQVRYHKSRHLQLRQIVERLKQNMAELKRSNDMLQNQNEQLRRQMGYHDQ GSSNSLNGNGKRPMVPMQQYDHVNQRARTDSSPRSITTPLAPDRLTLPPGQQVPELSS HRQQDIQHRHSGLLANVHGRQDYVQQDSASERRPMSSRSLENIRPHRPTSSIPIDQFA YNPQGSSTFHTPQLTHVQAAPKIFQRTDNRQNGQHGPDATSNHQPPSSSRFKPAPFPM SITRTSTNRQQTVMGPPPTPQHVRSGVAPQASTAGQPLASADRRPTGSITSSMPGQQQ PPLTATRRFFPEGVNNPSAFSGPALTSSSRGPSRASVNGSFSNAGQKMAFGSGAGHRG GFG JR316_0003146 MPTMEQRRQKLMREDNSLFAGITTNKISPPSTPCKPAPLTPPSS HPRPSSSKLTTESRDRDDDQAQGRARALSESHHNPGDQGARATNKEPEPAPQPESESS SFGFPTNENMPLASTKGWTLDLLIARAPRTFRHADRVSAALPAAELARRIEEYEESGR PLVIEGFHELSSWPKKKFTLEGFVKDAVEDTINARNVRTWTDAELKLSDFIEKSRAMP QYAQEGETERWYGKDMDCPPSWEDWLIKGNAVPASLHPNGPQDKFRYRPKSAEVQTLM CYLGIGDTFTPCHKDLCASSGHNLMCYTEKNGSSFWFMTESSVAPKASQYFQKLRHEL DHENHIITLEELANAPFDVYILEQKLGDLVLVPPRSCHQVVNAGGITIKTSWSRMTSK GLKTAYFQELPIYRRVCRPETYKVKSTIFYSIIREAEELAKLMEDAHDTSSAVATTSK SKKNSQKSNISKPSTPESSASVLANDLMELISVADCILAEEYDSINKIMPQMFSGNRF EKEPTVICDFCRCDIFQSFFECRNCVDGGISSGERMHICAGCYVEGRSCDCSNMQPIQ YGNFQDLVDAREMAVSKVSQYEKLQGRSYSPKLSKCFAHLLENSKMHAVEALLLHTGD KTPKHEKYHSHHLSKSAKYYENLPALEESQREGTRHPGFSWLVYNALSYSHCTPLNLK YVRFGWYDNQLFEEESEHELASTIASTDVAPSPSSEETPSVRPTSLQTSPKVTIILKP SSSSAKPKFHIASTSLEASQPQDKAAIPQTPKPTLSQPVRSPSADSADIEMVDSFIRP VSVDYVSSDDEPLIKLLEPAKKKQKLMMIIPPSPKRIASGGGRAPGVRRPRPVVQSTR DKDDITRRLPRMGQVYVLVPPAPYSLERRPPPPPPPVTSPRPPSPALSIRSESPLTSL RSESPLTSLRSDSPLSELSRRSESPPLLSSRSTSRKIVESEPSEDEIEDTGDEAMQPG PSTLPKLDKCNKPTAPYVGRKPLIMTEEKTRSGPSISSVLQARNTQHLSTSFASKDKG KGKMPERRNNFVTPKLANRPAPSKVPPIPRKTNTASSSAPATKDVISSTRVALHMTGE VTRPRPPASLILKRKSSKGDISASVPEYSHKVGSGGKSSTGARAGPLSKTTKRQKTSH LSNVRQPEEGIGATRERSPMPSSPSIVVTLPQRPTALPSRVLSPSPSTSRRKSVKSLK STPTAKKDLAPPSTMPAKSIKSIPSTTSSRPTKPLPVKRESAPSVALDAAAGSSNAKL RGQTFTARSGLVGRVGKGRSESQTTDFDKEREGRSTNVAHQVPSASSSKLNTSGSSKL GSKKRPRTRASEPGATSVAEQPGSSKFLSVEQSQDKSSTNIDTTQKPALNKLRFKKTP APTESSAEQQDNERLQKEDVAARPAERGHHHFLEVDADVDCTSASNLVQSNSEVPPSY NNTDVAPSIPTPITLLPPPLPPPLPLEPSSVAPPPAPSPPPPQQQQQRLEASSQTPQL PMLTETSHQASLSCNPIKPSWEQMMQDATQQGSPGPSSQLIPCSVQPQPSIATAPTGY VNNQHLAFAPYAPYAIGVQPQVHGYDHGYGHRGPPRGPRSFYRGSYSHRHQDELSGDR RYGNSDYTYPRHYDREDRRYNDCYQRASRFDFNSRDRRGGFDFDSRDRRGEYQRFPPR DRRHDNQGRAGHRSTHHRSSFPSSSSDRNYGYERGSRRRSEYTSYGGDRDMDVDSGRH YSPERGGHDREPRGGGHNLSASPPMEVDGVVDGDDSSNRNRQRDSSVHPPHVRDVGAY GGGGDFDDRVDFEDYDEADVRNGKDGKGGDDSAPAQQQSPTRD JR316_0003147 MQTDSVNEKASTPTPIPPEGDEPVKSSTKAKPGASWKTDETHVL PHNNLTLVFSGLMCTVFLAAIDQTIVATALPTIIEKLGGGKQYSWIGTAYLLASAGLS PLYGKLSDLIGRKPVLYTSIIIFLVGSALCGAAQSITWLIVARAVQGIGGGGIIQLVN ITISDIVPLADRGKYGGLIGATWGIASVVGPLLGGVFTDHVSWRWCFFINLPTGGVAA GILFFFLNLNPHQGRSFREHVADFDFLGLFLIVVGIVLVLLGFNESETSCGFIFLFFS FFVFWNSKTTISFLTVGFVLLLLGGVNEYFTTRSPIVPPRLFKTRTTGIILITTFLHA LGFFSGAYYLPLYFQVLGASATRAGVEMLPYSLGCAVLSALSGVLVSKTGRYRPVMWV AFAVFATGMGLMIMLDAQSTTAEKVLYPLVAAVGLGCLFQTPLIGLQAAMPIKDMATS TATFGFIRTIGATVGISIGQAIYTSSLKKKLEGMGTPGGIDTSPAALSQNVRNLKNIP DPVVRASVIDAYAKSISTIWLVMTPILGACFVMVLFLRNYTLARNIVRAGPKEKEKQD VEKGAGERENDKDTDDVTVGPTTSSGSAGESMHKESKEGDR JR316_0003148 MPSEQYAQHAPPEHALQPTDAQKLCYACQNTLQDPAASLYCTTC RPSATHHDQQHPAQLPRRAQEHQSLPEPHPATPYRPPTPPPSSPYASAKKATLSTLQC SGVSTNIASPTSPSPSSVHRSQQSQHQHQYQQHFAAETPAAAVPQRYNGAGAVAGSSQ SYLDPLVDITRLRIRTRAHHCLYPGAMFQGTQKSGRNSYDVNVTIVDVNFAASTLCGY LRIRGLTDDWPELTTYFDAEIIGNRYGFLTQNWGASQHEDIVHWSRFPAFKHIRHEAQ RPYMTLDDRDRGVVFMRWKERFLVPDHRVQDINGASFAGFYYVCVDFNPPATPASPTP TLPSCDSALDDSGNLDSAPIHHMPAITPEDEELAAHQQHAQAGAGVDMGMMDLDMDMG MEDLQNPYSTSTSPPRASRVKAPGRSRSRRASSVRRRGPSLAPVSPAVATMSGFYYHQ NSEPYQQLSLAHVPESTSSSFEFR JR316_0003149 MASSTVSAAKVKANATGGGEGDRPAGSGPHVVIVGAGLAGIAAA IALKTQLGFENFTIYERGDSIGGTWRDNTYPGCGSDVPAHWYSLSSELNPHWETFFAT QPELRAYWEHLWHKHDLARRTVLNANVRGAVWREEAQRYVVEVEDVSGYASQDGDGGK GKGGDGERRIKKVEAQVVFWAIGGFQAPLYPSEIGGREAFKGNLFHSARWRHDVVLHN KRVGVIGNGCSA JR316_0003150 MAPKEEVKNLIPNYGMFRVSFCFSLTVPLTCVCAFAAPGCKRII VDPGYLSALKQPNVNIRWDAIDRIVEKGIQMKSGEFVPLDVIIFSTGYLTDGSNQLQI RGRDGVTLREYFESKGGPYAYLGSCIPGFPNQYLVLGPNVASGHASVIFSEEAQIQHA LQLIKPVLEGKAKSFEVTEEATVKYNEWLQTRLQDSVWTDCMSYYQAGRNNKTRIVAT FPGPVALFWWFCRRPRWELFRGVGAEAWEQEQKVGRITKGALLAVVVAAALGIGFAGW TGI JR316_0003151 MASHSHPNSGSANLVGVHYRVGKKIGEGSFGVIFEGTNLLNSQT VAIKFEPRKAEAPQLRDECRSYRILAGCRQYPLLPPLQPPAHVLLSFFFLNHMHLATK HMRYPPPRSLLIITVNLTIIIKLHHGDPTPPPPTDIYHFGQEGLHNILVIDLLGPSLE DLFDMCGRKFSIKTVCMAAKQMLFRVQTIHEKNLIYRDIKPDNFLIGRPGTKGANIIH VVDFGMAKQFRDPKTKQHIPYRERKSLSGTARYMSINTHLGREQSRRDDLEALGHVFM YFLRGSLPWQGLKAATNKQKYEKIGEKKQTTPIKELCEGFPEEFGIYLNYVRKLGFEE APDYDFLRELFTKVLRSNGDADDGIFDWNLLNGASYLFATIFMGEAGKHLWFVPFSFI SNFGREER JR316_0003152 MLARVQNENAHADRRRERDDRRRSQAQQQQQQVPGAGAGPGQQG GNVVPPSPALVRHGSRQRKVPAALTPGGAMAGVQGNIPIGGTLQPQRNSQMHMQQQLQ QGQHPYAGPGIGVGYEYAQQQQQLQQAQQQQYGRTSPMVTASVGAGAPVAAVSHVRAM GGDVGVAQDYQGQQGQGQQMHDEDVQKPSFLKLLTCRC JR316_0003153 MTEASPAIPTFKLVLVGDGGTGKTTFVKRHLTGEFEKKYIATLG VEVHPLQFSTNFGTICFNVWDTAGQEKFGGLRDGYYIQGQCGIIMFDVTSRITYKNVP NWHRDLERVCESIPIVLCGNKVDIKERKVKTGAVTFHRKKNLQYFEISAKSNYNFEKP FLWLARKLVGNNTLEFVAAPALAPAEVAVDAALMSQYEAELKRAEAVPLPEDDEEL JR316_0003154 MDQRPKIKRPRCIRSVGGLWQKMKGTHGTRRSFEIFKLNARMES RILDMMLPAEKQEPDKWDQFVRAVRLSSLSCLSLCFEAYYVASMRREAMASGRVKCGK FFRYKVQEDSSDDVEIVEPISVHTTRPDTRNLTEMEDDDNDIEFICIKTPLGNSEAVN STNVDTRNSTRSPLSYACIEPQVNDGSSLRYTNSVGKENIPPAAQLRWSRFKPAPIAN HNPIPISNPAQRSSTVGPDASIPITVSCIQCNTLTVADPLYNRRLRTFIDNDDILSIL AHIGILFDQHLDILLRMKLSTLDLFFSNCITLRRATRNRLLDLFLDVEPRGSYTYMGT VEESYHADNDKTQNLLYTPAPLFAIIGAMVYSPYEVFKGDLRSAMQLDDDKVKFQTLL DTVEFNLGVYSSSSTPSREVLEGVIDKICISQENEFEKYENYWPINVCLQRLKELVPR IRPSYKDDEEEQIFADIFMKRTKSFGPNKTTITPRRIDHQSILAPPTYSPPPQAPKTT PAIEQLPCPLKIPAPIPPSTPNTQLLMSTSTSSNSSASAPLTTLTRKHPPVPTHLACP ALKAYLHAQGLGELIPVLVYIGVCSERDFVQFRKLMTSSSSSSSSSSSFFSSSSSSSS SSSSHQNTNQPPQPQPPTSHPHPHPHPYPDPTSEKHKILTSHALRHLGAFQKFLLGIA FDVDLGVHHFREKGPE JR316_0003155 MERCRKRKNREKYVSKGGPSRDDSSEVEIVANVTTAPVIVSCIQ CDTSCIADPVYNGRLREFVKDEELLAALAMIGVRFDQHLDLILRMKRSTLEAFFVDHV PATKMDVCTKWRLLRLFMNGNVSADIFTSTSGLDELLHISAPASKDETKFINYGYFDF RVSLQYAMQLDDDEDDALFGSLMDALVDKSCNHFCHPEPDAAALEKIIASICSVVPGH FEKYTNYWPIRIYLLWFYSTVPAITPTYTKEEESAIFADMFSKKVLRTLLRKVDLTHS RLVDLLRDSDPSLSQMSTSITPPRALTPTMLPTIEQIPCPKSSDSLPETRRENHLPVR INRACHTIHAYMHHQNMGELIPVLVHLGFKSEEHFTLLRKTMAAPSSSASPRTSPPAF GITFPEVPFEDKVDREVVLNSPMLSRLGDFQKFLLQIAFDIDRDHDATFTRFIHVILW ASIQESAKLREMAMKQSTCRNLVEAAVQLPSLPRPSIKFLDSLIDHNQLDLTPSIIFR HTNSTARVRKLAKSLDSSKPHLEQDTDAWEKVVAVMLNLDPALKDYEDNWPIAEYFNS FTRRRWTRTQDNQLSSRRQRLYSRQLASQKSISHPDTSEVEIAEVEAAV JR316_0003156 MPDQAIRSTKLKFKGEKTKKKRKREDGDERVGSSKRKADDKDPE TWVLPESVNELRGPTFIVHPSDPSPISINFNSTTNRIALQSLDKDKSEETAEPVKLLD RTPTDVAQVWVITRVAGSATINLRTGTGEGKFLSCDAHGIVSADRDARGPQEEWTPVV LPDGMVAFMNVYEKYLSVHEAAGGVLNLRGDSEEVGFAERFWVKVQYKYQKEAHEEEK KKKDGQMSSVGIDETNVNRQFQAWGAGRSVVSSEDKKELKKARKEGRLAEAMLDRRAK LKSDRFC JR316_0003157 MKFNADVSSSRRKSRKAHFAAPSSIRRKIMSSALSKELRAKHNA RSLPVRKDDEVRIVRGKYKGREGKVTQVYRKKWVIHVDRVQRDKSNGATAPIGIHPSN VVITTIKLDNDRRAILERKNRKAAASADVEMVE JR316_0003158 MSGAGARENVFATRMALTNTKLRLKGAQTGHSLLAKKRDALTTR FRTILKKVDEAKRKMGKVMQVASFSMAEVTYATGDIAYLVQEQAKQATFRVKAKQDNV SGVILPAFEVERVSGSDFNLTGLGRGGQQIIKAKEVYAKAIETLVELASLQTAFTILD EVIRATNRRVNAIEHVIMPRLDNTIKYIMSELDEMDREEFFRLKKVQGKKKRDAATRE TIRETEALDQKNNGQTPAAVEPSVGVDDEESTDLLSGKDADVIF JR316_0003160 MSTNKPDNWREEAFAKQRMQMREEYERQKQSLINETEKARPSAN RFVGQNDSMEDTLKNSTVGLVKLEDFQQMRKELEEAKAREAAKSNDLKDEAKKAKKRK KTAKSKLSFAMDDEEGEDVSGEPKANSDEAQDDTADQPAPKRSRLKKNPNVDTSFLPD REREEAERKERERLRLEWLAKQDAMKKEDIEIVYSYWDGSGHRKSVQCKKGDDIATFL EKCRAQFPELRGVSVDNLMYVKEDLIIPHHYTFYDFIVNKARGKSGPLFNFDVHDDVR LLADATKEKDESHAGKVVERSYYQRNKHIFPASRWEVFDPEKNYGKYTIA JR316_0003161 MVHILGINLPDNQVARFALTKIFGVGHHTAHRICARLQVHDRCR VRDLSPFQVTSLASFLSSPSTAPALPRYPLARADYVPPSPTSVSTQELQARFMELHKA KEAKASSDPLRNLRIESELRREIRENIGHQRMIGSYVGRRHAMHLPVRGQNTQNNAKT AKKLNRLDRY JR316_0003162 MATKQYKTIGEELWKGRSEKINAELFALTYGALVVQLIQDYEDY EEVNKQLEKMGYNIGTRLIEDFLAKSNMGRCADFKEVGEVIAKVGFKSFLNISPGVTH SAPPPPSSPSRPTSTSNAPTPIAQAAPGTYFTLTLDENPLAEFVELPEEALEGGLWYS NVLCGVLRGALEMVQLQVQAEFISDVLRGDEATEIRVKLVKYLEEEVPVGDD JR316_0003163 MSLSLSFTGHHDPQPLPLTLHSALFTLHSLSSVLALLVCAAIVL VLVLVLVARYYGDAEAKKYTSNTRNQQIQADMTYRALELLNLPPGQPAFLLDIGCGSG LSGEILDEEGYLWAGVDVAPSMLEVALEREVEGDLFLQDIGQGFGFRPGSFDGAISIS VIQWLLNAETSHPTSSPPHRLQRFFTTLHSSLRSPSRAVLQFYPSSDEQVQMIAGVAR KAGFGGGVVVDYPNSRRARKVFLCLFVGGGGGGGSGSGQGGGKGEEELPKGLEGEEEE SARVRFERRRERERAKAKGGKRKAVKDRDWILKKKEEDEDEDEDERGRGRGRW JR316_0003164 MTQGLGVGLSILRKSLVVGSAALQRRMLVTLELNNKDKSYEWLL AWLAHHPPSPSLNPLTRRLTSTLTRSHNLSVETSLQTHPNGSSSAAFRLVAGPGTHYI HYAGHWMRLSRERDARAQALMSGQPWETLTLTALSPARAVFPLLLADARDAAMKGQEG RLVVHTAWGTEWRPFGLPRAKRPLKSVVLAPGVAEGIERDLRTFLSRREWYADRGIPY RRGYLLHGPPGSGKTSFIQSLAGELSYDICVLNLSERGLTDDKLFHLLANAPERSFVL IEDVDAAFNRRAQTTEDGYQSSITFSGLLNALDGVASSASARLVFMTTNHFARLDPAL VRPGRVDMGVLIDDAVPAQACVLFERFYGAGAGSGSTSSATTSPSTPSTESPPPTSPD LDLDIASLSKSLAHTIQSEMDAGRRVSMAALQGFFILHAEDPRGAVEGVGGLFR JR316_0003165 MRRTPNSLNLDDALSILGLEKGTSLEAEVVKTAYKQMSLRTHPD KNPDNPDATAAFQRVGEAYRVLVEHVERSSSASSFSQFSQFSSSQFSSSQFSSSSFSQ FTSSFPHPFFSGFGSGFGFAPFAGGPGHAHGGHGREHKCEHCSEMSADEAFAYEFYGY SYGDDDDEDFYGFYGDEFEYDEDEEDYEDDDEEDEGEGEDYEEGEREGRGSGNGSGGY AKGNANGSAKGNGNGNAKGNAKGKGKGKGKERYFEEDEDEDDEDLAFYMHIFERIMAG KMYHSGWRSGGSGGGGGGGGGGGMPPRGRGNPKGNPHRNHTKPPTQNPNPNQNQTKAQ KQSKAEQQSYEAHLKRTRAEQEAAEERRRWEDQVRRERRVRGREEAHLASQTRQKAKS KSESKKTQRAHADAERRAVEEERARVERERKQGVRSWVFECARMCGGAEAGGGGGGGD AKGNVMGKDSGKGNAGAGGGGKKKDAKGNGKSKSKGGNVPTSGRDAAVEEVKRGVWED GVDVCGGEFLDRRVRANARVNVDGDASASAGGDANGDGEKKENANGAKEKEDVDEKET LLHIAAKRGDLELVRWLDAHSADPEERDTCGHTPFHAALRCGRVDVALYFVEEAYPVG ERDSRGVYVLGSAVDEEEEEEEEEEEEEDKEEEGEQEREGDGNGEGDGNGNGREEQSK DTLLTLAIGSGEPELVWLVLEKMGPFRPEREEVRRMYVDLCVRAGGENGYESKDKDKD MKEGEKEKWGDIVKLLEKYSGGAGEDEEQEQEEQEEEESVPIPMPGSVPLFAQGKGKA NKHHQQQQHHQQQQQQQKHEKRPAKVDTQAQARQNQNQNQNQNKYKGKNQKSAVPLSP TGTSSTTTAAAALASGGQRGYRQQQQQQQNQNRGSNQRQSRDYQNQNQNNSQNQNQRE GQTQRQRGYQHNQTQNQTQYAAQERVQEPVHGHGQAQGQARGKGYYRGRGRGGGRGRG RGGAQPGPGHIHTHGAQGRDVY JR316_0003166 MGRLAEMQRKLLEQMMGPEAMGVANANLVWSDEKVCRNFLCGTC PHALFTNTKMDLGACPKSHTERLKTEYLAAKEADPNNPIFNRFQMEYEANIFAFVDEC DRRIRAAHRRLEKTPEENAKTTNLMREIAEIELAIQGGTEKIESLGEQGKVDESMREM AAIEALKSEKADKERELQQLTDTSGASGHQKLRVCDVCGAYLSVLDSDRRLADHFGGK MHLGYHELRNMLGKFKEEREKRKMNPPSSAPSAGSGAAPPSGPPRPGGGDYRSSRGGD DYRDRGERERGGGYDRPSSRYE JR316_0003167 MDMIVHYDQDPTLASSIGEMDTTPIIPQLAPDDILQAPFMSPSH PELGVHPATGMPMLLPPQDQNLAFLASPQAQELAVNGFTPAMHLPLSDLQMPPVNVFM NAQMEPALDALSATTPYDMGLVPDPFAMVATDAAPADVRTLSASPPQSQVPSPSVHGS SSSYGAGVTSSLESALEPVTAHASRSRANTSLSPPSSFPSLSPQSLHNGATEYPTSIS PGSSTTTSALEESISQQQPQTLIGKLLKDIAITAIDAGDAFEHCNGPEQTTKVGELKD RIEQVMQMLRTMDVNAPETHSYVIPPPPVPVAHPSQTSMPHYAPPPFPPSDNKGVEQS NHLGVSDQSRKRCASELEEHRSVKSLKREPQDDVPLTLSMQETTTSPSASGLAFPPST TYSIPVVPVVPKSRPPSRPPTPPSAFVANNSFGTIKQTPSVPAASPAFISGTSANGSL PAVPPPPFHAWTEPIVPTRHHHSLSAGSVPGPLSNLGGLSTVSSSSAFAPIGLQAPVG QPLTNPQPGGTPPTITPTIGRMSRSGSISGTNFRNMYNSFSFTEQPYSEAPGTYHGQK VASSSTRGGGQANWHMGPENAGTSSSATGVSATPRKTASFSIHAQSHSHNSPSDEEDE DDDSDSDESTSGKTIPHHGSGDRHSASGASDLPPEYILDVDRIFFEFLNKLCSNLDAT DAKGEQIHQTLMAKKMQRLDESPDFRPFKFRIQAFTNAFMDELMLNGYSSDKIPMKKA RNYLWRQKYILRFNEDGKKAKSKGNHIWNVEAKKTGEGQWEFRPFQRKLAGNPPNVAY CGLRWSWKPHIWHPQCSFKKVPVTYSSPSLPSWLSWKGGELSGTPPPDAVDCQITAIA KFMVDGKEDQVSTTFTISIAPVSALDSVNYSRSRRPSFASDPPPPKRSTSDSALFQIP LRADPRMNRAENSTHVIRVLQNVAMRVTSEAESQFVSQSPPKEGKLQDLVKQKHVLEQ TVHAYDKAISGQGHMDSRRLAVAAQHVVLQAAQTVIADRTVANGGASVPQPETVAIQN ISVSELTDKTQDAIAQAVKINGTASNEVDIIVKATSILKSQTPVLDTIPPVVVAAALP PPPRGLPSAVVPSRIASTFPSSNLSPLPEYT JR316_0003168 MIFSYKALILAVFPLATSVIGATLPLESRQTCTLTCGNVADSLP FIQSFSQSGSDHFYTTSTTENAQFAAKGYAPQGVAGRIFPTQEPGTVPFFRLFNAGWI DHTYTANQQLRSAEINITKPPYADDTIAGYVYLDGSCPGTIPLFWAFSTSITDSFFTT DLAEYDSAISSGVYNGQGIAAYIYPAA JR316_0003169 MAPKRKRNASSPQKGLPPGEKLKRDAIPGASSSSPWGWVGSQVV EPEQITLDHRLSACNLSRRNNNAFCRNKYSQQDDILPEPPSSSSITNTNGELVEDIIV ISDDDTLSCSKKDCKTNPNCLNYLGQESWEDEDAPEDKLFKFAKLGRDPCQNTREVDL PVGLKNLGATCYANASLQVWYRDLAFRSGVFSCEPPEGVQVEKYKESPIFQLQVTFAA LQKGNQKSFNPTKLVESLQLRASEQQDAQEFSKLFMSHLDVEFKKQTSAVKSLITEQF QGSQAYGTICHSCKNRSERPSDFLEVEISFDNNSTLEDRIAASLLPETLSGDNKYFCS RCESLQDATRYTELRQLPPVLHFSLLRFVYDVSTMERKKSKHSIAFPLVLDMQKYIGS KNARKKPSSADNEDNIYELRGILLHKGTSAYHGHYEAQVHDAEYKSWYQFNDETVTRI TTLGDKFAPQTHEDSEEKLDQLQVRKNRINARKRKRIEDSDDEIVDLITSKDAYMLIY AKKSNATAVSNPNSSVTPVSLLSEPPVRAMEVIQTLNAEHENACKHYKEKEEDAKSRF QELCHKVRNIYRNWSLHNDSEDSVVVSRQALESWLSEDCVSAMLKESGLDSAVDNPSR AKSNSPTPTKGTELPIVISNADITCEHGLIDPQKFKDIKRINQRMYNEIVNTTNCTFE PLLRPHDACSTCVESIFKEKLYELDHPKQVKQFDEISSVADDELGYWISKKWVKDWKL MKPKMHIPSVKDPGPDSGEFRNHVLCEHDGLTLNTINRRKISVEAMQLLSDIFPAWKP PSSNTETCAVCDAEVHISKEDKKEIRRKAEEEKATWRRWVNNPTDNTRPEIVDNKIFL CQHDHLIFDPNCTNDLDSTILIIERNHWDELQSMKTEWETAEIYIRIRGPEEPKSSNI DSESPPKIKPKPATYGRNTDGSRQSRRLRQMKEQGQRRRVQVSKATTVKELKMMANEE FSIPTICQRLFYQGRELEDNAETVAILKISPNDIIDLKEQTEILEITSDSDEPPSKKR REGRGFGGTLLGCVGSSSPERTPGPTFTNLVEEKPCLACTFSNGPDAVSCEMCETPFS JR316_0003170 MNNDAVETVPKFKRVLQKLKTALRPDGNIHEKRIRRRLKLVNLI TRRLKTLKIVLFIAGYLWMVLIPFPHLGRGTYIDENALQPGQVNTQWNWGDVHVADRY LEQLEHIRDANYTSVQRANWLREEFGKLGLSSSTQKYTFATSTSNSTGTNAYAIIASP RHSGVEAMVISASWLSRIDEGQGMINIRGVSTVLALAGFLKRYSYWGKDIVLVISDDY LEGMQAWLGEYHGVSQSGLNAEPLKLTSGVIWTALNIDYPGHSFSHLGIFFEGLNGRL PNQDLVNSVERIARWTARVPVILYDHLDPREDASANAAFSWMPKALYDIGDVKTYLYQ ARNVIRNVGYQYNGRGSGVHGLFHQFRIDAITIFAVPATGPHGFHAIGSIVESTLRTM NNMLERLHASFFFYILTSPQRFLKIGLFLPSAILISVAMMFHGLSTWVDAAWVQEGIS DEGTEKSSTSTPIKWRQRSRPVIQVVCIMAATHILGFGLFKLVSSAPFINNYKALLDF L JR316_0003171 MDDDVIVVDDFDNDAGPSTHADAAYTPSSRPKTVAERNINGADS GPSTSRLRSGSHVSTDPNERSMRSSTLRSKPQPKLKLKLSDKAASMAPGMSFLGQYDR ELDSDDEDLAFEEQFILRMPPGEDCEKLRKAIASREVGNDIWFKFKDSRRAVFHIGNN TYSAKLVDLPCVIESQKTLDNKQMFKVADICQMLVVDKKIASEDQLQKDKNFNIDEFI WPHGITPPLHHVRKRRFRKRVNRRTIESVEQEVERLLDEDAMATEVKYDVLENVNPDL SDSELIEQEAPLDAPTPAVSDLGEGMTPGDLGEGDEEDDGEAASVDNDDDEQEGDIDE DLAAELNLALENEDEEDGDDEDEEDDESEEEESEDEDDEETQARKLLSEEIRDLEAAV AKKRNEIASSANPLIRKRFEDALKKLTADLEMKSAQRDELKERQRLKKEGLPMETVED TDPDNGGHGPGGGGDDDGDLFGDESEMDMS JR316_0003172 MDSETLPTSGLVLHIWPGQWDLPSFDPHCLATVLYLQLAIPGKY SLVECANPDSSPTGQLPFLVHGQYSVGSFAGIVKYVSGLRNEDYKTYPDANLDVRLTA SERAQKTAWVAHAESHLGDLVFHTLYANGENWVKMTHPALASMFPVPQKYYVPRRMRN SYYPRLVAAGLWRQVVEEEKSQKEKFPRDVKPAERAKLSANTTLSQAFDKEKVLAKAG YELDIYSELLGGKRFVFQDKLSTVDVIVAAHILLLVYPPFSDPMVKDLLTESYPTLLT HSRKVHAVALGSNTSQLSYDAPSSSLWAIIPAFPKSSSKSSSKKPEETPEDLQFRRMR WGFVGAALGSLVVYGMLMAQHIEIVRVPATESNEEVAGEEEDVILLSPEEEVEEDVDT SSS JR316_0003173 MLAHRGFTAWIQVQGKATPEYLVAVDESAHRVSCWIAGEVGQTF TIYWQDQGGGIDTCSFIVLDGLTVPGRFLFGNGIASRGGVRTSMSTERPFMFQKVEEK FPGKMISPTTPSSSNEAGMIILKIKRIRRVARDKPANRIDPAPKTLLGKRKPEDLCIG FGEEVRVHEREDYTYDVVNHENPELKKPSSYVTFVFRYRSKDFLESQGIAVEESKIST LPTPSALPTPNVSRAPSRVVSRRISNSLPPVPRRVSAKVEELDETDTDLSDRDNPSSP YKRPKIETSIEALFPPPDPLFPERSIPYPAYPSNYRRPSAELRRAASWTETVQVPGKD GVYRGDTEFFLPRLNIDFAEQAGLPQLSDFQDIVNPSKRVESKPVVPQRRQRKPQSSQ SSQGSSSSISTPMSNPDSPFTDPDDSFTFSK JR316_0003176 MTNSAAGPSSLSEVANRDPGAGGDAGFVVEDDESAICDPDTDQD SDYSNNTKGSKSYGKRKRAKRNKAPGQLPSVKKRRGTRGCLQDLLDMNVDVLHEIFGY LDPLDLLHLARTCKMTRKFLMSRKSWPIWKAARSNIIPKLPECPDDLSEPQYASLAFE KHCHFCLRNLKGIKTVWAARFRICTRCMDGRFLPIVGRVDRNRSGMEELISLRPRLLV SGILEQQWERDYEAAENKEKWLEKEIAKRKEILMHSIRCEMWYQNYEDCLLDQRIAML CNRAKLVEDRLAQMGWEEEISHMRENNCSLISQNVFLMMACQKNLTESGEPLVFLNFV SANSSSVIQALLPILERTLESYRKERLTDILFDVLSSRIPILEELVDEYRSTIRPDAV ALDIGDIFNHPEVLDFMINSKDLQDLSHLDPIRAKLPVILQQLQDGIEDELVTMVEAS CGEKHGLERTALLSLATTVFDCGMCCDIRYPRILVHKGAYEPTVPFNLSVFSAELNKT PWNASGQITFNTWAHEILEEVVTLSGFDPATTSFSRMEEADPIFECHDCNNPHLGRFM LQWSAVAQLIRVRMGEVVARQRADYMYLGMACTRCHVKGNSVSLRTHVMSEHGISDPS EEAGDFVVALDSPDLRRTCWIG JR316_0003177 MMLPQLSRQSSQVELGQAPSGSSIPADTASATAETVTDTGLEHA TETTIAGDGQDDSRGSVIVAAAASTDEQSVAATSAQDKKKGKTKAAGPKRKRTVSDSA PGELTTKKLRGSDSEGRLVDLMKIFPEELFREVLSYLDPVDLLHMARTDKKMRDFLMS KNSRLEWKKARENISGLPDCPDDLSEPQYANLVFEKHCHFCLRHSSIIIIYWTSRVRI CSQCNGGKFRSIKGGPPALPKSVRPYVPHFVRSVGHGPRSHNRTYYNFAVEKKWVEEY SMAPDKERWAQEKIEMGKKIIEHSEACKAWYSEYKKKKEEEENALVQTRVDKILDLLR EMGWGIELDDMGNQPDDEDVVDKFIVPLYQGCQKELTDRSTRKLLPTLTTYLKVYKEW RLKRIRTQCLQARARRLHRIVNSYRPTIGPSIIPLDIGNIYSQRAVMNLLLSPTEWEP RLEDLLPQFPQFELETLQEIARQLVQMVQAVRGSAHGLDVNTLLTLATTTFSCEAAQY REPRTLDVIRYPRVIWHGCATELVEVPTDVSAPESELSVIADAVGRIPWNTNGRIMYD VDTHWIMDHVLTLCGFNPDTTTAALMNRVSPVIECISCNDMHKGRMVMRWDAVPAHAF QCHKGQWRDTMRLTTLGGDFANVLKGRLDEEYHRKRASDAYNYMLCTLCRFRGTIVRV CQHIKDIHGVAKPSEAAGDFVCSPDAPTLAITYRAWPPRDEDEPIHPVHYVPTS JR316_0003179 MAPVRLRHPKGVSTIEVLLDAPDFTILDLQQEIYATTNILPSRQ ILKAGYPPRQLTIVPDLPLQALGLQRGDQIIVNEASSDQAPPPQSQSSSVPARSPLAP APTQTYTAPAPIPRSVPVQPSPPVQSSGPDSVEVDGSFLVHRIVPDDNSCLFSSVALV FEQSISKAQQIRKIVADGIRNNPETYNEAILGMPPSQYIATITKPTSWGGAIELSIIA AHYSAEIASIDVETGRIDHFSPGEHGAASGMRAILIYSGIHYDAATLAPMADAPDEWH QTLFPILSGDDNSDPILVAAKKLADILRSKKAFTNTSTFDLRCEGLKGEKGARAHAEQ TGHVRFGEY JR316_0003180 MSDAGRQSFTDKAGAAMKPDSQKSTMEQAGDFIKGKTDSAASTM QPNSQKSGSQRVGDTMSGNSNDNQDSLLNKAKNAVGMGNNNA JR316_0003181 MSKRIWHKKPPREAATNSEATESSAVNQDGVANTDAEPVPPPPV EAEEKPKNGTESKKSSKKNKKRKRNDRNAQDQPPTKKPRAEPKGILKFIDLNIDVLYE IFGYLEPVDVLHLSRASKAASDFLMSENLFRDARVQTLFFDRQWKTEFEKAENKDAWV EQEIAKFTKIHEHARECEKWYIAYEEYLEERKEELIMTRNVNVVNRLREMGWATEVDS ILRQDPSKLYHRSLMLRFGITQCDEKVVIEQLAETFVSSLLAPRREMMQARARQVFLE RRLLVLKKLVAEYQLTVAPSDVTFNMGQLCTHPEISDLLLSSPEATDPVVHLEPLRTR LPEIADKMELSMRDTVTALVKSVRGQCYHVSEDALLTLAVATFKCKRCPSSSVEAHDI RYPAVLAHRCAVRKPDGHLRAPKETPSALSITVEKLTEETPWNAYNCITYNLAAHNIL TKLLARFELSPLTTHVSEMDWRDPVFECLACNDPHRGRCMLRWRAVVAHVKQKHTPSI VSEFRILDDEEAAKVKLRMDEEMARNRAKADYMGMSCSHCGQKGNPVSLREHCRTKHG IRRPSERNGDFDIALDSNTIPQIFYSWPPQEDPTDSDDD JR316_0003183 MSTVSSVPTHGNYHGYYSKRPFRNDERLLSLPSGLFKGARVLDI GCNEGWVTCEIAQSYGAHLVVGVDIDESLITNAWRRRRAVWSCQAPTYPRTSNEEDDL TSGDSKPRKRRKLQDEGSSQVNDTQPIRHYFPASCEHEFGSLPIPPSSNRGKNVFPHN VSFRTADWMRTDIPEDSEGYNVVIAFSISKWIHLNEGDEGLKLFFRRVYAVLKPGGSF VLEPQPWESYAKAKRMNQKLKENGKNLVIRPSDFEAILREIGFGHPQHFGTVGEGGFS RPIDLYIKV JR316_0003185 MQKAKPGQYSYNALHGPTPIKAGDFVLVLGTGSVSIFALQFAAA AGATVIATSSSDDKLKIAAKHGAKHLINYNKTPNWDEEVMKITNGEGADHIIEVGGQG TLERSIKSAKTGSGYVQVIGFIASGGEASVVFPLLVNAVTLRGISIGSVEQPVIDKVF NFDDAIAAYAYLELQKHVGKVVIKVA JR316_0003186 MRIVIIGGGAAGCACASLLAKTFKGRTSADRGAAEVLLFEKNEV LGGQATSIDIDAKQYGASFLNDGVQGGSPAFKHTFRQFRALGLEEKEVELKISFGHGR DGFWTNMFETPLTRTLKTDIKRFGTLLTWMRFIEPILGLLPIWIIMNLFRFSKDFGAR MVYPLMALFLGTDPLMKLWEYDPNRLLGSVPKMYAFPELREFYRRWMRDLEEDDVQIY VNSSVSRIRYQTNGRIEVGYIHNDQQSTITVDQLVFACEPSSIIEILGDQASWMQRKI LGNVPYFHDVSVTHTDVDYMRKYYHLDSDSVEDIAPDMPMSSKFDPMYFIHPVDDHPG KVEMSFDCTRYQPQFNSHTPVDRHIFQTIFLNKSEQELWTKDEINKDKILYEKWWWQL GHRWSHYARTVLFLASINGRRNTYYAGSWTLVNMHEMAIVSGIACAIRLGAEYPQDLN GDPSASKLLRLYMLLAHWRWK JR316_0003187 MFVLRRVGDFIVEHPFYGFIAGTVSYVAFLRVRASTRRNPRRLP LPPGPKGLPILGSIFDMPLEYPWLVYDQWFKIYGDMVYFEVLGQPFLLLGSLKRTSDL FDRRSWNYSSRAHMPMLVELMNWSFSLALMPYGQTWRRHRRAFNEHFHRNTVWKYKAL QQRESRALLRNLLDSPNQFLHHIRHTFAAMIMDIAYGARVEDSSTDPHVLKIEEALEG LTEAGVPGAFLVDIMPILKYVPSWFPGAGFKRKAAKWSKINTDVVQDPFIRVEENMKE GKATPSMATSLIDRLPEETDPGYADERAIAQNVAAVAYIGKLNTVSATQSFFMAMALY PEVQRKAQEELDRVIGRDRLPEFSDQLSLPYVMAVVKETMRWQLVTPLGLGHSSSQDD EYDGYFIPQGTIVIGNSWSILHDPIVFSDPLEFIPERYLLKNGQLDPNALDPKCAAFG FGRRICPGRHLSDNALYIVVSSVLAAFDIKPILGSDGNPVDLKAGVTNGMVSFPIPFR CEVKPRGEKAAALISDSGEY JR316_0003188 MSTTHSIPSTPRRTSPPSDLLVTPVTSTINSLPPFSTTSIPRLQ ALYSDFSRQKQSNSTSYNANVDWWHKALESVVGSGLQVDVYENNFKKQTPLRNLRKEE DSENISMTATSCTSDRLVLHAGRELMDRIKIPKVGKPLSLGAVLSELGTTRSVVPLSE FLTQTTSIYNPGWLPTRIAKYVVGRPLWWALEQMGVVGEEGFLTGSGSGQQHKDTGWW GDYVMVRLVEAAADKVLEVQERKMASAGDALYTMDSFRATFAAVVYLNDAIDTEGIAT TTSLRELDAKVLIKYLERDRGVLVQENDVIKFIDQFASAEERSISAVDRGIIELKNAI QKLTIQIDSLQSKIDECTRKASQALQQKRKAVALNYLRSRKQLEDLLHKRLGSLGTLE STFISVEAAAGDIKIMKSYESSTATLRAILAHPSLQRSSIDKTLDALADANADAREAD EAVRFGGNLAVGMEDAIDDDELEAELKALVLEAERVNEQSEEERMQKKVAQRLESVQK APIATPKDSVKRPVREGAFIS JR316_0003189 MLRRWSCLILCLLTVSLRVAGQGSNVTTCVPDYAWSINNLNQTP CLVASYLESLCGIRLIVFQTARIIWDRKLSPKQTLVNAAVLHIVQSVPVEGVKGGRSR TGLHGAKIVRRFPKDIPEEVQVPEWMKINVTLTGNNFDPLIAQQVGQGTPAPTSLIPQ LSSSSIPSASSPTSIIDSPTQIPEVAPSTKKSNAGAIAGGVVAGLVVIVLIILFVLWK IIRQRRNAVQKDFSFNRKALVSGTNVTSHPTGTSGITSGATGVTPMTTGVSYYSPDGP SPIPYGGQSLYATSEYRSAYSMSPTASSAMYTTPPERRSLESISPSMVQLGTYQPQRT QPLGFRGAAELS JR316_0003190 MLSLLAVGFLGLWVQYSQAQSTAKCLPGFDWSFNSLGQSPCDVA AALGGVCIGTSFQLGALSPGLIYLGPTPAQANSCRCSSVYYSLLSACSVCQDANFLKW STYKTNCTVVYSQVFAQPIPSGVNVPHYAYLDVETADTFNPSLAQAAGGPESTSVPQS TSATSATSGPTPTNIQPPTENSGKKSNAGAIAGGVVGGLVGIALLAGLVYLLLRRKKR QSPPSSVHEPVMASQGPLSSQNPMSLTGSSYPSTPAPKVYDPNDPSTFPSDLPQGGAF SHSYNPSSPFIPSVEQTSQPYPTHISPNFTSNSAQNSIAPPSRTQYTGAPEL JR316_0003191 MLWRLLSLFILLTLLHCSSAQSDASCLPFYNWTLNSHNQTPCDV ASSLLAVCNNGPFPVNALPDASHYIGPTLQDANPCQCNTVTYSLMSACGACQGRTYLS WSVWSANCASVSISVYPKPIPSGVAVPGWAYLDVKSLARQDANLTESTAIPSPTSTKA FSTTTKLSTTSSTPISTSPSASAVDNSPDPAKVKRDNAMGGGIVGGLAGLILLCGGLY YYLQNRRRISRNGQHLPSSPTDPENASWHAGQGPYMTQVRENRSESRSGAPSNTSLPA AHTTNDVNSSLASADSVQITHK JR316_0003192 MFLRLLPVLSLTLSTISLVRASVDCLPNYEWSYNHQKNSPCDVA SALLKECDPAAGSLQPLTSNASGDAYYDPTQATQVNACLCNTITYSLVSACGICQGQS YLYWHTWVDVCNNASVSVWLYNYPLPLPPGLDVPLWAYMDVTTIDYFSIRGAFRNATF NLNATQTNSQTLSTPTLSPPSPKSDSSNGTNISNSNITYNMIPTSQDTSSSTTGGGRG SNVDIATLIGAITGSISGLVIVGLAILRYMAFRKSQRAKQEERDEEKHGLVDKQSFVP AETNKGSKLRDPDEARYLNSEVELETDANGDPNFHLHA JR316_0003193 MFSPVLIVTSLILKVGFSQASVQCLNTYLWSYNTQNQSPCDVAS ALLSECVADGSLVPLPNNPQGQSYYDPYRARATPSILLHNDQVNSYPEPIPPGLDVPR WAYMDVTTTDFFHVDEAFYNATASGKSSGTQTQTFSTPSNALPTTAAPDSTVGTSITN SNVTYNMAPASSDSDKGSKTDIGALIGAITGAVTGLVIVTLSVLRYLAFRKKRKEKES LRDEENHGLVSPTPTFVQSKGSKRFKKEAQEDEWDSEIEMDIKGEANFHFHT JR316_0003194 MSKVFKLNTGASVPWIGFGTGTALYTKDAADLVKLAIDNGVSHL DGAQMYQNEESLGRGIANSGKPRSELFVTTKLNLPTLAPGETITQSLKTSLSKLNMEY VDLFLVHDPTPATKQGNLVQVWEGMEEVYNLGLAKAIGISNFQVEDIKTILDHGKIVP AVNQIELHPYVWKAAKPTVEFCQSKGIAIQSYGGQTPVARVPDGPLSPILAQIRERLE TTRGKPVTAGQILSKWLLQKDVIVITTTSKLSRLEEYLDSVNIPDLTPDEIQAIEEAG SKLHKRIFMRHAFGE JR316_0003195 MASTFGDNSTVLGDRKLHDEIMIAKGEYSPDSKAEAVSGKPHAS AFTTVDYTVEERPFFIPIRKDGVIAALEKLDQQLQNAGRTRLFSKKVPTDWTGKEVVP GQLGLINHGGSPKVLTKPGRYPGFPLRNWWARSWCGTQGLSDTVIEFHGLTVVQVSQN QAAVVSDPQNHVFVIKNGGFVAYAIEGTYDVLSIVDQTHLPTIIKDKLTGVVLGSTHE VKMNSKVSGGQQKEYVVATFLNIPASNCAILQRGDDLELLGAGQSVITNPSVTLRGLY TLGENQLEMPTKDIFTRDQVPVSLTIYLKWQLTEPLKLTTHGYNTPYDALRDKTQSIL TQIVAHLDYSSMVKQRSLGPDNMDDGSDPSSAFLDALRTRAMDDMHEAALEYGIILKD LAVIDRQFKGEIAATMDKLTTRALQAQVEAANVDRENSNKVKQEEGALSVTRIKAQAA NAQADAEAYRVIAAAKAQAQKVRIEAEAQAEATRMAAEAEAEAIRLKAKADAEVIDQF AREMELRRIEVARVQAFGSRAVFVPSESAGSQMGNAMALGMAASMGANAIKK JR316_0003196 MRSKFKDEHPFEKRKAEAERIRQKYPDRIPVICEKADRTDIPTI DKKKYLVPSDLTVGQFVYVIRKRIKLAPEKAIFIFVDEVLPPTAALMSAIYEEHKDED NFLYVSYSGENTFGQQGWVELPLDA JR316_0003197 MPGCRQWPLEADIGFRIQWLIPGCMFARIPQKFTAPFGILGDEA KLAFPSQPNGIVKLWSVRNIPATDAYWEQYFILFDSASEVFSLITPNHIRRALADAPE NVTTLIQVVSARLFNSISDHTFPSAPNGSVAAYASSLIKSAGVSQQKTATDQVLNCLR VLQRVLPVVFEAEGDSDSFEIEVLWKKEEVVDSAVNDVGTAEPQFVIEDEDGSDREEE KVPQTPTKKAPKKLLPSLGERLLNAITDLMFCCGFTLPKSIQVDHHKINYVIWEKGIG SANSLGNTTMYDSNKTEVLRLLLVLLSRQIYLPAASLFTHPALPSLHIVEKMPRRDVL TILCSLLNTAMNSSTTDTITIGTIAGKLPYNHLVFKGEDPRTTLITTSLQVLCVLLDF QSGPARDQLLDGQNSSPTAKTNTFRYFIMKLHRTQDFEYLLDGIIGIMEQQIATVKNL LPGAKKSLPYVTEAIVLFWKLIEINKKFRTFVLESEKSVDLIAYLLFYCVEIKDKPQQ HGVCRAISYIVQTLSAESAFGSQLSKPIKAQIPTKWGPVDTIADFMIHAVYAIVATTS GSLTSLYPALIIALSNAAPYFKHIGVSASARLLQLFNSFSNPLFLLSDEGHPRLLFFM LEVFSSIIFYHPAENSNITYGILQSRKIFEDLGTFTLARGLREVKRAQLAKEELANKS SSLKGKIPVEEGEIADAGAEKARLLHNEGVRSDSQERERESVTSPRGSQGDEPMTRSF MSPTSDNPYASESPISEKARGKMRERRSMSVENIAALDRVPLPIGRSGFVPTQEWVTS WQQGLPLDTVMLFISDVVQKVEDMQRHRTVPSADVLKFLSNVNLDHIFPTKPPISSRR FLWSDASLIWVTSLIWGEIYVHAMSPLGIWNATNVRLFLVKHTQNQQRQITETVTNVV GGFLRRTTDSAQGRQRCMRNFQTINDLIVTPPQDIAKRCKASPIEIKRIVDAVLGSLP LHSFDRLSNLSLWDDADEKFSTGDAILDNALGGGIRTGMLWEIVGESSAGKTQLALQL SLFIQAPPKHGGLLASCCYLTTSAKLPTRRLYDMVNSDDPILSSFCGLDDVHTMLVPT VPTLHQILENILPKFVESQASKPGAKPVKLLVIDALGELFHSNNKTTSATLIERSKDI TIISTSLHTLASTYNLAVVVLNEVIDKFDHVNSDPNDHTGILYSTQSRWFNTAEFFGE RTKESSLGLTWANQINTRIMLARTGRRKYFDAQDLPKRRRIHNTSPDTDPSQQSSFNN QEEQQSISLRRLAVIFSNVASPVALDYIITSRGITVLESEVEPSATFQANITKNNDTA RNSQAQSSSKETMHYPSNIDSREQDNDDALWANYDDYDYDAMEQTLSQLGQ JR316_0003198 MFTKSAVLLALPVFAAAQYGYAPPSNPGPTTSAAASVPSAPPSS GNQINVDVAPNGSLVYNPSNITATPGTQITFYFPGGPLAHSVTQSSFQNPCTYLQANG SAAAGFDSGLVTASTFTINVTDSSPVWFHCKQITHCGTGMVGSINAPATGNTFDAFVQ AAKALGSNAPTDDATAVVTGGLHAVASATPSSDVGTSPGGSGGNSSSASKVAVSGFAL LSAVIGAMMV JR316_0003199 MFSLAGTLFVLPALVVGQVYGPPPGPAPGTTTAAAAVSVPSAPP STANQINIDVAFNGNFVYNPANVSASPGSTVTFFFPGGFNRTTAHSVTQSSFENPCTY LTATGSDPAGFDSGLVLASTFTLNITDDQPIYFHCKQVTHCGLGMVGTINAPSTGNTF DAFMSAAMSLGANAPTDDPSAVATGGVHGVATAAPSSDTGGSSGGTASSATTLVASSF AALSVAIVMLFA JR316_0003200 MRRGVGLAAFDHQEQAERSYAELSSELSRTQVEHLHSQLDQFRT ALAHFASTHRESIRSDPSFRYAFQQMCSSIGVDPLAGPRKGGWWAELLGLGDWQYELG VQIVDVCVSTREQNGGLIEMSELVRLVSKLRGVSGGGITEDDVVRSIKTLQPLGAGYQ VVEIAGIKMVRSVVKALDEDQTTILAIARETGGRVVESDLIQRKGWTRNRARAALENM LLRDGLCWLDAQDNQAGRAYWVPSAMHWEI JR316_0003201 MSLYASILQKLKEVVEARALRRWGSLAGNPVLVPRALEIEKGKL CYVIGTVYMDMPLKPNVMIDIARDQSIPPPPLPEKFFSPNDNVMLEDESGRIKLVGDC VKNAMLVTGVIIGALGMETPGGVFEVIDICYAEMAPQTQQDDEETRMDIDDSTDTSLS DEWIGVVSGLEIGSPSPADAQIQMLVEYLTGEGGCLDDQVSASQISRLIIAGDSLQSL GPIPGERETILSAAERKAQRHGYDSASFSPRPIIELSSTLHDLATSIPINILPGESDP SGTLMPQQPFPRALFGDASRYPTMTCETNPTYLTIASCSESGPTNSKSPRPPVKRKVL INSGQPLNDMFKYLSSPPNTRLSVLASTLRWRHMAPTAPDTLWCHPYFNEDPFLITET PDIYIVGGQKRFGTKLVTDQQTGSKGKSPARCRVILIPSFAQTGILVLVNLRTLAVKC VNFSMYGMSAGGKPEIKEEPSDAPSPITAEPQPSAPTSSMDYEFD JR316_0003202 MFEGLLAPIGHHTSYWMLGGIIVCGIIDKAIRARRRNPRLLPRP PGPKGLPILGSALEMPREQPWLVYDQWFKVYGDMVYFEVLGQPFLVLGSLRRTTDLFD RRSSIYSDRPRMPMLIELMFAATIMRVVYGIRIKDSTDPSVAAAEKALEILNTAGTPG AFLVDVLPILKYVPSWLPGAGFKKKAAKWKKYNTLIVERPFQRVEELLREGEALPSMA SALLERLPERNDPSYVEERKLGQNATCLAYLGGADTTLSAVHSFFLAMILYPEVQRKA QAELDLIVGQHRLPDFSDYDFLPYINALAKETMRWHLVTPLAIPHRCTEDNEYDGFFI PKGTIVMGNAWTILHDPVAYPSPSEFRPERFLKDGKLDPTVRSPDCAAFGFGRRICPG RHMSNNSLYCVISSFLAVYNISAPLDSLGNPVDVQPEFNDGLVSFPLPFKCTIKPRSP QAEALIRSGIEMD JR316_0003203 MALSKHVNTDKLIRDSRDKKSPKEKAHHTATAHQEATYSYGDRY VTNPVPKYNIASKGISADAAYRLIHDELALDGSTVLNLASFVHTWMPPQGEQLVHENI AKNLIDSDEYPATQIIHTRCVSILADLWHAPSAKQAVGTATTGSSEAIQLGGLAMKKM WQARMKAAGKNIHEPGPNIVMGANAQVALEKFARYFDVECRLVPVSVESKYRLDPKKA MDFVDENTIGIFIILGSTYTGHYEPVKEMSDLLDEYEKRTGIYVPIHVDGASGGFVAP FVHPKMVWDFKLPRVVSINTSGHKFGLSYVGVGWVVWRDKAHLPKDLIFELHYLGSVE YSFSLNFSRPAAPILAQYFNLVHLGFEGYRSVGLADMKNARELSRALEKTGYYTVLSD IHRAVGAKDPHGIDDADIEAYEPGLPVVAFRFSDNFKEKHPEIQQKWIQTLLRAKGWI VPNYELPPSLEQIEILRVVVRENVTEVLIDKLIDDIVEITEQLADSSSSMHSLNTLGH IQKPKKHEHPESNLKEGEGSDYSGTYARPC JR316_0003204 MGGPNLEIFKFSLYLFVPIAALVHFGDPDWYRAVVVPYRDKLFP SLDRTNQRIPTDQSGVREELARIKAERLARRAQREAEEAKSSEQN JR316_0003205 MTVNHLWKETPLIYSSHLSEITGAAVYLKLENLHPSHSFKYRGI SHFIQKAKKDLGPDVHVVIASGGNAGLAAACAAKSLGLKCSVFIPEGVTATTLALLKR EGAEVIVGGRQYSEALKRAEELVAKEPHAVMVPAYNDPTVWEGHSSMIHEIRNQLGQE PDAIFCSVGGAGLLGGIIVGCQAVGWDHVPLIALETIGSDCFYWSMSLNGGRFNSEKK VLPPGVNLVYNDENKVHLAHFTGFSSKASGSLGASEPAPKIVSMSLERKGGVKTVSVP DELSMQTLADFAHDHKFLVELACSTTLVPAYHKQLFEKLVPVEKCTKTSGRVVVFIVC GGFKIDLETAAEYERLSQDVPKDPRMRWNVKYDDGELFSF JR316_0003206 MKLIAALSSLFFMPALVAAISVSSDAVYDNAGQSLASVACSDGS FGMLTRGFTTFNSLPKFPHIGGAPSIKGYNSPDCGSCWNLTYTNAAGIPKTISILAID LATPDFNIAQSAFSELTGGNVASGRVPIVAVKVAPSVCGLVSAAPSSTTSSTVSPLTG IDWNLFNDDEIMSDPSPIDFASAQICQATLDFLNSNDESDGSDDGGGSPPLSESSDEQ ANSDTEQVDNESLPRKRMRGIHTDPAEARKWYPWIDKILDLFLWLLRINGVNDVPSIK AMQGINKALQNLCGIETKEYKGKLGNVYFVNSLSQILAQEMSNPQVRPFLHFYPEDTG KSISETYQASGWLREINPEDGTPMIRLNNNDFFIFEPTMLIDGRCCIPIRWFLREGVF YAKAWLMEDTPSGWVVSEDREIEITQSQLLKNFIQLSKDHLLYNLSHPSRIFGVRSNS GPGLSKWTRTDPTIGNRWRVLAKGHRVYSLPLWMYCDDTSGNQSKKWNKHNSYLFILA GLPREKSSQEYNIHFLCTSNIAPPLEMLDGVVDQLQLAQKHGIWVWDCIHKEAVMIFP PVFALLGDNPMHSEFACHIGMQGKYFCRICWAKGVDSQECPHKNLPHDSCARPNSPTL SIHSDVDSEDTFTRPSHKRRRYKESMESMLRRIGKPRRKKETMATLDSFLEQAKMIGT KSKLRAAKTETGIKDVFQDFFIEKLFKSYKGKVSTQAKEEALKAAVDKLPGDIKSPVW KLGLDPHQDTPVEILHVVLLGFVKYFWRDLVQNQVTPAKKQTLIIRLNSLSVAGLGVP TLNGSTLVNYAGSLTGRDFRIIAQVAPFVIYDMVSQEVYDAWVSLSTLVPVIWQPAIS NIDEYLPRLEADIKYFLLKTATWTCAWFNKTKFHIILHLPEHVRRFGPAILFATESFE SFNAIIRAKSIHSNHQAPSHDIARGFAQGNRIRHLLSGGFFLPQELYQSWKKDPTNVA NSEWRTAGPGGLHLIDAPDSTPASYLGLQKPAASKAGSCKSNGTDPQPFHRTLCGQKL PNIVLNTAASQQLYVTNSQVYLRNEDLCTIGQFVIAQIHPSQPPLIGCVREILQQVGS PNHLQNRPDGILIQTALHQPPSHILPAGQLQPVFMPRLILQQEWSFIPWSCLLCTVNT QHDCQRHGCQANGLQYIYQERIQTDQTKATILHQNSLDDMLLLNMCQMRDAAHLQSFR LHSAPLNEDAIIQRSVAQAIVQRKAGEASQSSTSKPTKTPTAALRQHAQSPLGTPTLA ATPSGGVSLPQHASAGTSSGGVSSPRRSRQASVVYPGQITLDFR JR316_0003207 MSACQWARYTQTRSGRVYAQCQPEVWEDPLAFDLISAWRAADAR EDTDLLDLDQDVLIPSTDDTSMPSVHDIWTPSIHDASKNRSTDDTWTQFTNNTSTPTA LIHDVSTPSTRESTTSIHDVSTPSTRESTTSAHNASTPSTRESMLSVHNASTPSTRES TTSAHNASTPSTRESMLSVHNASTPSTRESTTSAHNASTPSTRESMLSAHDASTPSVD DMSTPPIVPSQSSTKLSLLLPQRDPSVQTASLKRPYDGLSNSHRSRKYQRTLQYNKHG HAPKPSLLSMLPSCQPEALNVKLDALPATQGAYGAKPTKPHKSHLYSLDAIKALGFRI IPWDGCTPVPFVSEDGRIFMVLAGRPKDPAYERATEEAFDLLREAGHTTAFTHEDYSE NRGHYPALNIGVTHGVGTHSPLNRVQRHPKITAKLLQSESIQRMASFASSAFATWSPK VYNHYKLYMDKIFANDSTLVRLFRRSIFPAATFNLGSVVCTIPHFDIKNCPYGWCAIQ SLGKFNAKKGGHFVVWGLKVAIEFPAGSTILMPSAVLEHSNTSIEHGEERASFTQYAS GGLFRWVDYGYRTEKELKRTNPKLYQEQMELRPTRWKRGLGMLCTLQDLISKAAFEVS GQV JR316_0003208 MSTNTLDNCLPSRLLSPKTPSPKKSFRKHNQDEDDEAPKKYLST LNAGDTPGSTCQSDLEDQPVVSKMPEKNDHSSEVPRHKTTIGLNRAYPRSRRDLEAEI TVRREIAIEATREAAAYKDQLRTAWLDLAAARQEAQSTKKAVKNLVRSVKNSKRRSAY LTLVVEGLEEQLRTYVRQEHNTAIMLVEERHWSQQLKAALTSHGINVPVYIPPQPLQS DNPIAIV JR316_0003209 MSHSSIDPAFASQPVSRDVDRPTGTQLFIDNLVHRFNLTSDHSA DLTFLYQICTAVPEEQPPYTEVISRIMMLACQFGAEVRLHKALTATQTHLQGLGQLSS FLADYELQKDENFVLSNAQKPSRQSFKTLHIDVEAKMKKKPADNYLDNILNRLGREAK WMAHIRTVCPKFTSVQEFAAGLIVKYRLPTSESGANSNTAYLSKHVLLRRFFWDHSST FSAFELEKDDNNSEEENNDQDFGTPSTDNSSDSGHSATKKRKLQKTNIPAGKTRGRVA DGEDYWSKVDQWLKRLDDELGDNTAELKQSEFSLVFSMSYAKLVNRFIAECLEMDSLR TNKGLDFSSHPSERSFTSPSNVTSDPQPTTIQSFPSNSSSRLPEPHLNFGNAIAQLFS GPSTGRPF JR316_0003210 MAPSTTKSNGNRSKKEMDFGGLDPVMMMRLMNQIPRNPVTGTRD LTDITKYCLFFFYLIDPKFGYRYMEEHPEEMAQLMAEYNKSEASKPKLDLSTYDYNAL ISTILPDSFWVVQLEHMGHVDKFGNPVEPNKVNTAPNTKPVFTIYCYDEQGHYRIMDE VTGLPDSKAVLRSIQRAIAEPIMPLKPGLPKFLMIAFKLTPHAETLKQFLDSIPQPFY WRFETRDEAQGVRDGVHDLNEQGVKDSMALAEHAKLRGNQAFSRKDRDSALKSYAEAL RHIVDVLSQRPGPEEKTKATRLRAICYANRAATHMLPGTGQDPQKALADGKSAETVDP TYGKRQAAANEALGNKDDALDAIARGLRRKELENDVGLVDRLIELMTDGKGLSDDEET YKNWWLDVSINDARSSERLRGIQGEWKKRCAAQLEKWI JR316_0003211 MKFNDGFWLLKNGIKPFYGLQVVQVREDDKGYDLQVATRPIRHR GDTLGGPVLSVRVHSPTQGVIGVKIQHVVYGEPTTNIQLFPDDGPVPDMTLSKDDKKH VLQSGDLRAEITENPYTITFKSPERTLTEAGVKHQAVFDVPSRWTIHSASNSSCLAQD PLSNPRPAPLPPTVHYINSELNTSPGELIYGFGEQFGPFVKNGQGVKIWNQDGGTSSE QAYKCVPFYITNRNYGVFINHPGEVEVEVCSEKVSRVGVSVADNTLEYFLIYGKTPLE ILERYTRMTGRPAILPSWTYGLWLSTSFLTSYSTETVSGFLQGMEERNCPVRVFHLDC FWMKQYEWCSFTFDPDNFKNPKAYLTEIKQKYGVKICLWINPYISQLSPVFKEGVEGG FFIKRLDGSPWQWDLWQPGLAIVDVTNPAARKWYNDKLTALLDLGTDFGERIPHASVA FHDGSDPMRTHNMFSVIYNELVFNLLKERFGEGEAVVFARASAAGGQRFPVHWGGDCE STYEAMAEALRGALSLTVSGFGYASHDIGGFEGHPPAEIYQRWVAFGLFSSHSRLHGS SSYRVPWIYGEDAARSMSKFLDAKHRLMPYLYNLLADCGREELQLVELSSIQARLHGH PVMRAMFLEFSEDRTTHYLDRQYMLGPNLLVAPVFVPLGEETEYYLPAGKWTSFFHPE RTIEGPRWVREHVLIDEIHVWVRAGSVLVLGPERVGRPDYDYTRELEVRAYKLETGQV VVVDVPKGKGVEVAGKIKVTKLEEQAQGDEGVNVVADEGLQVGKVLSF JR316_0003212 MHVEQHPIDPKPSRKGKERDPALPQREPQPTDLDQKLTALRRRT AATTRPRDRSERDRMQVAQPTQPNPINNPVQFASTSSISRIDRSPKLSVPAKHSPSSP HRHASPHLIVSRPTQDADHEDFSRRLKISSPAPRHQSAHKQSSSSKLFNPDTDPIPMR RTAEPEVMSDATGSSNANVYASRATPSGGLNHREERGHANRQLFDHRKDDPVRFSVLA RPQHVTNGRPSPTPKSSGDYVSASSTSSYAASLSSSAFTLSSTTDGSSASSALFEGRP NQGQGTEDSGHNSQLSAQLKKLYRYLTALETKIKQDDSEEVDDAMTSRVMLKGKEAES EEVEKEKWRKRIEIHKQYAETAHLLLQISSAPSVPVSLRNIPSKYNIIVRLWNYAFHN ILESLRRAFFTSNSIYAGEHLQEYIYYAYTFYSGLLIEYNLSPYKSGWLEALGDVARY RMHLAATGNGAVGGQGGLTTQAVSEAATSSNIVTKGKGIDNETGIATPGSPESVSDAP PARIDDSPSPSIGPAAARLIELEPERERWCNIARDWYGAGLAEQPGTGKFHHHLGVLS RDAEGEDLRSVYHFVKSLTTLHTFPTARESILPIWSHVAQARRSAPDARASELFVFLH GMLFTNIQLDDFQPTLARFIERLEIEGAEEREWIMMAVVNIASILEYGKPNSVLRKIG CVGPKEVNGPQVVAAMRVMAKKAAGGAPSAVDEEKMDIDDDRGDEPMKSPTILSAEDS QTAENSLPEQPPALKFAMQITFAMLSHVLRRPTRKSSQFSPSNLNPYLTVLLTFLSTI LKHKPTLDILERSIPWEELALFFSSIPRKIMISQGLMSAPGKPDHYRTMERWVMLTSG CTPPLKEDWCLRGMEWVGRKVYERGFWKSGEDTKAELEVLDTAERPEATDGTIEDDDG EDDRSKNGSSSSHSKNSDIFRRYVRIARSAVNISSIVEGFNWTDGTRDWKVEGKLAEK VKLWEEEDRIEREEEERRRMGKRWVDDAMDVDEGENDSLSESEDDEDDSPDVKALKDR RRYLKSLLQSAKRDGSISSYSSGRPRAARSSRKPGDSRALLPVIPGYTILVVDTNILL SSLSMFSSLIESMKWTVIVPLPVIMELDGLSTNPSQLGEAAQAAMTYIHAHIRSHAVS LKVQTSKGNYLTSLTVRTEEVDFHGDNAEKSMDDLILKAAIWQDDHWVDRSSMLQSCP VPSGDLQKAIKVVLLSLDRNLRLKARSRQLPAASEKDLAAILAMAT JR316_0003213 MVLLTTKSGYMRVVLAALAGLHLLPTSLAAKGDTGCRREVCVSA SVEGDVVNYEVTGMIQPVGWLAIGFGTRMPNTHSVIMWKNDDGSTTLSQRYTSGYTMP HPESNPPRIATLVEPKALTRPAHSTTFAFQVPANRSLLASADPKEAMIFAYSPTKPDK APDSTLTPHQYVGYLSLDFSKDFAGPTFGQQIDTAKPPAGIPITQGTGTAYKRVEKLM ILHGFLVSFGFLVLLPAGSLIARWGRSFTPRWFKLHRLSNMYIALPVITLGVLLGPAI VYSKESFRIHFANGHEIYGGLLLLVYYTQVFLGRYIHNRRNELAKLGPITQPHPPLNI FHIVLGIIRSGMQWWETLTGRGPITNWALPLWKAWIVILPLAYFGGYALLPRQFRLEK ESAYAPLPVAATEDRAQSTRLLGEDEEERGQ JR316_0003214 MSVTERTYIMIKPDGVQRNLVGKIIARFEERGYKLIALKLVQAT EEHLEKHYADLKGKSFFPGLIKYMASGPVVAMVWQGLDAVKTGRVMLGATNPLQSPVG SIRGDFCLAVGRNICHGSDSVESAEKEIALWFPEGVVQYTSAMESWIFE JR316_0003215 MFGPLGLSLAPYVRSSKSLTNLLTPVANWYAGAMGYRKYGFKYD DLLCEENDQVQRALGRLTDREGYDRAYRLKRASHASVLHAPLPKEQWTPESDDVRYLA PHVEEVMKEENERKLWDNLVVERK JR316_0003216 MSSFTPHPADETAIATIRTLAVDVVGKANSGHPGAPMGMAPVAH VLFSRFVNANPKNSKWFNRDRFVLSNGCALQYVLLHLLGYKLSMDDLKAFRQLDSKTP GHPEAGHTDGIEVTTGPLGQGFANGVGLAIAQAHLAAVYNKDGFDLINNYTYVFAGDG CLMEGVASEAASLGGHLQLGNLIVITDRLEDISIDGDTAVAFTENVEQRFLSYGWQVL HVDDGDSDLVGIAGAIAEAKKEKSKPTIIRLKTTIGYGSKQQGTHGVHGAPLKADDIE ALKSKFGFAPDQKFQVPQATYDLYAEFGKRGAALEAEWNSLLQSYGQKYPKEHAELTR RIAGELPAGWEQTLPVYKPTDAAQASRKLSEITLTAIIPSLPELMGGSADLTGSNLTK VKNSVDFQPPSTGLGTYAGTYIRYGVREHAMGAIANGLHAYGGIIPFVATFLNFVSYA SGAVRLSALSGHQVIWVATHDSIGLGEDGPTHQPVETAIGLRATPNVAFWRPADGNET SAAYLVALKSKSTPSILSLSRQNLPNLEGSTIEKATRGGYVLHDEEKEDLTIVSTGSE VSIAVEAAAKLKEQGIKTRVVSLPCWLTFDQQPEEYRLSVLRSGAPILSLEALSTAGW AKYSHEQFGLPAWGASGPYQKVYEKFGITGSNIAAVGKKVVDFYKQKGGEVVSPLVKA IHL JR316_0003217 MSATRAHAAPDWLVHISFYFTSPYARSALGQKASLRPVCQEFIE ALERCHANGWTKFIGACNQNKDDLNHCLRTERLTRTKMNREKAKLRRDKTDQALQEFR AL JR316_0003218 MPPPAQSVSPLAPIGVSAALEAAANPNFQPKAKIFDEFALTDRV GIVSGGNRGLGLEMALALCEAGARAVYCWDLPAEPSDEWVKTRDYVTKLGNGSRLEYA SIDVRDQQAQWDKAKEIGDKEGRMDVCIAAAGILKTHTDCLTYPAQQFKDVLDVNTHG VLFTAQAAGQQMRRFGHGGSIILIASMSGSITNKDHAWVSYNSSKSAVLQMARSMACE LGPERIRVNTLSPGHIYTSMTAAYLDAQPHLLEKWSSLNPLGRIGRPDELRGVIAWLA SDASTFCTGSEYVSRFP JR316_0003219 MSVTTPTHRRTLSQDSTRSHKSYRLTRSPSPPTQTFHPSHILHP VPTPALVESVAGGAHYAGARVDSDVVLKHRRSSGSRPHTVLKHDHEQILKDLTELYSC RPTLDIFERSWSKDAEFEDPFCKCKGFDEYAAQPKLFSKSEQISQRIMSSTDNPNQFI YHQTQQYTTRLFKKKKVVESIISVEMDDNEKIIRLVDRWDGKDLPSRYGATFLRVMNG KVAPWIFKVPKVKKTE JR316_0003220 MSAPLRSTPLVAPGHTRPITHLSFSPIQEDGTFLLVSSCKDGNP MLREWTGDWIGTFLGHKGAVWSTKLSLDSSRAASGSADFTAKIWDTYSGNVLHSFPHN HIVRSVALSPQASHLLTGGQEKKVRIFDLNRPDAEPDFLFDNGPFSHDGTIKSVVWVG DHTGVTAGDDGKVKWWDLRSRELTKTITFPDPITSMELSLQTQRLVLTSGKTVEFIPA LPHTGHNTHSLTLRYAPSSASIHPILQDRFVTGSMTDEWVRVHGINGEERDVLKGHHG PVHCVEFSPDGEMYASGSEDGTIRLWQTTPGKTYGLWQGASPNGN JR316_0003221 MNNDTLQGFREAFANAKHIIVIAGAGLSAASGIPTFRDGGGMWR SLDATSLATPEAFHENPSLVWQFYHYRRQKALQAETNTAHKILAKLAIPHYLKKVAPA AKSMHLITQNVDRLSVRALDDLEKELEEQGLKGPSYRARTGSVVQMHGKLFEVQCTKC DWRAEDFSTPLCPALGHVEANIQDYTDAGSKNHDIPISLLPHCPECQELARPGVVWFG EKPYHLDQINSLVFKADMCLVIGTSSTVHPAAGYAFRVRRRHGHVAIFNLYPSEKDEN ASSLFRGACEDILPQVFPELADPYQALPHAPQESRTVRRGGKMFGFQCHLDGGVKDLP PIDFSLALIKCSEEYHNSTMKSYIQNAHNLTSPSQVCIVGTHRDLIVQDYGWGYLASR KQSSRATFYDGTPYLQLNCLDIDDVQVVCEYIVDTVTATPGTPTSPRSLSLSSIIFDI KHHVLDYVARVFSLPIPNNVNLDKPDDGQLDDQAVDHLLSSSYADTWREGLRTRVKSN WPTRAMPTHRISQNVIVKRNDKNERDATDYIRLHTHIPVPQIYHRNLENWTAFQFIEG EMLLECLHKKSLFMQFRIACTLRLYLTQLHSLKGFAPGRLHNPGVVSGPLFDNSARGP FHPFSSFQAWCEVVALQGWADTVAYQKTLGMPQQLPLEPPTGKPWKLNYAHGDLNFAN IILSKDGVLWLVDWAESGFYPRGYDAAVLAYFEEGPSFWQRMRSFIAGSEGGLTHDRF WSFFHSGLCRRFLSDYVYGVCLGPPQVPRDT JR316_0003222 MSIEAAKRYGKRIIGYPETTVPVASSIEYVTSSFNNPTSRIKTY VISLFPILSWIGKYNLGWLTGDVIAGITVGMVVVPQGMSYALIATLPAEYGLYSSFVG VLIYCFFATSKDVSIGPVAVMSLTVAQVIKHVQARDPGQWDGPTIASLLALITGFIVL GIGLLRLGWLVEFISAPAVSGFMTGSAISIAAGQVPGLMGITGFDTRAATYKVIINTL KRLPITKIDAAFGITGLVSLYAIRYFCVYMSKKYPRRARLFFFISVLRNAFVIIVLTL AAWLYCRHRKTAAGKYPIKILQTVPPGFKHVKRPTIDDRLLAAMAPELPVATIILLLE HIAISKSFGRLNGYKIDPNQELIAIGVTNTIGSCFGAYPATGSFSRSALKSKSGVRTP AAGILTAIIVITALYGLTDAFFWIPSAGLSAIIIHAVADLVASPAQVYSYWRVSPLEW VIWVAAVLVTIFSSIENGIYTSIAASLVLLLIRVAHPRGSFLGKVTVRDSGSEDQATR EVFLPLSKGGIVNSDIKVTPPSPGVLVYRFEESYLYPNSSIVNSALVDYVKENMRRGR DMSNVSLSERPWNDPGPSRRGAEDDQAENEKKPILHAIVLDFSTVSHLDTTATQALID TRTEIEKWADHPVEFHFASVLSPWIRRALIAGGFGTGISASKTHDIAAVVPYRDGAEE LPRFSKERDDVESLKNLKGRSSPSPSKDGYVDVISSDTPFFHIDLAGAVKAAESGLHR VL JR316_0003223 MFRLLLRSSHPPSLTRYFHTQRPIQAGHNKWSKIKDKKGANDAQ KSVLYTRMNREIINAVKVGGSADPEKNSMLATTLKKAREQGVPKDNIEKALAKAAGGK DQTGERIVYEALAFKSVGIIIECVTDNINRTIHNVREVLTAHGAHMTPVNFMFNHVGC LTVEVVDEAGDGDFSKFEEFVLNLDVIDLKQDNSSNLGGVFRVYCQPSNLAELQTAIE NKKASGITLRSSELTYVPVDSSPDGGELQNQVQELVQDLEDIEDITKVWTTQDSS JR316_0003224 MTKAILDNVAIFWDYEENCPVPSNTSGYAAVDCLRNLAEPYGSV RSLKAYLEVTEQTPSKTLLMRSELQSSGVSLVDCPHNGRKDVADKMIIVDMLAHALDN PVPSTIILITGDRDFAYAMSILRLRRYRLVLVTLPHAHPSLTSQASVCLRWTDIVMSL SNDDTPANVFEPVASVAPAPVPMPKPSSIEVPPIHMVTNSPRDMFNKLTPETVGPLKQ FESDLPTIPRPRWAYPSYPLDCAPSPSKTPLATEQRLATALSWSDSVYLPKTSPPPSP KANPISSNVVQTEVGIPHGDTPFFKGLNRPFVAPTGIDISHSSPRPSNTSMWSHKNAN AASSSTNVPRKPFCIEASSILGNSFPIDAQAPLSTVEISSERTVPDPVVPDHFKVLVQ ILQKCRAEGNIRPLRSIIGLEVAKANVFKKVGVERFKQYVMIAVKLGIVEVGGKDGGA WINLKPEWANAK JR316_0003225 MAVHRYDQEDIAIYWDYGSCTMLSQYSSSLAKVYSTLPDTTRST SASGAVSTYDLVKNIRAAIAPFGPIKSFRAYSDFSLTPSFGLKSTNIRSELSSSGVSL VDCPSDGRKELCTKIMLVDILINAWDHKPPYTFLIITGDRDLAYAVSTLRTRRYRVII ICPTATHLDLTAQASAQLDWSRVVLGMDNGPTDSPEPHLPPKDPPISSSFGMRRQSET TNDTHTHVQYISATNTTNTNSTLKSASKDRGGGGGGGVLAHAGMKSNTELPMADHNGP LRGRRNSLFSSYDARKYSVFGDLDRDYPVTAVGIGSFGLGDGPLFPRTRSQGGAQSRA DSAPPNIQYSTNPPTPREEAFVLPSTNKGKQKDAQIYEPENIFPLIPAEFPKSVPYSG SIFEPFGSSDPFHFSKSPPPKSPRQIAEKFSGTLSMSPSSKSESLTRFSALHTEVSTA PTSADPASLADKSDLTIKPPSSKKIDSPKPAPTLTHGTVASSRQNSSETAASATGSAT AEKIDEFTAKHKESKATSPIIFEAFKPVQSVSTPVPSTATAERKTPSPPTVQSKPAMP FIPPVATAVKPAPAPSPTPPKRSRANTTATSIPASRPSTPQVAPARSSTPQVAPARSS TPQVVPTRSSTPTVAPASSSTPQVVPAIYATLVQTLREERKRHPTGVTKSWLGARLVK KNPNLYQQAGVSRFGAYISKAVTAGVVVERIVEDEPGVALHPSLM JR316_0003226 MYPANRTLKDDIFEWHCTLRGPAGTEFDGGLYHFRILLPAEYPF RPPSIMILTPNGRFELNTKICISFTSYHEELWQPAWGVRTAILGLQGFFPLKGQAAVG VGSIEYPASERKRLAALSREWTCPHCKQSNLELLPDPPASTSASSDQPVAEDADVAPT TVAAAEIVPPAEIEPAPKGSAAPLPEQADGSKEADQSTMTEPRLTVAEENDMRAMAQA LHDKLNKLQSREAVREAETLRNLSSRPQQAHVVRNEPAASESPRVASSVSTPATPSGP TATHNPSSSPSTLKPHVSMPRRNSLRLPNQPPSTPIPPSLRQSPYLNAPIFKREISSP NFPTEEDEKWLQDTIPIPSTSNDPAHSPGSAKAHSDRRGSVNQPNSRHFAVPGVAVTS PYLEVPSSPYMPSSRPKAQTDKYLAHSLAPPPTYDICPLTSTGSAPKPAPLNQTQSES SVSRLSTSSNQSYFPRVPPMR JR316_0003227 MATDALYSTLRHFADATNDLVCSTPEFVLRRPTKKLCDRDQQAG SSSVATSTSLSHLQEPTLRPKLPMEILDAIFLLLPHDHLKPLLFTNSFISALAARRLY HTVHLGIPSTIIQFLKGIVKKPELASLVRVLDLHINPYTPISNFYTLLHRALLLTTGL TSLFLELPKTHSPLWIFDRCTFKLRQFTTSMYCRRPLASFLEAQSSIVDLTLRGYQTD SVFFLPFIDPLPPSMQVPNADAFILSPEALPRLRSFNAVHADACIVQAVVQGRPVQVV SIPLFSEMSVAALDALKMSTASLKRLSVISFDPTAPSFLFEALAQRFEELEALHLVML MAEYSNELLEQSANILSRFKCLKYITFMAAPPPMVQPANVANGNVTPATEEDEGRIAK MWHRACPTLRTIILPKGKVWFQNSPAPSATATTAHGVGNTSTASVQTVTTPSETSTEA GGGGAIAQSASGSDSNADASDDSNGPGMVYIGDAQSFEQDMLDAVVSENAYNGSSNTN NDVQWSHL JR316_0003228 MAEVALANGHAHGLNGHADFQMADPSPASGASSLRFSSGLILPP PDIKAVIDRTATYVARSANPPQFEERVREGQRADPKFSFLNPADPYHAYYRDRMDKVA RGEVDDESAPKEKGAEVTEAREPVDIGVEPPPPEFILDLPNISPIDLDIMKLTALFTA RRGRSFLATLSSREGRNYQFDFLRPTHSLFGYFNRLVEQYTKVIQPNKEMLEQLKERT KEGAKWKMLETARQHAKWEKNKREKEQKRYDDQEAEKRAFAEIDWHDYAIVQTIEFTA VDANSELPPPMSVQEVENMTLAQKRMAAMIMETTADDIEAHRSRQAAAEAEAAAAVGG AGAGDEEDAEMEQSDDEEEVANKQKKEREDIQRAIAQAQALQANAMNPAGPMKIRTDY VPKLGEKKSKVAMTTCTICGQQIPVDELQEHMRIELLDPKWKEQRDNLEARKAQASEL QRGANVVASLQGLARTRVDIFGTETDEERRKREEAAEREKRKEREKVVWDGHTASKAN TLDKFSRNVNTDEQIAAIHRAKGLGPQEANAIGPGIGPAAVPPPLTSLPPAPASLPAP PTNSGAYSAATISSGPQPASMYSAQPPVMLPPLHYQGMDSAQPFGYQPAPPVAPGMHP TRMAALAAANSVIQSVQAGMVRSADEMEGGNPDEIPPAKRQRVAKLPGGALYPEEDWI SMHPHPISLQVQMPNDPSKPEWKLQGQVVTIPDLPLNLLVSTLRDRILQHTGSTVPAS RIRLSYMGKMLTNSTSIAYSNLEDEDMLVFSVRDAKKK JR316_0003229 MFGAFRPSNVNSVGLLWKTPWKLSVTRKANARARLKKVDAVIEA VRASGVQTASLARALELPKEHEMPARDKYTVFSPHAKGYRKGIHKVPKWTRLTLRTNP KGF JR316_0003230 MNQVGDDLEDDFVLDDTVALSGDEGLDNVVQLDDEDVFVDAGSD EGQDGEDDDDEYGNDNDHDNIASSTKDTDAARAKKRKRREKEKERKAKKIKLAEATEK IEGSIASQSPQKLSDYLAAMQAKSFPKLSAIELDDLRIPESSIADTTAWTESRTLDGL VGFITKVLPSLRLRLSQKSKSNGAPTLLYIAGAALRVADVTRVLKNNKLQGDKGGDVA KLFAKHFKLAQHVAYLKRTKVGAAVGTPGRLGKLLNDTDALNVSALSHIILDITYKDA KNRNLLEIPETRDEVFQTVLNNELVLKGIKEGKIQVVLF JR316_0003231 MLVMIATCLLRSFYKRVIASRDKAQSTSSQTSSIQANPIFSEKP QPPAAQPTSPPTPSSSKKNMRYLKNTRRVKGKKQFVQPTTPIVHQKTKQPYEVFLILD IEGTCKPGTDFNYPNEIIELPVSVLQWTDRSEDGRADTLEVIDEFRSFVRPTWRPTLS AFCTELTGITQEQVDVAPCFSEVLVQLEAFLVKNGLLEEGTGRRLKRYCWCSDGPWDI RDFFVKQCFISQVQMPAWIQGDILDVRSTVLHWMYSEPTAASKIHGSKRPSLNISAQL KVLGLPDFEGRQHSGIDDTRNIAKIVTELARRGVRLFPNTAIDPRRRWQWMGKHGQVL EEGLP JR316_0003232 MSDQLKGFPEPPMDPEKGTLEAVHLPYTPDVKTPPSPDRNATFP SSKEKDSAVLTSTKEVVLATKPKPANKPPKKKVSKWILWKLWFNTYRKFFTFTFGFNM IGLALAASGHWPYGAKYSGAIVVANFNFAILMRNEVFGRILYLVVNTLFAKWPPLWFR LGCTSVLQHLGGIHSGCALSGVLWLLYKVIMNFRKLDVTHDAVLVMGVVTNLAVMISA LSAFPWVRNTHHNVFERHHRFVGWLGLICTWTFVILGDTYDPVTRSWNLNGVALIRHQ DFWFTMGMTIFIALPWCFVREVPVDIELPSAKVAIIRFKRGMQQGLLGRISRSSIMEY HAFGIISESRHAKYHYMIAGVQGDFTKSLVVDPPKTLWTRELKFAGVSNTSTLYKRGI RICTGTGIGAALSTCLQSPYWYLIWIGSEQEKTFGPTISGLIHKHIGPERLMLWDSKA RGGRPDSMKLVKEAYAYWDAEVVFITSNYIGNSEIMQGCKEAGIPCFGTLWDFVSRSP FLDEGWILNGVFSENAFESFQSLPILHI JR316_0003233 MDSRRRSVMQPSLDPLSNARSGIPMPSTIKKASTSSNHRMSLAG PALRAPVPPVPNTNPRQSMMRSQNVNTLLQSTSKPQYGRTPMSTSVRRGSTWGGGGGQ MGPPSSLTLLKDNRPLRDKAYQARMRQDVYNYLNDSGFEISMQALTSPQGKEYRAIFD TLVLTLDPSHPLKEDARFEDEFIPVLKALRYPYAHQIDTKWLVAVASSYSWPHLLGVL HWLVELCKMRTDYLVSGHPTIQDPANIPEEFDDPYDHKALAFQYQEEAYTMWLDRHDE FTKWDQIMEERYQKRNERIQAELDEQTEKLNLAKAEYNKLKASATQVADLVTRNEQLQ KDCGKLKKILERYEVRRDKLIEQIAFEKAELNRGAEILNELKAELNKLTETVKAQNLT PEEVIKMNTDREMLTRNLEDLKQKIAETHKTVMSLEVKVTNRAAAVEEALDAYTNLLS SLGLFPNPPEPWQDVDLTLELNSASSNPQQLLVGLDIRKVIRPTLSSVAEAKRLERAA LENESVKVSNELDQYTTECKNLDYELCELEKKASNLNDQADDLRDASQQEAQVASAEA ARLERELAAARNAAISNGLGVKSRLQALQFSYQEQIEKVNRLKEDTVRAILKNSQEIA NFKQEVSRHLQELREFTEAE JR316_0003234 MSVDRELFGGAIIAKTALDLTDASDLRQVPDNQEVFMYPNFNIS IVVEILQKVDHSHFNDAIRFHFDSLAHDNSARSSEVQSVSVIPNDRGDETPSVAVLKG VQYIPKFNHTTPDKVEILMGLYRVESKGIDLVVTFNVPLETVDGGAVDSGGLQKAEAD FDTFVRSLRILDFDLFA JR316_0003235 MSPSDTPMNAAHQHAANADDYLAKGLIVMAAEEHYQASVSYIAA IERSNDESAKRTLRMLYNEHCKAAKELQRKIDQLKAEGKDPSLPQKSDIPKNPPPRQN SNSYAGPSRVNTSSPQPLRAAMTDSQNAGDESFMLLGGQRSDPGDAFNQFWNIMQGML DNLSQPVAFATAPLDDPEFPNSPSAEKKPEETRKDDNLSSDTDADEPIFSRFTRKIGM SREGSKSSRSRKNSHETANTNLKKAAYEDDDDFEDFLEAGDDLSGSFFLIPSGAEPSP AVLKKENETLKAEINSMKSRLEATERAQRALGTSTMLQRPGPPLDFSSLNIDVPAVPI PGINTGREAQYARRVKELEDELRLMRVENEKNVRHRPSFSLVNTNTETSILQKLMIAK FRERWEKLKDSAKRKKEAKAAAEAASTGVRERIIEEPEAEEELDESNPRG JR316_0003236 MTAVPGPLTKKIRVTVVAADGLSKRDVFRLPDPFAVITVDGEQT NTTSVIKKTLNPYWNESFDITVKDSSVVAVQVFDQKKFKRKDQGFLGVVNVRVSDVLD LDLGGHEMLTLDLKKSNDNLVVHGKLIMYLSTNVAQPISNPGPSQSSGVTAALSEMAL GSTSPSASTANLTVNNSVAGSALSRSGSSHATATDGAGPSTTISNGTSSATVTAEGQQ GASSRPVSMTASSTTSAPTPAAAGGSGTAASTTSPSTSNQQQSAQMRNFNPNVDQYGA LPPGWERRIDPLGRTYYVDHNTRTTTWNRPSASAAVNNSTQDNETNAARDQHSRRILV DDVLEASNPNYRSSNVPAATSATTTPQPEQPAAITTSTATTAGSGSLPNGWEERYTPE GRPYYVDHNTRTTTWVDPRRQTIIRVMGPNGQGSGLQPQTISQLGPLPSGWEMRLTST ARVYFVDHNTKTTTWDDPRLPSTLDSNVPQYKRDFRRKLIYFRSQPAMRAQPGNCQIK IRRNHIFEDSYAEIMRQTPNDLKKRLMIKFDGEDGLDYGGLSREFFFLLSHEMFNPFY CLFEYSAHDNYTLQINPASGVNPEHLNYFKFIGRCLGLGIFHRRFLDAYFIVSFYKMI LKKKVTLSDLESVDVELHRGMTWMLENDITDIIDETFTTTEERFGEMVTVELIPGGAD IPVTEENKKEYVESVVEYRISKRVKEQFEAFMSGFSELIPQDLITVFDERELELLIGG MSEIDVDDWTKFTDYRGYEMNDEVIQWFWKCVRSWPPERKSRLLQFATGTSRIPVNGF KDLQGSDGPRRFTIEKSGDPSQLPKSHTCFNRIDLPPYKDYASLEHKLTLAVEETVGF GQE JR316_0003237 MSTTASSGDADQYRLPTDVKPTHYDVTIKTDLENLTFEGLVKIS LDVKAETSQIVLNATDLDLGKASLYSDALKTEQVTSVTAIDKTQERVTYQLTDKLPAG SKAELKIAFAGKLTGAMMGYYKSSWENEGKTEYYALTQFEACIHPYPTAARRAFPCWD EPLLKATFSITMISRANTVSLSNMPAISEEPLQDGVNSAPELADIVASTKNEEWKITK FEKTPPMSSYIVAVANGPFKFLETSVVMPLSGKTIPLRIYTTPDLIHQAQYALDVKAA VLPLYEKIFDVEYPLPKLDTLVASDFDAGAMENWGLITGRTNAFLLDPERIDLQAKKR VASVQSHEVAHMWFGNITTMEWWNYLYLNEDGRSDYTRVYPEWRVNSEFITDHLSRAL SLDSKLSSHPIEVECPDANHINQIFDALSYSKAASVLRMLSYYVGEEKFLKGVSIYLK KKLFANSVTHDLWDGISTSTGLNITELMENWITKIGFPVVTVTENENGITVRQDRFLE TGPAEPKDNETIWNIPLSILSTKDGKAVIDRTPILTEREKSIPLDTTKPFKLNAGTNG VYRVLYTPERLALIAAEAAKENSVFSLEDRMGLVYDAMALARAGFSKLSSSLNLVDGL KSEKEFLVWQGISGSLGGVKDVWWESPEITEKLDAFSRSLFVPLVKNLGYDYPKDESI DASQLRTLAVGHAYAAGDEGVTNELRGRFRLYQETGDDSKIPADLQRVIYSAAVEHGG RAEYDAMVKIYDKPKTPSEKIAAIRAMGVTQNEALLEDTFKFISTKARDQDVIYFFAS LATNYKARRALTKYLQDEYDALYKRFEGNFTLGTLISQTINFYSTKEDYAKVEAFFQD KDKSKYNQSLAQALDSIRARTDYREVRMTLRDAVLNSPIQRRATHLSVLLNQDDSTPP PRHSSIHSLLSPQDDALASLEPIRRSSMDINYISPAESRRQSFVESPRPSSSSADSAP PRSTASPISIPYNPRKRITKADSVLIPLSQSEIEKYKNFRGAGAIRLTSKRKRAASDE PEEESRPVKRHTGDVGIVAHHYNSRPDVGVEQRSLSPIIGLKAFNNWVKSVLITRFGH PVFEKSSVSGPLAGPGRMRIARGKVLDLGCGKGGDTTKWAKAHIKEYLGADIAAVSVD QARGRWESLRGPRFDAMFAALDCFSQPISKAFPPAKLAQPFDVVSMQFCMHYAFETVQ KARCMLDNVSRYLRKGGVFIGTIPNADFLLDRLDEIPEDTDDLSFGNSVYRITFEDRE RPVFGHKYTFFLQDAVENVPEYVVRWDNFVQMAAEYGLHPIYRKPFHEVFQEHMEHPE FQPLLIRMKVVNENGESSMTDDQWEAANIYIGFAFEKR JR316_0003238 MLIVYQLLMTTVEATPKNAVKLREPDLIGSKRLGFTISQTKGNY QWLIFVAILTELADYLALESLLELFALLIPPVKNGRQNRAHFIKDVFDPSIFICSDQI TRTLEDIANPDWSVTSTQILKELAESDITFPQPFEVSGLTLDTMCIDTSVFYIDISEF VANVDQHGKIETLHIPFSTISNITTSLSTLGHDKILITASVTRAPVLGDKPIHAVDAT GTELVLSWHIVYKFLERFKQVMKHRKLMDRVQSLSQLWADKTPNSEHLDTVYSTPLVS MHLKAKKQSDGQTEVQETDAGEDFKTTATVFVSNGELTFHSIQTHREIRASEQQNKPK GSVLDSEILTPAVAHDESSEEGFSQIRSKPTHRRRRFISITDDDDDASGTEHPTGKGD TDPFVNQTIATTPVIMSPAVRLAEHPQLDEPNGSLRTTKQPVKEKNVAQNLVNHEPFL DLNRTKGIRKRLHDALGEDNSAREETRHAKNLRLDPQKLSEGSSDHATKKAQVSSTSS PMIKELKFDEIPRTTKDTKRNQMKGKNGKAAATGKRAPVKKADRAIRKKPEDNIPKPA TPRQAIYSDPDVTLVASNEKADDSPDKTSNRRSTRVATIQATKKPTQKPPRALKKADK APWEKMNFLPQNDLTSEVVNDNKVQDLMPNAGTRDNLHASLALDYMKAQVTIQNGSSD TDNQSGDYGQTFHDYIIPTKLGSGTSVSPSVSPVPKVKSDPVLIDLTRDVSPQKPPIF DTSLMQTAKKHLPGKPESPKQTTLDHHSTNDLSKAGTDVELRSKAIAVGKPAALTKAE KLVIFKQDAQQPFMQSEGDTLNSDAVSPEGFPKETSPLPPRLQIPTSSRVNIEKIDSY PETLGIVGIEQSPLSKPREAKIQVTEIKRMNVTADTYTHHHKSSIDIDLMKTKHHPRL RVHNSKQDWARTQTRISDLDDFNPVTATDKSPGPVFRKAIDPRSNRTTFEHKIQPMDK IISILDKLNED JR316_0003239 MGQSSSKYHESSSDPTPAPNNAVAGASTDPQPSSLNGVDAHTSD SPGSRRSSVRKSILKLVKPSSIRNRFSSDPSSSTDAKRSWRRSRRWSRAPLAGATPDP PDVLSATASASSASSTTESSNLPPFPTDKGKQRDISSTVENDDISLEPNVASGTEPSQ SSVPPLPSQAHPAHTSVLEESPVVLVNSENVFNDSSSIVDADLPSTIDNSERNQVPPI AAQSLPSSHSTPSLENHQTTPEPRQFPPPGTLVVVQGIVHTTDVSRAGSSLTPVASPQ PEHPATTTTTTSRPPSTSDLAATETRARNRLSTLLRRSASRPPSSAGPTTALEAEFSP APSSNSHLSPDDVAPTRSTSPVPGESIDGAAQEEPSRLTTPPPPQTSTTETRVPAISS SSIDVLGTLLSVAAAATAASLLTGSSEPILSSGLATPNPPPPLSSEPLFTSPSSASLP TYHRPNTPIPNNVLPDISAAGRAERMRQAWGTIRERLGLRPSASPMPGGALRNHPDGN GSPFSTRPNGDSTAFGPADTREIMLAEMARAFNIGLGLNGLGGLAPSNATHNDQGTRE ALDEPTLSSDESDPTTASPETHPNASQSTPEPGVTGVTLPPEGSFERFLVDLQIDLRA ALSQTEEDNTSSTPEQQNSQQVRQTNVAYTSATADQPPDVDAVSPAPRTSPPEVLNIR NPNTNEQLPGALRTADDSDDMPSLQTVSESESESDGADAAVEPQSVDVETRTDEHVQP PSGTSRIDAFGRINWWRLYRFPPIPSRADATGMRPPFSPAPTNNPMSSAASSEATLTP DRFAASSGQGQESIPLNPQSNPMPSPIPTAEMNGEVPRQAQTPVHAVVPVIVVGLQSV NQDWRPDLPTQPEDGIDIFGQPPSDDLHTHNVLPHERADDDDLDGWGGQQQEFAGLEN GRGRGRARGWHSRAANAIRNLRPGRRTAEANAGMPTPLIAPGSRTFLIYVIGGYYPPD HSIVTGGPNILDSFEALLELADLLGQVKPPTVSKDDIEKSGLEIIKASELVQHEKDGK VSSNCLDRCLICLDDYEPEDPIRVMSCRHAFHKNCVDEWLQKGRNNCPACRSTGVATD AGSTMPMPAS JR316_0003240 MSGGTVKSSLTSVLPTIRAPKIKRKHLRKYSWLPDVFRIKGSIM GRIVGPVLTVTLFSMLVCHASNRGYKLVLINSIVPLLSVVVGLILVFRTSYDRYWEGR KAFAALTSHTRNLSRMIWINVGLPPTDEQPAFAKGKTPTTDMTHSQLKKRKADALRLC LSFVFATKHYLRGEDGVNYPDYQGVLPRNFARFDEVGFNTQRTSPSGTYAATRNDSLG SSRDGSQSGRTTPDTYKPDATKRVRVKRSKQQLTDHSTPLLQDVRRSVEFHPFADEAS LPLPLVIAHELSRTVYNFRKSGCLETVGPAGLNGITQIISGMVDQLTALERVANTPIP ISYGIHLKQCVTLYLFALPMTLVHDLGWATVPVVTAVAFTFMGIEGIADEIEMPFGHD DRDLPLDRYCQDLKEEIEYIIERLPEGGEGLHGYDDGEGDD JR316_0003241 MLSRLTRSTPPRSLVRRRFISSTPSRKSDALFVHRDTPYNNPKI AFEFNEENMKRAQDIISHYPPQYKKAAVIPLLDLGQRQNKGWTSISVMNYVANLLGMP PMRVYEVATFYTMFNREPIGQNFVQVCTTTPCMLRGSTEILETVCEHLGGIKPGQTTA DGKFTVVEVECQGACSNAPMFVVGDDFYEDLTPQSTKKILDAFKKGEKPKPGPQSGRK TSENSAGLTNLTTKPYGPGEHCLPEFQ JR316_0003242 MTSNTQKSALNIVMGAMTFGEAGKDGARVHNIKDVEAILDAFRS HGHTEIDTARGYCSGTSEEYLGKIDLAAKGLKLETKLYPVKGGAEPIAHDEAGLRKHL AVSLEALNVKSLEMWYLHGPDRTVPYEETLRVVNDLYKEGYFKRFGISNYTAWEVAEI VGICKANGYIQPSAYQGIYNAIHRAVEPELLPCLRKFGISFYEFNPFIVSKWQEDSSQ DATPRQATTQRKGRDSIQIGHKGRYWKEPYFKAIGAIKEVADKNGLTLTEIALRWISH HSLLKREYGDAVLIGASSLKHIQENLVDLEKGPLPEEVLKVLDEAWFDVQPYASKYYH JR316_0003243 MASNTQKTALNIVMGAMTFGAAGTHGARVHDIKDVQAILEAFRA HGHSEIDTARVYGNGTSEEYLGKLDLESKGFKIQTKLYPFKGFANHDEEDLRKFLAQS LKALNVKTLDIWYLHGPDRSVPYEKTLKVVNELYKDGYFKKFGISNYTAWEVAEIVGI CKANGYVQPTVYQGLYNAIHRAAEPELFPCLRKFGIAFYEFNPCRYWKDPYFKGLASV KAVADKHGLTLTEIALRWVSHHSALKSEYGDSVLIGASSVKHIEQNLIDLEKGPLPDD VVKALDDVWLEVKAISSPYYH JR316_0003244 MTQNLTIVPQGLRKHLLKSLSALNVSSLEMWYLHAPDHTVPYEI TFKAVNDLYNEGYFKRLGISNYASWEVAEIVGICKANGYVQPTAYQGIYNAIHRAVEP ELLPCLRKFGISFYEFNPLAGGFFTGRYSSIDDTPELGSRFDPDRTQGKNYRNRYWNE PYFKALASIRVVAEKHNLTLTEVALRWISHHSLLKKDKGDAVLIGASSLKHIKENLVD LEKGPLPEDVVKALDDAWNIVQPYATRYFK JR316_0003245 MAHQAESASWLDPVSHSPVFDSITLQVNAEQEWHGTDEVIVASD QVEGNLQRVDRVSTRDQTSILQSATDFDIHGGTFSTIAGDSYTANRDMHLTINNHIHS LASNEAREAIGTPAKYMRRMPQPPSLPVQRSCDIYRRHMAAKGRGIPLWIPEPNKNLP LQYQRQGIAIGDVGVMTASGGFAFLFNICLAHDHPINPPTLPVNFKPIQVAALNIHCH SEFKNNSYLASASTVRSQNDGDASGLVFESSASNGAILTMPLGSKSEDLGSIGRFRRY VAANMLHWYNYAYHDREYDVRNGDLRLVIGCDKTSSWGMATFANSAAHQESFHLKFGL IEGRRYGWEYSGTAEVRVGPDAHEIAQLWDNDPSQHNITYENQCLFIRTINATLPDDI WVNLGFDYSELDLVVESQLPDGSSTPALTDANLKSRVSTGLPKTTSFLNREGANYADL GANHNILTVHGTRTIYSSSPFLNDHPSKAINAMLLKNSSKEVKMAITQDQDWISVMTE EDAVMPTSEDFLARIRESFDICEEDGVVYLENAQGKYKIVHDDTTDADLLPESIPDSA QLVDTTTGKFSGTPTGDNLDFLEDIRNVITPEDVPSFYNSVTILKSTYRNIERLKIYK QKCKVISDKCFELMKALVDGSQGIEGAVISDVVEEVEGIVSQFERSLGEWASWNRLRS ILRQREIRDRIYQLHRNMEEAVSKLHIQINLDMIRNAGDAQAIQQQEKSEIRNVLRSI VKSSEDIKTLLSMQSLPGSHAVEEIMERLQTELMDPTLRPNESEDYKSGLWLLREKTS KLPPLIDCYWLKLGLVTGQIKWSPVLSTKGMASSIFHGLITSLLKASNVRVSAFPYIV NPWMDNGPAMRYIQKFPKANRLELLTEVAYGDFGLEYLHDHGVIHGDLRGENVLISTN GNARVAHFGQSKFLEHFDGNGLPSYFSNPRWMAPEMLQGTGSASTHSDIWSYGMLCLE ILSDEHPYSDIRHDIAVIREIDSGILPKRSETATANGLSDGMWTLLRKCWQQKPESRP SISDVRAQLLELQGFHVSKDLNVPPSMLKDRQKGKESQAGSPRSSRHSSTSNLDIFSE EKPTTGFSDSRLGSANTNATSLASSSVPTRPLSGEDDDRLLTHYSPDSISESINQALL DPQIVVHVVEGNVCRGTLEGLVQHLIDLQQDIEYRYLLLVACPDFTTPDYLFTVLARR FHEVESSVAIRAEDKVAWQYNIFSVIMYWLSERDLKVEPQLLRRIRYFCEDAARIKAS ATMVSRARDLLEVVERRERLLHNVGSVVPTRRIAVKQRITPVELAIALTLLEGDTYKV LTPVDYLGHLRRHPGFNNVEGVYTTNNMIILWVKESILRFDSTADRARALKFFVNTAA ECRKLRNFSSLVAIATALHSSPIEKLLLTKLETSMQTQSKLAALKDILDVSANHRSYR EALDDGMGASRKGPCIPWLAIHLKELHAVLQRYPIIVHVDGKPLINFERYIKFMDRVK EAVHYMPPDLEQYRQQGLLEYIVDELAKTKLANITEEDLVARSKHLEAQEMMMRHSRR SQLKSLGFLKKK JR316_0003246 MSKAFDFDFGVPSSSSASSYSPFPSTSSIPTWDAKSTNANTSDI QALLDTLRGNRLTTTAQPQTTHSPSTQTQTQPQTQTQTRIEDPHTLLAILAPLLDGLG LLPPFYRGYLVRSRSRSRSRSRSGAGIGEGDVDVDGAVGVGLARRVGGEWRGALDASA RGSASASGGKKMKTRELRESIQRVQRVLLEDVVPTWSAELVRMRMRPGESTSKSKSKG KGKNTNTNADADGLALLDQFFCPDAFVNASRAAGEVARAAYATFVSVPASVLVSSASA SASGVGTGTRTRTRTSGAGDNYALRILARLVVEYPVDRLYTAVFPSSVTGAGVGAGVG KTPARGGGGGGGGGDTAVQNVDWEDCVRDACKVPVVVANALGALPDAQRRRWMEEEGV FPGVLEHAEYFDRMSGRVEVLLGALSFSGGGSSTTTALEPLTYLLTKLVNQGVFPAHP PTARSQPSFFRACLPAVRARLRSALSSSSESGTAYSATWTKLFQNIASSSGITLQSIL ASLFGGLFSFPSPSSTPTPTNKYHTLSPTPATRGHIKRTGALLHFLLGPPDSERTPAL WQIATSLVGTRDWPAGEMHARAFVAWVSGACLPLGLGSSVVGAKGAAGVSAKGRVDVK GTVVLDAFLGAVLDVWASPEHIKHSLLSRHRYTTALLLLTISYFPSSSSSSSGGAPAA APQVQNLVSSPPFISAVGTYIAHLDPAVRRCGMLVAEVVAHLCARKLDFGGWDVDSES GGGRDGDRGKESADREGLEWCKEMRALIRERDVDAEVAGDEDAPGEKGDEEEEEEDVE MEDISTPAAAAAQAERAKAAPTPTPAPAPARATFLPKPSDRYDSDDSLTGYASPPSSS SSRSASPTPSELAEIEKDPTLNVGVKRVPRPVYLAQLGELLRLAGGGKGTGQGAGKDD PHDADRVQMALDCAEGLIRRKRGYGTELEENAVDLVHGFLALQDNFDLDGFSEKRQAA LNALVACAPTKATPTLIQEFFKNQYSTDQRFAALNALAMGARELADMPVPPTPSTSNP ARIAFPSKLLPSHLHNKYISASDVGGNTGVRSIMDKADRTLLPKMVEELTSEALAEHR DEASKAAAGGTPALIRERRLRVQKPQLVSEITSSTSTPLNPFSRAEFQTQPPAPARSA VKFIDIAAEHFILPLISSFWAFLRDSQALERRTAHLSGRGRYRGAGTGLILNPMVLAQ LVRTLAVLVHASRNAKEWLAVVAPDALEVGVTLGTRPVSSMEEDGGDDDDAAPEDARA NESGPSKEAALLTATLELALVVLDGALELDGGRVLGLEHTALVLGVGEWAGKVFSSLE RGLRVQGGGGAHEARLSRAAAGVVLKVDELTSKWRRSMIDL JR316_0003247 MPTAAAARKPVGKPPAAKAAAHKAANATTHPSWDCITAHPAEAR SGVSRPMIKKFVEDKYHIQLNNANASLLNRAISHGNEKGIFVLPKGMSLLSFPLPRPS GKIKLGPKAPPKSSAAKENAKPVSKKVAAPTGKAASSTAKPKATSTKAKATATTTAAS KPKAAATTTTKSAAAGKTAAAAKKPAAKKDASKAPTTKKAPGTTKKVGAAKAAAAKSS AAGTKKAATEKKKGGVKKAVTGEAKKPASKPKATSATAAKAKPASASAAKASKAKPPS SKAKAAKPASAPAKKPASAKAKAAAAAAAVPAPAAAAAVETTVPATEEARAGAAAVVE EKPASKAAA JR316_0003248 MPVSDYLQTDDLTILLAVISATVFLLNNLYKPQPLVHPILLGRQ SDVGRARNPKESAVYRNYATGLLGRFPISPAKDVHILPDFVRPEVDAPRTLWSTKLAN AHLQDRAAAFATGLLRTLGARIQTASPTVLLLLNDSLEFVVADLALAAHSILSITLAT SDLLDPVLDAHTPSAIITHAFLLPQLLELIYEGGERAREYAIILVGEPSPQAMASVAS NVKIYNFTEIERQGFKVEKILSPLPKPSDVFSVAFYRTPGGAIQGAQLTHENVTAGVA ALRALFPQTSGISSLDTISSAHSMSTPYGRAVAYLAILEGASFASIVGSEIYVKDDST PKPQDASALATRKYPIPSPTVLFITPAHLTSAVQSILSSARSASWALFALGWRHKLVG LANGWVSNLSLWDRLVFDAARVKVLGEAGGSVREVVVSGGPLDEEIMTPARVALSVAF VNATTHPLVAAPVLATHPLDLQDIPLAASPLTAKDKPSKSPSTGKAQGKAHTGPPGVN VEVKLVGVDDEVVEGGGDPVGELVVRGPPVAGAVSLEAFARGVGLEEGSGKVQGDVVG EGAGGEGREEWVQTGYWMRVHSNGAFREEEKTCLRYAVRSVVRSLQEEEIERWMLDL JR316_0003249 MSAPEVVPAATPAEAPAPAAAVDAAPAPAAEAPAPAAAAATTTK PASKAKPASKKAATVKKPASEKKAGAAGAAAEKKEKAAGAAKEKAVKEKVVKEKGVKE KKEVKEKKEVKEKKEKVGKEKKEVKEKKEKKEKEEKEGGRPSWKDIIKECIIENKSDS RKGVSRLTIKKYAEEKYKVDVTGLNLTQLNRAITSGAESGLFVLPKGPSGRVRLAPKQ PKASASKENSKPPSKTPAAGAKAAATVKKPVVAGAGAAPVKKAAAGAPAKKVLAGKTK APAASAKKTTTPAKRGSAKKAVTGTTAAAKAKSAATKKAPVKKAAAGAGSKTTTTKKA AAAAKPRSKPASKPASKPASKAKKVVVQ JR316_0003250 MDSIPRRAKSSANNVSVSPVPSAQLPPFPPPGIVLHPDDATNKV FIAIARAFLSVDNRAMTIKDIAERATAYGLQCQNLSAGSQAVTTYIRAHRARCDREDD APLLLSHTLSGTPADDDLVPALFSRAGGDSHPLPERLTNFRKGTAVWYLGRATGVPCP FERAGIRLCDYAVAGGSSSAAAVDRDREGEGERGEQGRRLRKSLRTREKQQQREMDAQ ERCGEKRKRQVKHCITARVDGGSDNERPPKVKLLLRLKPLLARTPPTESASPPKEEPS TPAAALEPEGSSSARPIDVSKEEDEDSYDSDSSEEEDDDDEEDSMSVDQPPLEENATA KDDEQKSWPLPAYAHRSVSIPRYTPNPETFQPSYRNLDHHRSPSVPFGCDATPPPDSE DEADEYHVTMSRYEDYSEDEKEEEEEEESVGGDGWDADEDEDEDLDLDLDSDDEGEAS ETVFESPGPRSPSAPLLLPPVDAVKVKEEPRDVQGMLDLWEDFDSSVADARVVDVLAK ALELDLFDAQRGVPGVAAAQGSERERREKLKVKTEELSEGGGGGGGWVWDTEEATARM IKQESDDNFDALFPLSTPGPLSPLSSMTAQFAAFSTGYGYEDGVSSAASESPRPFAEE EETVKWDQHRYDTVRPRAKTVPPPMPFFTQHAESSSSSSVPPLPRSMDRRPSAPHEST VHPTPSSNLARFYHSITANATAAKVGSASGSMSLPPPTPCVSPLQTRCQQPVVPPLSV VVTTCQPCKPAISATQIEDISVYHMMLGAFQLLRRIDTDFVNLSPIAALAASSTPPLK TLTMIASAIPNAVVIPRGSPQVCGTWVPLAAAQEYVRVHLPEERKADLAVFLDDELVE RFPEALRDFYRSGRKGRGLGVFGKHFASTLQAAELEVQMEGGASAVQQRQLQSPQRQQ QITVQTSASASVAAQGMFVGGVTVGKHLLEEDVVPPLSASEQQLFHELCVMPDDERVE EEAKEEQDGMDVDMHVDGDVDGSAPPSASSTATFTNSTTLPASASAPASMSAPAPAPA ATTPQRPTPLDLSVKVDVALDDEDEPMSPLSPLPPSPVVCATVTASGVVLSTPMSPLC LAQKGEETMLSVPECRLDNAPLLGSSSQMPVTTPAAVASTPATTDNGRPLRRSKRVAD ANATLKHPPPPLPVVVSSKARARKNGSRNSLS JR316_0003251 MSLSANDNNGSYSRVPPSGKTPPPAPSAAFAKRARELQGEGLGG RYLPPALRGMSTGGSQSNEAPPSLTTSGDGSILPPTNYPPGFRRARAGTLPSNVQLAA QRLAASTTDTPVEQIQRQSSLSSQVPALTSVAPGRPGLRHSSTTVPPPISSTVGERAS RLRSGSLTLPTGGLSNAFGPSIFSSSWLSTSTNGSAGFSVLDELRSVTSADSGADDFD VHTLDYLGLDDSHRPPPPAATISELRTQTQAAIAGNLASTRMRATTVSNPYRTRPSLT GSLLSTPAAEEEEEYFVDSYDNMGYDRQQLGAYDISNSQGDFNQSSYLSKTFKATENR PRAISVGILDDPMRSLQRRAISSDANSYLNEISQPGLSLSNNLGNPSGILKTDKLSSA RAGVSPSVHFPPNGGDLPIGRGASAYLLAPGGHNRSVSPKSEQPSTQLQTPTRSLWIG NLDSAVTSEQLIHVFAPYGAIESLRLLPEKECGFVNFVDQADAIRAKDDVLNRLGGNI GMPNGQTVRIGFGKADSAPVAPAKGNPASPGPTSPSNAGTKSAGANAGLGGMDAQLQS TPTRALWIGSIPSSTTPATILSVFSPYGPIESARVLTHKNCGFINFERLDDAVRARKA LNGRDVLGSDVGAIRIGFAKVPVKNGQEGTGSPEESTNVVAQGVGDLSVGATIHALRG IKGASTIPAEQQVLGGVVENYRSNLLLSMISSGLHNSPVAPDGTKPAGFTPSVTEQQM ILRELSGGSSDAEADIQSLSEFRPPTMYYTTIPLVSERTHNRRWDASKLRELRKRLDS GTMTVEEIDQVAADFMDSEIVDLASDWLGNTVVQKLFERCSAVPRVNMLERICPHLAM IGIHKNGTWAAQKIIECVSSPDEVALIAQNLRAYAPPLLLDQFGNYVIQCCLRFGAPA NDFIFDAIVDRMWEIAQGRFGARSMRACLESPHITLNQQRRIATAIILNSIPLATNPN GALLLTWLLDTSGFPSRYNLLAPRFTPHLSHLCTHKLASLTVLRIVNQKIEPDASRQI VEALFNSPGDHVLTDVLGDQVNGVAVVHKILTSPFIDPAAKQNYIEATKRVLIELKVI ATQAYRRLIEEVGLPVPNFQPTYNNTLPPTGKVTKNSNQNFGVPGLPSGYPSNDQGFA SMMAALQMGGQNPQAGPPNPPQLHVDPAFNPAAPRRTAPSNPPAAFSPSADFSPFGMR QSETGSPRQVGNIRRGPNMPNNPNMSHSPYANQSPVLSHGGPLPGMNQLQPAYGGMPP PPQSVPPHAYQPYMYQPYPQNPPSNMGTFHA JR316_0003252 MQSVQVHPEAANITRLFESRARQQGADLAASSTSIRPTRDQMNA TALSTLLDRRKSVRTKGELEQLEREFGIGHDALEKLVRFVNSPSIDKASIRPAAGKSE EEGFVATAVWMAPSLKS JR316_0003253 MSFFSPKPKLMQIPVEEPPMKVPVPMPNVFVVPPEEDQTPPWCF FHAENPELSQIDERSESPDNSTFFEVNGDSPPSVFTGGSMIEPMPSRNRETISIVDAL LGNRDEGDDSGSEASFEGEMDVGEDEEHNDSNSIQENDRHGLSRDQEREPADDSDVIE VVKVSRRKSLAEIRKDDQSVRAFSEFKRSGTIKSRASKVFRSLRGTLRSKPRAQDIFN PPAVPTRASQQTRESEPSEGEAIPRPKTPTITRRGSRVLSQLFTGPSIKPRSSISSFN EQTPVSDEVERSQSPPPTSPQSSHFGSVPLSRRTSLYTLGTQHNGSRLRAASPTPTTI SSKTTNRRRSFSILRLFSFSSSSSSCTSSAPAPSLKSVSTSYDEPGRATPTQHSNSST PSATSSISTVSSPQPRTPTSTEASPVRLVAKEEPIEPLMFGNFDSIFDTNADLNLGLG LSLDGLNESTISSRHSTTAKHEQASGQSSSRTGRQSYEDPGDTSIEMRLDSFHFDDLS FDVSRF JR316_0003254 MHADHIMGVITLLRNLLYRTKVILYPGQVITKPAVQLFGPAGLR TFVRQNLKYTLTRAADRYCVHELLTVHDSITPCDPIPEGSTSFHSAEANIMHNSELPG LDIRAGPDGLWRALTEGPGRMSKILVDAGPILHRDPCIGYVFQEAWYPSRKVVILGDT HNPEAMIPLCSNPAPSLLVHEATDSHISPTADAEGKLSRRSAEKVLETALSLGHSTPE MAGAFAKRVGAQKLVLNHIGARFPAPRNNLDHDRKRIIRDITKKASMAWGPNKAAVAA VDFLRVEVPIDPSLKDTRNQPTTRTEVGIVNDIEPNPPGEIQGASSLRDYQFYDSEPV EYVNPNAVASTSTSQAGHIQVQDGGRSAFVAYDPSGQNRRKRRRKH JR316_0003255 MTDSPIPFSEKSSPPPAEERTYLGSGTADDPYVVEWDLNDPEDP YNWPKFKKWVITAQLALSTFTVSFSSSSYSGGLQHTMQDLGISYNVAILGISLYVLGF ALGPLIFASMGEMFGRRIVFLVTLSLYTAFQLEGALGRNLGTLLSCRLLTGIFGSSPL TNAGGAVSDVWNFRERGLASAIYSAVPFLGPVIGPIVGGFVVQNPHLGWHFNFWLMFA FSAITLIAGYLWTPETYAPVLLRWRAQKFSRASNGTIYYVSTYDLNQSTSFGQVMRTN LSRPFVFLVTEPIVFLLAIYASIVYGTLYALFSGFPIVFQEHRHFSPGENGLAFLGIG FGITMGLASQSIQNRIYWRSMDKSETGRAPPEARLHMAILGAVLTPIGLWMFAWTSQP SIPWIVPILAGIPFGTGISQILQSLTTYLMDAYGVYFASAIAATVVLRSTCGAVFPLF SPTMFDALGDQWAMSVFACLSTACMPIPLLFWKYGWWIRKRSRFAYKESESDETGVQA ESRRAGSTTFSSETHIEETVCDRDLTTPTTSGAQSPTLHKKTLTVETVVIPKVNADAN AVTKTETIGP JR316_0003256 MTTHGPINGVKVSPIDDPHKVVKVIASDGKSGETKDLTYTNCKV VGNGSFGVVFQAKLVGSAKDGEDIAIKKVLQDKRFKNRELQIMRLVSHPNVVDLRAFF YSNGDKKDEVYLNLVLEYVPETVYRASRHYAKLKQPMPMLQIKLYMYQLLRSLAYIHS VGICHRDIKPQNLLLNPATGVLKLCDFGSAKILVSGEPNVSYICSRYYRAPELIFGAT NYTTNIDIWSTGCVMAELMLGQPLFPGESGIDQLVEIIKVLGTPSREQIKTMNPNYME HKFPQIKPHPFSKVFRPRTAPEAIDLVSKLLEYTPGARLSAVEAMIHPFFDELRSEGA RMPNGKDFPPLFNFTREELSVRPDLIRRLVPPHCEAELASRTIVLDTFVPIPLEQLKI TLD JR316_0003257 MALPQPQQKSNYVCDTLPAPRLAGPNDSDKPDLVVVDDDPAPVD NSTATAALHQPPARKLCFRHQRMADEGTNLKLQQSLDALPLEDREAINAVWSNFSSSS HPRRALILQGLLTMCCFSQLSLLTEQLAHLIRIDPFAVLPREVSLKILGYLDATSLCR AAQVTKRWKSLADDNIVWQSICEQHIGQKCHKCGWGLPILEKKRIYRPRSRSPCPPPM QVEASSSSSAVPSSSSALKRSAPDSSCATYPPNKRHRSASPSSDESQGESNSYSSTLL APDPSDFLPPSITRPWKDVYSERMTIERNWRRSRYTVRTLKGHTDGVMCLQFNETLSH PAFPVLITGSYDRTVRVWNMETGQELQCMKGHTRAIRALQFDEVKLITGSMDCSIKVW DWRRGKCIRTLNGHSEGVVCLNFDTNVLASGSVDSTIKVWNLRTGGAFTLRGHSDWVN AVQLWDSNPGARNSSTGESIFDVSGSTSPISSTNTSSSQIDPGKMLFSASDDGTIKLW DLNLRTCVRTFVGHGAQVQSMRLLLANECDEEEGKQVEETAVAGSPNASGSADAEEQH QADAFVPKRKQPILISGSLDNTIKLWDIETGQAMRTYFGHIEGVWAVASDKMRLVSGS HDRTIKVWSRDKESCNSTLIGHEAAVSCIGLAEDKIVSGSDDCTIKIWSFAG JR316_0003258 MVALRDSSKGLEGSKALEIADEIEIIILRIDRKVKEWASWPHVK SFLQQKDIKEGIARLHKDIDAAMMKFSIQMNMEMTRNQMESKAIQERDKAEIREVLQI IVKSTDDMKAILNMQSVDSRPVEQMMQSLQTELMDPYLQPKEEEVFKAGLWELHEKTS KLPPLTDLTGQVTLSSHTTVAKGLYNDIYQGQWLDREPVALRLPRALANNPDTQDRLQ REVTIWRELNHPNVVPLYGVIYIDEDIYTVSPWMDNGTALAYLKKYPTADRLKILIDA ASGLEYLHNRGIVHGDLRGANILISRTGVARLSDFGLSQFLEDRGQGMTSTQNINPRW FAPELLQNAPVSTHSDVWSFGMVCLELLSGDVPYSSITRDIAVLRELDNGKLPEHPGR DKALQGLSDEMWELMKTCWTKRPQSRPSIVSIKAQLIEIKGRMVSDDSKVNLSKRWIK FTSSAARNNSSRPSTGDHNGRRPSTASSVMTTMSSISSQRAPTNFNEYQNQDELSPLV SSPSRRFFKRHTEPVQPRKNSSSSTSSSSSSNPIVKIDFDPPSSPTSPRSESRIFGEI SSPRLELPLVSSSLPVNMGSHFRPIERSKSHDITSPVKSVRSSNSSIHITGPLREAVL DPRIIVDEDNGVVVSGTLEGLVDRLIGANFKSSRTDVEYQDVLLTACADFTTPEDLFA LLSRRFYDAELQTKEHPEDRVAIQYNIFMVMTYWISHKHLQIDHQLLWQMRNFCETAI RTKSSTTMVNKASDLLALVVARSKKDNSTPSILVPGRKLLSASQIKPLDLAIALTLLE GDKYKVLVPSDYIAQLRRHPGYNNVEGVYTTNNKIILWVKDSILHFETAQDRASVLKF FIHTATECRKLRNFGSLVAIAIALHSNPIERLRLTKAELTPQMQAKLDSLGDIINPDG NHRAYREALNEATNPEEKGCCIPWLAVHLKELHLVLQKYPPTLSGTDGKPLINFQRYV KFMAHVREAISYKPPDLERYRLQGQLDYLENQLRNLRLSDNPDEELMARSRKYETQET IDYRTRKPQLKTLGFKTS JR316_0003260 MAYNREWDRGKDTWDDSYGWSDNRGNVREREEEYYGDGKRRKFN NGAYDNSHGYDGAGYENSYNRHQQHQDWSQDYGHDDHSRGGAGGFAKKRLVPSEPSPH VIFLGLDPDFTEADFASYPASGIPRFKWLQRGDGDNYSREIIRHFTFVFAPAHALTYF AVGFLKGTSKGFGFAQFTTVEHARAFVDPLFPFIQMPPPASHGASATAAFYKALETGA PHNGRRVKIDYSQSAMPHDKGRMNRGNMNDGTRDIGNAQSPVLLFRGLDPLSGPQAIY QAMLSSAGPGKEGAKGMRRIILIKDKVTMASFGFAFVEFVDITSASNVLAATMSAQLH PSGFRISDRPVAASFAHPYSFQPVTDFLQRDEACLTSTNSLGGMEGTWVRYWDESSTV AVLEFKVEEPPQQPSQSKDKKEKKKSKVDTDHAKATAAAPSALPISDKPVTLSFSKGP VKLNSATGSSKIPVLGFSLDDSNTVDDTSDEPPSEPSKPLVVKKVAPLIASKKTANNI NKWNQVQEELAHDLPTQAAPVVKPVVSSSAGPSTMKPAVNVAAPKATTPPIGEVEFEF SDVASLTCLLCARQFKTIEQLKRHNKESDLHKANFKDSNLRDIARQKVASRKKEKEST PEQPKYRDRASERRTLFHQPDAPVLEKEIKKKDTLSRAPTPPPAPPTNPGKDESNVGN KLLKMMGWKEGTGLGSEGDGRVNPIETSVYTPGVGLGASKGKDIGKYTEGFTSYVHMA QDSSGKANKND JR316_0003261 MGIFDKFRKIDTSDTQGVLGPDLAVLVSYTATGVQLSPTNFRQL DAMTKSIRTLTTVAKSLPSAAYLGHNNGPAVVSTPHDLTDAQRSILDSALRVDQAGEI AANYIYKGQLAVLGRDRKVGPLIQEMWDQEKKHLVVMDKLQVQHNVRPTVLSEVAKAA GFGLGVVTALMGKEAAMACTEAVETVIGEHYDDQLKELDSFQTSHPSVPLLKSVIREF RDDELEHLDIAVVNHSQRAPAHALLSSVVGGGCKIAIELCKRF JR316_0003262 MARPTESRKVWTTLITNTDYLSGLLTLDYSLKAQKSAYPLLALY TDTFPPVGLAALASRGIPAKRIPYILPAVHKDYSNDPRFYDCWSKLTPFSLTEYDRIV QLDSDMLILKNMDELMDLELDPPELSGQGRRVFAAGHACVCNPLKKPHYPKDWIAANC AFTSQHDNPDEAQVVAADPSVGPLGFMNGGLQVVNPSQAVYDLIIDYINSDALPNLDF ADQSVLTNLFKGRWVPLPYIYNALKTLRWPGVHDKIWRDDQVKNIHYILAPKPWDEMD TEGKFIGKDESHSWWVNYNQERLKAEKAAGIPADGF JR316_0003263 MSKEKDWAASLGEAKPVLRCYATFGKLSEASTATTATEPVETAE AAATPSGDTFIPSRRVRTVPGGPHTDIFDHGDEGDALSQAPPRAPDARPIVVVPASAP AQVPDNQHVGLDFTSEVKPSRRVRENPGGTSSLANFWDSEETPEFKPTRRVREGPGGR DNISEGILAYRFTK JR316_0003264 MFALSFVVSVALVPFVAAQASVDIQAIEAHFTQSHVVPDLLASF NPSALLSLNFAGVGNVQPGQKFTKDQVGTAPTVTVTPANSSVVLNGTYTIAMVDADIV GTDLADGENRHWLVNGATISNSVVTTTSGTAITAYAGPGPAAGSGPHRYVVLLYAQPS TFAAPAAFANPGLGVSKMDFNAYVKDSGLGPLVGGTYITVEEGTATVSVPATSAVVTS TLSVASSTKSDTSASSSGSQSSTPTGTSNNTNGAGSIHYQLSGLAAVSSFFLAALFFN RTKKSKVLTGESLRMHVYANTLRQEKQQGGITKKQFRPLLVNAHVTKFHGPA JR316_0003265 MDTIFGRKKGRPRQSSVSVQDLNERSVPYDKLSAPSRSPIPAGT ISQGIRGISAPNTNPALTVSGTELNKFTMARNKSERTQLYNQFQQNPSNASISTTDSS TLYDESTGATYSPNKAVLPSLSHQQTTRLRRSEASSKSGADFGHLSSQPGTSQSTIRP TSGMTMRSDRNRDSKYAASLTSSEGGSHPSHFSSFYHHRHHGSETFHFPKPETDEEIE ILFENVKRTRDLGEMPDLPIDRKWHMVYNDEHIRWTEEKQREEQSKRQAETGQAAAIL PDSPEWYIKKFLDKTITPKQAGSLLVSLRSKELSWFQQFISIQGTSVLAQTLQHISRK GSQRKDNDINLEYEVVKCLRQILNTPSAANEALTHPSIVTQIASSLNAPNLLTRKTVL DLLTFLAYWNNGEAHSLVVAALEALSLSNNEAGGCYEYWFKSMEQSLSGRGKMGSLVG ASEEVKRTGGTDSSLNEYALSNLVLLNGIIQFVDDFDLRLHHRSQMESAGLKRILELC KNFGVPNIDKQIKQLQRLFDDDEKKLRERLDDEILKDLTDPQDVYNAIHAKTQGTRAN SYFLSMMQHLLLIREEGQPMVHYYQLLDSIVTDVVLDKKLAGAEQRMGHSVERIIAQF NEADRYQAAEDEAAEARALAVRLKLEKEALEDEISQGQDGLVGKLKSQVTSLENKLSV SRENTSRLHGQLESQKASYEDKINQLEAQIMELFRMLKEVGKGVETILDGGTMDRKAL VETLERNFQRKKTISILEGKEGNSRRKSKKGRNGNSSFVDDDEDDIDATPGKSTAKKT HPSVPGKKLFNASSNDKNSAVDENGRVSQFMDADEADAREQVQQQLAAGVKLYSPQIG SMSSSRSIRGSPRRSERPPLGGETFKHNHLLAPRHDDAFSNDSADLSRSSSPANDDES EYGRSIRSGFTNGTEDTLVTSMASETSSDNPRTAAPGSFAEQLSKQLVSRNKPLPPRE GSPQLSSLPEEQSTGNGAPPPPPPPPPPPPPPPPPPPPPPPPVGFKGFPGTPPPPPPP PPPPPPPPPPGMKSMIPTSTFAVPSPPPPPPMFSNPSSTRSSARASLLGSNLTFANIR KEMAITPSTKMKQLQWDKLPQQQVSKTLWSEDEPQKEQDMLQKLQLDGVWMEMEEDFK AKQLVINLMAKQKREELRSVLDPQTKKRVEILIQLIRKLEPEEIARKIQQFDLEMCSQ VFLRELKPLLPSPEQVGKLNVYRNADPSELAELHPSDRLMVQLIKINRLGPRIEGMLY RVSFDETWALLDEGASKLSEAGRDLLEAKHFKELLSLILLIGNYMNGTGIKGGAFGFR VSSINKLVDTKSVNNTTLLHFLERTVAKHFPEMEEFLEELERPAEAYRVNLQDIRKGL SELRDGLSRIHQELVDHFAELDEHDLYGKQMWAFYKKANSQLEDLVDDVRHADTTYMD ALSYYGEEDKNMSSSEFYGIFKTFVTSYKKCKYENQTVAEEKMALAKRRQALEESKAN RLKEASVITDENDGALDRILADLRNGDAVTWKARRRRPTAEPNTTIPIGLNLELSSSG NDMSMLALDMLARLKSDGFNAPSSPTTSRRRRRRTERPSESDKDIPTSPLASEILDMT EQPVPEHAEGEEEGQIVLPS JR316_0003266 MAENIYSKGTRVWFEDKDHAWISAEVSSVTKGNDDTIKLVFIDE RGKEITLNTTGKEIKEGKEGLPPLRNPPLLETADDLATLSHLNEPSVLHTIRNRYAQH SIYTYSGIVLIAVNPFQRVTLYGPEIIQAYSGRKRGELEPHLFAIAEDAYTAMRKDGT GQTIIVSGESGAGKTESAKFIMRYLASVNPPDSNAKTKTKFSLDDSSEIERQILATNP ILEAFGNAKTTRNDNSSRFGKYIQILFDGKQEIVGARIRTYLLERSRIVFQPVTERNY HIFYQLCAGAPVKERKDLGLDSDINKFLYLKQGGPSSTPIAGVDDAEEFRSTQQALST VGISVEKQWAVFRLLAALLHLGNVKISQLRTDASIEDNDAALILATRFLGVPLAEFKK WTVKKQLQTRSEKIVTSLNAAQATVVRDSVAKFVYACMFEWLVAIVNESLAGENGDAA ERAEMFIGVLDIYGFEHFQKNSFEQFSINYANEKLQQEFNSHVFKLEQEEYVKEEIKW KFIDFSDNQPCIDVIEGKLGVLALLDEESRMPSGTDASFLQKLSTQILPKPEYKNVYK KPRFGNSAFTIAHYALDVTYEVDGFLEKNRDTVPDEQMTLLASTKNPFLKEVLDAALN STRGVDGPAPASPAFSDSGSGGSRRSSVIPDPGRQSFVTSNAGSAANKRPGATNKKPT QGSIFKASLIALMETLSTTNVHYIRCIKPNELKRPWEFQPQQVLGQLRACGVLETIRI SCAGYPTRWTYEEFAERYYMLVPSSDWQPMIQSMDLRRLCSTILEKTIADPDMYQNGL TKIFFRAGMLAALESFRSDRLNAMVTVVQKNVRRRLATRDYQNLRRATIKIQTWWRGI LARKLVEGIRREVSAIRLQTAIRRYIQRKRLADVRHGVILVQSRVRGMQTRQKYLQER TFRAATLLQCLFRGVLSRRVFTSDVRHVVFIQSCIRRRLARKELKALKQEARSVSKFK EISYRLENKVIELTQSLQERTNEKKRLQLQLGELEQQLQQWINRHEEADSRAKQLQNN VQTMETELAHTNELLAAKAGIEKKLEEAIAKAAEKEASIQKLTDEIVRQAAQLEAQQR VIDTAPARNQEDSSVIMTLKNEVSSLREQLNRSNALNALTRGSRADPPLSPTFAPGLR LAETNGHINGDVPATQRRGHQRRHSSAGVFSFANDDNRTSSDDILSDVRRSAGNPRAV SVAFNGEDNYLRFRANGLPDIRDFDDPAEEKIRLMQDIKRLDEDVLDGLIRGLKIPAP SLTNPSAVKEILFPANLISLVTNEMWKYGLIQESERFLANVMQTIQAHVMSFTGEDAI IPGIYWLSNVHEMLSFICVAESDMLQGIGPGEENAVRAFDWNDYERLVSVVKHDLDSL EYNIYHTWMLETKKKLSKMVIPALIESQSLPGFTTSDGGGRLFNRLLNSNSTPAFSMD DILNLLNKVWKSLKSYYMEESVIQQVVTELLKLIGVASFNDLLMRRNFSSWKRAMQIQ YNITRIEEWCKSHGMPEGTLQLEHLMQATKLLQLKKATPADIEIIYDVCWMLSPMQIQ RMCTNYYVADYENPISPEILRVVASRVQANDRNDHLLLSPETEEVGPYELPLPREVSG LETYVPAYLNVSHLRRLAALVS JR316_0003267 MNLIETLFGRTVTPAERLRQHQRSLTKAQRELDRERTKLEQSEK KLIMDIKKSAKAGQINACKVMAKDLVRTRRYVHKFYQMRTQLQAVSLRIQTLRSNQQM AEAMRGATRASPQIGQNTEI JR316_0003268 MNDFEKESAMMDMKEEMMSDAVDDVMDDEEEEEEEGDRILKEVL DEIGVDLSQKLTDAPVGIASTASPLANRQPVALGESGSLSSSARPNTDLSGGVSSVGA GASDEDALQARLDALRRG JR316_0003269 MATLDTPSNSADQVHRPNPRSLTNLTDILSCLSAFQSEEAELSS SLTQLLKDKEPIISSLARLESLIPQLDELQVDAALLSDRVSNTAKTAERVGSRVRSLD EEMGRVREAGDRVGQVMELKASLLALQTSIESQDWESAARHCARAMALPLDVIAGPFA EIAVPTSESHLPPPQTLQAARETLLSVFREKFQEASRARDSNATSRFFKLFPAIGWED EGLEAYASFVVELVRVRSPASAKSSSPLYYITALTSLFESIAMIVDQHQPVVEKYYGP GKMQNVVRRLLEESDRVTKSLINGWEEDRSMQRKLTEVSNNPPMPLYSSLRRPAEDNA VDPREIDKVLSELAGMLGRWNLFKKFLSESLKGNVGSTNEDGAEAAAIVNEKHSPPVQ FDPINSTESNKLFEHLTTIYYIPMEIWYTRTIIDKAHRLSTPDLSQSPVITTAPDDVF YILKSVTARLMTTGSLGAVEKTLQQIREVIDRDYIGVIKRKLDDVYKSQGPAPSGARP DRAERENRNSFIILLNDFDVSTSHLERLIHDLVESSLIPQHFTEESQALVKEQVTGLS SLTNRLKSSLRSGIEQLFNQLLRPKLRNFIPEIFKDISYVLDEDGYSTADYHDLARKR FIKTWESLVDGYKAMIPTNYRLFIGLVLDVLLKPWEKTVMSLKYTELGAVRFDRDLRA IIAYLSSQTTFGDIREKFLRLQQISTLLNLDADEDVDEFYNGSGISWKIGPHEARAIA SLKI JR316_0003270 MSRTFLDASIPDLVKKLRVDEKISLLGAPNWWNTTTIERLGIPS IRMSDGPNGVRGSSHFVPTPAQCLPCATALASTFDPGLVKRVGVFLAQEAKLKSSVIL LAPTCNIQRTPLGGRSFESFSEDPHLSGTLAAAYVNGLQSQGVAATIKHFVANDQEDE RTAVESVMSDRALREIYLYPFMLAQKHAKPGAFMTSYGRISGVHCSENKELLTNILRK EWKFNGIVISDWFGTYGVDQPINAGLDLEMPGPPRWRTSTLVLHCLSAKKLAQSTIDE RVTNLLTFVQKQARKNPEIVFGDGAERSRDTPEGRQFCRALAAEGIVLLKNNDNLLPF SQEKPLNIAIIGPSVKERVISGGGSAQLKATYVVTPWTGINDGAHPDSTIQYHVGCYA HKYLPTLEANLKTPRGEPGWLCTFFSHDENGEIANPVQQFTLNDTRVKLNDFLPKGLT PTWSIKLEGKLTIDKTCLFELGLTVAGRAKLWINGSLLIDNWTKQTPGDFFYGQGTIE EKATINLNAGQSVDVLVLYTNTPPPDGDDENGEGRLSQPALMRGVRLGGCEKIDEDKA IDEAVALAKSVDAVVLVGGLTPEWESEGFDRPSLKLPGRQDELFARVAEANSRTVACI QAGSAVSMPWVDKVGGLLQTWYSGNESGNGIADILYGKVNPSGRLPLTLPVRIEDIPA YLNDRSENAKIHYREDLFVGYKHYQARGVKPLFPFGFGLSYTSFSLSDLVINHLTPYT DPDTLQLDVGVTVKNEGDISGSEVVQLYVSFPDIGLTTPKLQLKGFAKAHNIESKAST QVNITLDKYAFSFWDETTNSWKIAAGKYGIHIGPSSDNMVLNDTFESKESFSWTGL JR316_0003271 MADSQLTNHLRPNDDATLTVRVIKSFKFRTERSLVLHHIDLNTT TVAQLKELAKQAVATQPGWKPYRNVSLDTLKLYTKAHGAKTSNLIINLDHDDWILRDE EKSLAEAGFENETEVSFFNLNDYEEFKLNPETSWDV JR316_0003272 MVRETEYYDLLEVPPTASESELKKAYRKKALRLHPDKGGDPELF KEVTHAYEILSDPDKRQIYDARGKAGLSEQGGMGGMDPQDLFSQLFGGGGGGFFGGGG GGRSQGPRKTKDLVHRVNVTLEDLYKGKTTKLALTRNVICSKCKGKGGKEGAVRTCHT CSGRGIKVTLRQMGPMIQQIQSPCDECSGTGEIINAKDRCTNCKGKKVLPEKKFLEVH IDKGMKGGQTIQFRGESDQSPNAEPGDVVIVIEEKPHERFRRQENDLVIEVEVDLLTA LAGGQFAIKHLDDRALLVNIEPGEVIKNDELKVIHGQGMPSQRHHEPGDLYVKLSVKF PDSIDLAAIPLLEKALPPRKPVEKFDKNILIEEVSLDETDTKAARGAMHDDAMDEDHE EPRVQCANQ JR316_0003273 MPIPSFKAFTLALIQLGQVGSNKVENLLHAREMILKAATGQGHT KKPDLIVLPECFNSPYGHTHFPVYAENIGYVPGTAYDAANSSSESVKMLSSVAKELKT WLIGGSIPERDATDDKIYNTCTVYNPNGDLVAMHRKVHLFDIDIPGKIKFKESETLTG GTTLNHFDTEFARIGLGICYDIRFPEMAMIAARKGCHVLIYPGAFNLTTGPLHWELLQ RSRAIDNQVFMSMCSPARDMTAGYHAWGHSMVVDPMGAKLCEAQEGEEIVYADIMPEV LKETRAGIPVTVQRRFDVYKDVSAD JR316_0003274 MPKLTGLSKGIAIPYGASRTRGHGKRKHTVANKSLRQIEKEKEA QAYEISVLSFTERQRLLWADRLQSAHDSAMNVDVNKPTEDSDWEDEMEEGLRRPPPGE KGLLQSHAGGEAVMEDMMAALTPNKRYDLRTRRKRIQQRINAWQKQVPLLAARFLEWK HNDGDFPSPSEEKHSWSIQQFPEGSSLNQDHPIVFGDQSFQHLPDISYTNKTLVRHGF IGAAPEKPTIAFSLEMLAIYRQLRRVCPRFSLDALARALCYIHQLPCQHNLSDHLSSA YDCYLEIDRHICEWQRNALGRSPRWECKHVCAPCLYKTQDKAPLKYSMLAAMDGNNSL KIVDTLFCSETVRADDRVSTSWKWLTPEEADVFKDEVNKKTKFCLTSYNKTPDEGPLA LHNTAASTASAMPSATQPPPNLNDNATPPLISLSLALNDNMVPDSDDIDIPWLNITEL DELAKCVNTCVERWRNAGPEAQKKMFSLFAIAGIFLINEIFPCRRRSPYPVVRFRYLR GL JR316_0003275 MPDQALERIDTKSHYLEALASRLNTGPNNYKSYLQAERAHLLSL KSEPPEVQRALDYMEKLKEFEPVKREYDAAKRDYDNLDWSVRYQTPAIAAIRQRHQTL FRKLELKEQSLLIYEEEHGITERWDPNSESYKRGEKLLQERNYRHAVDNLERLVVQRL FELMKLRMNGVGYKLREKISKALHARSVAIQTALKQYNTAASLLIPPREPLTWATVVQ AATVADFDLLRDTRNDICQFPWTEPSRREATNFYFRIKRARKEIVHLNVEITRLLTFL YNTHVDYQRAICNNNVTDLPLASYLSREWNRQNRIAEEIVNQLVQTSRLHGFTGSLKI GSRVGRDPELCEGIPPPAWASLLIDQPMPMTAAQEYSAEDEIAREVEHVDLNLVVELI EQITMRTPQLSDFPPHHFDYPIMHSPNANQPPYILLKSIERLLRLSSTKGQVPHWRDI KFSALGLYQLRSWLGTYTAAPNLFNTNKSCFRASDIAFFAAGASTDDCHDHGIQHFYS DCAPFRNELTFKIGYSTEPLKKERNKLEDEI JR316_0003276 MRHGGTVVPGSCFLPEFLKVRVYLPPAFIAHNPDLHPAICDIVQ HFIETVAVRTAEKWSPRAKRTLNYSMTQKGRPVPNPFPSVSIPLPEEGAACYMFFGGP SVHDSIYHSSPPPPIVSISHNRSTSPSPSNSSDMYAVKSLSDSDCTILGLQEDISALQ KANEALCIELQAAYDRLHILEKQLIEARTIVPTHVPSTPARAFNTYSGISKTPTHVPK TPTRDVKKVTARRKTPLRSAFETPSHTHASPAPAASLRSPFSGAGSFWVLSPSISTAD LFDDPTDSFLMLPVCLEQHNMSSLLPKIQEIAAYHPTEVHFDALVNLGLLNKIAQKIA AIMAIDASVLSKQDLSV JR316_0003277 MAPQWHFTFESLEKYMKVATKSWATHDVGTRIEAFAIAGCDPIN LLTSSKKKAEYYKTKIRELIASKLRDITGNQNAVMQYSRYDEDIVMRYGVVLERWTYE KLINPSALSTSLPSLKMLHEALKKGDCKFVKLTSEQKKEWEKAYMERVQKGEVECCKR KKRSDAGVRRKTKRVRSNDQVSSDDDKENDSDDGDNSADANN JR316_0003279 MAEGSTNASNEDSGHAYGIMFGPAGMPRPGSRDAFEIFDGRYDE VKSFLKVIDQLYAKYRITSAADKVSLVLDYCAPAVKNYIKVTEEFTANNWEKLKDNLL RAYDAEQQEPIYDMTKIHCAAECQSERPITNLKQWKKYVVKYTTRAGQLLKKGRMEAK DHDRTQPYKVQDVIKVAEQHFKQDQFMNQNLKGILDASEVQTARLVAKGKILTKRNMN VSEKKKRKGKKLSKKLLPSKPQICLKVKWITWLKV JR316_0003280 MHTQQQHYGSSDTEEDSEPDAQAYLATRTTGKQTTEARNRATRV PSKPAKKVFDGVYPPPRSGNTGTKPKVTPSAPKTSSSIIPINARKLRNNQDKPMEVDE TVPQKVARTITKNAPKHKSATKEKTPKRPGRQSEISKQVKATKTPMTVTLETMLGISP EVSSIL JR316_0003281 MTTAADLVPTAFEEQPRGRFAPLTLGSIEAELLSIKPDPRFPHL SLVWLQFPNAGLTYNRDGERITATGTAHACFHFRNNQPFVYWMAERMSINADLLDFPQ PDDAEMLDPESDLEYLPEPASDQSEPNVTRLNAGASPALPIDPGNKRHVPALKNNHAG QYDSRASNHTFTPNKIMVQDNTDTKDNTTTLNNPSERNLQVLFTTQSIKDALGQLEGT AQSQRREELAGFKGKVNKEGQGTISVHVNSNSEDNALKETPLPSVQDAIWRYVPCMDL LFSREFSDNICINTESGPKYFTPPFTIQAWVETHHLYLPVPERKYNAIWYPDLFHYEF SYDEQQIIWAIYQQLQRTNNITDTIPMHFREGGYIEGYYESIPPIWAPFVCIRFPILN RLLACVADVWPTSIFGSFVALKQAKPLASVVNKSQQQESEPNELHYSTAPRSSPISLP EDTELQPHTFTTPIHISYHLLG JR316_0003282 MFRAKTLTSPTIGHPLFIAADGQPTYVKYDGQHTIHSKDYPPPS AAVASKSSITIITTTDSDSEDLAHMPCTPTPRTEVWERLCKDTMDHYQNQRPRFPASY PLVHLEHHANHGDIVMVEYEEETEEVRYIATLRVAITHKFFFIQLNAYTINQRRFQAF HFIFPSLIVRIPSRLCKVPIPKELVEHYCPFIYKTVPVYNAPPTLTLWGSIISSV JR316_0003283 MHQQPAFQDILDLTLCDSKLDTTSKNATDILGQDTTPALPSTQN HAIYINAPQSQPDTTHASLIHGPSKSGFPLKYACDMDHCFKHMNDLPGNSKTKIKFKS VYGGIPYVSSTYSDHFKIWKKVKDTLEFASAVAAGHSTEGLWSTVF JR316_0003284 MSMFQCLQHLVRHFIPPLPFLPHHIKSSTPGTRCTVSAHAISAD ILNNKHNAPLHAPAQSPLHAPPQNDPPQASSSQASQNPTPQLYGRMVDLLYQEKLTSF PEMFTLSPVGNSPSKAQLRNLENQKVRILWWFSDDECPELFKADCATHPYFQPALDLV PSLCKAFPEDPQFTKFLLRHVCVKQCLDFEKYNTSPTARRLRDTNNK JR316_0003285 MESVATSSLQIPLDVFLAERLKTLPVNSETIDDHMVVNGEITSP EIEKLNNELFGPEPKQWGDIPNFNNQRERSLDILIEEHVDYGENNEDLYNGYYDDHYV DRQRLSHLEMITTQQSTGWKRRSSL JR316_0003286 MEPSKRRRIRTPSPVYNLDEEDDAYVPYIPVQQRRQEKLAKLSS LGVNSDKSNTRKVQEELDEREDAQREEEVRREKARKERTLLLEAQEVHLKKAAEDSKK TAGEKAQEIDAEILEAIKSRRKLASDMELAQGIQYTEPLKTSWRPPRYVRERSPEQDQ KLREKYHIVVEGDDIPPPIEHFQDMKIPDPILEYLRSNRIVSPTPIQLQGIPVAFSGR DIIGIAFTGSGKTLAFCLPLIMMSLEEETKLPFVRGEGPVGIILCPSRELANQTYDNV VTWCSALAKDGKYPQLNSLLCIGGISMNEQSHVFNKGIHIVVATPGRLIDMLEKKRFT FNNCKYLCMDEADRMIDLGFEDDVRNIMSFFKNQRQTLLFSATMPKKIQDFARESLIK PVLVNVGRAGAANLDVLQVVEYVKQEAKMVYLLECLQKTPPPVIIFSENKNEVDDIQE YLLLKGVEAVAIHGSKSQEERRYAITSFKSGAKDVMVASGVASKGLDFNDIQHVIIYS MPKEIEDYVHQIGRTGRSGKTGIATTFVNMNTPEQTLLDLKYLLMEAGQKVPPFLSTI EDPRAAQIRSGSITGCPVCGGLGHGISNCPKLEDAQRRQMASHRTADDGGGY JR316_0003287 MGASQSKSDTPDEKVFQSETPISFSPDVVNQLADRLDAPETTPE RQSILDAHIRARIRDELEHLKRDEAAVRQEIEQALEKENLDRETSMAGEASSPEGESE STTGSIKNSAALFGDLEEVKAKIDKYQANKKSTEYPEVEANGAAVADCYRKNKDKPLI CVSEVTKFKAAVDRLEQASPIFPVITVVR JR316_0003288 MVRIIIKGGVWKNTEDEVLKAAIAKYGKNQWARISSLLVRKTPK QCKARWYEWLDPSIKKTEWSKTEDEKLLHLAKLMPTQWRTIAPIVGRTATQCLERYQK LLDEAEAKENEDLGLAGPSGDAGPGVDDIRRLRPGEIDPDPETKPARPDPIDMDEDEK EMLSEARARLANTQGKKAKRKARERQLEEARRLAVLQKKRELKAAGIIMRHKTKKKGM DYNADIPFEKKAAPGFYDTSEEQARVAAAPVGQTLRRLENKRKPEEEEAERKKRQRKN AAGKEGSEGANHQTKFIAARDAQIQKLKEAESIGRRRKLALPAAQVGEAELEDIVKIG QAGENAKALVGGGSDASGRLLSDYEGLEAARMARTPRTAPQQDNVMMEARNLRNMTIA QTPLLGDENTPIHVGPQGGSGFEGATPRHQVAFTPNPLATPIREGGSDVSATPRAGGV SATPLRTPLRDSLSINPEDFSGVGETPREQRLRNNYARNALKAGFMNLPKPENNFELL VPDDEDVDTAETKEVLSVEDAADRDAAIKKRQEEEERKALARRSKAVQLGLPRPSNVD LPQLLKSLSLEEVDPELSEAQKLIDKELAELLQHDSLAYPLPGTTKAAGMSQSTYVPP DDDALEAAKAAIHLELATMTGFPNATPEQLKEGLLKLSKAEASSLPDDMSWAFIKSQL VYNPSTKSWVERSSLSTEQCIEGYATLLEDNRGVMAKEASKAAKVEKKLGVTLGGYQA RAATLVKRITTAFEEIQNKQVEMESFVRLRANENIAGPRRVEALKEEVEKLEFRERML QMRYSELTMEKEESEKRVTVLEEKVMAEAEAYNEAQLAMIEE JR316_0003289 MDPQLDAMNDQKPVTKTPVVRGARACTVCRTAKMKCVGAEDGQK QCQRCKRANVECVFEKHRRGRKPGSKLSEASKMLRRLEKGLNSAKMKSQSSDSTSPYH TDDLHPPPNQDTAYSPIRPSDPPYSSTSTHFPKNELSTPSIPPYQPLDAYPPPNNGSR PMDIDDEDEDPDRAEEAFFPAKLIRQENRNSFFGIILNPKPEEAPAAAPQRSNSFTPP QNTTPSPSGLSDPITAGIITDIEAKTLFDAIFLRLNPFINLFDPILHTVEYVRSKCPF LFTTLIMAGCKFFKAEKFKECQKLANEYTIRAFAESWKRVEVVQAFACLTYWKDPDDS RTWTYIGYACRMAVELGLNRYTPNPPPGETEFQKLERRNRQRTYLVLFVHDRSLSMQT GRIWMLPEDDLIRNCQNWHSEAGPSIRPEDAVITAFVSLRRIAAETTDIFNSSKSSHS DINHDMVLKTCNARLNQWDEHWRSEMQKVGGEKFHHSFLSFFRLHVRLFLNSFGLQPS MVHGSNVTPNVQALNACLTSAKQSLEIASQDFHSIHVLRYGQDSITVMTAYSAVFLLK LLRTNLINIDSDNLNVAEIHKLISQTADSYQDASLSSPVSISASYHARFLRSLVANDI FKTRHVQPSHSMPIDPRLQSSMSMQTSPAKMYSPQSGRVQDQPAVTHVVHTHPNFHFP ASPHLPAHPTPTVVQQEHNDYAQHPQAQVPGRSPMTQSPPGGVVNPIHYPNSGPVSNG GNVGGNGNLSGGNHNLNGNGNGIGNGMSGMNNGYAPAVPPHSSDLDAHYWKNMFIELG FGDTVDPNSMPVGVTMVRGVPQYMDQQQQQQQQHHHHAQQQHQHHQQEHEQQHHQQNL MPHQQSHHMQAQMQYHIHQPQYGH JR316_0003290 MPIDLEFLHPTDIYALRPCRNPDATDLIAIGGEHSVEVILVSDT ACRRIACFHVGSRITTLAWSSKTTSPTSTEKWTLELVAATSDFGLHLLTKSSTSSEYI FPFGGGLSGHHGRVNDMVFCGGWDEDSSRYVATVSDDKMLMVWDLHPVVDPTQGSRTP SPALELDISMSPPMSSRPQPTAYVIPFPHPLTTIRNHPATSKEFIVADARGSIFLVDW RSDPEEEASAAELRHSSVIELVEPVKLAGEIMGGNRDAQGKWSACVDWRVDSMDVVGG VFGQKFAIWDISKLRGGLPHITGPSFSEGGRIFRWCPTHPDYFAISSQSPTKGAIVHV HNYSYVHAPPTVFTLRPKPHFVRDFDFLAAGNIPRLVIAVGRILIVFPIGEEP JR316_0003291 MAPTTDTPVFDDKKVTVIFVLGGPGAGKGTQCAKLVEDFNFCHL SAGDLLRAEQNREGSQYGKLIQTYIREGTIVPMEITIKLLENAMGDALGSKTGEGWGE GRGRFLIDGFPRKMDQAVKFDESVCLSSLVIFYSTTEEVLLERLTERGKTSGREDDNI ESIKKRFRTYQNDTMPVIEYYSAQGKVAEIDSSPSIEEVFKKSTGVIKEVFAGKYQKA T JR316_0003292 MLVAIINPASGHRQASFFFDHHLRPLLPAINHVFTTTAQGDAEN FIHHLPDAPLTIVLLSGDGTLHEIINALPHRNISFVLVPCGTANALYASLFPPAGLDK IEYKLQSVRAFLANAAPVHISLASASIAATSSLASVVVSTALHANILHHSESLRRSHP GIERFKIAAEQNSTKWYRARARILPVPGDRVQIYDPKSSAFIPHPDASPDLELDGPFA YFLSTVNVDRLEPHFRISPLARIIAPPPSTCDIIVLRPLRDPQINDDSDDARKTYVEK VWQVLGAAYQNGTHVNFTYETTTGEIQVNGDGPVVIEYFRAGGWEWFPDPQDEDAHLV CADGAVHRISPGERAACIIDQTPHTFSVYGPV JR316_0003293 MFSCRSPLREQQIPTRLAQPLLPASYLLYLLSAFPPQPQPPTNW HEKNAQPIPQSPQPSALAVLLFSLPTPSRLLFLLNFAINTLLLAASADLAISPFFDTA PDVAFTRVGAVYPDSVKLLVRHPYPNDSLAVLYREQSNSSLPWKPGPTLNLTEHSDWA QTVRLAGLWPSTSYEYVIADSNRTALDRPIPFRTFPDPRLHAPSFFRFLATSCITPNF PYAGPFHRRTIPGFDHLADYLYAVNHTQSPIEFLLFLGDFIYADVPTYIGDDQEAYRR LYRRNYASPSFRKVYEQLPIIFAYDDHEFINNYGGNSLDLPPFANASNAYHIYAGSTN YDAHENYYDFQHGDIAFFVMDTRRYRSPPNTTVEPKTMLGPTQLAALHAWLHRVNTTH PAPFKFIVSSVPFTSLWTHDAQIDSWAGYADEKAALLAAFHSVPNLIVISGDRHEFGA VEFAVRDGDPGYVVREFSTSPLSMFYIPFVHTMRERSEAFFTRNVTEGVEEVPYEKRI AYIPTGNVKWSAFEVDTRDIDKPTLRLETVIDGKPAYHLEIVGTPVYPPAALSGLSSL VTTNVKGIFDRIGLRPSKWF JR316_0003294 MTFFFDNDQVLTSTWSFKEEEKSDDDAVVLLGHRLWQALSSKPA SGRICISLAPVSSASDASNVLRSVLSWASLNDKDPNQPLLIPSAWVKKHPQIFTSSSR ELFVKLEEPLTLTAVIVTALSDDAYEKASSEQSVVDTLLFNNHPILHEGDVLAYTGDV TRDLNKTRLEYRLELLEPVMQGIAAKGTTKIILMSSQNFESSTISDQDSSESTDGTQD AIEIDEEFLGSSVMNLNLDSPREHDAYTSDSSQDGEASWSGYTPINLSAPVDASQDDC TLYVRTADLGKLGLLSGYWAIAGIKPSSSRLVRIIANDDAVKSTGSLAGSPLLIHNTY GDEAESYARSPPQLSIRSSPFGSSNPAIPTARAITIARVASPASINRQYQPLFLESLK RHFASRKRLLKQGDVIAVSIDTDVARLNPDHEHGTFGTSRDFRPNEVVFFKVTNIEYD VVNHANSSVQDLYTGSTLGELGCWVDTSITRMIQTGIEHSRVPRVRSYMNIGNSKSYS RLLTLPASRLIGADSPYAKIHALTSAALSQRAMDYNLQLSFLLKGGRGIGKFTVASWV AESLGLHLLEVNCYDIIGDTDVKTEATLRVRLDQAKECTPCLLVLRNLEALSQTTQAP EPGKEPVIANVLAECLNDIQNGWKLTGYPVIVLGTTSESGRVPKSLQSCFKQEINIEA PDEVERLEILQCLVSGEIIGSDVSLQHVATQTAALLAGDLRDLVARAKAASIDRATST SGLVKNDTFLRNIALSAADFDVALSKARESYSESIGAPKIPSVSWDDVGGLAHVKTDI LDTIQLPLEHPELFADGLKKRSGILLYGPPGTGKTLIAKAVATSCSLNFFSVKGPELL NMYIGESEANVRRVFQRARDAKPCVIFFDELDSVAPKRGNQGDSGGVMDRIVSQLLAE LDGMSGAGGGDVFVIGATNRPDLLDPALLRPGRFDRMLYLGVSDTHEAQLNILEALTR KFRLDPDLDLRSIAEKCPFNYTGADFYALCSDAMLNAMSRKAEEIEEKLAQLNAQPGP YVHPHPITPQYYLAELAKPDEILVYVSKRDFERALDMLVPSVSQAEMEHYALVQKRFS KIKEENETESANESKGKGKGKAREA JR316_0003295 MSSPPLKDLVEEWIALDPNPETRKEIQDLWDSRNVDELEKRLRT RIEFGTAGLRGRMEAGWSRMNDLIILQTSQGLSDYVLEHVKDAHNRGLVVGYDHRHHS EHWAQLTAGIFISKGIKVYLLRGFNHTPMVPFSVKQLGAACGVMITASHNPKQDNGYK VYWENAVQIIGPHDDGISKAITSHSHQGAPASQLDIFQSNLLVDMTKQLQTEYFEYLG SLLTNRELNSDSGNKFVNTSMHGVGDYFVKEGFSIFGFPSYTPVIEQQQPDPEFPTVK FPNPEEKGALDLALATAEREGINYVLAQDPDADRFTAAERRATGGWTLFTGDQLGSLF ASRVFETYKASGKPIEKLAMVASTVSSKMIQSMADVEGFKFEECLTGFKFIGNTALRL VDQGFDVPFGYEEAIGYMFGSQVRDKDGVAATMLFGELIAALRRQGETVEGHLEKLYK RYGYFQTSNSYFTCTDPKITDKIFARLRKYNVNPSLSGAVYPKTLAGLDINRVIDLTT GYDSGNPPTYKPSLPLSSGHMIQIRAGNQDTSLDKRD JR316_0003296 MLYRKYRVDFWRPTFYRCTPDIIECHGPPSSLFTVSVATLKRTT KPNPMPLTIWKPGTLGPGSLVDRETVNEGHVVPYAPAATNPYSIRATTESLPIFKHQK NLLYCVERYGVVIVVGQTGCGKTTQLPQYLYRTGWADEGRVIACTQPRRVAATSVATR VAQEMRTELGKKVGYTVRFENLSDPQETRILYMTDGMLFRETLMDPLLSRYSVIMVDE AHERSIHTDLLLGILRKQVSLKRPSLRIIVSSATLDATKFFDYFSEGGGKDEVTIVSL EGRMYPVEVAYLQEPVADYVSKAAETVWNINLKQGPGDILVFLTGREEIDRCLQELSD MLPLLPATATRLLPLPLHAGLTTDEQLQIFNSAPPGTRKAIISTNIAEASVTIEGVKF VVDSGFVKIRVYNPTSGLASLATVPVSAASATQRAGRAGRTSPGICYRLYPMSSFKNL PLTTPPEITRTDMTTPILQLKSLGIDDLVKFDWVSAPPAETVLRALEGLYAAGMIDDT GKLTEMGEQVSECPVEVNIAKMLFSSKEYKCGEEILTIAAMTAVQDVFVIPDGAPGAL AELERRKFTAQEGDHFTLLNAYNAFTKSRRDASWCKSHALSYRAMHRVSTIRQQLHSY MKRFHLPTESCEGDAKRLAKCLVSGYWRNGARWCADGTYRSVRGNMILHVHPSSVLFT RKPRTGWVIFHEMEETKKPQIRILTEIEPDCLVGHFSMSNVESYDSYDELNDARYVKF PVPPLQSIFYGISGSTPRPGFFAILFEALCLNNQRRRSLFLAVIFTSIIFLVSLREYI PSAEFLDSMVPTSALDFIAAESHNLTTTPFTNVAEPVVFTLIMWSEDSAFEGAILIKS IILYNTRPSDIHIICDDSAEKIIRSRLELVQYPLHRIRVWFYKPSWQSMLDRIHREGT LATDHPAGLPGLMKLFIQEILPPTVKKGIFVDTDAIFISDPSLLWDVFTTLKPETAFV MGSHPDQVAPDWNDASKICSCIMLLNLDKLRALRLMDSSAYRALDDFPALSPEAFKAK YGEPSGEDGRYNNVHLGDQGYWWAIVDFRPDLFEPLSYDYEVTTCLLDTYSAGLGDDA ITEEEELSRQSHVKGTPQEGHVVLPKILHFNCLHGSARYYEWPGWSNPEEGWNIRWGS AVAYHKGYKWIWLNQGKNDHPEHKIEMFAIENITFADEMLLYNDTAQASPESLVAN JR316_0003297 MVSRTLMGVWVALDFCLLVAGALSVALSIVWRAPNVLMNMVLSS ADLTAGTILGVAFLITFAISVGAIIQRNHVTLGLVILNWTLLVDAIGVLIIGSFVWIY TLRERANFYVLWKAASRDDRLFLQDKFKCCGYFNGTDFAEIGGSFCTSQDVVNGLNPN VTSNFCVTPVTGFADVTLNNAYGFMAIVLCLLLATICVIKKRNEDERFKKIDAKRGGR GFV JR316_0003298 MDDWDTKVVIGSKRNVAKVTKKDSDLNGSVVATDKKISAGGNKA HQGTDHQRIAKLDRENEVAPPAKVAPSVGRAIQDARLELKLSQKDVAQKVNEKPSVIQ DYESSKAIPNPQILGKLERVLGVKLRGSDIGKKLSELKKS JR316_0003299 MPREIVTVQLGQCGNQMGSVFWQRLCAEHGISKDGILEEWATEG GDRKDVFFYQADDEHYIPRAILVDLEPRVINNILTSPYANLYNPENIFVSKDGGGAGN NWAQGYSAGERVYEEVMEMIDREAEGSDSLEGFMLMHSIAGGTGSGMGSFLLERLNDK FPKKLIQTYSVFPNAQEGDVVVQPYNSLLTLKRLVNHADSVVVLDNGALSRISADRLH VQTPSFDQTNQLVATVMAASTQTLRYPSYMNNDLVGIIASLIPTPRCHFLMTSYTPFT SDQIDKAKPIRRTTVLDVMRRLLQPKNRMVSTTPSKTACYISILNIIQGDVDSTDVHQ SLLRIRERQLANFIPWGPASIQVALTRRSPYIQTNHRVSGLMLANHTSIASLFKRMLD QFDRLRRRNAFLEQYKKEKLFENGLEEFDDARATCEELLKEYKACESPDYISYNGDTE QAS JR316_0003300 MLSLNGTAFTNYGWAVCFWSLIIPFQYGYHISVLNQIQGVLTCK VTAVPDALHALSPCIPMSDLTFSLVTSIFTLGGLAGSLVANLVMDRWGRRGTNRICTV FMAVGALFMGLSNSVFFLLLGRFAIGIASGLGLCVGPIFLSEIAPSKISGSIGVLTQL AVVLGIMFTQIAGINLAYPSQWRIVFFISFCLAVFQFISSSLVVESPAFLLANQRLEE QKTAARRLWGNTIPSLACKLNLHSPKYMLIVHIAEESLLNESDDEPPAPAQENVRVPQ LFSMLELRKPLMIVSLVMVAQQISGINAVLYYSNAILAKSLPEFGSYISLGITIINRM GRKPLLATSFSGALFSLIALGFALGSGATTLSSVAIITFVMSFAVGLGPVPFVMIPEV SPPRLSEQHGIDTYLHFIRRLIVHTQPRLLLIPPASAFESSINLTFRLLVQETQRLAR DPFLADRFRDAVGGGEGDVFRHFDFARFVDRIGLRPLERVVLAASIIAGQTRKELATQ AAVMVRTEFDNAALELAQSPPLEHANFDSEQISKLMSNLLSDIPQDAPILDANQRQTL IIAAQTKVGKEALGPILRRIFAKLSLPPATSLVEVLIQLGPEITSDPEIVKALLERFG ITDSNPPRDDQVVEIVSTLSQLAGEGAVICDVGAFVRALVSYNVPLNWPGVIKSFDWP DRHGVDTATLKMLIAILLNSPRDADPHAVTGFWQTWSNPLYQLRLLDALLSLPADTFN FVQLPGRRIVTVDDVSIASPTIKSLAANVQGQTWNSLELFQVLVRLAGSEALEVRSCV REMLDKAIKISAEIVHMGLLQVPDLPWNDIRLEYSRKLLGMFLAGHPNHQLVFMRIWQ IEPSYLTNAFRDFYDENNLNITRILDVAQDLKILESLLEVRPFTFALDVAALASRREY LNLDKWLADNVTAYGTEFLHSVIRFLEQKMESEKLCRLSDPPMESRTMALNPTTITII LRVLRHNSSLLNENDLAACVGVRDSCLQIHPRLMSMSPNNDSDTGMTVITYSNEIETE VDGIYKQMYDENISIDEVITMLQRYKESNNPRDHEVFSCMIHFLFDEYKFFQSYYPPR ELAMTGYLFGSLIRHSLIDYMPLTIAIRYIIDALHCPPETNLFKFGMQALSRFESRLK EWRPVCESLLQIPHFVEARPDLAAAMQRILSSPGDPADNVSDSHLMLSNPPYDSSPPF SSIQPDVISEPLEVPPEELSDRILFIVNNLAPNNFDSKVKEMREQFVDQYSRWFANYL VDQRISTEPNNHPLYLRFLDALDRQPLFKFILQETFIKAAALLNSDRSIAGSDRNALK NVGTWLGTITLARDQPIKHKNLSFKDLLIEGYESGRLVVAIPFVCKTLEPCAKSKVFK PPNPWLMAVISLLAELYHFAELKLNLKFDIEILCKGLDIDLDAVEPTMILRNRPLDSM TGLPLPEYTPDISLLPIGNENPAGQIGDSQILVLGGQGSPESQRALTAHIEAILGNLM HLVHINGQLAPYDNNATFKRAVQHAVDRAVREIILPVVERSVTIAGISTRELVSKDYS TEPNEEKLRRAGHLMAQKLAGSLALVTCKEPLKSNLATHLRQSLADAGFGAVADSAVI LLVQDNLDIACAAIEKAAMEQAVTDVDDGFRTSYEVRRRHRELRNGQPFWDPSVSHSA FTSSLPDPLRIKPTGVQPLQAAVYEDFGNYFSTTYMQQICDSPSLDHALNQGIHSHQD AMERFSILTRDLEAVMLQYPNQSLANLPPNHDIRHLVRQIMLLAEDSANREPTPLMMS QKIVQLLYKTNSPLGREVYVALLDQLCRMFEDVAKEAITWLLYAEDERKFNVPVTATL LRSGLINVTLQDQQLAKLLYDDPRQILMSFAANLIRECLSSDPPVATQGQFAYSIEML TQLAAAGKGNEDVNRLVDDLRGIRRSSGPMSPDVAFALQPQVKAENDQLREKLFIWFQ QWITIFQRSHSPEKSFVPFITQLTKQGILKVEDVSSFFFRVCAETSVNSYIKNVAAGE LDYAFQPLDAMSRLIVYIIKYHGDASGVNNNQAKVHYLTKILSIFVLVLASAHEDQGP NFQQKPFFRFFSSLVNDLHSIESHLGPVYFQLLIAISDTYSSLQPTYFPGFAFSWMCL ISHRLFMPKLLLSANREGWSAFHKLLLSLFKFLAPFLRDADLQVAARDLYRGALRLLL VLLHDFPEFLSEYYFTLCDAIPPRCIQLRNIILSAFPPAIVLPDPHLRNIKFDAIPEM GPIPPILSDFASGLKTGDLRGYLDQYLLNRGNPSFLPSLKDRLKVKSNDSTENYNLSL VNSLVMYIGVSSVAQAKARSGSSLFVATDPGVVALQYLVINLDVEGQHHLLTAMVLHL RYPNAHTHWFSSLLLHLFLEVNDDRFREVMTKVLLERFIVHRPHPWGALVTFIELLRN PKYDFWSKDFIRIGPEVTMLLESVGAFF JR316_0003301 MRLFAFSLLSALSLPLVNAATADQWRGRSIYQIIVDRYALPEGA DPTACNPAQQSFCGGTWNTIRDNLDYIQNAGFTAVWISPVNQNYEGPRSAYGDPYHGY WIADATKLNSRFGTADDLKALSAELHRRDMYLMVDIVVNNVMATTITPDYSKFMFKDP SMYHPYCPIDWGNTTSEQDCWLGDTKVPLPDLDTTNPTVIQQYGDWIADLVTEYSIDG LRIDAANPIAMYQGPQALDSVLNFPLYAALLGAFQIPGPADITTLVNVFEESKKKFAD VTLLGNFLENQDVPRWHNLTVDPQSMYNAMTFTFMSSGIPIVYYGQEQYFSGNADPMN REPLWPSKYAQTDAYKLITTLNKFRNFLVNTTDWATQEAQILTAGPQGLAIMKGPVIS IVTNIGSPPQNGTHISANTPYASSSALTNVITCQQWAVGANGKIDAQYTLGGVPNILI PSDMLHGSGLCGEQLKTVADNGGKAAALSSGHRLSFPSASASVSLLLGFLFCLLASST JR316_0003302 MPGNSISYHRRFLLIYPAQLTTVSSGLRLMPIGASLDGLKLVQT LMANLKLHFLKFGKWKNLRATEGWAVLQHHAVLRTTITLYPPTNSESATLPRLLVDLK QGSFFSLRPQDVDLSAFIPKWFSGNIYDIPHASLHVVDLPSPPSLNVPTKYDLFISGD YEIRLFGDPLVKESDVPVQQIYLSLGLQNTEEHLVRHSSGDVVSDFVAGFSFGQAIGV GVQSIDGWWTVNGLEMGHQIPGLSLVVQDGFTIAPSQTRVVPVIIKQTLPFYGDSLEF TLLLTSDRASKNLSVSIPLVHHDMKTVGSISIKASYFFASSMPTAFIAISPPSRSTIK KAPPIMALHGAGVDILGDGRFVIDAFPNNKEGWLVVPTGRTSWGLDWHGPSTDDAWAS LEALSKISREEIRGLPESWIIPCNSRVIIVGHSNGGQGTWYVASRYPDRILAAAPASA YIKSQAYVPWTLARHAHFIDPILRSILDSSLTPDDNDLHLSNLVDTPILAVHGGDDEN VPVWHTREAISTLKSWYPSANISYKEDPRKGHWYPDVLNNAVVDAFLGQVMSSSIDQT RSEEFTLTVTTPRSDGSLRGWNINQVAVPGRLSRLRVRKISNRKYEVEPSNVSIFTVP IHDGPVEILIDDIVVQISENFIDRVSTQVRQATSGNWENLIGAGMELDYFTIKSDDTA PESRERPLVIVIPKRSGRDDLLAALRLAHVLNVYHALDAEIVTEETALLWNRSGKWPI GNVVFIGHPSSTFAQEVLKPEAAKTDARIVDSHLYFGNKKFAKAGQGALFLHPHPIAV ADGHGDRQSLMLFMLYTDKSALEKLVRLFPFRTGVGVPDWLVVGEKMDSFGAGGVEAA GVWNNDWKLSESMSWFRR JR316_0003303 MLGPLQGIQSNNQQQQLQQQQQNQQHQQGQQGTIPPPPPQNGQD FTLSSVLHYLQTEWRRYERDRNEWEIERAEMRARIALLEGERRSFENVKLDLMRRIKM LEYALRMERSKQLTQPASQSTPASKLSSLQSNAASSSQKDDSHSQKGESGGSSPRSED SPLPDSRLPNGSTNGPSGKQSSWGATNNWMNAAAGGAASGLGKPPLGRDPKSRARSRD YLKQCLQEISYLTSPQAMNPLPNRPLLNTPSVPLSLPNIPSFDQMAYNGRPRKVLPEA GKEFPLLNGMSTISGPPPPAVPNGTPPLSILERGNHLNQGLLPQQQQQQQQQQQQQQP SQTQQPAQPSGQPQDSSNASTSQADKDREGESENRQFTAIFRPDDAGKWKEKLRRGDE PRTTEELQQSLDEGSWERQEDDEGKEEEADVEDEESSLVGDGESTKLWRAKRTLRNHL DAVRALAFHPTELCLATGGDDCTVKIWRMDVASLASSGSRATTEVEPQLTLRGHSAAI TRLIHSPSKQLLYSASLDSSIRIWALPSSTHTTYAPYDETRSRGELVGHTDAVWDLAL VRDESTLISCGAEGVVKVWDVSGPSGGGSLKLTWGWHGVVDSADDLHENPDAPGATSV EAIKTDLKKVAVAYQNAVVKIFDIESGKELSRLESDMSYDGTPATQINRIVSHPTMSI LVTAHEDKFIRIFDLTTGFSLVSGSHDCSVRFWDLLGSRTCIQELTTHREKAREGVLD VEFHPSLPFMASGGADGVVKLYASS JR316_0003304 MPLSPPSSPVSFDLVVVGAGGGPDETNLSAYLVKPHDAEWEDGI LALEAGSGQGTLAQLLRKNPHLFLNPVNSEGSITKMYSASDIYSFVRCFLVTHAHLDH INSLVVSAGSLRGCRKRVYGLKQTLQDLELAFSDRLWPNLASWKEKDDEIKLLYSALI PDGKYKVVCPKIAVQGMPLNHGRNTLGGQHTSTAFFIRHEPSLREFLFFGDVEPDSIT NEPQTINVWRIAAPKIPDTLSSIFIECSWPSGRKDDLLFGHLTPEHLGNELSALATEV VKYRHSSQHSEGRRRPARKKQKRNSLTSADLKDALAGVRIFIIHCKDEMNGDLDRPIR DLIVEQVRRIVEERGLGAQVLLAKQGTRIGTSGYLFRTI JR316_0003305 MSQSATNPSEQVVTQNQRLFNKYANVFKTADPVHFVSHTRKLAT WLSDYKAYYDDARPEIVRLLMIAQNAVKAHLGIQDIQTPFRAADYPNDIMVLAYVQLV AAVEPFTSYFSREPGILAHVQEITATIHAPRTLTLQNQTVSYCTSAQPSMPEVDPTRS NDSDPDVMIIEKPPGFKDAKSVQITPSSSNTISRKPSATLTKPKIKKRKTIDFNVLVD YDLKGLEEKKGGKASGDSDASKAASEVQPSVTPHADHQADAELSVVHQESQNAYIPAG EPPKEKEEPFNVASIPLIDLQKVSEPNVQDANISMSLPLDSPQQFTVAVISTSAVETT SKGVTAPERSVNEASVPLINSEGQQSDDIQANQAEQTQPIFITRVPSTESGEITENDI ENIDESAPTVDEPPADANQPSDEASTTGPPSQCAKDPAPHQTCKDPTSTRSSKEPFPS QLSDDSDSIDSPDAGKFGSKRIQIITQQVGGNVVSGIRSRFTLTEDYYERILKWAHYQ AAAGADTKLADDALCITIGSYMIDDLKEFAQAGNCDSFEAQISFCPCKWPQEGLSLEY NDDQYIPLSPPLRVTPENLFDICPFVQKGANEIILRQRTDLTQYMFTLQAHYPTKAQI FQVKQNHKKQKEWSEWVETVTRPLTFHFAS JR316_0003306 MSINFSPTPAELNIVSQIFASADPQKLGVLTGDVAVRIFGGAKL PPTVLGEIWNISDEDNKGWLPKKGVAMAVRLIGWAQKGEKITQALVNKAGPLAVIEGI TTVSQQTTGMSLSKSPPPAAFPPLTPQDKVKFQNMFLKNGPANGLLSGEKARDIFVKS KLSNEQLLQIWNLADTQDRGALDATDFAIGMYFIQGVMTGKISFIPTSLPPGLYQQAG GQVLNNGSVRSHMTGNSGSFSPVNSVFPSQHTGQSQMLQPEYTGGAFKAPTLPARPQV TGQGNGRALEWDVTPAEKASADRYFESLDTQKKGYIEGEVAVPFMLKSNLPGEVLAQV WDLADINNDGCLTRDGFAVAMHLIQKKLAGKDIPATLPPSLVPPSARAAVPTSSPFAP AIQPQQPAVDLFSFDDTPPPSASPAQTVTFSPPTLQPQYTGAFQATPVKHTPEPDPFA AASFNTSPNRDLLSDDEVHTTASPPLHDQSAEIGNVKNQLQSTNRSLASAKQERETLE QSLANQAAQLSTFQTQLSSAKAAYETEVNLLATLKERRATQVADIQKTREELIRAESD LSAIRVEKAEIEGAFLRDKEEARDLHKRMVEAGQQAEALKADVDKLKKEAKQQKGLLA IARKQLSTKEAEKAKVEKDHEEAVAELNSVTSEKEGVETEITNLETDIANLTKATEEA KLTRSVSPVDSLGFAAAQPLPVSPEVASPTSVKSNNPFERLAMSSGNSTPRSQSPFQP LAASSILSSPPVSVISPSMTASTAPTETMQEASKPIEGLPVTSDDHPTTELSYLADDS TSNTLDSVLSPGTANGTEYFMTPPTSAQDDAKLEAVEKFPSLDSLPAPIALPSSSATT AAPTAAPAAPPESDHHETDLNSRLKELDIDESDSDSDDDNVPLGAKLNANGKVPVITP QKVEPASAPAPAPAANVSFDDVFGSDEHKDTTVTNAFDDAYDSGFVTSTTAPQDTSVH ASEPINHFEAPKPAEAKPEIAGVNEFDQTFSKLPSSTAATPATFSFDAAFDDNFDFAS ASKANDFPPAPMINEPKEPSQSSVFDDAFGESSAPVPSMTPQPAVIAQPAAAAATSPT NAPNFATSFDQAFFDSVTAPKVEDKTLTTSPAPMANAPSSLQSVQGSFPSSPAPVSPK ASAPSPRSSDVSHGPPRERSPPPRMVSPKPRLSSSSSKETAEKPQPAARHSKLSIRLP FGKKKKHSEPMPAPPAASTHLTPPIEEPQRTATPASEDDVEPVKQLTAMGFSRTQAVD ALERYGYDVQKALNSLLGQ JR316_0003307 MEQLTSSIAPPRSSPKSSAPSSTQQGAGSVAKRLSNELMTLMMS SSPGISAFPKHDGNLFEWVGTIEGPSETIYAGLTFKISISFPPNYPYVAPTIKFDTPC YHPNVDISGGAICLDILQDKWSAVYSVQTILLSLQSLLGEPNNDSPLNSDAASLWDEP AVFKTQLMKHYRPVSDSA JR316_0003308 MSSSPPDISSLTLNSRASQQHLHDSYDYDGRSQPYHFATTQGLQ QSPYNHLASIAQSPLKNKPVRSALPSQWLDNNSSDSRSLSPHNNSDFSSGGGSPPLAH LNPPIGPGSPAQQNPDDDVIPTAIVIKNIPFNVKRETLLDIIASLSIPTPYAFNYHLD QQGSFRGLAFANFRQAADADAVVVALNGFDVQGRKLRVEYKKVLQAGEKERIEREKAI RRMRSMQIEKEQNNVNSIYEDYGTPLASSTLSSGFGAPQRSFSTSGAYQPQQQQYSPP GISAMPTPTYPMPLASAPAAPSHPAPSATPSVSEKSASSELDLNDPSTLEIYSRILLF KDDRMRDELAFSRTLSPKQRRVVHLIAQKLGVYHYSVGEGDERYAVVTRIDPQRQQQQ QQPQRQPHTLSRAPSAYLSPISPTTTINSLRVKKSMPDMKTLHQQAPRLNSRSSNGNI REGYATISSPSRRTSGGFGSLFSNGNGPFGGSIPPVPSLPPSLGSATLNGGSIDNNSG GGVLRQPRGPGVGGFGRRDSRIGVSESQVSARGGLDAQTYEPLEI JR316_0003309 MPSAVIVHAAVFTAGVVLGGGIAAAVSSKTAPPPPPPPPSPPAR ITPPPIVGLDAAGKTSISKELSVESNLPVQLKYGNPGPVSDVLIRKAYVAGYDRRLRH PAWTAEHLTRASLNKPDGEPSGDRQNSKFIEDESIPSMFRARLQDYFRSGYDRGHMVP AADAKSSQAAMDETFLLSNMAPQVGDGFNRHYWAYLEDWCRRLTGSFQDVYIFTVPLY LPRQEADGKWRVTHEVIGSPPNVAVPTHFAKVVLTTKPTSPSTPDVLELSTGAFVLPN AVIKDETPLQSFIVPVDAVERAAGLTLFSDAVKSGSKHICQTTKCDVIVRRFDDAQKK GRKAIAAPK JR316_0003310 MLSRIPSRCAVVGPSFRRGLATEATTASSQQPSPSQLPSEPAKK PSRPHIKTAIILNRSPILTRTPTAFEKAYYSYQARIRRALHNPFPYEFYFKQGTLLET RFNLEERKRERLAFGPGFLEKEDISEEKRQANIAAVEQLAQQEGEGEELMPRQHEADI KNDQKSLDRMGQRNLYLVVQVTENGKDIWRFPQGDVEKGQLLHQAAQKNLLAVCGDKM DTWIVGRAPVGVHKPEPQSDSPESVIFFHKAHIMAGQVVADGKNIKDFRWLSYQEIRD TVEPSYWESIKDILSEF JR316_0003311 MSISLLSSAYLEENSARIRSKLVPWEGYQRAELITLEELAAIKK VDRQPKAKCEAVLLSEGRTYALLYLNLLKKLQRVDTMQCLLILITDALQDHDERIPLF LKASQIDPDLPYAPLLRALEAQDEFVQLKTAQILTVFLSAEPAPLPTQYLQPFLTILS SFIQGPSSNKRDTSVQCLETLLSRQACRQEVWRIPNIIAGFMDILKRSPGNQMSYQVS FCLWLLSFEQNIAEQINKKFDIIPILVETAQSALKEKVIRVIVATFRNLVTKAPSQNL PAMLVCQLLPFVKNLATRKFSDEDIIEDVLFLKDELNSRFQSLTTYDEYTSELASGHL SWTPVHESDDFWKENATKLNDKDHEQLKILIKVLKESSDPIVLAVAAHDVGQYVRHYG PGKKSVADLGGKARVMELMTHPNSDVRYRALLSVQQLISQPWLTV JR316_0003312 MATVDGPGHLLSLKVMRVSRPELASAWQPFYSNSPSFSAHSSAA ILSLQGTKPLPGHPKTLRDLTHASELLTLPSSFGSIQLGETFSSCLCVNNETEVGIEV TQFKVEMQTVTSKVVLYEMDGLGQSLPGGDTLEHLVHHEIKELGQHVLACTVTYSLPP SYRPVPGASEESDPTLQTFRKFYKFAVTNPLSVKTKVHTPKSPSALLSDKEREKIFLE VHIQNLTQDAICFERMRLECTEEWEAVDGNVLTDENGHEESIFSGPTALMQPQDMRQY IYILTPKHIGITPPVHAPGSIIPLGRLDISWRSSFGEPGRLLTSMLSRRIPLIAAPQP ASALPPYLKRTVVGSISSRPQSPSSSMSRPGSPVQRPGSPALNRGSISAIHPQSPPQA LPPQGPLMPEIEAQLVVRHIPRESILIEKVFSISCAVVVSSGIPLNSSRLKRRVTLAI QHVRPKEVPPPVIAQPVEPFSPKVPSTGFSTPVSASTTFNYALAHQKILVASSKPPPQ QPTALQEVDLSHNDANLLPPPYFEGGLDELKVSALSGVSFVGPSAIFLPSVEIDLSHA QIQGDDLAKGHVVQNFELPFVGLRKGFSNIGGIRILLVEDQIVDDEDDVGKSNSKLKR AQTLKEYDVIGEVWVSA JR316_0003313 MASSSSSEEYGARRPNRLVRHDSSFLGTIKHIVTAPLNWFSTQD EDDAPDSWGKRRRADPSVSPPANDEDTLSHRSKRLRLHSPPQAQPPARRSSVVPRAAS AVLPSSRATLSPRRPGAIARTMSIDPPRRDAAFNFAQDPLDDMDMLVDRNSRPPSPRP SFRMRASLTPQPQQPPPRYISEPPPLNNLVSNPTFLRPPSSASHEPSPGPTLGTIVES ARATKSPTRHQHSALHISSTVPEDEEDKDAPAERVLQRLDLYKTPLVPTRLRSSKIPA SVAATRTPEMFKSRRSGTLVLMQDDRDRSGRRISGTGKSPLVNETKPYAGEGGMKKLL ARRKQEEEEDNNQNEGGEDESIPRARHPSPSPSVPVNVPPVPKDSDWFSTAATSGNSG SSLRVGRAKTSRNHIQRPSKTRFSAVYDEEADDGNDDDNQKDRQMLEEAAKKVPVFDI PAGFSFIKPASSIPTEGLESAKEPPITSLPFSFSKPSTAPPPPAASAQTEVQTGPTPP PVSNPKPDVVKPALPPVPVTASGIPNFFASSSVLAKAKETPPPTPIPNLSFTPSTPPS GLFSFTPGPSNKSEPVKDAENPFWDGDKKKADEPKSNLFDGFGKRSDVEPVKPGSTSI FGASTSVFPTLPKATTDSTTSLPFSFAKSDAPKSTATPSPFGSNPPPEPLKSAFTLGA PSESKPQAESSTPKPLFGTSTTPSLFGETPKAASTGTFGEQPKFPSAAPAQSTSTPFN FGAPVVSTPTVAPTPPPQPSIFGTAVDPKPEVQAPKALFGGDNSTGFAFGQVGAQEKP AEAKSTPFSFGQPAVPEKSEVKSTPFSFGQPIAQEKPAEVKSTPFSFGPSTAQEKPTE AKPASFSFGVPATTTTETKPASLFSFGQSATSATPAAPVATPAQPISFSFSGGGSTSA DVSNKPLFMFGQPSSSAPTARPVTPPKNNDAEFRMEESPTRDLQQVNGNKTAATLNGA FSFGTSGSSTLGGPLFSGIQNATSTTPASTPFGGFGSTSSGQSSNPFGVKDSKPEEPK GFNGFGQTAAPPINTSFSFGQPKPAEDQRPTSGGGFSFGAATSPAAATPNQAFAFGAP TSTNPFGQPSTASAPSSPSTFNQPSPFAFGAPAAAPATNAPFSFGSQPASPAGGANLS LPQSSGGFGGAGFGQPQQPSSPFSAPIALTPSTSGGAPGGSLFTIGAAPAAPPTGGPR QIKKLPTRRTTTKR JR316_0003314 MLRLLPLGRSYTTAAAAVAPKKEAWLYLDSVFPVQLALWDFRHY IGILREDNLLSTLESRLEGLSSVHGFKPLEIQPHRKDGGVFVRFSYSPSNLEEGKEWS ALQAALSKEVEKQGGLPSWSGVRSGTLWVVRGSPWKEDMNRFASPLLRVAFDGPDIQE QSLYELCRPFGRIRDLAPPTPVPAGTLRSSLISFQHVHSATIARNVLHGLEVPSTSGS APSKTRIRAQYDTPVKAHDIRNWMSSHPKIMLPIIIFLLGTLTYTIFDPIRSVMVKAK MLNWFDYRKFRLYEWLRVNTVDRLSTRNETVTKLEEEVWKERKEAESALKGYLSDMPT TIAFVHGPAGSGKITMLNSVLKQSRRTALIIDCRELNNSPSDSSLVDDLAKQTGYWPV FNFVNSMGNLIDLASVGLMGQKAGISSSLPEQLKQILGVVTVALRSVSSVHRASIQRQ IQDREAEEARRIQDARKRHAIMSGTWHDGRLDCIAGNGVMSELGIGDELFSEDMEITL SSDEFKNISEEQDNTQRKKKALEDVEAIKALPIVVIRNFAASSSSKEEVLDVLSQWGA SLTENHIAHVVVLSDNRENSKRLAKALPTKPLSSIALSDADPKSSLSFVKQKLSDAGV DIGISTQETHLVERLGGRASDLESLIHKVRSGMTVEEAIDDIISRGVAETRKNAFGED AEDAKNLPWTRYQAWKVLKTLSTKPETGYYDILVDFPFKGDENALRSMEHAELISIST KDGRPSTIRPGKPVFRYVFERVVNDKVFRATQELAYNEKQILDTEAKIQGYEQELSLL IDTMEKENRLEWFMRPSRCRERTRVVGQKLYSATRKVEELEHKNVELKKILSQVAFCA LFSGPFAFITAALMVLSEAYVLVSVVSKAFFLNTAQDRIFDAVLLQQGHQALVESGRQ IRSSSTGFKVLGRSLTKPLDRFSKDGILRYVLSLPLNSIPAVGTVLFLLYNGAKTGPG FHARYFQLKKYDKDTRHSFVESRRGAYTAFGATALALNLVPVVGLFFNITSTIGAALW AHNLEKTGATAEGTGRKVDSVGQSAHDIQMRDQYEVSLEN JR316_0003315 MSRYSRIFLRPKDSWTIESSSKISTSVEPGSSSSPGFSYPPSSL PSHDPDSPYAMSSPAPHPYTLGAAIRSPIETSSREGTNTTNHDQAPTNTSDYHNITEK PPESPGMGVQYPRNEIPISSNDSRSPPRPLHLRPAILLFIFLPVPPLLSLIYMIIGHA ILRASKSSPDSIFQSPLLSSIEAGATGGVILSLPIALLLYILVIPSRPTTAPEDFFDD DNSSTLRQARYIRRLGYVVSALLVLCIGGLAGPLGVTCLSNGTLDSFVGNKKLLSTGA AAAAGFLGGVIITFGALTLCIIGMATWTISMRRKHQRAS JR316_0003316 MSEFSQRKEVDAFFNFFATFDLARPVTTVADLADGAALFEVLSL VDDEYFRQPSRPSAQPSENWVLRFSSLKRLYRLMTQYFADVLQKPTSSLDVPDLQAIA KDNNLAATLAMCRLTIAIGVQCEKNKDFIDKIQGLSETDQHHLMKAIEQVMAKIAVAP GAHDLTEANMTEIQSERSQIFSEKETLEKVYQTLLEEHRALQTSHDDVLHEKEEAQAQ LRQLQRETDTRRNDKADVMMRTEIDRLRAELQKSEDNLAMAESELDKHTTLITDLTRK VDELQIQADEAEKLKDKLDEYRHAADKLQKTENVMEKYKKKLQEGADLRQHVKALEKQ NADLVDKNASLEEEYRKVAAFKPLMESYKTQILDLETKNSSRSQEINTLNFELEQSRT KLRIALAERAKDAEALELYQERVRELELSSSSRARGVTGSGLKSPGIDQGVAEVLSSE LNEQPRSAVDEDVDGDESHGQGLGGELDDAITGTTMTDLKLQIRKLTRELEAVKKNEA DASKMLVLENLLEDANRMKARYESDYLTAHREKLILQRDLEEIRSGKSLGDGAKAAIA LRQRLNETVDQYEALRKEHAELEVKYETMERELTITKSDLTLVNKDQLDILASLRESV NEDKIELEADLERLKKANKELSEKNRMQLEQVNALLLEKVNLQNEGIGQREKMLQRER DFGDLRATLAGKDIPEDVKQKLLAMHEENLNLKLANKDIGEKLAKARQASHDMLSQFV LVPYLFLKFVKQQDKRYKEDEAARAALVSKGTFEEAEASFRSQIKILEDDLARQQRMM QESQRRYRREQELMLSAIHTMGMKTARSHLQNRTQTDKTSFLSLERNKNPYGIQSTLR GRAF JR316_0003317 MSDRGMHRGGGSRGRGGDTRGRGFDRGGGGGGRGRGGSPSGPLI FQENTPVQVPPRLADSSLQQLITNFKSMKVAPDRPVRPGYGTKGTPIMLRANFFPVRV PQGPIYDYVVEISPSPTKAVDKIKIRLFELLENTPACQQHLPYIAHDRSQRLVSAKKL PQPLDILVPFVEDGATGPAPNAQVYTISIKFERELDTRQLVTYMEGQPSWRDYDPLPL ISALNLVLQQHANRVGIRVGKSKYFFPASTEAHHLGPGLQAIQGFYASVRPAYKQLMV NVNACMSAFITPGNLADRLQEFNTNSRGGMPTLPKGMIKSIRVRTLHLGYKKKLDSFG NGSARNTTFNCEEFGGKISVEQYFLKKYKKKLRYPTELPVANLGTVHKPNWVPAELCL IEPGNVYLEKLSDRETAAMIKYACNIPRVNADAIVQRGFPSLGLGPAVSPITGFGMAV DSAMTGVPGRELPPPRLTYKAGQARVQNGSWNILDVKFHQGANIASWWVLVIRDGKGR ITGPDDPNLRGLVMGFKDKLAASGMAVPFSMPQLLPPALLPDPQQDPERLNALNVVRQ IFRNTLAQKPKPSFVLVLLENRDNYIYPGIKRIGDVEMGLNTIHMQLDKALNDPKKQD QYFSNVALKVNTKLGGINHLLDDKSMAWLNKVPTMMVGIDVTHPGRGSKAGTPSIAAV VANTDRNFVQFPASMRVQRSKKEMLDELSAMLIERLQTFEKKNRGILPARIIVYRDGV SEGQYETVLQEELPQILDAFKRLSTKDRSAYRPKLSIIICGKRHHARFYPTNSQFADR NGNTRPGTVVDKGITGVFDFDFYLQAHAGLQGSVKSTHYVVVYDEIGLSADEIQVGTH DVSYLYARATKAVSLIPAAYYADLACERGRCYLNDFLVDDKSSSTGRSSKVDKEEEMK RVFDAAKRSWGEGLHPDMRDRMFYI JR316_0003318 MFPPRLALPPTISHLLAHPPLPIHSTTVSGWIRSIRKQKNLAFA VITDGSAPQGLQVVFLKGLDLQKLTNGASVRVTGRLVQSPGSGQSHELLVDQADAGRV AVLGECNPDTYPIQKQELSIEHLRDNVHLRARTSHIAAMLRLRDRLQRQINSWFEEQG FCYANTPVITGNDAEGAGEAFRLAMVESQHPASASATPPAEFFSRPAFLTVSHQLHLE ALATAISRVYTLSPCFRAEKSMTGRHLAEFWMLEAEWAFPADNGVHGVCDLTEALLKE TTAPLLDSPDLRVLWKDGDAHKLKTLSDAVNSEKPWARMSYSEAIEELSKIHPSQNFV HTPVWGHALQSEHERWLAETLVGGPVFVTDYPASLKPFYMRLNSDERTVACFDLLVPH VGELIGGSVREERVELLSKKMEDVPGSEWYLDLRKYGGAPHAGFGMGFERLISWVSGV DNIRECIPMPRWAGRMLL JR316_0003319 MPHRQLSLSLSGQAPPPSYQGQHTDDPHVPGYAFEPYAPSPPPS TTTHHPISTMGLPQPKEKDKPHIMIIPDTPYLALKGTGPDVEPTTLSGNVVLYLTEST TLKEITLQFRGKARIPMPANESLINNSTYITYVVCNHDWSFLESGSSSSSSSSHKRHS RTLKAGKHYFPFSLSIGGTLPSSITTPALGGASVAYKLRAVATRTGLAHNLQTIIPVP LLRTFTPEALEYQQTLEIENTWPDKMMYAIMLPHKAWAAGDTLGAVVKFSPLGKGVRV ASVVSTLWETTKMYARSGTQEDTRPVCSIRHEIVDGRAVEVDWSSNPSGSKSAGTDSG GRSKLGAFNFGIGNSRPTSAQGYRDFGYTDPSASGPSSASGSDGMTEQARRRLQREQD EEQERLLGYENHDVVACIKMPIPLSSFDYSASGNASAVGTPTHTPYSAPSSASTSTSH FPSLSLSSHSNHSPTSPFAGVAGSSSSSTPPVLVTPSHALEPVVVSHRLRWSIFIQNR DGHISELRCSLPVTILDGRLKEEARDASLVSRRMMVRSCPGLSDTLESDDEEVWGINR DGEDAGEGAVGSRGVAGEDGLGRGDRELPSYTAHVRDRVANMFLPEAVTVRVGNPWVV GGGSAGGSVASMPTSPAALLVDNDPLSFRPALVSALSAGESGASASTIASTPGSGNEA ADAHVMSHLPHAPPPTGSGASTPLDWVNSELLLSLSGDAVRRFGGGEANVGTPGTGTF SPVPGGMRTPPSVLQYVAPVPGAYGGSRWGSRVGSRANSRAASPERGGGNDHHHSGEH PEREGRSRPSSPVNAPASLGYVYEPLPSAPIGSGASSHKRATGDASSSSHESHGSGHG MRNLQSLFKATMKPFTALSLGGKHRHDDKDHHHPHHQHREGSSTSHSREGSTANSRSS SPVPDQSIHTSSTYPASSAASTYTYTADSVMNLPLSTSHDPIASPVASRVSEDQSVLT TASSSASLHANTQPQAHSSSSLGQPSMSTSELTSLRRAAISSPYAQANVQQQQQLQTQ QQQQLSGPALLHRALTEVPDYSIASRGFIGGVAPLSSMKGLPSYQEAVGHGGQQTYGS YQTYHQGGGRRPSPLSGSENSAGEGSSHIPTNTGTNASPPPPPNGPRRSAASVPNLTS HFAQSLTVSSGSNTTPPVPPIPTYVSGAAEDEEREVGILQRLESAGAISGLGTVAGPY TAPQRQREDDEDDDDEDGNGIEMRTRSHTVTRP JR316_0003320 MSLSAMASTIIDGSVLEGGGQILRNSVSLSSLLSKPVKIEKIRN GRKPPGLRNQHRTGLELAANIASARLSGATNGSTEIEFIPGRINLPNHFTADSVTAGS ITLLLQVAFPLLLFSPTKVPESTLTLFGGTNATLAPQVDYTKHVFLPFVQRHFHIEKV SLHIKKRGYFPKGGGEVQFSVTPFWGADQKLRSFSLLERGKVKWIAGVAHYAGLPNAI GQGMVAGATQRLAEAGFGSNTSDSTNTLQIPSSENVDVPVSIQACREPNSLTKGAGSG IVLWAELEGGGIIGGDAVGTKNLPPEQVGRLAAESLIKGLQDGGCVDEWLQDQIIIFM ALADGKSEVRCGTSGLTLHTRTAIWLAEQLTNAKFEVEEEESGHVIIRCQGVGYTAPA PPDLTEGM JR316_0003321 MGLFNRKKKPDSDSGDSSENKKEKGGWKRPANTAFKQQRLKAWQ PILTPKTVLPTLFIIGIIFAPIGGLLIWGSSLVTEITIDYTDCENQTPSASNNSLNFV NIPSNKYSYQLRSKDDKESNNIAAPRYAFLDNTNNSTVTDLSDKKQCAIQFQIPADLE PSVLLYYKLTNFFQNHRRYVKSLNSNQLKGKYVSPKDLDNSDCKPLSTIDGKAIYPCG LIANSLFNDTFSNPVLLNPSGSSESSQTYNFSSSGIAWPGEAKKYTTNPVGPGGYASL DEIVPPPNWQLRFPNNYTNENPPPDLRSDERFQNWMRTAGLPTFTKLYGRNDKDTMQK GTYQIVVGLNFPVLPYKGTKSIVISTVSWVGGKNPFLGWAYVAAAAVFVLLAILGTAR HLIKPRRLGDMSLLSWNR JR316_0003322 MSLVFDALQSPTDALCQLYEQNKSQGIDSFPATVTAHFSSIFKS NDAFQQIPMLDLHHPPESFADRSLVIFNAMVQDTSLSPELYLATRSNNTCGGWGLVDE VPSNDGIQYENLRECSTFWAVSIPGLSSWCYDETKVPPSSPYTVIQKHKYPVLDAPHI GAQIKVYDEKLSASFRATDTVSFIGILTFEPLSASIDVPNSKNVPTIHMLFSLPIPRT IAPRSFPEEALPTSIANLRKELIQWIADEGLGGDYVAAEWVFICAIARV JR316_0003323 MSIPEVINAFAGWNITVTPEQLKNPSPDFVEGLYCACLQQATDL THESLREPSQIALNASQVDEKDLYETALASNILLYHLTRFAKAARVDDFNAQDVFAPQ QTRTMILLSAFINFIRFTEQFCDTFLKDLRDRSDAIIIQRNNAAVQLRDIQGQYDELS ARIAKDKPMCEKLNAENTTLSSTMLMTKDAQTKAVRDVEQYRADRNELINRKEILNSE IKTLEDSIQRTRSRIVQSPERIKKTISIMSNTAMEDKKTVAMQEAKSRDLQAKINALH NIEKDVRGCIEQIQSIEREVQSLELSQKALGELRYHLEEKVIERNELRSRQERVNDQL ANANLKLERAKKHAEEKKTSTQKTIVRLQQEYSQMVIERRENDKQIEEVRDEANQVEA SMHKHLKQSEVELNELLAEYWRLRHETDVYMETLANKLNMRVVSE JR316_0003324 MTLFSVCANPPPSRYDLDPRDSSSDQLVSSTITLHSSQREMHDI MRSQTEEMREMRELLHRALSSNELQNASVDIAEQLMAGGQNDLRQLRKVQERQFGDDI VRSTSPLPQVSDSQLYLELQRDLITLHRETGIPPTIKILDGEVKRLDTIPIAGGTYSD VWLGLWLGEKKVALKALRNIRAADPKAKKRFENEINMWAGLKNDHILPFYGIVTDMGQ HIHMVSPWQENGNVLEYVKTHGDSNTRIRLIRGAAQGLAYLHSRKIIHGNMKCANILV TGEGEACICDFGMSKVIEEVTEKSASATLTAGGNARWMAPELIEGSTPTVEADTYSYA MAILELVTGKHPFADCKTTAAVIYEIVVHKRMPSRPEALQYWLSDGLWELLCACWQTD AASRPSMAQVTSYIQAMEEMAFA JR316_0003325 MPSAVDKSIDGEDSLEPLPLTSSQPISAVTMHVYSFPSALLPAQ QLRPKRRQVKNACTHCQKACKKCDDARPCLRCIKYGCSDECVDSQRKERKKGVKRGPY KKRDGRGRNINLNDLPPEIIGASHTSIPPGMSPNTGSYVQIPGFPGIYTSYPPASSKP SNVPSMYSTPHYIMGPTIPTHTFLAQTSPHQPYATHGLYQLAGVPSQFHPQYPTVGLH DGADLNHQYPVYSASVYPKLSPQLIVDSNARLEEEGGTGKAVGSRHD JR316_0003326 MALRPEVLQAFTLLGIDPDADIQVATKAYKRQALLHHPDRNHGD PKATQRFQEIGAAWNICQRHLDNPAWGLSEFQASYTSTKNTYRFAADDDIPLDEDELH QFYMFMFAETLFGRYTRSKGQRYRYERSGRTGGGIYSFSGGLAKSQERQARNTERQRR ENEEYEKRKRELELEIEQEQREHSLLEKRNKADEDRRAKALANAFRAASSGDSASVKN AIIEYDLDVNAPQKRSKGPKKQDTVPHSDSLLHVVAGHCDEKLFMFLIERGANPVALN KAQLTPFHVAIRAGNTSIVQYIMEHRGRAFDVYHPSKASLSGLTPLQLAIESGVPSVV ELLVKHATTHDVERCWKSKDCSEEIKEILLKKKGFVPPEIHSSPLEPAPSKKLQRQKE LALEKQARLTLELERATINRRRREERARKREEKDREEAAITLAQEHARLKEEMEERQR LEDNRREQEQVRLQAEMKERQQLETIHRAQEQSRLKAEMERKRLEDDCRLQGRPRTKV EGESPLRVEVEKRALVTSRPMVKRKERSGKDQSEKEEPAMETSERPRHRSKESHSRTS SLTTSVDAPVEIEKVVPPPNVVNVDERTADRSLKIKEKRKEVMAKRRREKKNEQAGKP TLAIANNISSMPKAESSKKHHYMMTAKDGQNTVDEVDRKRNEEILRRRAEQSARDKER HRRLVEERAKAAEINRRSDAGTDSSLLLAHVSTGRRPEQEIARAENNRIPSQAPLLVP QATIPLSGADFENYIEDIQTCIVPEDLFGEEREPGFVSSRGRGRRRPRYFGSR JR316_0003327 MSAPPSPRIGHSPKRNSSTKKRDRPEALEIPGEDRKAVSDGEIR YEREYLVPEDKNTEEVIYNSAFSEGTSLIVAQRQQFTLNVTIPDGTPMEREQTLSRPT SSTSSLDPYYFGIQSESESPSAPLPSANTYLTATTPDHQRPIEPTTPARNPAAIDRRG LVGVGELATPRWAREGSTDRDDTVLDAEAEGYDVVPNDGEEEDHPDSPWTIEAIDGES SEREEVSNLQPHLQPPPRTLRTRPSIADESGGEEILYPRNIHSSVQAKEDIGSTLADD TPKFSHPPEYSSPDVNTVGLSPPSAFNPSARKTRKRTSGEFELDQTGTLTTKSPISSS STRDKPKDDRSARKHRSLNISTVSPRESKTKERRRDSSGLTITSSIKTAPLPGKTPER HIRQSSASSTTSNAPESHHSRRVHTTDFSHLPPSPSSSSIQQFLRTTAGATASQPSSL HRSSKDNLQAHSSPNVAHALLRGNHEGWNLDDEATAEAFRKLDGMVGKGARARASVGS FGRPSSSSRPGTPASKSGSQWEGISTSDSGKSKRGSGNVKDGASSKDKGEFSLQDLVE PSVAAVSSDEQPQSQPPLDKTPKKANASARLSFTPKRGSTSSTTYASTPSSRDSASMS AATSVTSVSAASGRHSSNKGRRNSASSDVSSIHSTDASHSALNGDSTEVKVVPPVPPL PKDLSTYRSPPSTSSGLTFPVLPTDDKIYTSEIQLQPHRNASLEAPPSYTSPVVSPAA SSSTRRESQHYSSTNESSPAVPKTPSKKWSFSALNLKLSGSPSNQKTSSFPLSPRSVT FGQQGRKSLSKEQPTSSSSASAPWSPNHPDAMTSAGSLTSLSSVGSVRTPAQMPSLSK TPDRAPQTSRPGTGSSTHTTSGLTAPPPGPLSPSSSIRRGQNKRLTPSSIPFFRRSSS QSMQVPPPTGPNPSSSPPVPGLLSANQSYMKQSTSPSQDYSSISTSTPGAAHKKSSML SLGLPSLLKSSSRRSLHSDAKDAAKEQQRVKDAARESEKERIKLEKERQKKEDKDRSE SRISVIMNRKRGKTLSSADPRKPKSPVNLPPMQIAALEPVTAQRVAKLKSNAIPVSST SSRTSTSSSSSRLTNQTVSSMQKQSDTSLRSRNQLPTIAGSPSVGTTGTSSSQTLKEG REPPSTLMNSTSGLPKETPTKIPRISSRTSAVASPPLKNSTSTPAARRASNLAVASSN NASPVSLSTNEFGVIENEDGATPKVRQSTVRASPSASNASISRVPRQSTISGSINAPQ SSATTPRKPNRDSMSFIGLRKSSTNSVASLSGTGNGLKLLAPKSSTRASTSGLNQSIH QPSASPSSSRQSLSTPSPAPSSPDEEELIGDEEMLHYIKRQHAKKLAAGASQEELDEM LKFPEPVPPGTPSSPASILKSSQAQSLSEFERKEILDYPSVYCIGANSRKKLAVLDNP TNNYGYDDERGDYLVVNHDHLAYRYEIIDTLGKGSFGQVLHCRDHCTGESMAVKIIRN KKRFHHQALVEIKILDNLRKWDADEKHHVIKMTEHFYFRNHLCIAMELLSINLYELIK ANGFVGFTTALIRRFTSQMLLSLSLMRHHRIVHCDLKPENVLLRHPAKSGIKVIDFGS SCFEHEKIYTYIQSRFYRSPEVILGMNYHMAIDMWSLGCILAELYTGFPIFPGENEQE QLACIMEVLGVPDKEFINRSSRRKLFFDTNGAPRIVQNSKGRKRKPGTKTLASVLRCN DEEFVDFVAKCLIWDPERRMKPQTAMRHPFILAGRKPKPVTTTTRPSASSSNLSTRGK STVTETPKKSLISAPTPLTARTTRTTTTNGGPTTPIQLDKQVTA JR316_0003328 MAQLSTGCTMTLSQNHPYRLFGNARQHHVEDAYSFRGDEKKVDS KDDEKIVALDDSDIQILKTYGQGPYAKKIRDAEKDIKDIQARINEKLGVKESDTGLAS PNLWDLAADRQRMGEEHPLQVARCTKIIQKDPELEKAAKAVNAQGAQQGQKGADEQDK YVINIKQIAKFVVGLGDRVAATDIEEGMRVGVDRTKYQIQIPLPPKIDASVTMMQVEE KPDVTYSDVGGCKEQIEKLREVVETPLLSPERFVTLGIDPPKGVLLFGPPGTGKTLCA RAVANRTDATFIRVIGSELVQKYVGEGARMVRELFEMAKSKKACIIFFDEVDAIGGAR FDDGAGGDNEVQRTMLELINQLDGFDNRGNIKILMATNRPDTLDPALLRPGRLDRRIE FSLPDNEGRAHILRIHARSMNVERDIRFDLIARLCPNTTGAELRSVATEAGMFAIRAR RKVASERDFLDAVEKVVRQGTKFSSTRNYHRRAPAPSSAEMRELLARYESTPPRPLNL AQLLSYGHPTTPESVLSSGSYALAELPRRLATRIRALEALPFIVGTNPYVTKTLNAFK EGFQWLATHPPVTNLRENGEFVEQLAALVQRHANDIPTMAKGFQECSRYMSPTQISDF LDGAIRNRISIRLIAEQHIAVSQSLDFPSDPTAYVGVVDMRCSPKSMINMCGSYVTEL CEATLGGSPAIMIDGYTDATFPYVPVHLEYMLTEILKNSFRATVEHQAKLHNQSASLI TYPPVTITISPPTANSTKGATYLSMRIRDQGGGVLPSNMARIFSYAFTTAGRGSGSMS GDGGGPYAAQHIGGSASIGGEDDDANSNIFGEITGKGLQTGLGTIAGLGYGLPMSRLY AKYFGGSLDFFSLEGWGSDVYLKLRCLDEAGDSTI JR316_0003329 MAGALQNAKKDLPKIKDEERESRFGQVFGVSGPVVIAENMIGAA MYELVRVGHDELVGEVIRIEADKATIQVYEETSGVTVGDPVLRTGKPLSVELGPGKYR TFSLYSIQELSQSIYIPRGINTDALDRSIQWDFTPTTFKVGDHITGGDIFGRVYENSL VDNHKIMLSPRALGTITSIAPKGSYAVDDIVLETEFNGKITKHTMMQLWPVRAPRPVT EKQTADYPLLTGQRILDALFPCVQGGTTAIPGAFGCGKTVISQALSKFSNSDIIVYVG CGERGNEMAEVLMEFPELTMDVGDRQEPIMKRTTLVANTSNMPVAAREASIYTGITLS EYFRDQGSNVAMMADSTSRWAEALREISGRLAEMPADSGYPAYLSTKLASFYERAGKV ICLGNPSRQGTVSIVGAVSPPGGDFSDPVTAATLGIVQVFWGLDKKLAQRKHFPSVNW SLSYSKYTKVLESYYEANEPGFVELRTKTKEILQKEEDLAEIVQLVGKSALGEGDKIT LEVARMLKDDFLQQNGISEYDRFCPFYKTSAMLRNFVGFHDAAVRAVAQNDLTFAKIK DSASDIMFKLSQMKFESPSQGKEPIKQKLDALYGEIQDKFRQLAE JR316_0003330 MSEFDPSTHPHRRFNPLTKEYILVSPHRTKRPWLGQTEPPQTAS LPEYDPACYLCPGNSRSSGQKNPLYKDTFVFENDFAALLYPPLPHTAPPAHPLMIAEP LHGACDVVLFHPKHNLTMARMQLEEIGYVIEEWIRIYKARGSEPGIKYVQIFENKGAI MGCSNPHPHGQVWSSSEVPTIPAQELRSLKEYAFTKPAGGTAPRGFEGKPCLLCEYAH AEMEAPKDNGRVVVSNDHWVAVVPWWATWPFEILLLPYRRHIGSISDLSDAEKTTFAE ILSRITKRYDNLFSCSFAYSMGIHQRPIPIKVGESDDADHDYDFAHLHVHFTPPLLRS ATVKKFLVGYELMAEAQRDLTAEQAADRLRNCSEIHYLDAPRT JR316_0003331 MQSSAPSDPQPSSSALEAGTSKKRKSSEEQSDSAVAPKAPKIHP FFSKRTEKKPEGSFQWLKPLGPTGSCLHGINLHPTASAKVAALDLDGTVIKSEFATKS AAAGKPPSWSWWRSIVPDKLKELYESGFAIVFISNQALKPVALNTWKEKIPLIGQALS DIPFRILAASQKDQYRKPMPGMWREIERIFKEEGVEIDKSASFFVGDAAGRQYVKAKG DFSSTDRKWAENIELPFFTPEEYFLKLPPHDNYTMPGFRASSLPNLPLVTPTSSPIIP TPRQQEAVLFVGYPCLGKSTFYRRYFQPEGYVHINQDTLKTSQKCAKVLQEAIKEGKS CVIDNTNRNSPTRKTYLDICKANNIKARCFYFTGSIELAWHNNLYRAFNMPPSAAAKE PERALLPYIAFSGFRDNLEEPTLAEGFSEIKKVNWVFEGTEEEKKHWLMWLQIDGK JR316_0003332 MAPAKRRASNRNSLKPAVGTAAEPNSPSTTTPIRTPEATPSNGT LNGDGAPSIYQGKEKEPANEDESDQRCPACTEETLGLINGSRRENWIECDNCNTWYHW RCAGNGEDVNNIAKWYCEACTKADPSRIMTLKPPARKSSRKRTQRDYASLDSGMGSDP NRFFRMLETKDIKPDPFRRMKGSELDTWLEEDDSAMREPIVIEQPDGLGMKMPPRDLT VEHVAEYIGEDVPLEVMDVASQSTAPGWNLGKWADYVELEPSKREKVLNVISLEISGT ELADMVLPPKIVRDLDWVENFWPSTRKGKNNAYPKVQLYCLMGVAGAWTDWHIDFAGS SVYYHILHGSKVFYFIRPTAANLAAYEKWSGSELQYQTWLGDMVDEVYKVELVEGNTM IIPSGWIHAVHTPVDTLVFGGNFLHSYDVATQLRVREIEMATQVPKKFTFPMFTKLCW YVGDKYLRDLKSASGASYPPRVLDGMLRLAEFLVSEARVLETGSDAAKKEAKESIPAD RVKDGPAVARELRWRVKQAMGYASDEEGSKTKRQRVGSGHFRHFRPKIWDLNASYEDG ETEEVVAAKRPESGTGLEESWLGGVVAGEDKAVVRRRVERVSKVRRTGTGLERHVIER RIEEWKTAGAAPLYFLSLFSRLLLLTPPTADPPQYDDHLPDSFSTLSLADPIPRAASA MSHASAPPRTHPPPRHAMTLPPNQQYPHSAYSDSAAPPPQHYHINGPPPPHHNEYDDY HSAYRSPDSFAPHPQYPPPQQHLHPHHHQPWQPPPPPQNYHNPLFAAASNVLGMAPPP HPQGPPIQRNPAHAPPMSSDRSVYAASVSSGHSGSSGQPSFQSNDQYSVQQHHDFDPR PPYERAATSASLAPSSTKSKAVDLSSPPFTKEYIDQYRQRIKADPDPEAHFLYAKYLI DAAKHIRTSNTDARGAKKYSEVLIGESLKVIRRLATQGEAYDEAQFFLANCYGTGALG LQVDHERAYHLYLQAAKQNHAAASYRVAVCNEIGAGTKKEPSRAAAFYRKAASLGDTP GMFKLGMVLLNGALGEQKNPREAISWLKRAAEQADEDNPHALHELALLYENQTGALVP YDPAYAKSLLTQAAQLGYTPSQYKLGQCYEYGSLTCPVDPRRSIAWYTKAAEKGDAEA ELALSGWYLTGSEGVLKQSDSEAYLWARRAANKGLSKAEYAVGYYAEVGIGIKQDIEF AKRWYMRAAAQGNKRAMNRLTEMKRMGNKRGNVARPTRQQAKDECVIS JR316_0003333 MSLSNKLSITDLDLKGKRVLIRVDFNVPIQDGHITNPARIVAAL PTVKYALENGARAVVLMSHLGRPDGKVVEKYSLKPVAAEVEKLLGKKVIFLNDCVGAE VEQAVNSAPEGSVILLENLRFHIEEEGSVKNKDGSKTKADPASVTAFREQLTKLGDVY VNDAFGTAHRAHSSMVGVKLPQRAAGFLMKKELEYFAKALENPERPFLAILGGAKVSD KIQLIDNMLDKVNSLIICGGMSFTFKKTLDKVPIGTSLFDQAGSEKVAGLVEKAKKNN VKLVFPVDYITADKFDKNAQTGVATDEGGIPDGWMGLDAGPKSRELFKQTVLEAKTIL WNGPAGVFEFPAFAEGSKELLDATIEAVKKGATVIVGGGDTATVVANHGKEDELSHVS TGGGASLELLEGKTLPGVAELSEK JR316_0003334 MAENTSNALGLDFSQETVEEKPEYQEPEQSSQTVAPDSSSRKEQ KEKPYVNPERVKTGGSQRDKLSDEALEERMARIRQQNEKIKQRRIDVQADEAAFRQTQ ERERERQMQNRKIQSEIDRARDQNAQRKMAKVQSREWDSGKPSRNINPPSSSQTDAAP QQGSVEDETAPSPTIAQEPSADSGNWVRGGPHQRGRGRGRGRGSGRGGGNFNNRRDTP QSATSAHEEPKQEADDQVAPTE JR316_0003335 MRFFKSFNLLHRRTKSATFVPGLSAPSLDFPATTNIRSSSFTIG DCHRSTAPLSLFDLVTSNPPPIPVNFNHLYDTPSDRVLGIWRSRRNSDKSSLANIQSS VNKELARLSEAVNFWISEYGKLQILLRDCHEELVAERLKSAKLERIIEELRHEKASFG VSLDLSADQSGDTSFTRFSGDTSTLQSQKSQVLLYPRTGLPSTSSASGSDSLGESKKP RTLDEYSSALRMTLAARKELRDQKKISKFWKRLAVAIEEHQDTITPSVSTISSIREVI PADRQAAVQALMSRRALSAKLAVENTSRTIDSAGLKLLTDRQTPTGAAYTLDTSASKE SVFSRLEPLASESMKQEISVLFGSGSVSRSLASSSSRRNSSLEASGSISYTAGLSRHA SHSLSIESFQDISMIFQRAFGVDTSSSKCESAGQSTKASDSASADIRLPVSMSNKKLI ASASSTCLPIPPLVSTNSQVWVNVDSTNIKTNTRPLGDSRRKNDAPPHSIKTASKECT SRRSWHRYMAKSSPQKRRSVEDQPPSSRETGALQAAEGKENSGFSMSIPAFKRSSRLP VPSRALNERNPCV JR316_0003336 MFAAATSFFARTNISQSYSIGSPSQGLGLGTSRPSTPGGTSTGT SLSAPPAATPTFYIGLWKVQSAWHKVTNKRVSVWTFDKRGQDMERLGPQSKERVVEVM KSEASALGRLRHPSILGDLFDNVQKHIRKLTRFVEEMVEPLEETRNELVFATEPVLAS LQLAIPDSGRQTSLVELDEIEIQKGVLQMCKGLSFLHTSAKLIHSHLSPETVVINGAG DWKISGLGLTIPLYAPGGGATRWEFPTFDGRVPPYIQRSFDYMAPEYALDEQLVTASD MYSLGCILYAVHCKGIPPFRNHGSLGGLRENASKPVPGIDRLDGDLQVLLRSLITRHY GSRPTPESLPSHAFFSSLPISTLNFLDRSNFTAKTREEKISFMKGLTTVLDRFSEGLR TRKILPSLLEEMKDTQLLPYILPNVFVISQNLSASQFASIVLPSLKPLFAIREPPQNM LTLLDNLTMLQDKTDKNVFREHVLPLVYNALESEHAVVQERALKVVPGLCDTIDYAEV QEVLFPRVAFVFTKTRILTVKVATLVTLLAMVKTLDQTTLTQKLVPLLSKIRTKEPAV MMATLSVQEAMGLKVDREAVATLVLPQLWAMSIGPLLSVGQFQRFMQVIKKLAERVEK EHDQFLRDSQRLEDRSGIATDGNQVANGITQNVDFESLVGGKANGQTIKADTAVDNNA SWDDDVWGSIFNNNLSATSSTTTSPSPPHQSSSFASPASSSLVATLPSRNTSYQSRPS NLNPIPLSSTSTASPLSRRQERMAPDVQKSVNTGSQARMSYSQNLLPTPPRVTPQALS QPLQPTYSAPNYNIYASPAGTATSGSAFAPTINASLPLMPQQSSMASLTQPIQPQMGG LLTPSRPVQSSTGTSNVLSKDDWGDFDPLS JR316_0003337 MATRLKRIEQLLAVDELHYYTGLLGSHVAYILRRFRRVCEAVGN KRIRLVSCSATLANPAEYMSKLFAIESASLEVISNDGAPSGSKEYILWNPPLIDEMDP SLGRRSSLSEASKLMRTLMKSGLRVILFCKIRKVCELVSEIVSLCRVVVKYDIQQAMK TLKADLSNEGRMDIVEKDRRQIEHEAFSGQLLGIIATNALELGIDIGALDAVIMLGFP MTISNFRQQAGRAGRRSQDSLAIMVADPFPIDQHFVNQPLGLFEDSLDDLIIDMENEL ILEAHLQCASYEMPIAKVDEKWFGSMCMSICKRKLLKDDDGWYHPDPKFLPFPSRHVA IRGTQEETYMVVDMTNSTSLNKLEEVEFSRALFELYEGGVFMHQGETFIVKEVSHDSK VAKVVRADVNYITSPRGSNILDAVELITPPWERETIGFWIDLPNVILERLYAEEIDGA AAIHAAEHAVLNQYALSQDVKTDCRVAKEGYADGNTSAKRAPRLVFYDAVGKTGGVIS RAFDNGVQSQACKDANLISSKSGAKIILDYLTGTQIDCKDDTPRT JR316_0003338 MKRKGKLSQSSPEETYPASDESSFARENNTVNIRRPPSKKSRRT TTKSSESKAEEITPVHEWPDYFQTLYKIFKAINVVLAFVSSKRQLATSFSAVRTSVES IIKR JR316_0003339 MVVFSLWVINKAGGLVYQRNFADGLAPLTSNEYLVLAGTLHGIH AITSRLSPMGSSSGVQVIEAETFKLNVLLTVTGTKFVLLTSLADTNADSILQKVYDIY ADAVMKNPFHTPEMPIRSEGFDTRIGLLIGTGQT JR316_0003340 MRGSVSLLLRHYALCWLFITILLPALSYSKAVLDRRELRPLSSD DLKTLVSVTDPLKNLDPANPASHLSKILIPRAPDTENNTFVRNYIVSTLKALKWHVEL DEFTDNTPIGRKRFANIIATKDPNASRRVVLSAHFDSKYYPQYPENQFVGATDSAAPC AMILDLAETLDPLLDKRKERLEEGSEDDDDVAETTLQLIFFDGEEAFKDWTDTDSIYG ARHLAEKMSTTYVSPHQRRRLMNVQTTELDEIEHLILLDLLGAPNPYIRSFFVDTAWL FDSMVSAERRLGESGAFVYGKDGNNMAPGQWRSWFRARVGNNVNFGYVGDDHIPFLHK GVSILHIISEPFPRVWHTLGDDASALDPATMRRWNLILRVFMAEYLHLQPQDFASRSE SSRTVQRSESELAELALHGCCKPGWTAYENASIRA JR316_0003341 MPKRQRYYSIFSPPSLSMSELVKTTLDHESTDRLIEKWLEHHNA GHDAYNEWFRDVRERKHEHFGKQIIAWNKGAFAPENVFCRTVDTGRLIPLDRTWTTHV FHHHSMQERKRSMMPVVLTMLPELMLLRGMHDRGCDEIYIIVTDLKRQEMDDVTEYFK LVCKHCFGQPCKPTMEKRILYEHMRLSHTLLRQRRTPCFPQIDLAVRAILYEKQPRFL VLFSYQTTSYSQIFFTDPVFVPDEDLFHDYPTGCPTCCTDDCEMIRFPRRGLETASVL KIKTKTKRKRVKPRDMCNWIDCDVSFGVVPRHRKSTSESSECSNVCDEQHPQEKTMIL GQVCSKCKLVKYCSPEHQRNDWDEHKRVCVKA JR316_0003342 MSFLKYWYAAVQEIKVGALEGMFGYQPTIYMPKPGSKDIYQRLA KQCENMKIPIIPHGLNDVELLRQALLRPNTDVILDAIFGFSFKPPVRAPFDAVLPLIN DSRLPIVSVDIPSGWDVEKGNNHKIGLRPDVLISLTAPKEGVKDFVGRHFLGGRFVPR FIESKYDLNLPVYPGFDQIVELISEKNSHSQDTQETEDK JR316_0003343 MDCLEFNIHQRILSDIATNDEGDFVEQVLSSRVQTRVDAVIQEE ENKDDIEGVEQGQNYERVYMSARRVQCNIGFADLVVNFPDSRVNTSLHSVMMSLIDIL RDVPYIDFDKSLSWTDWSLPDQLVFSTISAILRLSNEHRQYCERATAAIFFFVSVSVQ KIHSSSSLDVLTQLMPALHGFYRAISSTSYCWTLAQWETLTLHLKVLCSSKTIERLNH LLVDILQQENADTETLRHIQTFVTRYVGQGRPLSGHFIVCCVLETEWTVLAQVLAPST NSRSAVVEAAAANKAWLSLTKNAALELDIESEQTKDVLKSTIKYALQCFNDLLLQIEE MEAAPSVDTCAWETVSESLKLASICCLALREIDEQLYSRILLLLSTDSPISDNLAQEA ALKATTVLVRSFPDIAPNMVGHLRRFVTSPLPLFEYGFHSESRVPPPLSAAAKCLALC IRLAPGDDLIMSNMYSLLNYIAATSKDIYDSASVHSHGYSLISHGQSNLHSLESGLRG LSEDEKRLIGISTISAVTRLALEFKMEEVTRLTISMLLQRLPSAEPTVEAAIAYNLVD LALVAPLSAFNDIVKAFSTINRSANPDDPRFSNNMVLAAQTRLAQDLHQRPELYESYL IELLTLFADKGVAIQNLKILNHHVKIDDMVEQLASLLLPIDALLGHRDFNPHENPSKE VMTLFRNTWFLCILFGFTTEDTSGASAMDWLKPALARIAIKTPSMVVEESHDAVASDV EYNSVIRQEYSNTVISTHRACLAGYISYRASDIRYLSSGQVIFVLTLHDIESMRSAFG YPSSLVSYFINDSLNSHPGLSACMESLAEKVIRDAIIDLNSKASQQALPKHLSTELLA LVVLSTHRIAKARDIASKYLNRLITSFPSLMCDPTLVFAILEVLTLLQRSCENEYIDE YNPTYEFHSDRSGITLQLTDSYQVRNDILGQLQRNANTWFELALGRAPMELQSTLQKY LAVNQSLAGINSAELGASMAEKFGRAIGPVHRQLPSLSSLSQWKIDASKILSSQIAIK GYFAGEVAGVRLANREGTDKLVNPPPQDAPPTEINALKSKLHNTLKEIKGKNSKLTIN DLRRLLFRCAATLIALEKNDYDLIHYLARLPFGVATPSAIASGIDVWSWVIAEKSQVE VALMGEILSAWSDTIQLHSGLFSKSLNYDDPFFHPISYSPTDKETIDRGLAHARRVLT PHALVLQMLFSRLQAARYHRPSVMLIIQHLVLRTCRAYKSLSTHALSREARFSFLLFG FETLKSSHLDAYCENILRECLYTVAFSWFSVRPQWSYGANRVQVDADIKVLSQFLAYL QSDSVRGGLTISSLSVSRFTSSYYAERMRIFNLPLRLLTESEIFRLSVWGNATNDAKK GGDIIGNTERTLLDSSWVSIARTAWRINPAIAVYLVERFKNPIVRHEVGKLVRSSTID VLEVPEALTFLLGDKLDPNVRRDLKHLLLWSPVPPIIANTFFERRFNSDPLVLQYAHR VLAQHPVELTFFFIPQVVQALRYDDLGYVSRFIFETAKISQLFCHQIIWNMKANCYKD DAAEIEDPMKPLLDAMTEKVVLSLSGEARAFYDREFSFFNEVTSISGKLKPYIKKTKP EKKAKIDEEMAKINVDVGVYLPSNPDGIVIDIDKKSGKPLQSHAKAPFMATFKVRKER IQINSDPDSLLNGEGGGIETRAEYDVWQQAIFKVGDDCRQDVLALQVIAMFKNIFTSV GLTLYLFPYRVTATAPGCGVIDVVPNATSRDEMGRAKVNDLQDFFVAKYGGQDTTSFQ KARLNFIQSMAAYSVACYILQIKDRHNGNIMIDGDGHIIHIVFLYLPTVLPAFLRPGG VKFEPHSFKLNHEMVVLMGGRGSQGYQMFQNLTVKAFLAIRPFAEQIISTVQLMLDTG LPSFKGEPTIKRLRDRFALQLNERQAAEFMMGIIRNAHENVRSTAYDEFQRRTINLLP EENFASSHFTSIDGSDQDSSEWGLSQSEGSFDSASSRRTVNSFKTMTITRGYTDEGDK ILNYLEYGVFDALEKRFLRSFIFAIYLDNKDPCNIVEAYTFNFKYLSVPGSEVPFPVM SMTDAMSSSTRRNVEDPVTRAIKNGRAPTLKDVKNSVKSMLKTLIQAMHHMDLLPKRR FATFKLFYTEETPADYEPPNFQAGDVDKDRWYFMTHDLDEVPERCSIGKFDAGHHLVK LSVTSIASYLPSSTIHDHATFSGITSQPGHQALSAVQEADLRENDVHKQLEDAEQRNL LWAVEDLSDRDADGEDDTDYMQDSNEQCLPVGIRNINGEIEAIPMNKRTVEQHFSGLI ENIPKRLHEIVAQEIPKGAHLDETQPLLATGDYNTVDNDTGRALNSRSPEQPRSSPFS NAPTTPTPHDFDPEMLKSMSIGGQDDIEMLDLETQVREETSSAPDLFPPEGSQDNTNN HESDDNGLDCDCGISLEDLCCYCEGGCKKWFHVWCMGYHSIDDPRMPTKFVCFDCRMQ KDITWELIKIEIYPRMLSKFKELALFRRAIKVAQKEQKFTSFQFSKSFAHGDMELTSQ MLKKLEEDELVLLESTSLDDMGLTITSHSKKGKNNKGKSVGKQPRPRRNMQKTLYVFN RNAVTKSQYLDCFNPDDKELERRLLGVPELVDRTKKTTQKLFEGQTAGLEGVHQQMRA ESIDRPINDSNDTQTQDETQQIQSRVQKRSAPLRDDTNDTNPVRPKKIKMSLAVGVDL AE JR316_0003344 MHESTYRLAIVIRVLIALFTRTFFQPDEYFQSLEPAHNLVFGYG HLTWEWTVLRPIRSFIYPAINVPVYWLLKVSGLVEARLVGDYFLILCPKVLHGSLAAC TDIYIGDIARRTLGSDYETTARFVSLTSFFHAMALSRSLSNSLETSLSTIAFAYYPWD ANAKLNFEYIRIRSNLRKMILFSAFACMIRPTNAVIWVFLFLNLFWAIRKHRRIAIAI AEDAAIIGTIALMTLFISDFLYYGTPTFTPYNFLKTNLSSVSLFYGGNPWHFYLTQAL PIIGTTTLPFTTHGIWSTFSSTTSRDYSAKTMFRAITWTILIYSFAGHKEWRFIHPIL PLLHVFAAKSLVDLSTDTRMSRKKKTTHWKSFIYRQFKLPNIHKRYIAFLLLTLPLSL YVMLFYCSGPIEVMHYIRQLPRHDLNQTTIGFLVPCHSTPGQSHLHRRDLAGERMWQL GCEPPLQHQNLATYKDQTDVFFDNPKEYLLTYFPSQVDPSFPLSPFPSSIPGQPASLP YFSQRFNKSIYPWKHEWPQYLILFGDLLQQDGVQVLLEGQGYGEVWKAGREWEGEGKR KGAVRVWKWASPPQTN JR316_0003345 MFSARALSRSLSRFPRRPPQNLLSVRLYTTGTQSSPQPGQNSYI QTSKTIAKVTGLLCLSSVVGIFVVGASILAHDAFTYNEKHVDRVPVNPLALHPERGGP KNLPVARVLVDDEEDEEAKLLAGKPRLVIIGGGWGAMGVLESLHPGDYHVTVVSTDTY TTFTPLLPSAAVGTVSVRSLIEPIRKVLARLRGHFVQGKAVDLVMSERLVEISAVSPD GSESNFYIPYDKIVIAVGSSSSTHGVPGLENCFQLKTIGDAQAIRRRIMDNFERASLP TTSPEERKRLLSFVVCGGGPTGVETAAEIYDFCQEDIMNYACIFPKICRKEVSIHVIQ SREHILNTYSEAISKFAEDKFRRDQVDLITSARVAGVTPEHVLYTTRNAEGETEQHSI PTNFVLWSTGIAMNPFTQRVSSLLPNQVHKKAIEVDSHLRVNGAPIGEVYAIGDCATI ETSLMSHFMELVDDADADKNGKIEFGEWEHMVVKIKERIPMAEDHLVKVKELFQLYDS DADDSLSLNELFKLLEEIGNRITSLPATAQVASQQGKYLGKKLHKLSRANPPVASSTP PASGNPNAIQLLSDETVSKPFKYFHLGTLAYIGNAAVFDFGKYSFMGGLVAMYAWRSI YWNEQVSARTRALLMIDWIVRKIKYEELALRIVQLYG JR316_0003346 MSAASNHPIPPDPSSPTTGAVRRHHTISAHSRSARASAKEVISE ETQEQQHAIWNDDEVVDQDWVGGVGAVGEKTSLHRQSSLPTRYHRGFQNQAGKSGNTA PKTINSLAAITGNEGDEEVWRIGDYGPEEDDHSSTEQRPQHQQIIDVQAQAQSNSSPL SSHFTNNPSPPPAESGVRRHVSITYGAVASNARKTSTGLRRSGTLQASLPAHSQNSTP PEPAEQTEDEEYVYEQEDQSAYDEDYYARSQQQQQQQQQQQQQQQQQQQQQQYQQYNN TQVGRTSPWSSANEWRQGAYGSNGNAAIDDVQRALSALELASSQSNNSVGYGSYQQTS QGANAPPRFNSGQSAPLHHQSTGSRGSNGGNGNNGNGNKIQMGNEFDGRKTPLSQPQR GGFGQHQSGQREGESLRGRASNPNLQYGYQQGGSGHVKSNSSGSNATPGSSGIVPSVP PIPQQYLQQGQGNRPGLGVATTFASSSTGPSAVPTGQTPVQPFVNTPIDVPTLIATKG YNPAQFDTRPQFARYFVIKSYTEDDVHKSLKYEIWSSTDPGNKRLDKAFKDTAGRGPI YLFFSVNASGHFCGMAEMLTPVDYTRSSTVWASDKWKGVFKVRWIFVRDIPNLNLRHI KLNNTQERKPVTNSRDTQELLPDAGQEMLRIFHTHPARTSLLQDFAFYELQAMQKMQA TGGATSPSPPSLHSPQLPSTIVPNTSANYNPNNYPVQQMTPMMQMGMGMNIGLGNTGQ FGGGQQGLHHQSQAMHQSVMRHPSPAPGQAVQQGGNQGYNLVYRFLPFFTPHLCNVPD RIQAKEVRIWVKHLDTSGNLFPIEFLNYIGVMAARIIHPISALEFAHMVRKCMPPVGW SDTIGGSIANSGGPDSTCLMFLFNRLINDTKTMNADESLPKNIVSLTIDHDLQASSAD MATHASRIAQSLGVRHITKKLDWKRSRPGPGDSIEELARDKRYDTLLDLIIACNANSL AMGHHCDDQVETMLMRLGRSSTKVGLGGMRPCRRWGMGNEIKGVPNRQLQVEQMRRWI VRPLLSVGKDRILATCEENKLEYVSDPTNFQPQLTIRNAIRHVISNEVRDDLDYPSFP HIINLQLKQINATAAKDFNGAFDLTSGIDHLRKVSMDMSSAVEKMDLRVNEMIPKCRI PSSPGTFMISAEALSKIPSPDLREALLYRIVRYVSPEPWGSPKAELGRRKVGMLRLWE HLSSYKQHLSRNNSSICVGSGVWWRLVTTVNGRLRSEVRNVDPSNLAWIALRQPPSRS IRVPNYARFDITRTVLDTRNTWLTQSKSPLSEMVFDNRFLLQFSVDKMPTSLVKLLEQ GALLVVEPRGIWRIPEVKLHNVNGLARKLVHTEVKHGPFSVDLELGSETYIKLDSGWI TMEYFRPISAI JR316_0003347 MTAHHSIFELPDSAKLAYNIMGSNHLGHTVPIVLICGMTSVWVD YERLAHSLLVTRPVLLYDHRGIGQSSLTPSGKEEITIDLLARDLAALLTHLKWTEVAI CGYSMGGVVAQQMLVLPHSVKDAVILPFRTTHLFLVGTRSSVQLNIGLPISPLQNNAS RTLEERKEIARGVISSLVDPRWIEDNGERFEFIFKRAVNPSINRPSDMIAKQALALRN FDFVELLSKLSVDIQVMVIHGRLDQVVPFTCAEEIIRRIPHARLVEIGNLPGQIPSCD FGHFWYEYFDIQVWRDVIDVFMGA JR316_0003348 MEGNLATTYTLIHAFLTKQSHVKAALAVKKAAKEVVILKDEIDV DGPQLDQIIKEWKAATEKQDDSSDSESSSDSSSSSSSSSSSSSAPPKNKTKAKKVSKK SPSSSSSSSDESDSDSESKSSPVKNFSNKDKKIVANDVKAVKATSSSSSSSSSSSSSG SDSGEVIASLLNLRKRSFHSDDESSDSEPSKVGKKKLTKKKVSAEETKGNAAGRDSSR TLSSHESSESESSSDSDPDSKLGKKAAMKTQSVVEKDDSESSSSSSSDDSESDKTEKP VAKKVASDSSNSSSSGSESESDSSSSSSSESESDSDVAMSDTKKTVETAKATVSDNDT DKVQTTKKRRTAIDGSSVVTATTIPNTKEQVESLAVGKVKGDNGKGGRPTNGRFQRVD PKKFEPIADNRYEAKVGPQNDYGKRAHEDLIVTRGAGFRKEKNKKKRGSYRGGEITLA SHSFKFT JR316_0003349 MSTDKTIKGLVKSDVDYDGSSLRVAIVHARWNKVIIDALVAGAV EKLKERGVKESNIVIESVPGSFELPLACSRMIAGSHIQTGSNVTDLLGGLNFGGSPSR TGTPAPAGVANMPSQPFDAVIAIGVLIKGATMHFEYICDSVSQALMRIQLDTGVPVVF GVLTALTDDQALERAGLGRGANGKGHNHGEDWGLAAVEMGSHVRRWNQGQFA JR316_0003350 MKRKVLLMGASGSGKTSMRSLIFSNNPASLTERLGATIDVEQNH VRFLGDLILNLWDLGGQDSFMDSYLTTQRTTIFQQVGVMIYVFDVETREMGKDLEYFR DCMASLKQYSPDAAVFLLIHKMDLVREPRHLTFQKKKQELEEASGDTKVNIFGTSIYD ESLYKAWSNIVHTLIPNATILSKHLNTFAGACNATEVILFERTTFLVIATSASSPSSP SLTPGSEDNTETEPLNPKRYERTSELIKAFKHSCSRVREEFRSLEMELYDFTAVLDEM TRNTYVLIIVHDPTIETAALKLNIRLARSKFEELQSDSLVS JR316_0003351 MSGPSKIFTDGDDIPINFFFHNSIKKDGTKQKLKADIEEHGGRV VEDVFSADTILVDLHYGEANRRTLERSYVTDSNPTLHKIFVEYSPFIRKCIGRGEYRH PNPQRQGMNGIRPGSRRQMFTEDDDERLADYIAKSIPDEKAGGRLSLNFYKDLVRMGL LNPEVCGWATRHTAESWKERYKKRRQTFNRRIKEIVAQNPAPSQNQLWIYDRRLNGKR IQEHDSTEDEDEDQDGDTETWDEEAEETGQQEEEENDNRYDTERDEERRPLKSRRTAK NIKARQSGHSHGSQRPVQGKGKARAVQPQELVDEYDVENTNANSENHELFGHEPGPSN QNLIPMPPERNRQLDNVPLQDSHVSVPNVTTKFMHDEGPSRQNSPMFSGALPWPTPIP PKMSLHPSPMQQAGPQALSSPPRYRSQPPASKAKEIPPTTTTARSKRVIPKMAARRPR AFNSQNPSSQSKTRSEASIDAPETNSKRQNTNSAGTVNILSTEQVEADSVAVASRSRP SQDSIGKGRANKSSKAIIQVEHSHRQLVEPDPRSPSMDTDDAQIKGELFTNQSQSTFF KTVPIRASSPIELPSQFLESWETSRDRASKTNNIFLSQQSSSGHDVETQNRGPFTPTF GHSRERSTSSDDSFPFEGTNASAYKKVQTQKAKYTPYRPLEGTRASQYAS JR316_0003352 MPYKLSDTLKAHTSDVRALTTPTDHLILSSSRDSTAISWQRSPS DQQFKPQVVFRAGSRYVNSVAYIAPTPDAPKGYVVTGGQDAVINIFNLETAKDDPDFC LLGHLENICALDVTPGGTIISGSWDKTAKVWKNFNLTHDLKGHQQSVWAVLAIDEEQY LTGSADRTIKLWQQHKVLHTFTGHTDAVRGLALIPDIGFASCSNDSEIRVWTLGGDHV YSLSGHTSFVYSLSVLPSGDIVSSGEDRTVRVWKAISVWAVSTLPNGDIVSGCSDGVV RVFSEAEERWASAEVLKAYDDQIASQALPLQQVGDVKKSDLPGLEALKTPGKHF JR316_0003353 MVKNGELVEAHQALSEMYQWDSTSFTWQKIGDVVDAVGSGRKQL YQGKEYDYVFDVDIQDGVPPLKLPYNVADNPYAAAQKFLQSNDLPLTYIDEVVKFIEK NTAGVNIGTSNEEYVDPFTGASRYRSTTTPAAAPTSQYIDPFTGGSRYVASQTSAAST GQSQYVDPFTGASRYVASPAAGSSVSSASSGDPFTRASQYSGASQSSQPAPSAPVAKI LPVPNPVTFKQANVSAMQSKLFQFDDALRHEISTSSLAMYPNETQTFEETFLYLTQAT STPPVRPSSPLTATHVEAIISILDRWPPSQRFPVIDLGRLVVGFCPEAFGGQGTGLEP NVLKERFAEALFQASEWGTGWTLPLPKARETNMLLVLRTLANVFKEDDASKGKDMDIS MDGGSEESWLDKVLETLGLAPYEIFNKTQRVAFATFLFNLSCQGLRSPLGTSTRDQAI GLINRILEQETADSEAVYRALVALGNLAYAAKNSGKGLSAGQTGEIAQCLQALPSRFP DSRVKNICVEIGALI JR316_0003354 MTRPAVRVILVRHGETRENKEGIIQGQRNTALNEVGEEQARMVG ERLKAEKIDWLVSSDLQRAVKVGRCSRGWRGADGASLQTAEAIQMHHADTKLETDREL RERNMGDMEGRPGRDLRGGQMSGGETVGGFRGRVVGWWKRRVVMRGDGLKTVVVCTHG GVITTLVEALVGSRRAGAGAGAGAGAGVRITRSCPNASLTVLEVGADGTATVLVYADV THLSLTSDSSADFQPHTRDVT JR316_0003355 MPPSESSPLLPSNGTAHAPSLSHKLTAFLTAEGEPSFLESYRWF FFDSYFNILLVFVPLSAIAHHLNWDAGLRFTFSFFAIMPLAKLLGDSTEQMSAKLGQT LAGLLNASFGNAVEIIVGVAALLQDQVRIVQTSMLGSILSNILLVLGCSFLAAGVKRS ESTFQVTAAQASSSLMTLACITLVIPAAYSSTLGDTSNEHGLLVISRGTAVVLLLIYI AYLYFQLKSHAHLYIPENADSSPEEEEPKMSVVSASISLLVVTVVTSFCADYLVASIE EFAERYHVPKAFIGLILLPIVANAAEHVTSVWMAMKDQMELTITICVGSSIQIASFVV PLLVIVGWISGHELTLYFANFETIVLFVSVLLVNTLIQDGKSNYMEGLMLVSLYFVIS LAGMVWVS JR316_0003356 MPFNKVHLVFLILGIEIATARGATQDLPIYTDNALAQGWENWSW SSDINFAATDLISGTSGSSIFVNSTQYAALSVKLEGTFPDYAGLRFDIAGAQPDLTIT IQSTADNSQSPNILLSAISKTIVDGQFSSLLIDFNALPGSGAQLGNGTWDRLTFQAGG NGAVYHIDNIVLVDQIVVTPQFLSAEPLANDLVAVTTVGAVNLTDIHVSLNEKAVKIA NLTTYIPVDTPSKTITYLTLGSVLKPGNLTITAGGTSFAYTLPSVQKGRISQSVKTPI NPHIYGVNFPTSASYIQHLGVTISRWGGNAVTAYNPFGGFTNAGADWYFENRGSDQAD DWMEWVQGAGSDSLLTIPALDWANYKAIIDQQKFDPYNADAGNGLLPNGSAVSPPTDP SRGYVAWNTTAAKQWLSGLKNKPAMVAIDNEIEIASSTHQDMHPDPMGYDEELSRVVQ FATAAKEALPDVLVVAPSTCSWWFYWTSVIGFADDTAAHNNTDFLPWFLAQMKQQEKI AKKRLLDYLDIHYYYQADTSANDDAAKALRLRMTRSLWDPTYVDESWVGSNPQNHQPN PTVVNLIPRFKTLIAENYPGTKLSVSEFSSSDDTDITGGLVTVDMLGLFGKYGLDSAT YWATPDEMGPVGLAYWLYRGYGTFFGSSSVQVNLSTPNPDTQGVFAATNSKGKLSLVI VNKNPDVPISFDLSGVPTGKYFIRHFGGEAGVAKWQTTMTLKSATYITVPAYTALFLL QQ JR316_0003357 MLSKHYFLRLALFVASLAPKGVQCATEDLAIYTDNALVQGWENW SWSSDINFAATDLFSGSSGSSISVNSSQYAALSVKLEGTFPDYAGLRFDIAGVQPDLT IVVQSTTDNSQSPNIALSSISKTIVDGQFSSLLIDFNNLPGSGAQLGAGTWDRLTFQA GGNGAFYHIDNIVLVSEITITPQFLSAEPITNNVVAVTTVGAVDLTDINISQNGEKLK VVNQTTYNPVDTPSKTITYLKLDSAFQPGNLTVTAGNSTFSYVLPAVQYASIVQDVNF PINPHIYGVNFPTSASYIQHLGVTISRWGGNAVTAYNPFGGFTNAGNDWYFENRVIDN GQADDWMGWVHGAGSQTLLTVPAQPRLGVQGRHIPSALDQQSFDPYNSDAGNGLLPNG SYVTPVPSQANVYVPWNSTAAKQWLSGLTNKPLMVAIDNEIEIASNTHQDMHPVPMSY DEELARVIEFGTAAKEALPDVLVVAPSTCSWWYYWTSEVGYTDNAAHYNIDFLPWFLM QMKQHESTTGSRLLDYLDIHYYFQADTSANDAAAKALRLRATRSLWDTSYVDESWVGS NPQNHQWNPTSINLVPRFKTLIAQNYPGTKFSVSEWSSTADTDITGGLVTVDVLGIWG KYQVDSSTYWSTPDELGPVGLAYWLYRGYGTYFGSSSAQVNLATPNPDTQGVYAATEG GKLTLVIVNKNPDTPIAFDIANVPVGEYFIRHFGGEAGVAKWQTTITLEATNYIVVPA YTAIFFQQQ JR316_0003358 MAALSEYHAQRNSLIDADLSLRREYRNLHSRSSKELEADKIMRD IRALEAKTVWTADYPSIPHPFPGMEFLTGKSIIMKTKVFEILSKMPKGALLHAHLDAT VDIDFLLQLALKQPGIHIRLHKPLSKSNLSTNLPEFRILPQEQYSQVKSISDPTYSLQ TWVSLVNARNFFSQELGGMEGFDKWLIGTMTINPSEAYGTYNTLAKIWDKFGTVFQTS TNLFHIEPIFVEYVRQFFRSMIADGISYVEARINFTPKLMYGADGQLNVPHRTWLQIF ERVMNEIREEMKQQSRGDDFIGARIIYSVVRSATPEELVWYLEDCITLKKEYPHLVAG FDLVGPENVLNPLIYYAEQLLRFQERQKEESLDIPFIFHAGETTGDGTEADSNLYDAI LLGTKRIGHGYSLHRHPKLVEECRQKGIAVEVCPISNEILRLTSSMPMHPLPALLNYG VPVALCSDDPAVFGSMGLTYDFFQVFVASEITGLSTLGALARDSIEFSTLEKAEKERA LGVFERRWSEFVEYIVVEYGAK JR316_0003359 MPDAESNRKRSRSPSDNEQQKTYKRANTGATAVDGSSANATGPV SQSSDISMADSGLATSTKQANDTNSSTPNSSRNDAKKDDQKTAPSGDNKDSATTTGEP SSSSTTTAAPSANIHMRCLIVTQDASIIIGKGGSHVNEIREKSGARVMVSESIPGNPE RILNVSGPLDAVSKAFGLIVRRINDEPFDVPSVPGSRAVTIKFMIPNSRMGSVIGKQG SKIKEIQDASGARLNASEGMLPGSTERVLSVAGVADAIHIATYYIGNILIEAQEKMPS YANSNSSYRPSNNPRGNGRSGGGGPGGSSYVPQGGYNPGSTYAPHNPPTQLQTQQIYI PNDLVGCIIGKGGSKINEIRHMSASQIKIMEPGAVGQGVNGAPAPAGQEGERLVVITG QPANIQMAVQLLYHRLEQEKQKQLRVTSNQS JR316_0003360 MASVIKAQKANASKAALKGKGKRKADEMDVDEPSETQAVKTKKN KQRVLLLSSRGITHRMRHLMNDIEALLPHVKKDSKLDSKSQLHLLPELADLNNCNNTL YFEARRHEDLYMWAAKTPNGPSIKLHVQNVHTMDELKMTGNCLKGSRGLLSFDKAFDD SEWGKLTKEVFTQIFGVPSTSRRAKPFIDHILTFSIVDSKIWFRNFQILEKDPLQPNG PPQTTLVEIGPRFVLTPIRIFEGAFGGATVFSNPEFVSPAATRMALKREKGGKYNMRK DAEAETQRRREMRRLEENELAVSKVFA JR316_0003361 MRITIHPFSDHLHMYGPSDPSSAYSLSGHISLSVTSPFSLFESR RTARLLLQSLTITFEGQSEIFTPNTGYSSLRVCSVTHELAPSVPIELSNEGCEDSSEP SVWNVIFNLPIPGWLPPTTTIGIEELGTRYALYATAKFANVDEEHNNSWGFSTLCAPF RSRVKCAEAKKPIVLHRFMAPPKVEITDLTTLNYLVNSTPSSKQQDAERGIPMEVLSK IQVLASVPEYVDISSDILPLTLRLRTKDLAEEHCKRIQLTEVHVDITQQEKCRYRPSS TYHARYPLPPQDLQPPNLPLRDPHPISSVYDVGLYVSSEFSESASRIFSLLPSEESGS YKLSTDNYVFANDALRDGPPTWYTMDTTVPFIHENTAFSHDDYDTFEWGGAKIIRPTL QGPLYTVQHEALVSLTYTYDLQDNRDRVATERLSFRIPVTFGNVPLKPSATGVQSLEN ADVSQLSSHPYQSTSIPLPAYFQLYDRNGDRKIDYSTPLPLYTPRSEAPLMEPSDSPA GSTDCTNICNLGAYQNGLNTNNEKAPTSLLMGDSAGLPLCEGERVAESLDASKTQQST HNLHGFVTSTFPPKVASKTKSVGGNLNQSRAEAGPSRATNNAASNKRKKEIGATRDRQ SQDEGLEMIVEKPAGRGRRTVADKHIPEEEGIARSGEDSSAEVEEIVSPPNNKSRPVT KKSAPRPGKPLSNAANGTTKIDTNTLAKGKGKQKATLVPKKPARQEAEQVESDVVEMP VDDMDIDDEGQDNVDEPVIAAAPSNRQLNIKPGKSAKGQPPLPQDHKKDAYIARLEKQ LQQAEDHIKELTRQVEELCQVRKTESEQLMERMETQHQALIEAKDSLLDDLNAQLAKR DPVLSSGKKSAFELLTRDEANNEMHALQEQLKKYREALQEREQRLKEQESEITELKED KRIISIELKEEVKRNQELNARAPPPSSSRPRGTGVAFGASQDPKYAIIIKFYEDLTNL IVPHMKMQPGKYLDMEEWVLNCCYTHKDVMKKDSISKSINFNLRLGYEPLPDAPKPVT RKEDLVPSVHYIPLELQNESQEFRDSLEFLADKFTFERDQLSLFVRTLYDYVGGEKNE DSNEESDNSVQIVE JR316_0003362 MEMSHSSDEACVLVIYTGGTIGMLVGQQGYVPEPYFLTETLRSQ TRFHDPLQDSLFSNASSVQGFREWSGRSSPQPTVEHTRSEDPLARTLLVRSSRPIGYP NSLDRNLNNMFFKNQPRCVQVSDNIYEAHLPTLVTPKSKAPGGNLSKSIRYAILEWNP LLDSSNMDIQDWIRIATEIELNYSFDAFVVLHGTDTMAYTSSALSFLLEDLGKTVILT GAQIPLSQLRNDAVDNVMGALTIAGHYIIPECCLYFNHTLFRGNRVTKMSSYDFGAFS SPNFPPIVNVGIEIVVNWADVIRQTSLRKFRAHKKMSPHVATLRLFPGISGQTIRAFC MSPIQGVVLETFGAGNAPQRADVMEALKEACDRGVVIVAISQCAKGSVSDAYETGRSL LEVGVVPGSDMTPECALTKLSYLLSKTELSSKEVRNLIGEPLRGELTLASGGIGQQPI IEQNAENIQQLLSQFVRLTRPPTVIPAIRVSGPEASSSTIQDVAAPWSWTAAEAAITE AVLFPFLIHLAAARDDIESLEFCLVNSNFIKDQIPGGIVNCLETGSGRSPLHVASLNG SSKSVELLLRSGALVHLRDTLGHTALYLAARQGHEDVVDLLVQAGATLAGTDSHFASY VVKDAIKPKDAASLRIWVKAGLHV JR316_0003363 MEAIKKVFENKKAEGIPALVTFVTAGYPRPEDTVPILLAMEAGG ADIIELGMPFSDPIADGPVIQETNTIAVANQVDYTTVLGQLREARKQGLKAPVLLMGY YNPILAYGEDRSIQDAAEAGANGFIMVDLPPEEAVAFREKCIKSNLSYVPLIAPSTTL SRIKFLSTIADTFIYVVSKMGTTGSSEKVAMNNALPTIIDRIREYAEVPLAVGFGVAT RAHFNYVADSGADGVVIGTKLVNVIKESPQGEAPKNVEAYCREMSQKGETNRVKSPPT ARAASSESIPVVVPSVLPARFGEFGGQYVPEALVDCLVELEEAHKSAMADPEFQKELQ SHAGYANRPSQIYLAENLTKDAGGANIWLKREDLNHTGSHKINNALGQILLARRIGKT RIIAETGAGQHGVATATVCAKFGMECVIYMGAEDVRRQALNVFRIEMLGAKVVPVTSG SCTLKDAVNEAFRDWVTNLSTTHYLVGSVIGPHPFPTIVRDFQKVIGQEIKAQMLAAR GKLPDVVVACVGGGSNAIGTFYDFIGDKSVRLVGVEAGGEGIDGDRHSATLSMGQPGV LHGVRTYILQDKAGQIIETHSISAGLDYPGVGPEHAWLKDSKRAEYVVATDEEALRGF RMLTQREGIIPALESSHAIWEAVRIARTMSKDQDLVVCLSGRGDKDVEQISQLLPKWA DILDWHVSSHAVGHTTKF JR316_0003364 MVSEPRNAAANRSVEILASKLRRRQIVGSRATALETLLVLRQVI AKARFSNLEQLVAIIRDVGRRLVDAQPKEHSVGNTVRKVLHHIREEFHAATRGVEVSK PASFSISKFVLQGQPRKQVAVQKSEVKGTLKENDPDDPDSFARGLKPVLMEAIQDVLD ELETVYDNVSRNAKDHIHSDEIILTLGMSKTVEQFLKAAAHVRNYSVIVAETAPSYNG REMAKSLSSSGISTFLVPDSSIFALMSRVNKVILGAHVILANGGMFAVSGSLMAATAA RAHSTPVVVCAGQFKLTPLWNLYHEYGALDFGNPSDVLGFENGDLVDKVDVVNPYYDY VQPELIDAYITNDGDHPPSSIYRLLKETYDDEDNEL JR316_0003365 MEDYPCDVIRNFSIIAHIDHGKSTLADRLLEVIPLFLKNVSMTK KEQQLTGTINKKVSGGKNEQVLDKLKVERERGITAQTARYAIANFVHPNIRELMFISM IHKFNGKDYLLNLIDTPGHVDFAWEVSRSLAACQGAVLLVDASQGVQAQSISVFHNAK ERGLKIIPVLNKIDLPASQPERIAAQMQSTFGIDPSEILHISAKTGKGVDAVLAAIID RIPPPVASADSPLKAFLFDSMYDKYRGVISLVSVQGGVLRKGDKIASCYTRKKYEITE LGIMYPEEIPTKSLNPGQVGYIACNMKQSSEAHIGDTIHRVGETVEPMAGFQPTKAMV FAGVYPIDNSDFPKLEESINRLTLTDRSVTVQRESSSALGQGCRLGFLGTLHMDVFRQ RLEDEYDANVIITAPTVPYKLIYKDKEVLISNPTDFPDVGDMGVRVKEVQEPVVKASI IVPEEYFGDMMELCYSHRGEDLEHRYLDSGTTSARIMLNCILPLSEIVTDFFDQLKSR SSGFASFDYEDAGYRSSDLAKMVFLLNGKPVDALALIVHRSAQDAIGRQWVKKLHKVI PKQLFEVPIQAAVGKKVIARETLKAMRADVTAGLYGGHYERKMKHLENQKEGKRKMKK MGTVDLPQEAFFEILSNKK JR316_0003366 MSLQEASEARKARLIALRKRKAGELVDENGAVEPLIKNRNFDPE SRALKKHTREDIIMEDTVEHQVKGLAEQIIADDEKRRAQELDVFNIAPKRPNWDLKRE TEKKLAKLERRTQEAIHTLIQTGQRLAAQKGDSDDLVGAMKAQERQNASESLSDDEDD JR316_0003367 MQQATHQRLHVRDAHDAHIVFEAVRQGFLPPVTRRLNDMERGML IRSGAIFVWFERDDDSGLKRWTDGRVWGQSRMREPYLFYDEKMPYDAAQAANETNRAP AFRFVDGVARPGPSSSALSHQGRTANHHPGLVKQAYSAWVLPNSHTRPQKWHLTAYFT YADLPHLPTIDHDPILRTMIVPSGIYRTGKARSRSDDEDQGTSGHRGYSQDSNAQNLL PSLSTIHASVGPPPPGLTSTRTPGTRLPEDQRLIQMLNSRHVK JR316_0003368 MSRFGCVAITAGQLIVIHAVAGVHRTTTSLFSVYITFLSSLVMD SESDLPLRRVPTLVSLCQRAAIGQGVESIFTLGSDLTYSLVKPILEKCNAEQLSRFEH LSPHLQKDTPELWKARCFSDYPLPAERYIDDDPEEPETWRSRFLELRESESKRMDELA SKLRTQRMEADERKKEKEVKYTDRVPSAKRPRYGSTQPKTLFQKTKSDASKVQRAYYN TRVIPPMPASKKHRVLPQNPGATLSPVPSSSSGSRVVVNTVIRRPLVSTSSSAASGSL VGRARVSTLKAPSSHPFPSDAPNSPSISERPDAHSSNSSLPHTVVDPPRPMAKLPTPK KDPMASLFVPKRKPPPQRSV JR316_0003369 MMRLSEPDHDSSLSVAPDMSAHAGPSSLGGVFDGFAGTNGASGH TNGFGPVSNGASPLGNGISKHGKTIPRVTLPGTTLYDDSFVDREEFVRLVIQSLRDVG YIESAATLEAESGYTMEAPEVTQFRQYILEGMWPKAEAALMRLGVHEEDGLWDAKFLI SRQKYLELLEGKKPTAALQVLRNELAPSNVDPDKLHSLSSLIMCSEPEDLRRRAAWDG ASGMSRHQLLDTLHDYIPSAVMIPQRRFASLLNQARSYQLQRCIYHNSTLNSSNFSLF SDHRCNKSEFPSMTTTILEIHTDEVWNIEWSHDGAYLASASKDKTAIIWRRGKPSVDS SSSAQDWTAHLILRDHPYPLGCLTWSLDDSILLTSTEQFIKMWNTKTGVCIRTLEEHT ETVTAISWLPDGTGFISGGLDRKIVIWDADGKVRDAWGPTGIRLTDLAVTPDFTRLVA VGMEHPQSFPSAPEPNQTRGGAQSGDAPPAPGGNGVHGVALRTTHRMMVFDLATKQTE LSIRLDGDLTSVQISQDSRYALINHAPNEIHLWDLTTGQIACKYEGQTQGHHVIRSCF GGVDGNFVVSGSEDGKVYVWHRDSGTLLETLSGHGEGSVNSVAWNPTNERMFASCSDD RTIRIWEAPVQESHYSEQPIAGLTHPPPPSSLAETGKGKTRQ JR316_0003370 MFEEICLVCGKHLSDDGRAYCSDDCQQSEISSPSISSSSSALSS PSIGYTVGGDVPALIPSALGSALRVYARRSRYPVSSSSTSSNSCSLVTDDEDDFTSNR FGISEYSYHESPDHIYDTASKSANSIYSGNPSSLTYARRPSGTNNHSTIPHLDRHQAS VPAPPASRCLPRSVPISSSRPADNDDDFYSDVGFSSGDAPDTDEGDLASEKDSGVASK PKYTGAVKSRRSHTRGSLPACFSLLQTASPSKDFRSSPVSSSSGHTIARPSPPTPKLP FANAVSQIMITPSIPLPSVHSTPRGRRRDVDGSRCSRRSGQSSHSRSRSRSRRVPVRD IIPHQPVSEDPIDYWASASELPRRGRETVRRNSSPPPKALMGVEDHISLFSSARKTHG SDRSQSGSHSRARARGRTRVEDLGGIGFSNDAPGFGTGRSGLVDRGRDTYRIPL JR316_0003371 MSDVSSIPSRATSPINTLAPPDQLPTARPYRFTWDPASRRPGPE SVSGTTEGRGGDDFGGAHPLGFLNASTTSLALGAMPSDWSSSRTGFHAISTVLNNPHK RQAPPKAHSLLPPVPPADLPRVRRKDFESYLRAITPEWDRYQHNTQVGRDGQAQIEDS QWTPRNSMAGDEPPTGHRSSSVSHQNGKSIPPLDSVPSVFFQKDFNLGDPKTFANVTE QDTSAILPGVQDDPDPFSLSHSLPLLEKFSHYADTVEQHLVREISIRSTSFFAALTNL HDLQAESERCLNRISKLRTQLQDVDNNGAKRGLEMVRKESKMSNLGKVRDGVKMIGTV TEMTGVAKGLVNAGQWGGALDIIEEMEGLWEPKTSRNTSTEGTPHQANPQNGRDIRLS PTLEEEDEATDAMHTSSVKQIDTLPHSIQYSIPLSSLHAFSALPSHLRTLTMEIAASL SSEFVSVLRNDLELRIGVDGNSNTDADQGLKDRLKPLLLNLVRTKGLKEAVLSWREVV LGVIRGVIKQILPSYDIDDEQTGRDSTSESKTNLANQLRGTPHSDFIPLIQRVYQRLF KGVEGLQGQNTVILDILSALSSQLRQRVTNIPLLEEDLNDILSSSADLSSAQAAKVIA YRAEQHARLDLSDFMTFSNDSWAFVIKCEKVCRRAIIPLRGTLNSQATLFLQTFHQKR ISQSAKFVEDELWNPTEVTPGIQLTTNIVMDSAVRDPPELVIQSPDAVFSPVAMNFPT TPGASPDSSVPTIATTVLQSKSSSASTHSTKHLRIEGRTYYVVSATAQVLTLLLDYLK VVVNLSTLTPDTMSRVIEFLKAFNSRTCQVVLGAGAMRSAGLKNITAKHLALASQSLS IVFELIPYVREAFRRHLGQKHTVLLVEFDKLRGDYQEHQIEIHSKLVAIMGDRLNEHI KSLKNIDWTVPKPVGGVNSYMELLVKETVTLHKVLSRYLSPSVVEYVMTQVFAAINHR LSEAYGVIELPDQESKTRLLEDAKYLHQKLAVLNIAGAPAGMLETVVAEKFIPRTGGP NGSSHTSPASPPNAPIRSNTLTANQRLKGLLSGRSSSFADKDKALPPPNQNSSPFPAP SISDKPRSRSPVPVNTHLDPQNGNGLYAANASQDTLANGSSISLSMAVSPIPETKVSQ GFKQVEIPSVDLETFKPAVNNNTDGSK JR316_0003372 MAPFFSVPPSIVAFNAHFPLKTYPPIKSSNKVHIDAPTLWIHPP RSTSQPIDNLLSSDVECLKWQAYLALRNLRNVKLRWDISPDGSIDGALPNLHVPISDA LSIAQKPTTNGAEDGELLAAHSIPSWVDAKLGVDSSSDPLEGYKDSAARVESRAWVSL LEGVVHAALLTSTPTPSYIHSLLFPKPMPPISESLQKILSPPPAPLTGLCSLIPPSGA RINTSAILSQYKDAIASLSDRLGTDNWFLSSQEPTPLDALAFAYLHSILHSSDIVRIE VTRRVNLVAWEWKVRRIVREAFVI JR316_0003373 MAFFEKFISETLGLTKFPPYLSTFYWAFLGFLLVHQVIAPVLSA RWFPTAYAGKSKAAKNNWSIHVVSQVHVLIIVPYALYSILNEDADRSLDKAFGWDARV GYVHAIASGYFLWDTLDAIVNFIDPGFVAHGVACFFIYTMSYKPFVAYYGTRCLLWET STFFLNNHWFLDKTGRTGTRAQLINGFFLLTSFFLVRIVYGGYISVNFLITLIEVKKE IPIAYTLVYGTGNVILQSLNWFWFYKMIAALRKRFGDNDQVKLTGADEIPTHINNGPP QPLPISEDRS JR316_0003374 METDLTHRLCLLLHSVVGHSYDNDSNLKVLCDLEGGKLLLDWLT SQVSTNLTEEYTADEERVASLRDILLEEEEMSILNTIDSSANNYTKPIIVAPSDYMLP SQSKKHANYIRNETQVIQCEANELRMKISQTKNERKRSTRTLKLLRSIAGSLASVITE SNEALSELSIGVDATITSTVNSAHGLLCAYECYLRPSPSGAVEHMEMGVSGQAPLKMI QARLFGVTKNLQTYLTRYYELRKKELRRLQDLNYEAKRLSNTLKSTHIGMLAQEIPDS EQSQALLQELIDISGILQSQLSSNPQYSFDRFAKSLVPIDSDEEIDPHPFGTTDANSV NENIIGAWKKDQLSIIRCHEEELYDVSSSLNFALSLLQSLHNSILQVIHFTRDAESTL QTLREELAEIDTEASIGNKNQTRLDMEDELQHELKLALTYCTRNGSPTLLLDKEDILV QLRLLKSKAENSERSHRYWATNVIADCSLRPVHPLLPGIYSQSTLNSCPPFEFSANTR ELETETRTRMKQLHTLSKSLEEEVQGTFSKDDHWKRLKGFVDRWTLSRAGVA JR316_0003375 MLVPFLSKRSDQQLHRRKGGGKSGGSGGGGRSGGSGSGRGSSRS SPINVGSGTTKPATSYGFGGGKATTIPSGQLFAGRSAGGGNRDQVFGNRQYGSGYPGE TGRGVSGRGFPFFFWPLAWGGVAGVGTAAYLHNSEYGRFDNTSRPGGILMTAAFPSTS ENSTYRVVADNSTIISLIEDIKSNCSSSLENPDTIEPSTYNDSLSSPKPEQAVEYYRA SSVVLTLDNYNNTGALEAEGTPDTPLPSPIDTTLLTCLNETIGASVPLVDGATLHWSA PPSMGLIGLVYLIWSLSSFV JR316_0003376 MESKRWPQQQRREEYKKEAPYHGKRPSTSYIPTSQAVNANSHGL AICDDHTRNVNTGSRDYRGLVSDDTTQFKADRHCGIDSVDRDPDGDSSFHWDEEATLL SELMETGPEQNGDEYLDAMVETLQAPFTDQGKRLKKEIAQTLVPTHNRVKAVIQVLEK NVDVTYGQGLALFNAACKDIEKSMYAQHIHMKEVYEEITGNIERAYKELEREYHERDE LWLSIHSAVASIVTPALHNLKESPDRIESAITKLEKSAKAST JR316_0003377 MSLSPVAPNPLRFPNVYDTTQSLDSGFSFSTKLPFCKYPQVPSV ISSICPVFQSGPTFEKAIEATDRIALVDFYADWCGPCHQLAPVLEKLTKEPSTSGSGL PLDLVKVDTESEDGIAKVRALPTVIAFRNGSPITQFVGAINEAGVKDFLSKL JR316_0003378 MLSRIALVQTSATDVDEKLFTAIQILLFTPGKSNIFFSHLQQHQ ANSAEYLAHLSDRTPKYSPFSEQSSNFVFV JR316_0003379 MHFSTVATALLFATYVAAEDHIVTVGANNQLLFSPTNITIADGD TISFQLSSVTQSSFTSPCVQSPNAINSGFMPINATVMASQQFPQWQISTLRASGLLES ELIVTQANAMNGGSTNANSTSTNGTATNSTSGGDASTPGSGSASGGSASTPGSGSAST GNASAAPPPNSSQSAKTGGALKVGGPAAGIITLTALFATFIL JR316_0003380 MDAIRVSKVEQVIFSKAGSISQGTVHLTAHHIIFRYDEAEEKEM WMPYPLISLVNRLPHTLQGQSQLNFQTRTFESFSLTFKKDTDAGDVFESVKELTVAGS VSQLYAFFYTPTPPFPNRDGWTIYSPRDEYVRMGVGTRSKAWRFTDLNKDYTFCPTYP SRLVVPTRISDTTLQYASKYRSKCRIPILSYLHWANYGSITRSSQPMVGITQSRSVQD EKLIEAIFQSHWSPESRVSNTVVYGATPTNLIIDARPTVNAVANTAKGAGTENMDYYK EGKKIYLGIDHIHTMRESLAKVVEALKEADQLLASINNETDHGTSLAVLDKQALRRSG WLRHISAILEGTLIITKNIHVNSSHVLIHCSDGWDRTSQLSALSQICLDPFYRTIRGF EILVEKDWLSFGHKFLDRCGHLSSDKFFLSPTVDANSGSGADAAQAFLASVQNRFASQ QHIKETSPVFHQFLESVRQIQRQYPERFEFNERFLRTLYYHLYSCQFGTFLFNTEKDR RVGDGNGPPPCERTISVWDFFNSPAEMAQNTNPDYNPALDDPASRSPDADMGVLFPNP KNVRFWNELYGRTDEEMNGKFIFGQSRETEVVGPVDNAAEDPVVQDTTRTQLFQTSPS KAGVSVDKMSHSVNPTSSGRKLSPGITASLRDLSSSLPDFATSAPGPSRSSSSTPAPP STQQSKTYTSIPANQTHLPLWSRSMPPELGGGVKSVWGKLSSNASAAFSVVQDAYAGV AKDLLNTGQTDEPGSDKTSELRGRDTLSTWGERSIPRPHSPQSSSRIPAMTMSNPWSV SQPVRHMSIFEENPWGSPAQPEEQLGTTTPSIVNCPTSPLPLDPTVATIPATVPNVPN SGLTSQSVKQTQGEGSQPISKKDTAYSTGDPLGVGFL JR316_0003381 MAPNGQAVHAALEPGHFLFTSESVGEGHPDKICDQVSDAILDAC LAEDPSSKVACETASKTGMIMVFGEITTKAKLDYQKVIRETIKQIGYDDSSKGFDYKT CNILVAIEQQSPDIAQGLDHGSLEDHGAGDQGIMFGYATDETEEYMPLTIMLAHKLNA AMAAARRSGELGWLRPDSKTQVTVEYKKDGGATIPLRVDTVVISTQHAEEISTEDLRK EILEKIIKQVIPANLLDDKTIYHIQPSGRFVIGGPQGDAGLTGRKIIVDTYGGWGAHG GGAFSGKDFSKVDRSAAYTARWIAKSLVAAGLARRALVQLSYAIGVAEPLSIYVDTYG TGKKTDEELVEIIRNNWDLRPGVIVRELDLQKPQYLKTASYVCGILGLLLHDASIDAA AEICEGLSLLQHRGQDACGVITCGPKGRFYQCKANGMVRDIFDANSISRLIGGMGVGH VRYPTAGSFNNAEAQPFYVNSPYGIVFAHNGNLINTESLMQFMDHTAHRHINTASDSE LLLNIFAYNLQQTGKFRINEEDIFTAIGGMMTQVKGAYACVAMLAGFGIIAFRDPNGI RPVGVATRKSGTGLDYIVASESVVADASGFTDWEDVKPGEAIIITRNGISRRQIAAPA TFAPDIFEFVYFARPDSVIDGISVYRSRMAMGDALAEKVRTVLKEANIEVDVVIPVPD TSRVAALNLAQKLALPYREGFIKNRYVGRTFIMPGQQMRKKNVRRKLNAMALEFANKN VLIVDDSIVRGTTSKEIVQMAKDVGAKKVIVASCAPPIRYPNVYGIDMPSRKELVAHG RDNEEIASAIGADLVIFQTLPDLLESVRQFRPTIQDFDCSVFTGEYVTGGVNEEYLQH LETLRADNTRAKAISLDLGALDGKRGSVANGSTKEQEQNTSSSTPTNGSDDTVGLHNS WKTQS JR316_0003382 MRIIATCCRLYIQVIIIGLFLSGSAKVIRSESAWNGRVTPESTK LAPFTPYYVPPGAKSYDSTSPFISYKGVWSDHYSTSYVGKSLRSTSDPGAEVVMTFTG TGIEWFGNMDQTHGVALVMIDGQTVGEVNTYINQTIPLKQQRLFSKFNMKPISHTLKI INLAKRPGSIRKTVIDVDAFVVTRGYAIRSTTSRRFSSNDSTRVDSNAMPRAIPEWTL IQNGSTGVHAMQLSIVSDTHALIIDKVEHNPVSIDGHPAWAALYNLVDHSVTPLRMQS NSFCAGGTFLGNGTLINVGGNPVVETHTSAADFGDLNGLQAIRIFEPCDSSTATCVIY ENHSRIRMASPRWYNTVIRLADGSAMIIGGARKGGWINNATVNNPTVEYFPPKNIKGS NGMPINLSLLINSLRSNLFPIAFVLPDGKVFIAANRDVVIYDWQADTERYLPQIPNGV RVTYPMAGTALLLPLSPENGYVPEVLICGGSTVDDAKPGYELSSQDPSSSQCSRMVLD DDGIEAGWQTEDMPDARLMPDAVLLPTGKIVIVNGAGSGISGYGNVVDQIGASNADNP IFMPVLYDPLAPVGGRFSSNGLPTSSIPRLYHSVATLTPVGNIMIAGSNPNLDRSEIK YGTEYRVEWLNPPYIFMDRPMITSFDIKIPFGASVNVEALLPGNHNDNIQFALMDLGF VTHAVHANSRLVYLLFSYQNGVFAIQGPPSGNVYPPGPAWLYIVVDGVPSEGRKVMVG DGGGPPVDENATQNVLSNTAVDQHESSQVEGDASE JR316_0003383 MVVTHTLGAASSSTSPIKTKKNRRKIVRKKSGTVNPLPSDPSSS SLSHKGGEDEEIILEPLNHATDRHAPTRQTIADDDDDELMIDADPTSSLVSTDAPVFP PVAPGVEKTTLRSETRRIPIPPHRMTPLKKDWLHIFGPLTEILGLQVRMNVQRRCVEI RTSRHTKDIGGLQKGADFIKAYALGFDVNDAIALLRLDDLYLDSFEIKDVKTLHGDHL SRAIGRIAGQDGKTKFTIENTSRTRIVLADTKIHIMGAYQNIKIARDALVSLILGSPP GKVYAGLRTVSSRMKQRAL JR316_0003384 MLLKFTSPDMLNSTLVDVNTGKCAYNIVTILVSTTVLPEEQIRS SDTSSNPRSPTMVGSSPSFLKKRAPSSSSEILPDVVERRTSITAPTGKVLAEIAWQGC RPTISIHGEKVGGLTDLFGSTTVRFMPKNLVIPTRFDTEYVWMATTNSLTLFDCDTDS VKGSFYRNVFRLPTVKKGAKHRFLSKKKSSISLRNGTFFPDLPSPSQTEDTQSEEEIQ KSSFISTRIPGLGSSYIEFTPHLLAHDVEIILSFLMMEILRRGRFGLALYTFEKPQAW QFREAHRLLMRRVRRDTL JR316_0003385 MAHARRSPQHRATSPSVSSPSTTRKNSLRRSTSRTSSRAPTEFI PSTSFHTLRPVLVPLESNLRRQPSRTALTHKVDGLDQLMRDPGHVIAGSKRKRVTSSN ENAHTHGRPTRGSGRLKRLRTTSETVSRYRRASTSEESRSEASEMEVDTRIDTHEEDT EGSDDEECPQGGYEDEEHYDSSDEFLLHSASPKRLNRLLKLKLVELYELCGLTGTPQS LTKHELVDAIVNNRDGCASVPPSSPLGKGDGASSEYSSDDAHFAGDEETDAGGSRLPS HGSPLKRRVTTHDFGAAPLRPLKNRSLSMGNISGNNEVPRSLISERKLLMQLDAKQIE STGPRLVMRKNTARSSPATSTTTSSLKSSPPATRLRSRNVSVSANSSVPEPTSRGKGK GKAKQVEFSNEVEIHESSLKLGSRGKEVQTLVDSESDLTELEEEEEEEVEGRREPVGI PSPRRLRSKDRETERSVAKQVEDPDVTPIARSKQQRRIRGVSHDRTMDNAESSTNRNR RVTPMRKAKRKVGNLKESDPEDRDEEKEADEVQEEGEEEEEEEEEDLQPGNNEDDDDE AGEEDEVDELISSASITPPPESHGRRTPLRRRLRPRKSRNRVAPTVDEENEGDDEEEA EGEEGEEGNDGEEDEEDDVEGNQQSIADDGDDEVTIAVEPRKLRNGKIVGEEDTEMDV DEESVADQDEDGEVEDEVDDAASIDVDAEGETDEDETMEDDVDLTVATAKTLVRMRRD DLVRLCETRDLDPSGTKPQLAEALLQWRDRQGNDFSSPSSGGTVRPASKKKKRTKHHS DSTNTAVPVLLRSAHNHTDEPRTPVPGKDKEGKDKENEELELDLESLGLEDREIPPEK LTKLEKIGSGGFKDVFIGKFKNRKIAISEFRGQLSTMDIKELKLLGAFNHPNIVRFLG VSIPENTKETPVMIVSELCSNGDLFDYIRNTNAPSLRKVLLMMLDIARGLEYLHTRKP SIIHRDCKSSNILITAKGTAKIADFGLAKVKQSTRSMVRSLVGTVNWQAPELWTAHPK YNHKVDTFSCAMVYWEMLQWHAQTKKFPWEGMNEHAIYEIVGAKRQRPPINNLRKQWC PEIVDLMERMWAQEHQDRPTMTEVVKALEELLATY JR316_0003386 MTQPQSNLKNLSEGSEESLRALIQAIIPEAADASSNRKLGPDAI EKLTQKLTELMGPEAAEAFQQGRNERGEMLNEEGLPIIEITEPDDATSISMASPATVE PLVPLASLSPLDRERMRKKRDRILDLLEEEERQIELREQERRNQENDEALQKRTEDTA KQKEKLKEAKELQKKMGRALLKNIGRAKEVEEQEREAQRLKDEQADLQRKSPSGKKKT VAFVENPESMQKSEGNEVIASSNWGDVTPARLQNTKRATLASQSLLDMHPMKMSVVER LPGGQGTLPKSPLPLQNMVDSDDESDEGSNTESSEDYDEMIDVNPILETDEFEFDFAQ QQREIALEYYNKRNTIGQATAAAIMNHSHELDVHREATTEPVREGQKPVMSQFRAQKL ASAYGASAALESESVSLGESVLPASSTRTIQRAIKTGKLDLDGKLVGAEADSESDEED HAMREVLELLKKGEVYNLGPNGEYLHTVHPNQIPQTHNMTVQPSSGAQGTPQRWGNMP PPPPLKKPTVSKFKASLAASGRPGSKHTSNSSTSLSNISSPSVTPVFHDERSSPKLDL ESRKFVKDYMKADTRTTDTGLASKVPNSSNFSMIVESPSFPKPREGMSSTSSLSYHLP AETSRRPERPPAVISTVRESTPQPTASAHAPPVDETKPGKKISKFKAERM JR316_0003387 MFTATGLLSGPDISPLNQDTPVKPSVGTTFVSNGLLGVTSSTTL DATTSSHLCIEELSETGRLPVDMGFVSNELLGFNTSSTISHSTDAEHVPQTVVNTSIL PPGATFVSNGLLGNNFSSLPQTQDIASSSNLYLNSTQAAISIPRASIRATTYDGKTLY LKRKPKRIGAYSASIPSTRSIGNLLDVPIHRLMDHLSTDAINGIQQSSKPSEVDVEDT LWVDRYRPRKFTDLLGNEKVARDAMTWLKQWDYCVFGKTKGKKRGRDDEENVILDEHR RPQEKILLLSGPPGLGKTTMAHVIARQAGYEVMEINASDARSGSVIDDRIRPALESGS TVGSTKPTLLVIDEVDGATGAGDNSNSFIHKLVQMVNTKPRKKANGKEKDGRRPILRP IICICNDHNASSLAKLRPHTYQIRFNRPSDTHLVKRLKEICLIEDMKADTRALNTLVS VAKGDLRGCLNTLQFIKTRREEVTESIVRRATAGMKEEDATVHSALNNLFTPLTKRRL RELGLSDEEDLRYVSRLAREIDSTGRENTVATGCFGHYVTLRRHDANLSRQQKGIEWL ATFDSFSSAMYSDGDFALNQYLPYTLVPFYPLFQEKSGDRVERNQTDWEHLLLTRSNE EIYRTLSRCVQNAAIRYGGDFRHLVSSPILQTEFAPFINRIISPPLRPVNSQVIRPEE KTLMTRVVDIMSTLELRFVQEKTDDGQLTYRLDPPIDVFITYDGKRAPDITVSRYAVR QLVSGEIDAKMIALNTEYIEKGKRGKHDFLSNSGNDASRNVKLDDNSDSPNKRAKTAD NRDIADKPPTDFFGRLITVASANATKAAVRKNVEKRYRVTFRFLEGNSAAVRRPVKVN MFF JR316_0003388 MDSASDASEKKVAHHANVSIRDIDIAASLDSDAPLDPQAAAQIR RKIDWHLMPLMCIMYLMTFADKTTLGQSAVLGIIPGAHLTQNQFNWLGTIFYLSYLVF QYPQNLALQKFPVAKWMSINIFVWAVALLAHSACKSFGALFAVRFILGMCEGAITPGF MIVTSMFYTRAEQNKRVGYWFLMNGFAIIFLGFISFGVLHTKVHRFMPWQWLMVITGV ITLVTSVLFWFYFPDSPTTAYFLTPEERTQAVQRIRSNQAGVENKQWKRDQFIETLLD PKIWVMAAFTAFAYVGNLLTNQRQLIINEFGFSPIKTTLLGCVDGVVEIVTIWLGVTL ASRRSIGRGYAAALMFGPALLGTILVNKLPSHDKVGLLFSYWVSIFVFTPFAILLGWV NSIVSGHTKRTTTNAIVLSAYAIANAAGPFMWKKKYSPRNHVPWSIISACIGVSFVLI LTLRFMLYSENKRRDAEKRDESYDNIYLTQELADGTQTEKRVDRAFLDLTDLQNRDFR YDL JR316_0003389 MSEQKIAETKRWELGPESEYRFELDPGASLAIKLVQGHAEIFGF ELAEGKVHLFGFECKAAVYTWKGCVIEISEPSTEYISDETPMRSYANLHIALEQMRVR ALRQIRGSPIPSHDIPKYDPPRVLVLGPEHSGKTTVCKILTNYAVRAGQGWSPFLVNV DPSEGGWAAPGAISVAPVYAPIPTASAANPLGSAATTAPVSLSSNALLPLAYWYGHPE TKRNPLLMDRLIRNLGDNINDRFELDNEGRASGLIVDTPSSFATGPTANNHRHKLIKA CVDAFKINMIIVVGHEKLNVEVQRTYGSLLSVVKIPKSGGVVEVDYSYRERVHNYEMH TYMYGQVIQAPTGITNGTLGGENLTDLVLSPSSTVINFGDLQIYRVGSDAMAPSDALP VGAARTVSEMQPVLVDPASPGSGLLNSVLALLAPPNRDENERYDEEVLDLTVVGFLVI TNIDIPKKKMTVLAPNRGSVVGRTAVIGSYEWQDQ JR316_0003390 MRSHFASSICLLSLVSPLSFAYTWPSPQYDALEGLLFEGRRSDG SSLASLVHPCRKRGATLASVPAEWLRFAFHDMATHNVDDGTGGLDGSIVYELGRSENF GLGFNQTLSDFEAYPNKMVSRADVIAIGAIMAVNTCGGPIIPFRGGRIDSWVAGGTGT PEPQEDLATHTESFRKQGFNQAEMIKLVACGHTMGGVRSSDFPQLVAPDPNSVNPVIE DFDTTMDFDNKVVTEYLDGTTQNVLVISPNKTMVSDLRIFEADNNSTMHSLADSSVFQ SECQSILARMLDTVPKGVTLTDEITLLPAKVTASQLTFERNQLVYKTSFRLLQPINAT ANANRNVTLFWCDRYGDNMNCTGKTNTALPVKTLVDDPNVSPITKSLGYYFLNYNFIV PIDSAASIAKFWFEVDEHDGSKVTTYNNGGNGYIIDQDQVLFVPMSSHVTVIPNTTFT QTYTNRVGDGYTRHYDLVVAVRDGTNPSRVYAEATDMTIQNFPFPLNMQLEFTANSSI PGQSGYSFYTATADSSGVQMSLDVHADAAGQTYTQTFMQTLVLDNAPYVKPGTVSVIS TKTAAAGRLEVWGTLLLLSVVFMNFRGVALLDLVL JR316_0003391 MPKATASSSYPVSGLFGVIKPSGPTSMSIVNDIQLLLARSKLFV DADKLEKMKGKKIDRRRGKHGREAIKVGQGGTLDPLADGVLVIGVGKGTKKLNEFLGC TKEYQTTCLLGCETDSYDSEGARVRLAPWKHVTKEQVEATIPKFIGEIKQVPPIFSAL KMDGKPLYEYARKGLPLPRPIEERQVTVDSLVLVKWLGHDHSFSWPEKQLTADEKKAL EVALRSIQDDSVLKDEPEIVTDGQSPSAFVLKMKVSGGTYVRSIVHDLAHAIDSAGHV VTLTRSRQGRFVLDPVEDGDRGCIPWEIFERALADVGDVDEDGWTEWEREVIKHLEIV JR316_0003392 MAANGYHPSTTIRPLRAGIYAPILTFFAPDSEDLDIPTFESHVT RLAAAGVGPLIAGSMGEAIHLSHSERVKLIHAARKALDNAGFGQVPIIAGTGAGSTRE TLELTNEAAAAGADYCIVIASGYFAAALANNRAALKAYFVEVSEKSPIPVMIYNYPGA SGGIDLDSDLITELARECPNICGVKLTCANVGKLTRIADAIADPSFYALHPRKNNNAP FLVLGGYIDFLVPSSYANGHGAITGLANLFPNAIVHLFKLSEASKKDQSILAESQRVQ GIIARADFTISKASIAGTKYLLERTQGYGGLPRKPLSPIESAAAQALWEHADTQAALK LERELIGKVHA JR316_0003393 MGAFNTISEKPPSDGSGVPYSSLTVGVPREVFPNERRVALTPQN AALLRKKGFRNVLVERNAGTEAQFLDEQYAAAGATLVSQEELYKSADILLKVRAPTLG EEIEHLKEGSTIISFLYPAQNKPVVDALAARKVDAFAMDMIPRISRAQVFDALSSMAN IAGYKAVLEASNHFGRFITGQVTAAGMDFVPSNTLDRKIPPCKVLVIGAGVAGLSAIA TARRLGAIVRGFDTRSAAREQVQSLGAEFIEVDIQEEGGGGGGYAKVMSKEFIAAEMA LFMEQCKDVDIVITTALIPGQPAPKLITHEMIAAMKQGSVIVDLAAEAGGNCEATRPG ELNVQSGVTIIGYTDLPSRLPTQSSTLYSNNITKFLLSIGGDGKYSIDLKDEVVRGSL IVQNGSVLPPVPRTMPPPVAAPPAKVEETVKAITPWQKASREVALVTAGMGGIVGLGK ATGTSFMDSFFTFGLAGLVGYRVVWGVAPALHSPLMSVTNAISGLVGVAGMFVMGGGY LPGTVPQALGALAVLLASVNVAGGFILTKRMLDMFKRPTDPPEYSWLYAIPGVVFTGG FLAAASTGMAGLVQAGYLASSILCIGSLSGLGSQTTARQGNALGILGVSSGVLASLAA VGFPPEVIAQFAGVATIGGIVGSIIGRRVTATELPQTVALLHSIVGLSAVLTSIGSVL QDTAHLSTLHMVTAYLGVVIGGITFTGSIVAFLKLAAKMSSKPLVLPGKHLVNVSLLG ANAATMAGFLTMATPTPLVAASFLGASTVLSFLKGYTTTAAIGGADMRFMLDNPLLVS VGSLIGVSGSILSYIMCIAMNRSLTNVLFGGISAPVSTEAHKIEGTITKTTVDDTADA LANADSVILVVGYGMAVAKAQYAISEITAMLRSKGITVRFAIHPVAGRMPGQCNVLLA EASVPYDIVLEMDEINDDFKDTDVTLVIGANDTVNPIALEPGSAIAGMPVLHAWKSKQ VIVMKRGLASGYADVPNPMFYMPGTKMLFGDAKDTCEAIKRSLETRFGK JR316_0003394 MSNPHLPQLSISLKAKDNDDRDSDIELAMTNNPPPTQSLPSLWG IPLKYLSLVTLAVQNSALTIIMHYSRVSTPPSRTYSAATAVLMNEILKGTISLLIAFA RIESPSAYEVGQVSHISQRSLVNRLSVRLRKLSKEIFSQDCWKLSIPAILYVIQNNLQ YVAASNLEAATFQVTYQMKILTTAAFSVLLLRKKLSTAQWISLLFLAIGVGIVQIQSG VDKSASVAPSTNVVAHSMNPMKGFLAVFAACFTSGLAGVYFEMVLKNSQADLWVRNVQ LSLFSLLPALAPIIFDQQSNGAQIGKSLTSSLFENFGAWAWATVWVQVLGGLVTAMVI KYSDNILKGFATSLSIVISFLASVALFNFQMTITFVLGSVIVLIATWLYNQHPARINL AINKDSWMWLKNEKKDEHPLTSTQPHYVNLSAISSTTSLSSMSSSLVATPNPPESETR SFIIR JR316_0003395 MRFSQRINAARHQKSKPQGSHKPRLVRRLRRKLENTRTRSKPND LHVSSHTNKNTPLKISAVRVPHSDTIAAVSRSTTFYGVAAAEITAIPIPLQQTSTNVT NTVGAGSPLLGAISSDIIITGTPAPTTPTLVTRPSKLTPARATFSALPPLLSALLPSS TVTTTEFTRVSFPSETLQLNPSSSSQPENIKSHQITVVVIVLLSVGSALLLLGVCLIV KICTRPTRQPRPTPSLPVMKDVEADEDYLETVESPLFGGEARMSSMAGNNAPTWNWIQ YPHAPEVQSHATRASQDVSSCPRALNYPIQHPAPVADTTPLAHENGIVFAQSSQNVPN ATATTNKRFSTSSNLFGKTSIDSAPQSNEDRQETQFTADGHDIMKRSKSKASDRRSRQ IEAKKQRESTSSFVGLAYDSADVASPAQVEYIQPQDTPVAENFEGRAKVRSGYFAAGA YPRISTLPSATYSIATATRINVAQRNSFSKEKFSLQRSNSKRIRDTQALTYALGLASP KTDAVYGVTSPQPTIYPDDSMSVVEARRPKKRGAMADKRTVEPVPDVPVILPTQMVGS KSNGSLTSMNFGASQMSLTNLVLEQASEQHEPQVHKTDKPPRVPSPPPLPSLTQMALA HSNPEAYANYRSPTYSLYGLYEGVSDRKSVVR JR316_0003396 MDVFEARYGYKYCVAPPSYPTSSDANEESQATQLLQELLDQKSS PIKRPEEDRNSAAYKTRSAHTNEISSDSITSSRNSNSLPHYHFHGLASTQTESQQQGE DEQANEGSQKENIGSLKQTKDFEHSSPSLATRPGSPYQSSSRNVQTRAGTRAQAKGSA IPKEKTTNKKAPTTVAFQSPQAKPSTSNTRSSKPVTRQSPYKPTLAASRYKPVQRRSS SEDSFAQDPELDEQLFIARSEKFNIPISELARNSSPEPEAKSPPMFQSRSSGRMPQIR GMDSPHGRILVEATPSQSTSSQKSHHIEETQISEEPQYEDMLHNPLTANRHVEDVGYQ SSEHSSSYGEMAKEPEQELQPTQPSTQLQLEQTQPSTQVEESDILAPLSVQSPFSINP SHAAGPSTVNSGPRSLLALVNPGALYRYSAYRQDEKHLHRRATGGWTVPEKDLHLQRA TSPPNAVSRRSNLPPPHAKRGFSDDAFAGSLSPEPMDVDIVPDSEPLRNDVELSSRRT RNSAPGQPSANPGLPKSNQEPVKVADMCPPPEAKLHPVPAEDEDEDEDGVPLATVIAA KRPRGRPPKNPPSKGKGKTLNEAGAKVRYLNALLDFGTNIEMTKATAVAPPSKEKKTQ KRVTGGSWETGVVPSSVPDQDEASAPRGIIDIPKKKPPASRQSTRSTSAAPKSGENQW AASELKTNNDKPAEVDITEEEEEEESGPSRKRKRTAQTKAAPATRSAKGVAKRVKRET ATPGGGRQAKNLRSTHSTATRLNNEPGTRVFALWKQDGHYYSGTVHSAEPGNQYLIHF DDTTSNKVTLEQMRLCRLQIGDQVIVANTYRPTKVLSVEVDGDDITVGVNLEDGVKQV PLIHLRIANKTITYEWKDRVLTADAISTTIKPVRAKLSPSPSKLSIASFPAMRGPRKK VLNNTALIVTLSAVNGSWEREKEKVVNAVKNSGGLVVDDMSTIIRMEGKHSANNNRWI IRKNDVQWVGDEDIARLFLLADEPNQKPKFLIALALGIPCLSTTWLHESVENNAEKEW TGYLLPQGFSPALKARISQQVDVDWGNSFYQLKDIMSNAVACKLFADKSILCVGPEMV CQPKGKRRAVVDDKAQEATNAIPQIILAMGADRVEAVTDVRYATHQLQEYDYLVIREK HHYSPGYSQCPSVVDWTWVKECLIASRYLTTPSWGEDSQEA JR316_0003397 MDMSYSPLSLPSQAVGRMLPDSLSEFLGFASIACWLGAQFPQVV ENIRRQSCEGLALPFLANWLLGDISNLVGCIMTHQLPFQTWLATYFVFIDMMLVLQYA YYYKSPKTSSSALGHIRSASTPAAHRRLSIDRGASRYRALSVAASNVATAAALAAHHD EQANPRRSNSRFAAKINRPRGPEASNGGLDQGDELEDDPPAAMIESFYSERGRDPQSK RVSWSIERHGRASSVGQGRRSATPTVLDFGSRDPVLSPRDSGIAQSSHDPVVLDSTES LTQAPNTRSSRASRKGSNMVFLAVWALFGIGTLTSGKRGIPSDSLNGIGRVLSTQSRT GSTLSVPSNEELVYTKQGDVQHFSYVSVTIPTSFYPNSLLPREPEESPPVDEPSEQQI IGRIFAWLCTTLYLTSRLPQIWKNYARKSVEGLSMYLFVFAFLGNTFYVASILLSPRR YLPPPESTKYIKDSIPYLLGSGGTLLFDITIVSQSFCYRPRPRRHNPASHSRTVDDEE AGLLSGDALSAHLPGDSAILNRGRASRTRSSSCVFAFMSRSTAFSNPTPVQLRKLVLD YLCHSCYTRTARAFNKDSTVRHLDADGDEILEAATYGTDPRPTESEGSPSGSNEKFEA LLKQVELRQAIRTEILRGRVDEAIALLDKHFPAVLRLNEPVSTSPTNNEPRLPASNIE YVSSTSTEPAHLLLNLRILAFSEACRTIPLEYPPTSESESMDTDPPIHTGISEDSEEY HEQQLALLARAQKLWAFSNTLPNPADRVTYTKELENVGGLLAYKVPEKSSMAKYLTME RREAVADQINRAILKSTGKPLISSIELLTRYTHLLWQGANQYEVKGRAGAVLPPRDKT TDSESEVRVVEKGHFYAH JR316_0003398 MASRAGQKRAATQGSEPSSSSKHQSKKTRFVDPSEDPANFAEQV DSALEGPSRKGKVKTEGYDSDSTDDGEGVVPSRKKGDDDDEVDDMFASEPKANEDTAS KKKEEKYMRLADIEGQEFKDESGSEKDSEDDDEPEDEDDAERRKKAGMGYELSSFNMR DEMEEGKFTEDGNYVRTFDPHGVHDRWMEGLDEREIKLARRRKREQEKKQKERMLAEE KELEESGGKSSLETQLLSHLKKGETVLEALQRLGSLAKKTQSKKPKHKTAAANAMQVD QAKVDKPQTHIPTDIETITHLASSLMSMGETDVYSQTYEELVRSVRSSGNVDSSWEPP SADIKYEYKWATPEAGQPDEIFGPFSEEEIRSWYNASYFGTVGEKVKVRRVGGTWGDW DDVVQ JR316_0003399 MQSTREVNQLLHTLRGEQFRHSQNLTNSRSHLSYIPNTNSPTLP IGLTIPEYDESGSTEGTRRSRPLPLYSGPAPPKSWIPAPENDIKDTFLWRAKALDIVA PHLDNFMNSPRVPSLALLCMQLIISGCKNSKALQEDVVPFIPPHLRRDLIRHCAIHAP LPEWKLYALLNSNGHTDGEIIVMGPTAYLREDHFLRQMPSSQDARHSSHTEKVDRDWE VEDESERPLRSIVLVSTRLYTSTLLTFPPSITLLALINLPTSVSLHRLPKLCPLIAVL DLSFNFWLNDAVGGATYALDKIEWVRWGHLKVLGLRGCFVSTELLKKINEGRWDDIDS IREARVEPTSRSKKAKLVWKYLVRWKGYKPEDDTRVMIFRLANSPNDREIYRWEPAES FVGSEDMVEAFWERARTNGRDRNDMSLFKSGETFRPIGPPKRSKRKSTNDQPESGSPK ANSSPEQVELSVHSSSGKRRRSSPELLESPRKSQRLTRNDLAHQAQQIPASEETLNRR LNQGGKTQSSQAKGSATRKQKKSLKIPSPEIIPDSDVEMNPSTSANPVKDFGEQSSVD ALPRGQLVKAQETNLPLHRARADNPLVKVIDYSETTGIPNAIPSKTHAVERFNTISSS SVDTNQAKRARPGPGRSSAGLKRPVKNTSSLLISEKGSLKTIKGRHSRETAGSPTKEI DVVDLTDNVPTQEIETSAPEVAPSGPELLQLAGFDTKAAELPDFDEDEIDGEKVQQQL NPDGNSSLSSDILKQADETSTPETHSRAPEFIETRNGSTIFGRFNLGSDPTKIPEQTK PSRLVVILDASVSIPILLDETATLPNPMSLRVGGTGIPGKFYNPNAAITLLGTVRAGG AFALADMDQDANDEETKHFTRFSSRLGAGDIFVAVAGVIPLHFFYMLRRIPTTSDGKT LDRLQDSTRLNKPFKPPTLAVPKHVQPSRKRKRAVSYKEDVEDGSGSESEHSKKKQKK SMDKDGVYHNEADLLAAIPKYPVYSVKPFNEVSSRRFSLPTMVNKDGKQITLFPTHAS LGVRPPAKIIPRPLHDPMEDHAIVLYDPTIDDRETDEERKEREKEEAKEREAKELREK MAGMYNPHKSLKSLLGEGTDKAKVSKVPVVIDPRLSKVLRPHQVEGVKFLYKCTTGML VENQYGCIMADEMGLGKTLQCIALLWTLLKQSPRAGKPTIEKCIIVCPSSLVKNWANE LVKWLGPDAITPLAVDGKGTKAQLLEGVARWVAARGRNVTQPVMIVSYETLRTLTPYI ANCEIGLLLCDEGHRLKNSESQTFQTLFSLKVSRRVILTGTPIQNDLSEYFSLLNFAN PNFLGSKNDFRKNFENAIIRGRDSLASDEIKAQCEKKLKELGGLVTKFIIRRTNDLLS KYLPVKYEQVVFCGLSDFQLSLYNHFITSPEIKALLRGQDSQPLKAINILKKLCNHPE LLNLPDDLKGSEKLIPEGFNGAGSGAKDRGRNQTVRCDWSGKFLVLERFLHQIHTQTD DKIVLISNYTQTLDLFEKLCRSKKYGFFRLDGTMTIPKRQKLVDQFNDPTGKEFIFLL SSKAGGCGINLIGANRLILFDPDWNPAADQQALARVWRDGQKKECFVYRFISTGTIEE KIFQRQANKQALSSAVVDEKEDAERHFSLDALRQLFIFNQNTLCDTHDTFKCKRCKDG RQMVKAPALLYGDASTWNHFTNSELKNNHDDLLRAETGLPEVSFAFQYISH JR316_0003400 MLGFRTVCRRRQLAFLKQLHSTAVVANPHSGSPPSSQNKATPLE PTDAPKWTPNSIRTGLIARKRGMTALWNDQGVRVPVTVLQLENCQVTANIRTVRKDHT VYHAVQVAASDRPAKNTSLQMLGHFRKAKVPPKQIVKEFPVTPDALVPVGTTLSAIHF VPGQFVDVVANSIGKGFQGGMKRWGFHGLAASHGVSISHRSSGSTGQHQDPGRVFPGK KMAGRMGNKRITTQNLPVVRIDTSLNLIFVKGTVPGVDDAQVLIRDAKKKMVALSSHN QAKGLYEKVLPKGVDDLPFPAGTVELAKTMPSIVESPSYRRSPFLPLE JR316_0003401 MAFWPSDERNKRPIVSKRKGRKVLEISKGRDYPNLEPGLIGAAT LSEGNNNKLEWDFLTMPNKDFSLNRLNIGKRLEVFPQSPPLSSRNTSRTVRQRAEQGA NFLRTYLPDVEIPSELIRDQLLEETQICDDLEVFDPYSGNLLEVSTSPQDPNSALLLF PTGDTNRDLTITYVRSLKPRSILFRPTPTPLWSFSTPIQQIETLMGLGTSTEMFSIEH PNHHTTTTATTRRVARFSRSDTNDEVIVDAKIQPFSESMLVISDQGTLFNCTVQNGER SAISLHTFSPSIQNKSGFWRLSLASNGSVSYVMSEKTVEQFDNRTNTVQSFLSIANQN HILTSIESCRHSNILPLCSTDQVIWMDTRFTGKPLLAYSHGRQRDRYISTQTLYHRAN TSLTFLTSRNNGLVTVYDVSRPSEGLFHLNDSPYSVFGSIDTYQRHLGQQFLSLNETL GLVRLSELKGVEFTEILSLPSESRNGHAIELSEDVIQNRDPQAVVPADLGPLSIQEFS QVNLNSVYKELFQTHFEKIKRLEEEDAEMFHDMVDNFPRYLQSKEIPTDHILTTYDIA FCAGEPPGQPGRADFLTESLISSKRGYRALKQGRLSAELVRSPWHRSISSVLRHLDPS FPSGPLQSMEYLQSFQPLDNACYSNKAREYAQDSCEQLTVDLALGSDIYFDDTIFNKA EAGVLEVMTQALSIDGGPPPIRFGYLTPVEKNRSYYAGESQISEGLRLPLGVRLLLND WDSGNPDDYIYKNPYAAAFLETKQLNQPATLEPTSSLPVKLQRPPQIMASNTVSAAPP EIHKKLTLKSLSQDPYPPSINEFKNSQASTPPQGLATSTQIMPGPHGGRPAPKKKPPK KRLGGLKTKPVSSCKRTKLDFGPATLPALWMEKGKTPNPAYDPDKFNDFLRQNKAVVC ALSASYVSTFAGYPLDSIKSRLQTTKTRITVPRLAALVYREEGIMGFYRGLWIPLMTI SFVRAASFTIYSRTKENFHNNNILCRDSIIDVSLTGGVSGAMSGALISFGSAPFELVK VRRQLEYTIAATKGITMAKPPGTIEAVKDIFRTNGLAGLYTGFRLHFVRDTAGTALYF LEYDGMRHLLGRQRSGEQGPTPPWLPIHSSLVPFVCGSLSGVTSWALIYPLDVVKTKV QQRALAGERYRGPWETLYRLVRGPDPTSPKPILSGVARIYRGLGVSALRSITTHGLLW TLFDMTAQYIDKLPPSRVDNHY JR316_0003402 MASAQPSQSQQGVTKVLSGANASFKDKGKPMELRLSNMVAAKAI SDAVRTSLGPRGMDKMIQTSKGEVIVTNDGATILKSIQALHPAAKMLVDLSAAQDIEA GDGTTSVVVLAGSLLGAAEKMLQKGIHPTIVAESFLRASAKAVEYLTEMSTPIDLNDK SSLLRAASTSLNSKIVSQYSSTLAPIAVAAVSRLVTATSSNVDLRDIRVVKKIGGTIE DTELVEGVVLNQNVVVSAGGPTRMEKAKIAIIQFQLSAPKPDAFVINDYRLMDKVLKE GRQYILNLCKKIKKANCNVLLIQKSILRDAVDDLSLNYLKRLNILVVKDVERDEIEFL SKSLGCKPISDIEAFTEDKLGYADLVEETGDSGVKVVRITGIKNRGRTVSILATGSNN LVVDECERSLHDALCVVRCLVKKRALIGGGGAPEIHVSRLLSQYAQSLKGMEAYCFQA YADALEVIPTTLAENAGLNPIAIVTELRNRHALGERNAGINVRKGLISNILEEDVVQP LLVSTSAVELSTETVCLLLKIDDYVQAR JR316_0003403 MAFFGNPNGPGGILGQMVGGFHAGYGPPRRANPRAYDEYLKAYS MAMLPGREREHVSYGGKLIMPQSALANLSNLEIESPWMFKLRNPANPAASTHAGVLEF TAEEGVVHLPYWMMKTLRLNEGDPIRITGTELPKGKFVKLQAQSVHFLEISDPKAVLE SAMRNFTTLTQGDIVEISYNSIVFGLLVMETRPGGEGITVLDTDLEVDFAPPVGYVEP ERPKAAPPTTMASKLKIDLDSQSPGSSRPGSSLSGGFAGTSTGVTNVSKDGDQWESFK GKGETLAGRKTKGKGISHRKAEQVPEGSKIIRTDNHRIVSNNTLESDVKVPAALNLPF GQLFFGFNVQAYTPPEPTPGSPEVPNQPRPAFSGLGNTLSGRSNAKPPAPPATDNKGK AQAEPASTHTWGSSGHTLGRKPITSDGPVGVGGARVPQPPQRKNKKVERSPSPEDWGV DDDDVIMIDSD JR316_0003404 MSAPSKQKEKAPEVIDATGDVESDDDQSGSDAEVEGDTNVDALQ APSTSASQKKKKKKKSKAKKVLDALRGKDEIPKEVVEQVLDKVKAEGGVGVADANIDN VRQALQQMKIMDVAQGKAGIGGFNKKDMGEHKFWSTQPVPQLGEGPPTEDGFIEPSKP REEVRQEPYPLPKDFEWSTLDIKDPAQNKEVYDLLSLNYIEDDQAAFRFQYTAEFLTW ALTPPGYHKEWHVGVRVSSNKKLVAFISGVPLTLRVRKHVILLSEINYLCVHKKLRSK RLAPVLIKEVTRQCHLQGVFQAIYTAGIVIPTPVSVCRYHHRLLNIPKLVDTHFCYVP RHMTLARMVRVNKLATSTVLPGLREMREEDVTAVAELYSKYMQRFDMAPVFDIDEVRH QFLSGMGRGEIGSAGPGRRQGQVTWAYVVEDPNTKKITDFFSFYSLPSTVIGNINNPI LDAAYLFYYASETGLQGEGPAQDENLKQRLLLLIGDALIVANEAKFDVFNALTLMDNI PILQDLKFGLGDGFLNFYLYNWRTSPLAGMNSEGDVAAGRGIGVVML JR316_0003405 MNRFQPQYYPYIPPEVLRPYEDIPPGVPPGRITSVTENGMRILK PFHDESLMFCIPARNPPPPNAWLRTPPPWSTTLLPGITPSNPYASPMQWQQPLASAPP LELNPQLSINFDNPVHPWIFWDITHPPEISARLYDRPFLHRVQPNFEDPAFTTRIRKV RLVSTHPVLSYWMKRWGLLTIRSSENLTVGDVLNAIYKYLRELLTPDDISHINTVPGN QKSLRLALKERAKDSRAVEAVVIAQGYRRVDVLGGHRRFQGLHVQFPPEGSWQLSLSL LPGPVPP JR316_0003406 MATMLVAWVLLSSLLLGITATPTKRTYDTHNYYVLRHNPFLTSG ASLDDVVRALKVELVEQAGELQDHWIVKQLKDTRLTTRDVSDPVLSRFEAFKRQADSS LEIRSEETQLAQRIVSSVDYLSLQTLRQRTKRAPPPITPSASTSSKAVAARFGIEDPM FGKQWHLVNEEYPEHMMNVTGVWDMGLTGKGVISSLVDDGLDYESEDLSSNFDADNSY DFNDHEKLPTPKNYDDHHGTRCAGQIAAEKNNVCGVGIAYDSKVAGVRILSGPISDVD EAAALNYGFQNVSIYSCSWGPPDNGMSMEGPNYLIQKAVVNGINNGRGGKGSIFVFAS GNGAAHGDQCNFDGYTNSIYSVTVSAVDYKGLHPYYSEPCAANMIVAYSSGSNHQIVT TDKGKNVCATTHGGTSAAAPNAVGVFALALEARPDLTWRDIQHLCVKTAEEINKDDPD WEKTASGRRYSYKYGFGVLDGYRYVKAAQTWKLVKPQAWLETKTIQLNNGTFGDDKVF KGGEFIPPNGIESKMTITKEMMEENNLEALEHINVRVWIQHASRGEVEVEIMSPNGIK SVLGGRRTADRDTSGYPGWMFMSVKHWGENPVGDWTIKVKDQNNAQSNGSFLGWNMIL WGSTIDPTQAIKYEVPLVADVLPPVEVPHRPIIVLPPTTTTSTRQHPKPTANLPSDHA VATGENSKPAFSSAAETQPSPTTAPSPLISGTPDFGWFSDMSSLVTGQKWFFGALGAV SLFGIGVGVFFWRRRVAQKAAQYTALNNDNDVSMSALGTSISGGPRTTRELYDAFGEV SDDDDDETTALRQPLTRGADGIGFHSGFLDDDDPPTATPGHTRLYHDEPSNHEHETQM KARDASTPEEVISPTGSADGSWEHASRE JR316_0003407 MSLPVEHISIKYDDEKDRIKDFLSKFKGTPGKDLEQDFANIGIE DEQDDADAETRSLKYMNQLQQIANRDQQMLVIDLEDILTHEHTASELVSRIRNNTRRY VTLFSEVVDKLMPQPTKDISDQDEVIDVILHQRRERNEQMEGSQDMFPEHLLRRYNLY FKPLASDLPIAVREVKGASLGRLITVRGIVTRVSEVKPLLQVNAYTCDSCGSETFQDI SNKTFTPIFDCQNENECKKNGIRGSLHMQTRACRFSPFQEVKIQEMANQVPVGHIPRS MTVHVNGNLTRLMNPGDIVHLGGIFLPIPYTGFQAIRAGLLTDTYLEAHHVYQLKKQY AEMEITPEMERKIADLRRDPNLYATLSQSIAPEIYGHDDVKKALLLLLVGGVTKVTGD GMKIRGDINICLMGDPGVAKSQLLKYISKIAPRGVYTTGKGSSGVGLTAAVMRDPVTD EMVLEGGALVLADNGICCIDEFDKMEEADRTAIHEVMEQQTISINKAGISTTLNARTS ILAAANPLYGRYNPKISPVENINLPAALLSRFDLLFLILDKPTRDGDERLAEHVTYVH MHNRHPELEFEVIEPNVMRHYIALARQRRPTVPREVSSYVVDSYVRLRKMSKDEELQN KSHTYTSARTLLGILRLAQALARLRWADVVEHADVDEALRLMECSKESLVDEDDRDID IDRSPISRLFRLIKDMTGLSESSGGRAKRQKRLGKGPGGERDMDVDSEEEDGRVLSLV DIRARALSSGYTEAQLMETITSYEDLGIWIRVAGGNKLQFI JR316_0003408 MSTYRLVPYVEIIVPRKTGTRRRLPSPTSSVRSPSSGKQHESGS SELETFTRSPRKRRKLNTVSEDEETTASSEFLTNNPPESETIIGQQAITDEDQSEYDE AARRSPSLGAFSHGDGPAVGYYVPDHDEIVEDESPLPDETSPEDLDETNGDIPVRILD DYTIYNTATREIIHLAQLLLLDSSDTKYSASGVAKAWIDPDSEEDEVLSAEDTDENET SVERLQLSKILEFCVHNLLEGQKVLDPKIYIRTKYAWYILGIPSASYLPYFAPFWTSH RILHLFINSCLKNYRLTYEEFKENLQDIDAEEDSGPISASDILGRNLELDQDLNSDET ICLENSIKISRVPAVREIMGPEHYDFDVDISSQRSKKEKKSRPAFTSKSSNKEIEILR NRNNKTFLTATVNDIAKDFFHVAMEAIRQEDVDETASVKLPRHKGHYTDPLKIVWGDS FPGGKVFKSATVDGIVYKIGDIVMVEPDDNYKVDAAVTKSSQTVNQYGNRYWFIQIRY FFDKLEQGKKNKMLHGVWLSHGSKTILQEAAHSKSLFFLNSCDHIPVNSIFKKCDVNY LKPGYPEPPDNGAPDATDFHCMFLHDENDASFCDIPEDVLDFSNLDEGYTCFSCDEED RRKANEEPRHYSDRVCVDGVDYHLHDFVYIQPTRDGRRLLEIGQIVTLHDSDRISINM LGRYDEYVEHQKKTADSETDLVSDERRLFMTDDIEDIALHQLDGICHILHLTDPRKIQ EWISHDDHYYLNSKGDIKNLHAISKSKVAYCKACYAKEQEVIGQASRLADKNEKLIGM ELFSGAGGLGIGMDLSGFVETRYAVEFSPSAAKTYARNHPNTKVYCQDTNHLLKHAIT GLNSEGKRGPLKSNDEKTMCPPLPKKGEPVDFIFGGPPCQSFSRMNHSKRRDDIRSSL ACNMLSYVEHYEPKYFLLENVAGFLDHKLYTKQQTASGRVEERIVQFGMIKFCFRTLI ALGYQVRYRLLQAGDYGAPQSRRRVIIWGARRGEPLPQFPVPVYAFPKRAWSVKLPSG GRLEPPTRSKGKEHHNYAPLRPRTVNDAIGDLPPFDWINPHRIIASSTRDREESRRRL YDMKIPRFDAMSDSEKRYVFDALPGFPGGAKYPMPPKNRYQEWLRQGMEEDELVTGQY TTRFAPRIVEATVNVPLRPLADHRDLPGILLGAKRMRQKDAKGKIFYGRMDGNGHFKC AVTTLSPATKSQWPVHPTQKRIITVREAARSQGFPDHYVFESSNKRAGQIVADQLRQI GNAVAVPFALALGKELGKALLQTWERTEREGSVEA JR316_0003409 MSELYAVAGIPLLSSVLSPNLTPPDFCLIPIGTANTSVAEEVAE CQRVLAASGLTYKRIWHKPRLAVSRSISYISLTVPEGPWSKVSQAIHDCHAAVHAKGA LRIATDIRIGTRIDRDITPGQGNDAKVTRVQSILAQDNSS JR316_0003410 MSTVQSRISTFESLGGRASAPARAPSPSPSPPQLGRSTSLLDLR DSDWIVDDGPGARTPTAPLISFEAKSKTRPPIKPKPASLAVPGGGKQTAHGPSSSISS FHSVSLSSDTAPAEDDTTDDSLDWVLPRQPPKLPQRPRPSRPVSPVLSPASSSSSLPY TPRRPAPPPPQPLPPPLPSRSSDRSSIRSIATTNSSLAAKTKRPTPVPLAARRRYEAV FTANVIQRRRAQKRSSTEKPALLSPGEARGRRALGWRGLSIDLITGDELPEPVDESVG PDDVLEAAIVRCIWKKSRLSNAQLADIWNECDIAGKGALNIDAFVKGMWRIDEELRRA QTHAIKSATNGAATYRSNSLRSTSSAHRQHHSHRPRDILR JR316_0003411 MSRSGSRSKRQSSSRAAQASYSLTASAGPSSSRPFLSMLNPMNS QYHGYTQANQAVPEENEEYDESAPHEDVDLESGRSTIFHNAHEAKPSRHAQGKRRVAW DPGASEMSSFHPNIHKEDKMVEHESSDDEVPQSFKVEPTSSRPAVSPPRSGEQLLKPE SRRNHALYTSEGRKLPPSAPPAFDRSPSSSQFPPRPAGLDEGSSRSPNATSTSQRSSS PLRQNRPTMRGLDEYEKALWNWVNVYNLDAFLQEVYAYYEGKGIYSIALSRGLNLLTV GFVIGFSTFLLGCIDYSSLRRHSHSQLSDVIVPHCVSRFSGFTLLFFLLFIAFYIWQI ISFVLGIQRLVDMYKFYTYLLKIPDADIQTIAWSEVVRRIGAIREENPLTALSSKKGR TSDDTATAKLDAHDIANRIMRQENYLIALFNKELLDLRVPLPAVLKRFIPEEEGKGKM LTQALEWNLRFCLMEYLFDQHGKVRKVFLKSKNRTVLIEGLKRRFIFMGILNAIFAPL IVLYYLMYSFFRYFEQYHKDPSTIGSRRYTPFAQWKFREFNELPHIFVRRLDESYPIA NMYIDQFPNEKIALVMRFVAFIAGSFAAVLVLATLLDPELFINFEITPHRNVFFYLGV FTATVTVARGMIPEDNRVFDPELLMSEVVNYTHYMPDHWKGQLHSKKVHQDFGELFSR KVLIFLQEIVSVILTPFILWFSLPSCAPAIVDFFREFSVHVDGRGYVCSFAEFNFERH GNVKFGAPGPATQGNGDNRKMLSNEGKMEKSFLNFKAANPDWNPADPSGSLYLSRMAD LNAHLQYPHYRRRFGAQATYKPEFGSSGYGLGHEGSASSEAGPAESGYMERDRAHEYD QAMRHSRMAAAVRKRAGVGASMVGMSTMLGAGTVMGDGGVAASVFGAGEIASAPSVVL GDSRGSVQLAGGSGRIAQEDVAADGGVGSGLGESYVDGSSARRAAGKVEEEEDEGLED GGVLGLLAQIYGRRDGGAVVM JR316_0003412 MPMLTDPSQKYKGYTPLNLTDRKWPSKTLTKAPIWLSTDLRDGN QALANPMTIEQKTVFFRELVKCGVKQIEVAYPAASDTDFMFVRGLVENNEVPDDVWIQ VLTPAREDLIRRTIDSVAGCKKAILHMYNATSPTFRNVVFRNSKEKTIELAVEHTKLV RRLTEECTAKYGTVFKYEYSPETFTQTEPDFALEVCEAVKAAWGKAGTGEDRIIFNLP ATVEIAPPNHYADQIENFCNKISEREKVIVSLHPHNDRGTGIAAAELGQLAGGDRVEG CFFGNGERTGNVDLVNLALNLYTQGIHPGLDFSDIQTAIDTVTQCNDLPIHPRHPYAG ELVFTAFSGSHQDAIKKGFEAQKIKHAEAAAKGEPQYWDIPYLPIDPADLGQNYEAVI RVNSQSGKGGIAYLIKQHLHLDLPRKMQIAFYQVVQAISDREAREMTVDDITTAFRTT YHFGGPKYQGRLALRNFKISTEPSEDPADESEETPDERRRFDGTLAVDGVYRVIRGDG NGPLSALLDALRVHLDIDLTIRDYTEHSVGEGKDVKAASYVEVVPAGDRKSAQSWWGV GLDSDIAGSGLRALLSAVNLAIGDRPLPELKLSVGFNARSGQADISSVIVNSLGLELP RRLQSAFFEVAQRAAGETGGEISLGALTELFQSTYGFHPSGTADNRLALGNFKLEHIG EGGRRQFIGDVVVDGEKRSITGEGNGPLSSVLSALHSFVSGTLTIREYSEHSIGEGAE VNAASYVELTYEVSELKKTRAWGVAVDNDITASGIKAVLAAASKLDLVLKN JR316_0003413 MAFILTVPTKASPFPYAAAAIAAYSGRAEVTFDDAATSASLVVG DSVINEEDAIIQQIAKETSLASDSANTPTFFEQGTNLRTLAVFSDIVAALDSLDDHLA FRTFLVSHEPSAADWVVWGAIKGNIKILGLLKNNQHIHLARWFSHLESLKSTQTLLSD LTNAKANKARSNKTAAGFALGLQDAKDGQVVTRFPPEPSGYLHIGHAKAAMLNQYFAK MYHGKLIIRFDDTNPSKERSEFEETILEDLQLLDIHGDVVTHTSDHFETLYNYAVKLI KEGKAYADDTEQLQMREERFNGIASKHRDDSPEENLKHFEEMKAGTTEGQRWCIRAKI SVDNPNKAMRDPVIYRCNVLPHHRTGDKWKVYPTYDFACPIVDSIEGVTHALRTNEYR DRNAQYFWMLEAAGVRKVNIWDFSRLNFIYTLLSKRKLHWFVDQKLVGGWDDPRFPTV RGIRRRGMTVEALTQFMLAQGPSQAAVSMEWDSIWTTNKKIIDPVAPRFWAITKDKAV RVTINGGPSTPEVKSVPKHKKNPDVGEKKTVYSSSILIEQEDAQSFDYEANEEVTLMD WGNAIVRSKEVDASGVITSITMDLNLEGDFRKTKKKITWLAQSTTDHPIPSVTLVDFD YLITKKKLEENDDVADFVTPVSEFKEFAFADANVLELKKGDIIQFERKGYYIYDNEVN GEREFFKIPDGRSAGIASKAGPPTPAPASKKSGTEIQPVSSMYTLEKIYGDDINSDSA SQMYKMDSIYKTEPSHWKYVSEGGATIVFSYCGPPHPEFDGMVLRLRKSIVPVIGSGK EKVVDSPQQGEEPDDPTIEYQTRCMERLIPKEHLPRLQTVILERAWLENLVELQDPIR PQDRRAKDEVDLDRKKGVLATDLVGGNWLAIEIKPKWAFLPSPIHLSDETKAVKTQTC RFCMQTHLRVHQGQPNITDYCPLDLFSGDEGRISKAIRSLWAGWVASGATANNLKIFS RGKFVIPSEAKLMLKDGQNVNAELNDIRDALTIALRRPLMRTPVLRIISRLQRTLDVL DIEGLSRLWRSTEASMPLYRSTFASFFEQQGTGAQPIPPSTPIGVSSLFLQAPEPDIS DWNDFLNVYLSQDRPQLDHSNPSPENLREYLMAYLLSATFKDCSIIVKLDFLDLSNPS EQITSVPESVTVIDLDPKSMKKLKGWEKMDQEIATTYATSAKQRKGSSTRMTSSSLES VGINSAAMIPIRPIFVKRFPRRVGHLPTTIHPISMTANPFRLNQQKRNASFYNNDIAG LTEEEAEFRNAVVEFAEKEVAPRAEEVDKNNKFPDDLWEKFGSMGLLGVTVSPKYNGL ALGYLHHTIAMEALSAASGSVALSYGAHSNLCVNQIHRHGTDEQKQKYLPDLVSGKKV GSLAMSEPGSGSDVVSMRLKADKVEGGWVLNGTKFWITNGPVASTLVVYAKTSPEKGS KGITAFIIERGFEGFSSSPKLDKFGMRGSDTCELVFENCRVPDENVLGAVDKGAAVLM SGLDLERIVLSGGPLGLMQAAFDYAVEYVHERKQFGQPVGTFQLMQAKIADMYTKLNA SRSYVYAVARACDRGQISRKDCAGAILYSTEKAVEVALEGMQCFGGNGYINGWSIY JR316_0003414 MFFQKDASPRNGDSDFQNAVIGVDLRQSKHSDSGVTPSDHSWRT EGSELSREYSTGTSPDSHESNKETPSPKSPSHQLHSVKPQPSGRQRTSQACDKCRERK TKCSGHRPVCLRCTNRGLICEYTMRESRSRTPARSRVAVVSEIPQADYAGQGRPTPAH HSRNGGGRTNAFAPPTRSYTGTPATQTTSRPLLPNPSANSTTEPTVRNISVQQSHRPY QPRQLPDTSTSNPYSGHAPVASPNASYDTKGAWSLYDSSFDLNYSFELPSGDNDLFQP SHHSSSGHDERQTMHSALASISLPQSSLRFDYDLSR JR316_0003415 MSSSPDILHDEQRLDDIKRRLDDLERGKSLLFLLLFPRLSPFQI QHDALASQSATLAANVAARRVKNAPMRTLLPEICDIVFAYCADPECALDCDISDCVFE QPSPVVLASVCCRWRAMVYNNPALWTTLHLRPDINSPAEITRARLFLKRSANMPLRVT ICPVTLLGDPRSITACVLPVLSVLIPHFGRVQSLKTHLSIETLPDVFPAAQDVLMPML QELSLLVNTSHASASLLGRLQARRLQVLHVCDITPFLDVLDDVLPTLKSLVITECRSW KFSRLFPNMLAKCSKLQSCSITFPSTLFFMDLEPIELPELRSLNLEWPFLFEPSPIFR ALRAPKLLSLRITHLSRQLVVPIHTLSSLKGLLRSAAQLQFLAITGCNLLTQDEATRL FEDSPNIRRLEINNCSRGDRFLLPLTPDNPRDIDAVWLCPKLTHVTITGLQDSDVRPV INFAKSRSDIMATTVPANPECKFLEELALDTNSQHLNRQAKLLLLSGLFGIQRSLNIL GPRGNLFSPPT JR316_0003416 MLTTRGAVARLSKRAFKSAKQTNAFFASSALLQKAALPASTTQS RVSPPRASPSSSARSYATEAKGAVGSVKTVIGAVVDVQFDTDDLPPILNALEVQNFSG GRLVLEVASHLGENSVRTIAMDGTEGLVRGTKVVDTGNPIMVPVGTATLGRIMNVIGE PIDERGPIKGVKLSPIHAEPPPFVDQSTTAEVLETGIKVVDLLAPYARGGKIGLFGGA GVGKTVLIQELINNVAKAHGGFSIFCGVGERTREGNDLYHEMIETGVINLGGDSKVAL VFGQMNEPPGARARVALTGLTIAEYFRDEEGQDVLLFIDNIFRFTQAGSEVSALLGRI PSAVGYQPTLSTDMGQMQERITTTKKGSITSVQAVYVPADDLTDPAPATTFAHLDATT VLSRGIAELGIYPAVDPLDSKSRMLDPRIVGQEHYDVATAVQKILQDYKSLQDIIAIL GMDELSEEDKLTVERARKIQRFMSQPFQVAQVFTGYEGKLVSLKDTIRSFKEILSGAH DSLPESAFYMAGTIEDVKAKAEQLAKDMSA JR316_0003417 MGICVISHPLEAKKLGFVINSQRKDPSLSVASYPKFYPHITLAS LPQSLETELDKIAGSIPTFDAHAPLRCYFDQVKIGDHYFRSVYVAIKPTREILSLYEE VHQRLGLEPRCPAFPHMSLCYIDDADAINGERDRFYDELRTSAVIGFKTEEPEAVQLN CGSEGTNNWVDNFKAHEVWAVRCEGPVESWEVLHKIPLN JR316_0003418 MPSFDNVEEYLASVEEYFHSSLTAVTHSLPDVHEVVNQLWIDIS RYGPGMPGFPEVTIPSLGDFQVPPPPPPQPTVPSNWVSQSTDWIGRHPWKASGIVFGV VGAGLLVGYRDTLLRRQNQKAFHVAKKAQSSTERRQIVVVLGGDTPYALPLIIDLEKK GYIVIASVSTPEAVDTLEASCQGYVKAHVLDPFEPATVPIFLRSLSASLSRKFPIKAA GDPYASPSSLPYIHSIISLLTLSAPVPAVNAPLEHISLRDTYLPYLTATQITPLQVIQ ALLPLLRTVSARSRDKGKKSIIVCLPATDARVGLPFASVQAMSAAATLRGVEILRREI RIASMTEKSDSMQNINVVVVDVGTFNTDLSSGSLPPEGIYKSMENWSASEKVVYGPAF IGVMGERPSPTSHLERIRSVFKQRYTYGLPRQPTDLSVFANNLIRVVSGGRYGPTLFG FGLGLGRFQNWILGERFSIGAGASTYKVASHLPTVLLDGLLSLPHFLISIRNRLLPTQ PFRDPPTDLPPPVTAKQTKSVVSEVKEDKDASSDLEISSDADVESNTGDTVESSWVSL NKHTSEA JR316_0003419 MPSFQTRVFPPPSLTDVPLEYISNQLNSLAHQFWDQPETADCTI IVPFPHAQGRPDLPAFSQISAIEPTFSYTTSYDSTSLGRRVTQPPLNAVPRISLQLHI DYLSAHSSFLRGLFSGALPLDLMYSTAPPSSSSGSSVPADRQPRLMPCSPDHPIVFLP VPDPSTFHLLVHWMYFGDFRYIQECLLKGTIQWEGIARNVEYLGLTADIKIFLGNWYN AWLNPDRDIETCSDGDSDTVYSDSDDEDDDDCSTASEFDDIIEHDNEKAGLRGRFAGV RSVSFQSFQSLRSSSA JR316_0003420 MSSHLPNTDIFALNPYDSHPSLSPLEAAVLWEYAKLAQNVRMQT VLFLKVTQKTRLLSEEPDKVMLAKLRILEKKMGLVLTLFKASVWGVINDQPDPSIRSE GFSDANDMTIRR JR316_0003421 MPEVFTLAQTPITSHSFSADRSQIAVSLNSNDAQILTRQGTEWV STVTLAEHDKLITSIDWAPNSNRIVTCSQDRNAYVWQEQPDAETGKLVWKPTLVLLRI NRAATYVRWSPKEDKFAVASGARAIAICSFDPENNWWVSKLLKKPIRSTVLSVDWHPN NVLLAAGSADMKARVFSAYIKEVDERPSPTVWGSKLPFNTVCGEYTSPAGGWVHAVGF SPSGDVLAFASHDSSINIVYPGGPVIHNIRMPSLPLVSLVWTSEDTIVAAGHDCRPLV FSGSEAGWQEAGTLDDATAPKSESRSAFGGGSSVGRLKTGAFATFRDADSRGQTSSSS TTSDTLLTIHQNTITNVRAYDYSGSNVSRVSTSGVDGMLVIWDVDAVSALTARVGGAH LR JR316_0003422 MSFVGVHSSQHLANNTKTPTAYEFPKRKRWADLLVTELVDNIVF VLSPTRTIWFCGAAVTEILGWKETELIDYDFMVLIDATDQIRFIEAFQLALQQNIGFN LTLRLNTNDSALRPKSILCDIKCNPYSIDEEPGKTQCLIAMAVPSPGHNSALLDTIFD LQARQSVLQRRVAELREMLPPESPTALQSSSQTGSMYVTSSLSTVKPPESVPNNYMSS TLLSKSFDGGPRGFGETIDFADRSVYDSHPINVEDPEDGSKKKKLKRAQNSERYVCFT CGSTVSPEWRKGPQGPKTLCNACGLRWAKQMRKAPEDATDKPPAANVGNT JR316_0003423 MAQYPPSTRISDPVMNLEASLARKTIAPCKGKEMNRRETWLQKV HTDKSSGSPNLVKRSAQCITLICQNKILTRPIGVSLSQVA JR316_0003424 MYHLNLRCKKRLRRSSILSGGSMLSSPQQVWFHIFILHASRYTH TVHTAGIVETYSALDYPAERIKRLFDINVHGVLYTAREAARLMIPQGGGSIILISSMS ANIVNVPQLQTPYNASKAAVKHMAASLAVEWAKHNIRVNALSPGYMATKLTKTILEKD SELKVRGEGLLIDIG JR316_0003425 MPSTLICEGGEKLEDEKSSHGPLELVVPSGDLGTTLRIRPPTTQ DSTSIPARFQRSVIQATSSTSISIESPSSALAGSGAPSAPTVSGASGSKKQVFTFDQV HPPPTTQYELFESTARPLVSRFVEGFNCTILAYGQTSSGKTFTMTGVDLDLDPTDPNN GMGIIPRAVSSIFASARQLKEERGNTWTYNLKGSFIEIYNEDLIDLLSLDDPTGAKRE VQIREDKEGHIIWGGLREVNVRNPNEVMGLLKKGTSIRRTNETDMNAQSSRSHAIFSL TLTQKKYSGSGPPPRSSSPLPPGGRSPSRLARPGSMYAGGPSPSANRVASPTLGRPST PSFASAMGRGIGLRPASALGHLGDRSNTAANEEESGEWVTIVSKFHFVDLAGSERLKR TAAAGERIKEGISINSGLLALGNVISALGDPARAKSNTASHVPYRDSKLTRLLQDSLG GNAHTLMIACVSPAEWNAGETINTLKYANRARNIKNRATVNEKEDGWDDIEWLQGTVS RLRKELKAIKDGGALSTADKEPEALEGAGKKVLAQMYELQSNYEDLREKYVDRTEELT RLRRELGEKHRNSTSGAVGGTGKYEEIVGPVIEEYEKTISAMEAELSLNRAALRHTNE MVEEREEELAAITERHAATELYVEELRARVSKLTEREASTEAYVRDLEERMKTYDDTS VSSSESMSDLKREVTRFKEAEAHSSKYIADLEARLSRSDESILALQKTVEGLEKECDR RREEAETLQARLDAFRQDGDNWRSDLEARELRLKQLELKMKEWEQRKREAGETRIRLG EVVGEVEQARRSLEVDLANAPPTPVSPTETSPDSDPSSPNGIENDTAATSPSTDLENQ LLILQQTHTATLADLSSITVKYRDALREISDLAAQIQEAKLNSSSVAEPVTESPASDK PLQDTSPYRRRFVGTRGRDPPDTQLNSSGRRLFFRQAASAESLHARSLSQSQSLSQEL SSAHLRKASFSSHGTSSSHSPSNSHSLSVSNLQSINLRPSLSISMPSLSTQNERSVSS LEKEIMRLQEVLKEREAEITLLEESLKEIQQAEKPIAEVAGESQSAAELNGINPAFTL SPKTLNRFDHIRKTMESVHAHDGLNEAGTSEGDSLERLNELMLSMAAKESSHRDTVEA LNAELALTRRQLDDLTTLNRDQTLNMSTEIESLHKNHSHDLALLEEVRKRETELLESL KEVEAAHKAEIDLLRTAHEDAMKAKAQEMEELTTAMKHEHESSVTTLRDELEGVKLSL EKAREEHTISFGKLKAEHEKELQSKLQEADDMLEKTRADHEKVLAKVHADHAAALRQK DEEAAAALQSTEEEYYNALTKLRGDQVEAIKTVAAETNATIERLREEHAGELRMAEIA KEGSISQSESDRALALRTLQEEHVAAIARKEAAFAEEIECLNAGFLRTSKAKDDDHSL QMDRIKLEHETSLSKLKSDWRTEVERLEASLVSERDEHAAIIHKLRQENEAAIQAVQE QQATILQEIERSYQEETLALQAKHDQRVKEFSLELEQSREALSQSHASKVAELETNHD QELSKLVAELTLAKERLQSAEEELQSHSERAQLDDQRRKDVLESHAKELSEMEFIHRQ EVSKLESSMAALRQAHQQDIETLQSDHKNALQQQQDQLGAMTAELQKKHAEERDAFSR ERDMLVEEIEAHKVAADEFTLLREQNRQTHEEEVVAKDKEIASLKASLTSIGGERDEL ESEVAKLRAELNKTRSEQSKLIQEASKRESLVVELDRHRSVLADLQENLQKVKDEKDV IQTEKNKSDAMVRDLQAQLARSASPPNVRSSERNIGFQRGTNLPAIKLPPPTPPPSVP PPPAPRSATATMHHLNGDSNLSTSSQSSAFNSSVSSRESQPESPSTSVGHVPLNGISQ LDPKTSQKIEQQSKLIEEQEAMIKTLNKQLTHCETDLQTHMDLVTTLETSLGDSEKNL RKARMQATELARERDTLNLKLEAMRNELSEAKREVVTVRRSIVEEKQSLEQRLDEERK AKERARQQLDSRMEELQKRKSKFACL JR316_0003426 MASQSRFIPEPKTLAIVGCPFSPDFKPKAGVDQGPIHLVEAGLI GQLENLGWKVVFDGHHQFEEINALHDPPIGILKNPRLVSRVTQSVAQVVGEHAAKGQL PVTLGGDHSLAMGTISGTLSKYPDACVVWIDAHADINTVDSTESGNIHGMPVSFLLGI GSKVEEFSWVKPILKANRLVYIGLRDVDAGEKKILRDNNIKAFSMHEVDKYGIGKVVE MALDHVNPGRDKPIHLSFDVDALDPSVAPSTGTPVRGGLTFREGHYICEAIYETGLLV GLDLMEVNPSLADQASVEQTVAVGCSLLRSALGETLL JR316_0003427 MAQTHTPAASGTTTPSFQDIVRDAMKHYKHERESEAHQIFRNAG GHKPKGMPQLVDPDEETVPGIEHPGSTGVIYCSDRAMANGFSYTSSHEWANLGQGAPE VGPIPDAPERPNSIPLPVDCLEYAPTTGVKALREAVANLYNHTYRQDKVSQYTFENVC IVPGGRSGLSRLAAVIGDVYTSYQVPDYTAYDQVLSSFKRLVPIPTTCVAYMDKYRLD IEQTKRDIRTQGLAVVLASNPRNPTGQVIKGNDLKELVSLSKEGTTIILDEFYSWYIY PESHSDYGKSISSAKYIDDVNEDSVVIIDGLTKNWRLPGWRVCWVIGPKNLITALSQS GSFLDGGANHPLQLAAIPLLDPLHVQQEKIALQKHFKSKRDHVLQRLKELHLEVDIPP TSTFYIWLNLEKLPPPLNNGLTFFEELLKEQTIVIPGIFFDINPAHRRNLFNSPCHHY VRLSFGPPLRDLDKGLDAIGRVLKKAKKSGMGDFGQGYKTAIEMPKEPAQV JR316_0003428 MTTAATKILTVGSAAGSIRDLFSKIKAIDAKYGKFDLVLCTGDF FGPLKDAGDETKEEDDISLLLSGSLEAPLECYVMQGDQPLPAKVVEKFAKTGGELCKN VFLMSKSGIITTANGLRIACLGGTYDPAIFGSAEAAPGFMSPFFSYHTIDRLLSNTLV KSSNSSQKSYSSLASIQASASSSQLVDILLTNVWPISISNLSSVPLPDPQLRSIGAPP LNEVIRKIKPRYHFAAGPSRFWEREPYAWEDEEGRVSRFVSLGAFGGVPVEGKKQRWF YAFNISPIVAATTPAALHTNLTKNPFLESAPRPFKRPLEDGGSGENFIFGNNVQQPAK RSRTGSISRSHGYSKVNQENLLQGTSAGDANLRRQDPSCFVRIFADLFTSTLSMTAQN VLSHRTITYAKSAMKYTPLHSLYECKIHLLICEQVGHFVRDCPTRDARGDTGGKKPKP GYVCRACGSEGHYLDDCLVANQRPPQGERRGGKRGPPKEISTDECWFCLSNPNLAKHL IVAIGSECYVTLPKGQIIPTQSAADHVDVPGGGHVLIVPITHYPTYSTIPPDLAPPIL DETEKFKAALTAMYAKYGTVPVIFEVGRLSAKGGHAHVQAVPVPVRLKDKIEETFIKE GRPLGIDFEDDADAALASCANGRGSYFKVDLPDGRKMVHLIKDRVPFSIQFGRQALVS LLGTPDRMDWKACMLSEEQDRADAQEFKSAFAPYNPEL JR316_0003429 MRSFAFLALFAISSSVAAVPLQPLIVPPFDPSKILCQLPILKKY LCPLAGTAALNRATPLGTARGVADVDGAYRFPVRYASAPRWGPSTLVSSWDLPNGFTT PSSLPLACPQDGVDESAFSEDCLSMVLYVPPSLTLTSNAPILVWIHGGSFVVGSATGP GLDGSKLAIATDSIVIAVQYRLGVLGFMAPNGATNLAVKDVITALQFIKKIAPSFGGS SSKITLAGQSSGATMIRALLATPAASSLFKSAIIQSDPMNFGFLSSQTQATLQNFFNE QTGCASTDSNCLNAMSLDDVLDSQDALLNNAFGLDPSTGRNVPIRPVLDGSVINTPLD STKPFPTVSKPVLLTTVTQEAAFAIYSAFTTPLPEQALIPVCDDTFGEERTDVVVSSP HYAVPPGVNGTFDARVQLQTIGTDYLWKCSGWTFARNWVQHGGTAYVGQFVVGASYPG NEAVPYCLTPGVVCHQDDIQIVFGTVPNPTSAQSALITEIQKRYKAFLNNGNPNAAGV ATWSKATNSDVKAMVLGGTGQVAVGACSPNFWGDAVQYDYQFYNA JR316_0003430 MVPSRQAAIPRPSASLVVVNERNEVLMVHRNPKASSFGGMHVFP GGNLDKNQDDSLAMTAIRETFEESGLLLASGELPSDSLLDEARHAIHKQTLQFQQFLS SQSLKADVGSLLPFTQWITPVGPPKRFHTQFFVAFLPKAPSSGFSRGAKQERIPKHDG GQEVIEARFLHPKQALDECREGKISVMPPQYYILSTLADILEGPINNAEQRAKVKELS EGLFGRMLINPLKFGEDSSGRSILTYEGDETRGGSKGRLHRALVKLNIGSATEISLIR NFDIFSEIEAKAFGHLDAKL JR316_0003431 MELGENGTIKSPEISHELAEEHWTKLQFTWISKSYKVEIADSDR LYDLKAAIYSLTKVPNERQKILGLVKGKLPPDEVRISELTILPTKKFTLIGTPEGDEI KDPSQLESLPDVVNDLDVDFTENMVASNRYQHDTRNIRKVQEAIRNLNINIIHPLRQG KKLLVLDIDYTILDTKPLTSGSLPPAECARPGLHEFLEAIYPYYDILDKTSMFTVFTE RDSKPWTHSVKALQIIWSHFPQFNATNTIHVDDLSRNFALNPKEGLKISAFKNAHTPQ AWEDRELYKLARYMVYIANIDDFTTLSHKNWKNVVKRLPGPS JR316_0003432 MGVNLEASEMPKFATEMPKRWCIVNRHITGKTYEVFLLTTFGGA RSFEELGIMARMFGMPMGGTQWFNDIPGLTTIPPIFGSEKTSFVFAIPVVHVIGPSSI PRTTRVPPSELDRLRKFSFAKSKVLVERQEEIRKMVRNWRDQKNYTRRYSPEQDQFKP VPRSLPMSLEDPEEMQEFTADSTAISSSRFKPSNITIPPRHDISWILRHMAKDSNASK YLIQQRPVKPQPMSLPKPYYAPLPISIPRIMRFIR JR316_0003433 MVNGPPTPPAITPTELHDEARVMPTVLLDTDSSSAPPPSALITS HTIPESYRNAIPLISEAISRNDYTELINIAERTDTNSANDRHQSRLSVISPLVLSHLI LDDLPAALYALLRLPENLAFLPVTKAFSALVTATMNRQHSNVYDQVNTLNALVANHDF VDKELSAVISQLLSVFIDNFRRRTFALLTKAYTSLPLSLACSYLALSAEQVIEIAAQK HSWSYDSSAQILSPAKFPELKSEDGGNSLRFSNLATFQLVADSVAKLEG JR316_0003434 MDIEEDIESVLYIGREISVYKIPPLNANEGYRANDWGDLAKPLW KGRLRILENSKGAALNLEDSQTVFARAEYDPIRPSVEAVLDSSRYFVVRVEDAGKKAY IGIGFAERSDSFDFNVALQDYSKRKKAALNPPSETSLGSSPHIPAGPKKDYSLKEGQT FSISIPGRPKPNSSSNLLGNSASSSTSSSGTSIPLLPPPPSANKKRNV JR316_0003435 MFKFAALLALASLIPAFVQAASPVYGQCGGQGWSGDTTCASGST CVYSNPYYSQCLPGAASSSSTPSSTSKPTTTTVKPTSTSSGGATSPTTTPDAGNPFVG YDIYLSPYYAAEVQAAAALITDSTQKAKALSVANIPTFTWFDVVAKVPQLGTYLADAS AKAKAAGTKALVQIVVYDLPDRDCAALASNGEFSIANNGLANYENYIDQLVAQIKQYP DVRVVAVIEPDSLANLVTNLNVAKCANAQSAYKAGVTYAMQQLNTVGVYMYLDAGHAG WLGWPANLTPAAQLFGDLYKSAGSPKFVRGLATNVANYNALSAASPDPITQGNNNYDE LHYINALAPMLSSEGFPAHFIVDQGRSGVQNIRSQWGDWCNVKGAGFGTRPTTNTGSS LIDSIVWVKPGGECDGTSNTSSPRYDAHCGLSDAAQPAPEAGTWFQDYFATLVKNANP AL JR316_0003436 MLSKLSRTIPKRSLASKVSTISSCRTFVQPSGADRASVVDVPST YQDDNHFAPRQDMLGFKLDAPLREGSVEAKTRPIYLDMQATTPVDPRVLDAMLPYLTD QYGNPHSRTHTYGWEAEQGVEDARKHVADLIGADAKDIVFTSGATESNNMSIKGIARF HKDKKRHIITTQTEHKCVLDSCRKLGEEGFEITYLPVQKNGLISLQELEAAIKPTTSL VSIMAVNNETGVIQPLKEIGAIIRKHRGVYFHTDAAQAIGKIPLDVNEMNIDLMSISG HKLYGPKGVGACYVRRRPRVRLEPILSGGGQERGLRSGTVPTALVVGLGEAARLAQKE MARDHDHVKRLSNRLISRIDAEVEHVVRNGDPNGYPGCVNLSFSYVEGESLLMALKDI ALSSGSACTSASLEPSYVLRALGAAEDMAHSSLRFGIGRFTTEAEVDFVVAHIIKTVH KLREMSPLWEMVQEGIDINSIDWSQH JR316_0003437 MSRRLYLGRLPTDARSEDVSKFFDGYGRIIDCRVMTGFGFVEFE NAKDAEDAVHNFNGKPFMGVNIVVEFAKESRPRREVYEDRGGYGAPRARRPPGIRLIV SGVSRDTSWQDLKDFGRDAGSVSFADIDRDIPGQGVLEYLSREDADRALKELDGKELR GRPVRVALDDSRSGPDNYRRDDRRDDRRDDRYSRDDRNDRYRRDRSRSPPRRGEYEDR RSARSPPSRREADDKRPAGYDDYRRGGYDDRRGPDYYYDRRRDDQDRRRDDRRRDDKE DRYDDRPRHANGEGWSR JR316_0003438 MSPSIPSLGTRFSLNNDIGTIRYTGPVGNTPGIWLGVEWDDPGR GRHDGSKDGTRYFSCRFASAGSFIRPNQHIQYGVTFLDALTAKYIEPLHGSDEIVTLG SSQGAIQVTAVSLDKIRDKFSRLDRLREVSLDKATVVQGDPPADITKTCPNIRGLDLS QNLLPNWETVADITGPLFLLERLSLSRNRLQMPLNYDRLATAFQRLTEIQLNDTLISW SDMQTITAFMPQLRVIELGYNHLSRLCGDKRSTAFKPNLESLNLDTNACSDWMHICDS FREYDSLQRVVLTSNHIEDIPFPESSSNCLPGIKYLSLSDNRISSWETIDALSCWLPS LETLMMNGNPLMNDNDLGTQSRPFMIARVPSLVTLDGAFISSRERTDSELFYMSTIIQ QGNVADDVRAKTHYRWPDLCKKYGKPDQVQKQKNVQNKLSDHLIDLQVYPYDKSMEQS SGAFASNPQTTLRVLPTMSFRVLRLKICKILKVDYRRTNVAFWLHMGNGTLTKLDKEH DLRDLDWLGVDSGSQIVYQVQ JR316_0003439 MSRGSARAAYQRTTAIGQHMMSTTTTDEPTVLFESNSSLRTYIL NRPKKLNALDDTMLSALRPKIEEWSSSDLCGTIVARGNGRAFCIGGDVGSVANNAADP ATRSLATEFFKREFELDFILAALKKPYIAIMDGMTMGGGVGLAANAPFRIATEKTVYA MPETKIGYCPDVGGSYFLSRLDGEIGTYLALTSDTISGRAVFEHGLATHYIPSRRIPM LLDRLAELNQPHSSLVDRIIEDLSAEREPTETPAPFTGAKRVALDYAFRHNKVESIIN DLEIFAASDNAEVAKWASDTLVMLHQRSPTSLKVALQAIRRGSNLSLLQSLEMELKIA TAFCHGASPDFKTGVDAVIFKAKKAHERPEWSPPTLQEVTPAIVNRFFDPKSPFLTSA PSLDLPAELTSGTISNPLKYALPTEKEIGSVVTGSHASGGGLGLRFDELLDRFAELRP GKMGVKEKLLEVVQRRCVLTDNADGNQVWLKWKH JR316_0003440 MDVVNVLQTPPIFFRLFIKLALSLFSSTFNLNYKAIPERRQQSS YDIDPRTGFFPPKPLQRLPSGYSIWEDALRAANGNLSLGEDDSEEALSKRAFGERWRS NIASWPVLDCTPLQTDLRSCQRAHMVLAWLVNFYVHSMPRPQGTPALVPKSLAVPLTQ ISRHLAMAPVLTFADTVLWNWELVNPAEPLSMDNIRFVNLFSGTDDERNFYQSSARAE LRGVEILRIIDDYTSHPNIRDLTAISKVARDLTRLSGIVDSISEIIQSVRPNCDPHVF YWDIRPWFEGSDAKGPTEPGWIYEGVDVSEPLDLSGPSAGQSSVMHALDIFLDIDHKL GQRRYPAPSSENKRADLGFMERMRRYMPGKHQDYLRQLSSISLTVREVARSTPALRDP YDNAVTALKRLRDQHIRIACLYIVTMSRSTPGSRAGCPASAMIERLQAARASGKGPVR GTGGNELSVLLKAGRDATRRAILKSN JR316_0003441 MSATADWRQIENPGAQPYRIFTRPIIKSEQDDREYRIIELENGL KATLVHDAKADKAAASLDVAVGHLYDPDDMPGLAHFCEHLLFMGTEKFPQENEYSEFL SKNNGGSNAYTATSNTNYYFNVSTSALSGALERFAAFFHCPLFAPSCTSRELNAVDSE HKKNHQADMWRIFQLNKHLTKPGHVWSKFGSGNRESLSKAAKDLKIQGKLEGATLLSA NSSPGSSGKASPAPSTVSESDADGGAVGRETRRRLVEWWTKEYCASRMRLCIVGKESL DDLSELATRFFSPIPNRGRDPLPMIFDHPFGTGEKGTLVSVQTVMAFHALEISFPLEY QPPFWKHKPANFLSHFVGHEGPGSLHSYLKNKHWVTSLSCGPQNLGRGFAMFKITVYL TPEGFANYRSVIQAAFKYLALLRSSKLESYHHQEVVNLSNIRFRFAEKKRPDDYATWI AEHMAWPIPEHLLLAAPRLTWDYENDLDRQRGEAKVMEYLDKFRIQNGRVVLMAKEDD HRKIHPELVWEKEPWYGTGYAVQRFDDEFVAEANSFNDISELFVPRPNEFIPTNLDVE KKIGPLKRPHLIRQTRVSSLWYKKDDKFWVPKAHVVFDIRSPLTSASARTSVLTRLYS DIVNDSLTEFSYDADLAGLSYNLSSTNTGLYITMNGYNDKMSVLVRHVLEKVKGLVVD AQRLAVVKEELRREYENFSLGQSYSLSDYYGRYLMAQRQWTIDEKLAELPSINADDVR THMEELLKQVNVRILVAGNMYKDEAIKVAEIAEERLNPTSLSPSELNEKSLLLPPGSN YIYSAPLPNKNQANSALTYFTYYGPVVNQKLRVTSALLTQILSEPAFNILRTREQLGY IVSCSSWTLPGFSERGLRIVVQSEKRPTYLEDRVDAFLEEMKIKLEDMSLDEFDVHKS GLEKKWLEADKNLGEEVSKYLIQINSGHWDFLRNEKDAEMLKGITKQDVLDLFLTNVH PSSTKRSKLSVHMVSQKPRPKGVSSLAIEAFEGMVRKEFPDIDEKSWKTSLEKENPTI VEFGQHWAKILNSEEGRKLVSQLPSLVEKYPVQGEETESPRVGVTYIEEKKAFKNTLR ASVDPGPMMEWNDLPVSRF JR316_0003442 MASNLTAQQLAQQAAQQKEMARKLEEYIEKIHYSDRYSDDEYEY RHVILPKQLLKMVPKEYFNPDESGVLRLLTEQEWRGIGITQSLGWEHYEVHAPEPHVL LFRRAINFVPPPQPRMKDARRK JR316_0003443 MTTFKLELASFGIGVHHAGITMDDRRTTEQLFMRRILRILIATS TLAVGVNLPAHMVVIKGVRLYQNNISKEYSDLDIMQMLGRAKRMVLSSDNDGIALILC ESELEQKYNALVQGKTVLESSLHVNLAEHINSEIGLGTITDIESAKIWLRGSFLYQRM HKNPKFYQVGSDGQIKPQQQGVEEIIMESVDLLRQTKLITHIEYGADAGKLVSTQYGE IMSKYYIRRATMEIILALPDRASLRDILEPICGADEFQDVKLRNSEKRIFNILKQDPE IRFPVKKVDKTQDKVFILVQVCRVHFDEKDRNTFLHVKKAVLGGISLNSAEYRSSDSQ PHMEAFSVFKHIARISRGEVCVSFTTALYSQYNATAVCDVSIVKKDGLQIKNSLELFR CLSAKSWEDRAIVFRQIEQIGEKSIKVLAENGITSFEKLRRQDPLRIETLLNRRAPFG MEVLRSLAEFPQYIVTIKEISVESDGGKSPVQVDLQIRCGLAEDKDSGFKIRKQRGRS FNMTSILTLTSDMDLVDLRRIPTLALKTPKTFEVRVELGKPSQGVIVIAATETVAGVS VQETYKPDIMASEYPTLDTRPLSSVERDLIGLDDDPDFWNMDLDNSDGVQRECLTIGD QIMDTQSRPKSTAKEIRGRTLESNPRADGRFDCNKGLSAPPPPSKKRGQDSSASTARK VGPSSTSVTQKESKVLRELDKIHERTQIVDNLSIIPGKRLKTESQRPSKRKREHSLEH SISFTDVVDRNAHKIHTPPESLSDSDELPEVILSTEKIPQLNNRERTKVSPKPFQGSS SNFKKYNHGGHEQKMKLSTGCVSDTSYQDKDVDRTCQKRADDSLFLASSDSEGSIEII SRSVSPATIHRNTDATPKEEKCDATYEVATAVIEEKSKRNEMPLLVQVEGADESLEQD DFAELDNWLQSGTVDIV JR316_0003444 MSTPPPPPSDNSFVPPVRRSGVRTVLSYTGIPPSWLDKRPKLPS RNWLIFLSVTSSVVGLYAYDRRRCKQIRQEYVEKVKHLSEVPADPFEVLRKVTVYGSK WPADEDYDQSLRYFRKYVKPILIAAGVDYEMIPGKRHGEIMKRVAEDIRLRRRLDLEI DIDSDVRKALPTYRSPAVRRQNELEGGIVIIGRPTFKEFMAGLKKGWTSGLEKVDEDE ELARILENDSHFDEPEDPRDFDMDEPSKKSPPLSAQTSPVFSPLQIRPSFAQNSQQSP SLPTDVPAVIPPLPPLLLVSFTDYIGISQIPLMIWDFFNQRHKVLAGAQAGYRLVMNI SRPIEVPESLDQQAAPDGDIQEQNLGDLDFDKQAESYYKKSLKDLPKDIEEIRTKYYE SLAGKLATARELARGTREPTKAELENPPPTEVELRAERLKKERRWRNDVDGWNIIKPS TSVTWDPRFKNALRVFVDPPQESTSP JR316_0003445 MAALASLLPQPVHAPAVSDDEEDIPKQVISQSTTVITRAVVPPY GQRIGWKPSSLEDFGDGGAYPECHVAQYPLGMGKKQASSGNTLALQVDSEGNVRYDAI AQQGQREGKKVQSQFKDLVPLAHRKDLDDKDKVMERPSEEEVQETAEKTRLALEKLVN GKIKAAQPKNVPDSQGKTSFIRYTPGQQNGQGALKQRIIKMSEVVEDPLEPPRFKHKK IPRGPPSPPPPVLRSPPRKATAAEQKEWMIPPCISNWKNNKGFTIPLDKRLAADGRGL QDIHINDNFAKFSEALFVADRHAREEVRQRSLMQQKLAQKEKESKEETLRLLAQRARE ERGGVPQKPTAQSQAAMKSSLAAYGSDSESGDESDASEDSAEDEEARRIRDEMRQEKR RERERELRMNNMGQEQRAKMLARQQNRDISEKVALGLAKPTLSKESMLDSRLFNQESL SGNFADDDSYNLYDRPLFHGSTAAAAIYKARGNIEEGNEDSFGGGTDEGIGKALDNDR FNLGQARIGFEGAKDQEVREGPVQFEKDTGDVFGLNQFLDEAKTGKKRGLDTGVGGSR KRQQLEKASDRE JR316_0003446 MAKQAYLHSGQTLVQIASYNTNLQGVLGLPQDLVDWLAPTLQVS NFLSPERRAPDIVAVGFQELLPLHLGLSGFSKAVINDRDTLILSQIETHAPNKESYSL IAKVVNVGVALLVYGRDDGIARKVSNVHTSWTGCGPAYMGNKGAVGVRFRVAGPDGTA GETYTFVNCHLTAHQHKLQNRIADYKHIVSTLLFPPEALSTSPSTLYETSHLFLLGDL NFRIELPKTHPLYAKRLTHEFAQTLESEKIREELKEYDQLTIEKRKGTIFAGLHEGDF WKFKCSYKYKLGEVDKYSIKRTPSWTDRILYATYTDSLNLPNNSSITNLLYTSIPSYT TSDHKPIVSLLLLPPRPEDAPPSIPTIPLPATYSPTADPKATLKRYTGRSLDRVIGIT WWIITLLGAGSGIVGVFNLFLGLSAWMWLKIKPSAREVQV JR316_0003447 MPQPLNPIERLLDANVQWAQAVSKTQPDFFRESAKGQSPHTLWI GCADSRVPDSVITAAKPGDIFVHRNIANQLHLDDTNVLSVLRYAVDYLGVEHVVIVGH AGCGGAAACLGAAQSPNLSLDGPITTIDSLPVDSSLNRWLEPLTRLAASLQLSSIPHE EAMPILVEENVKAQVANLAKTHAINDAWTKGTRKGQNVWIHGWVYDLSTGLLKDLNIS QGPPSKK JR316_0003448 MAPSTTYVPLIATLSIVLTVLVDSVQRPECFGQFEPNVAIEVPE TLLKKRKQNEKAREERVAAATAARKASKAKRKVIFKRAESYVKEYLAKEKEEIRLKRA ARTAGDFYVPAQPKVYFVVRIRGINEIAPKPRKILQLLRLLQINNGVFVKATRATQQM LRLVEPYITYGEPNLKTVRELIYKRGYGKVDKQRIPLSNNSVIENALGKFDILCVEDL VHEIITAGPNFKQASNFLWPFKLSNPTGGWRTRKFKHYVQGGDFGDRESNINKLIRQM N JR316_0003449 MASCPANFDWARNAEGMDPCEVATRLKAVCRQDPALAPISPGHS YSPPTAQDQTPCSCSSPVYYLTSACADCQGGSFGSWSNWATRCTNPSVNFFPRPAPNG VTIPNWAYLDVGTNPTFNPAFAKSISPSPPPLPNTPRPTTSSARPPQNTPRPDPTTSS TQAPPASTPDSNPDSPSNIAISSPTNSGSDTTSSDISTSTDTETNTNTSTGSANQLNI HSTANQALSGTSGQATGLASDRTLANAAYSTDALTGSIYTQIDPSKPTDSSGNPIDTV SGSSKKSNAGAIAGGIIGGLLFLVIVCSLVYWLIMRRRRRSRIAPSTAYLATYGSKRP DSSMSSRPLADHSTSVLDLVQGHAERYSPYRDDDEIRDSSAAINGTTSQVQFTQARAS SIDHYQRPD JR316_0003450 MASILYPLASLSQIEKTPSREDGIPEDLEEDLRAYGCKLIHQAG ILLKQKQVAVAAAQILFQRFWFVSSMKQFGVGDVGMGALYLASKLEECPLRMRDLINV YDLLLQRASHSLSSKSDQDFRYHPMSYFGSTFYELKDALVLSEMQILKRLGFNVHVVL PYGTLISYLRILGLDKNTEACTRAWGYLNDAHQTPVYALYQIPTIICAAIYLTAMHLQ IPLPASSPLSWWELFDTNEEDVLSVSGHIMRLYRERTAEERRRVVGLVTKKEVRRWLE ENMTASK JR316_0003451 MQSIKCTLLVSLALLANVVDAFSIHHNPASRRHHVARVPAPEPP AIQQNVTSRSLEKRFDGARFTFYDAGLGACGKVNSGGDFIVALNSAQYAGGAHCFETI TISVNGKSHSAQIVDECPGCPFAGLDFSRGLFDFFAPESEGVLTGSWNFGGSAAPPPP PPPPPPPPPPPPPPPPPKHTPPPPPPPPPPPKTTEHHDTPKPTPTPTPSSTHSSTPSS SATPSSSASASASSDAARSASKSASASASASASSVPVAPDSGNVQQMYQVFVQMGSLV MAGGANGGGSS JR316_0003452 MLSNILSLSLLSLLLPITASAAAHGNPILNRHHDIARRADGHID VFKRAAGAKMSYYNVQTGNAGSCGRFHVNSDFTVALNAAQMNKGLCFKTIRISYGGKT ATATISDTCPGCPWNGLDLTEGLFAFFAPHSVGIIHGDWEFTDAAPPSPAPPKTTSVW VPPTTTKKSTPAWTPPTTTWSPKPTPTSTWVPPPPTTTRTSTTRIHSSSSSSVSSSSS SSQSHASSSASSSSSGSSSSPINYSTGAAAGLAVPTGTVNRTPGSAENLADLYQVFIQ VGGVAAAANNL JR316_0003453 MWPDDLEAMLTFISDIALHDGRSMMSQFGSGYPGTHCFKPITLT YKGKTATATIMDSCPGCPYGGLDLSRGLFKYFASEDEGIIYGDWSFADSAQEAITTSK HTVAAVTISAPNATLPTIIAAAGATPSANTTSTGSKNAPGTSATPENVSPSAIKVRPS ASIVPQASTNASASANETANATAASSPPSTASSPSTTSSPSLTTSSPSTTSASSTASA SPSTTTSPFTTSSFNVNPTTTSSSVISSSTAANYTSSIAAAKSSVANIASSLSSVAPS PTGSAGAALNATNANTTALIEDHLEAIIILLADLEKMIAAAGLGRDQD JR316_0003454 MISVSHYSHIPPDPLNAPRTTDIQQPDSPTLSHTIALSCTMASA KPQFKMTLPLNPVEDDSDDEIVWGVSDDSLFNNSSGNDSDDSDYVIIKQTDSEQTNAE GTKQGPYASDSTAASVVLEIQMNDLTLDPRSSAHKKKSKKKKKAEAGQSTPQALTNKT KRRKGKPKAGLLSQSSPQAHKGNNSKTSVHPAHSIGDVEWFEEMAVSNLTGLGARSIV DDYSDRLSVVSYDESDPSPTLYEEASTFISSFLSNPDAKKDNVCKLALLQSLIIELGL ATPSLPDSLSAAKAFLRSRAFLNIREYIAVREQGPEAVQRVLYPTKSALIKDIKKTRK TASLKWVKQHGLQVLLVGWTH JR316_0003455 MFRSARFLQNLKPHLRQIHTASSHQGLRRNTGVVLAIASTAVAG SALWLSAGVIHNDSAVQSLNADKPKIIAVTVEKPEDPDTLYSLVWGSNANKTLSPALA RDAALRTPVIAHWLDGIALRDLQLHQTHAACVDARGDVYQWGSGFYGEAFSGAHLPKL TLRGKNIVQLQLTDDKIYALSASGKVYSLSSQFMNQELLPGSPTPSSDSWWGTGWLWG EDETTDYVQITPTEKLSWRESFVSIKAGQNHLLGLTSNGRVFAHPVNKQANHYGQLGF SKFSIPDPASAITKQEAHLHVELVPKSLADPFANSSRGIRIKSTTYTSENLANVDDTG IRFCPYFFEIPVLRGVQGAEIAAGGRTSFLRTQDGRVLGWGANEYGQIGLGANVALDT ITVPTEVVLWRFVPTNIQSKCLDVSAGGDLTSFIVEREGTAHTPTTVDVLMSGNGQHG GLGNNLYTSSQGNPSRVKGVSGLLQYSDRLDRLEPIKPEEISISPSGHVLLALNTSAE SGVGGRDLMVWGRNYDSELGNGKKASLVIPTALENSEGERFMLMSRTAKEVKDLHGKV WKKGVKVEQRAVAGYSNSVIYWKIVE JR316_0003456 MGYSLCILGCGTMGIAILSGVIDSLDAISAKLSNGGAPKWESHT PGTLTPVPDASVPSRFLACVSREDSSQKLRKIFSSLGGLGSSVEVLASKNLEAVQAAD VVILCCKPQLAYTILSESGIKEALDGKLLISILAGITMRQLTDWVLPTTRVVRAMPNT PCKIREGMTVVSNLVPSAHEETDRAVILKIFSSIGRCRFLEEKHFDACTALSGSGPAF ACIFMEAMADGGVMMGLPRAEALELAAQTLQGAARMVLQGGAHPAQIKDSVTTPGGCT IAGLLALEDGRVRSTIARGIQIATERASELGQPGKK JR316_0003457 MDEIGIDIQRNEPTFDSVVSEKSALIQELQEEDLYIKYKKLARH LEMLDIQESYIKDEQANLKRELIRAQEEVKRIQSVPLVIGQFLEPIDQHTGIVGSTTG SNYVVRILSTLDRELLKPSSSVALHRHSNALVDILPPEADSSIAMLGKDEKPDVSYSD VGGMDSQKQEIREAVELPLTHFDLYKKIGIDPPRGVLLYGPPGTGKTMLVKAVAHHTS ASFIRVVGSEFVQKYLGEGPRMVRDVFRMARENSPAIIFIDEIDAIATKRFDAQTGAD REVQRILLELLNQMDGFDQGSTVKVIMATNRADTLDPALMRPGRLDRKIEFPLPSRRE KRLIFQTVTSKMNLGPDVDLEDYVSRPDRISSAEIASIVQAAGLQAVRKNRYVILPVD FEEAWKQTVKRSDETHEFYR JR316_0003458 MAHVVSPLDQPSNYKDSQTRVDRQKQNDLLAKSTTLYIGNLSFY TTEEQIYELFTKCTSPEDGGGIKRIIMGLDRNTRTPCGFCFVEYYTHAEALASMRYVS GTKLDERIIRCDLDLGYKEGRQFGRGKSGGQVRDEHRQDYDPGRGGWGAQAQRIEIER RREVEERYADAQDGPGAVAGGGGDWKQENVSQVEKKKRARSPEDEGEGDSDVRLGQRP KMDEEMEAERM JR316_0003459 MGTSRTPDAFVTVTWGFCIQKFTPSTNHSVWAFSIPMSALGGRA YQAAGNALSESKYVLLRGLPPMTTSHDLRRLLIRNEIKGVSEVSLFYKHCRPTGKAIL TMALPDYTRNAIREASSLSFPGRKIDAEPITNIKSYGIGTGGQRSTVLGTGPSANCPE RRTVTIHGFPGRTPVSQVVKLLEGFRVDKDLPVPAEMVPLPERKFALVSRFLVYLESE SEAQRFVRKYHMTRHKITNGLLKATIIY JR316_0003460 MSSRTEPRRRDRSWEREDRGDRHSYGSSSRGGRSRGSHSYRDRD EPRRRSSRSRSPRRDRVSERRGGHERRDRDYRRDKDDDRRDRDRSRDRDKERERRVDD RRRDRDEDKREVSRRDSRDGSVSRAEPNDRIPPPRTQPGPSETTKSSQPSKPNLDPDV PDHAEEEGEAVDNANDDEAAMMAMMGVTGFGSTKSNVQGKHVAGNQEGAVNIKKERTW RQYMNRRGGFNRPLDKIK JR316_0003461 MSVQNLNTYDPFADEGDPLGDSADVGSTADYIHIRIQQRNGRKT LTTLQGLPKQYDSKKLLKAFKKEFACNGTLVDDEKMGQVIQLQGDQRAKISAFLVENG LEKSTIKVHGF JR316_0003462 MADSASSGPKRKHPPTFHHYPTNRAKALKKAWVEKTKIKSKWKA EKRKEDLASNYKLEIPVYSDDDGPNVPQVSTSQPESPSTSSAPAHLHHSRAHIHPELP VKPHKSVQPDTEPRPAKRRKILKGKDEDKSAESVSLRELTREAYSRSTLHTFKADPLK KRYDGKDKNNKRGASTGRGQPNMKLRMNAMLAKIKQDFA JR316_0003463 MTESTDIPGQSSTEYDAILEKIAHTDIVDSDWPTLCAAIKEKLE KNISLFLATTQKPPLPAPYSPITTALGGLKLPPFLPRPVGQAQFNDTPVSYMTEEEAN EMKENIFAQLDQFESNPPFTIQRLCELCLEPKRHYKSVGKYLRAVEKSILVTSTWDSF PPLTEGELNSAGRSAIMLGSTMQSAPSTPLFSPIPFLHDDARRSKSRSPPPTPFTLDA TMPSANDLGEVQALGLVDELDDPNPGHMSDHPTALSSVTSLGDTSRPFLASLDQRFVK SEEDMDTSVGTAGSAPDNMDLDEDKENTKAE JR316_0003464 MPKAAPMTPIRRRKLIYSYAPDWALTIVLAAFFFSLDKVDGYRR VFSLEDSSIRHPYAVHERVPNVALYFICFVAPFLIMPIVNFITVRSWWDFHNSSLGLI LGLSMTGSLTQIVKITVGRPRPDLLDRCKPPPGLTDPPYGSTDWTVCTQTDNGILRDG FRSFFSGHSSMSFAGLGFLAYYLAGKVHLFDNRGHASKAWLALSPFMAASLVAISRTM DYRHHWQDVLVGSLVGTFFAFFTYRQYYPPLSSELSHRPYSPRIKREDNDRAVLPTHI DQFNGQTNIGNRHQYSDSTDDHFELAGTVPRPPGPGRLENVWKQGAGSPDLSQEDVVA GGSANIQSTSGGAFVPLRNPGTTMT JR316_0003465 MSAGCLQCSASTVWDASVASAVCTSCGSLADPSQSLLSSSSYLH QNDTLEPSLWDPSASTTLKSLRTRNNWDLAGQGKESRDRKNSYAIAEFIKSLAVSLNA SGLSPRATTLFNQVKSASSFRWGKKSRAVAAACLAIALRESSRPDSLHDIALIADVPP TSISRQFMAITSALGLSLPFADPSVHMSTLQAHIASAIHQDSRQDSSLPSTLVNSLGN VCLRSAANIATALGQTLARLCPDHDVLRLPTPPTACGIFILALEAEKRAVFNPLSDLA QYLGTPNHVSKNVVMARYKSIQDIIATWIAKIPWLEKYENKNGRAKNSKRLIVARGLK DAIKFHEDLFQQKSKPSLELDLEVEEEEDNCNQSSGTSPPPSKRPRLSSPMSHAAQFL LDPTGTSLSSHQERSTSSLMSYLPLAGYILASRTGSPAFQAPTRLQLLAHDRGGVDEA HIPDEDLFVEGELEKLIRPDSEISTLREKFGWLEDEDENNLHNDQQPGRKKYNASGKN DEILHGTPLNPRKKSRLNLEALALFMAERHHEDNGPDLSENTFNDNSFEAEDNVLILE DEHRSTIAAGLDASLVDDDDDLHSADETTTSNAYRRYYASLMSGDTVAQEADFALENW SPPTLDHIVSDSRYQEEYD JR316_0003466 MAHLSYSFESVPSAAVRRRLSSSVSNDKRPHVPSTFASLTASPL SHLPMWAAVAGAVINNNTQYEIAVSIHDSVYSTDFASIVIPFNANNPDKNAKEIEQYV LQTLRKFSVGHLCKFLGAGVTLSLLKESPNLCTRLWLDMDIVPYVFNIKPFHTDSLTR PNIKHRVSSTTGSYVPSGTETPTVYVDSAHLTAMSGLQAGVSGRLPIPRTIDEQADSA ARKCLMHFGPGNNPRLTIGPRNQVMVDAGGKVHLLDDLDEYKATVGIRTWNAVIKLAD ELREKKVKIGFFSSTPQGGGVALMRHALIRFLTALDVDAAWYVPNPSPSVFRTTKNNH NILQGVAAPDLRLTQEAKDGFDAWILKNGLRWTAEGGPLAPGGVDIAFIDDPQMPGLI PLIKKIRPELPIVYRSHIEIRSDLVHVPGSPQEEVWKYLWNNIQLADLFISHPVSKFV PSDVPTEKLALLGAATDWLDGLNKELDPWDSQYYMGEFRALCAKEKMNELRWPERDYI VQVARFDPAKGINNVIDSYYKFRNLLKEKSPDLSDEEHPQLLLCGHGAVDDPDASIIY DQVMQQVNSEPYNKYVKDIVVMRLPPSDQLLNALMANSRIALQLSTREGFEVKVSEAL HAGKPVIACRTGGIPLQIEDGKSGYLTTPGDNDAVAGHLYDLYTDVEKYREMSQYAKT HVSDEVSTVGNAAAWLYLAVMYNRGIKIKPNGAWLNDMLRAETGEEYVEGEPRLPRGG LNMQG JR316_0003467 MAVDHKLPSLRPSHRGFHKLGMNSSKRARSPEEGGGDRPSKKPT LAIGGITDRVNDRHLSVSSTSSSRQPSEDWVQQTGGLSIDSPLYAASDHDNSFASRSG QNIGDVDMVMDSEESNENVHTDLEKPQLSPLHIVSYPLSKPEQHIQDQHPSQQGPSSS PRHQYSTRYAERVFGPTVPPLINVVPATPISVQHPSQHRVDSSDNSSGLMFSLSQPST PPPSDIAPMSVSPAASFAQLGSVMSPKRRVVFGPRSNFREMQVVERADPTKVMTTTLP LFWHLSSASKKERIDASVKLVGSLEQFQAQFTQKVAPVSSVSDDEDDADDTPKSDGLD LLNAQDVSYSIRRLIRGLASSRESSRLGFAVALTELLSRIDTVTCSQILSIIVESTKH QGSMTGQEERDVMFSKLFGVMSVIQSGLIVRTKPLATSASSSTSASSAESYEQIITLL VELGEKKSWLRESAWFAISLAVSALHQSEVPWKDTAVDKTVQILFVLNTVWSTEKIAL ALILQEYYPKHDWKVVLSPHFKSPDLLSSGNLLESTADEDGSKDPSKAPSGTWKPQVN FAWDIILDQLLPGPNSSKPPKGSFQDFFRIVVDESLFSSTASSQRKYWGFQVFQKSLK RVSEDTMPMLFTKNFMRSWINHLSKKDRYLHKIAQQTATEVQTFVERKPQLGFALILQ LTGVNGSQQFDKLTRTKTVESILPSLDSKGIQSYIDHLLAQVNEPENSGVDIAATNSR RAWIIEQLGGLIRNGKIPKEDEWVLSVLNWYVVNGLFVIKKKSSKSPIIPLRTIPNPV FSDDLRRSCRDRLLACLSDLGGQVTAIKHDDKVTRINAVASDGEFWVAKVLSTIESLE QDEKHVEAIVEVDKEDAALFAKAHQTIAKLRKVLHGKSEAAQGAELLLLGTLLERRCV GEDDDNGESDSLEICIEAISRMLLSDNKKKKKTKESTEESDHEPVDVLVDTIIGFLEK STAYMRTIGNQVFALLSGSIKESTIDLIVTQLERRDPSQLAEDDEDDEDDDMEDVEDE EGDEESDSDEDSGQGDEISSEDGDDEEDPELRRKIEEALRVNGIEPATGETDSEDEEL MDDDQMMAIDEQLAQVFRSRANERKSGKNLDVQREATHFKNRVLDLVDTYLKKQPSNS LVLRLITPLIDIVSTASQDERQLADKARGILRSRFGKSKEIPTDADIEQLSLVASNIH TLARKTHSSDLVSILSLCSVYLAKIFVHLKSESALVENYRQSLVDFITRKNSSLNSHF FQDFIRRFPVQAWALRQDFINLCTKSVNTYRQCQLLQLLELLIGLLPSMPEQTGEAVT FMPILRQLLLELITDSCDEKASLTSVQLKEVFKLALLSVKQTKRISPAKVQSIWDHKS WISLQSKLKTSRFKTSPALHKMCEQIANSIGAASEENGVETAAPKRKADDEEVESVVT KTNKRKKTKGNK JR316_0003468 MSSNVPHGSASQSTLSAYFKSPPTVVPPRKGKRSSSPIDLTGDD EQDERQSKRARLTGKSLASSFPSSLSNSTSGLVESWRFSPEKPRKVDSSNQRTTFEKE RHEAFKKKLLNDNSIFLERETESHDLVDDYGDRENDAKEVQHDEAGFPSGFKELISHS VKGKSKEKGKSAKRPKTIVELGPSGETYTSLEKQLKKDNAGTLLMVEVGYKYKFFGND AEASCTYARKELGMVAYMDRNFLVASVPVHRRDVHLKKLISRGYRVGIVEQIETAALK KISDNRNGVFERKLTQLYTATTYVEELDSVDDNERYSAPPFMCLIEKRKDVHQADVSI GMITICPSTGDVVWDNFDDTIMRLELETRLVHTRPSELLISDDGLTEPTEKMLSQFSG LSSSGHQIRIERFRKMSNYTDAFSDVSRFYTDRSRFGAASTSFSSGKLMAEITDLPEL VVIALAHAIKYLSAFGLAEACLETRFFTKFTTKAHMLLAANTLINLEIYRNETDCTSH GSLIWVLDRTKTKFGARLLRSWVGRPLVDKRILEERINAIQEILESYDDLLLTLRNLI KGLPDLAKGLSRIQYGQCTPKELSILLPAFQKIALAYDTIEPENIGLKSPILKQIICS LPKLKGAITELMGAINIKKVAEGKKNSMWTDSEKFPAISDAEGALAAIAEELKDELKS IRKLLKTPSLEWTSVATDDYLVVIKKGDKIPIPDNWILHSKTKTLARYYPPSVRAKLD ERAQCREILEVESNKAYLSFLKEISNNYYGIMRDAINNLATADCLLSLAQVALQENYV RPQFTDYDTLEIIDGRHPMIEAFSENPYIPNTIQIGAESSKTKIITGPNMGGKSSCVR MVALIALMAQIGSYVPATSVKMGLLDSILTRMGASDALARGRSTFMVEMSETSEILHT ATSKSLVILDELGRGTSTFDGMAIADATLQYLVQTKKCKTLFITHYPLLATRLEKKFP CDIQNLHMGYESEVRIDGTRDITFLYRLVTGLATESFGIECARSAKIPTEILSVAAER AAHMQEEVEGRMRRNKLCKTPYLIQKCFEYKGIMAKAALGELQIALQTM JR316_0003469 MLTHRLGRNTYLTCRSLPATRFGQKCNNLRWQSTDTHDGLPVTD TNRAELSQKRFWKDVGVERRGESLVVTLDKRALKTPGGQTLQLPLNKTLPAALAAAEW DHQEVLLKPHALPMTSIISRAVDAMVEEKTRAEVRAALVKYIHTDTICFFHDNPEPLE RLQSQHWTPLLEWARKTFDVKLDVSNSIMSVRQSKETEEKMLKVMESFDQWEMAAMER ATYAAKSLIIALALVKNRISVEEAALAATVEVNSQIERWGEVEDSFGFYLQKLPLHYA IGALQYHQPVEAR JR316_0003470 MTSIPSTSTFTNGHSHSNGSTSHSEISAESSFDGNTSSPSSSAY PTNGYSQPPFQNSHNEEIVSHLYHAGFQTGNYADTILHVHQNVYRLHAIILSRSPYLA HLMSTSPQTTGQRIIYVHLDQEPEVTQEVGIPVALSLGYLYSAISLNLVRPENSRAVL AAGCLLGGMDELCQYAYNVCRQSMSVETIGSWVEFIDTLPSNTDGAAAPDLPSTSVFG RYAQRLRDDVFHFLVVTLPEVLEVQRPPQDPSSGANGRDVLLQIYSRVPFEMFKTAVE SPTFLIGSDQARFKFAKDAIELRKRGIARGLGAEETVVLAFGQSNFGNSAVHITRKMR KRPLWKVNS JR316_0003471 MNRFRKRSDAKRSQVPDLQHTHEKDAQNILPELPSANDFRTSLI LPDLSRRFSLLRSSTGDPVSLEHLRTRLANQRARGAQNQITEEEEDMLLETLGKLRST KTPSPAASQEHVAETDDSTTVTRTSIRSTATSSSVTSSPNSRSKRYSNNLFGSGRLRD YNYLKSVASSKASINSTRALSLTPTEVSTSAREASNTSIRPVTPENSIPSSVQSSPSP NEDGLVHSAPHIPPAPFGDNAPISVAEYRLQKALGPSVLRASMALEEAIKEIEDEVED EILLPRSVPVPRSSLDQPTAEVQRESQVSNNSALYEAAMAISIDQTIHSEFSERRQSP IPARTVPGYIPGMPRPMTPRDFDFDEQRSHSTTPRAQSPYTESSPLSNSASSKVRRES ISSVTARSTPITPVAAAPLFLQRSTNGRYTPDDSQRASGGDSVEFDSPLNSSILGRRR PASPLSGPSYQPMTAVTNRPPSRPTTPSNVIWTPSVNSSNGHRNNRSGHGRNNSLTTE GPALDSDYLRFDRHHQLGPRSLKSPAFPDSPTLDPTASYSSNFANNILSNGSMISAER PQAYIPEVELGSPILGATLVPRSATPTQTAQRSPISPSFSSFDLSPRNISRRSSRQNP PSSPFNIGPFPSLGLSPRANSSRSSLNSVGSSFHSWDEPDKVFSVFSDAKDQQAIAWH DLDKELNSILPGQGSLDDDEWDPEEIIERYAGLKKADIAAVQEKLVAAAFAKIANTDP RDRAPSALRRRRPSTSQSNYRVASPPPQMQTPASPSYVVDDKLSKASALLNSVVDSIN ERPSTPTVNVNAAVSNLTLEDLEVSPNTRRNRDLAHVLFGNDEDGKEEEEPAGPAPEQ PKPDPVFSPPAPIAISTSESVTTESPSLTTSVPVSPTQPNAIRSPSFNSPYLLLRNPS TPKIPPTPQEQAELARAVQEKTDAAMLALNKNPSRTNLGDGLKQVPSVRRKVDTSQIS TPKLLSTTTSVETIPLRTPSLLSNNTSSPGASKLGSRFKKLRGSLRAKNIASSGEETV TPDTSKSPLASQTAFYDPAKINPPGAPKLSSATETGRFKVPVPSPPASAGPGLKGFMA RFRNKQRMSENSSSGENPFPGTSLPLSPTSSLTPRQVEPTTPKQMSPTDRSHTSTPRP AGQPRPMYSRFPPANPPVAPSPPQPTHTKEPMKSPAETTQLSDAALEQFFAAASNIGL DENVINALLARSGSTSSRNLLARNNSVAKPQPTRQNDSKVDAEPVAYVGSTGSDQTAT PTSYIVPPEQQLQPPSKSELRPATPEDVTVTRKPSARRTDQLRRPKEGQAENAGNAIV RRTILIASDLSPSDFTALSALTQRKTSMRKKRASATSVSSRSVHDRAPTPPPPKSPTI KRFSADGMPPIPQMPNFVGQAGKMLNAPSTSAAGPIEKSNSTYDSLYDMYAGESRAAS AAPNDSNSLVDQAASKGEYLAASDGPALEIIQLANGETIWNIVNGLRDGDDESIYSGR ASFASEYSTREPGNDGLQAFMKEHARSGSKGSISSFVSKKKPQGKNRPETNVFYSSSA QIGRLIESLSQGADAGSFNIIPNRGPGHSNSSSLSTNDINWTVEERLEHMLGSMNNAP JR316_0003472 MTALSVEPQEDNLFEWKCSIKAESDSPYKNGTFNFKLSLPPNYP FKAPTVTFTTKIYHPGINEEGSICVPILRDEWKPAVTLSTGGCASVVREAGPQYLAVL AIIQEKVNNPSPDDPYELEIAAQLKNDKGKFLSIAKEWTKK JR316_0003473 MQAEIEGSSPPPQELSELPAVSNGHLLLPATSTPITAPSRSNKR KRTTQAAASSPAPSDNAGNNPNNTDLLATSRADLSSRPILTISRGPTFIPAPEGSEWF KTEMIGVNRVGYRYIPAGINPPGHAAPCRTIESNPTCFRISWEDRSPFLRVSKDGLKI AGSKGFRSARCNAPIREGKWYMEVKILHGGGEHTSEDSRREGGHVRLGWGRREAPLNA PVGLDGYSYGYRDKTGEKVTLSRPRTYGRPFGTGDVIGMYISLPPRRQPNKKDPYDPA HLKRERIPIDLKGQEVFEILEYPQSKEMIALMDYSGKTVSSASVPSTNKKPSNGKPAE RGPAVANHKPAAPALRPLPTLPNSRIAFFVNGESQGIAFQDLYDYLPLRQTDTTKASS RKRQREGVKEHRENPFDDGTLGYFPFISLFNDASVQLNPGPDFEFPPPPDIDNFLDGK ISNDSDVTATVDAPLSVTPPNNGALDHEVPAMTTSFTPSLETIQSIEDLPPKQEENEA NPQAINSDARMSSPVVQPPSPKSSTAMIERTWRPACERYPEFMQEEWAFDAREDEEAK IELAKIAAAEKLEEDKRKQRERKRLQAEAKRKAKKAEAQQAAEALAEEERERERERER ERELQRERERERERYGSLPIPTGLIGPGMQGSHHPSPSPLRHFTAYEPEGSAEYENSP APTFASYGDLQGAQSGYTSENHDHEAPDDMEIDQDIEALIPSSRSRTPA JR316_0003474 MTEYDFSPEAFEAHIRKQQQIARWVDKTNLLAPSLRNPFTPATP AVRALKLHDNSDDHPTTHRRHASSDDRDRRRTRDSDRDRDRGRERERDRDRGRDRDRE QHYHSDSPSRPHTATKRHRSASHSQPARPEPTRNYTVPPPLPLNTSPVAQYPPPTTYP QNPYAPRVASPRDSRHSSRSSSTQVPSPTSYFPPQQPPWSAPAAYKSMPTPTRSQTAP HYYPQDAKYPSTPPYYQNPSYVYPAGSPVKAVEYDVRPFSPSTRAHLLTPSQNVPQYP FSAYQIKQPPLLKRIFMGLTGGNKQHHQQRKVPRRKRSTSF JR316_0003475 MDNPGWHAHRRAQKEDDAYNREYRHSAYHLPVEDVAPMDERFIG GFSRDLAPSPRPPALPPKDYPHPVPHDDVLAYPQPSQSTISTSQLAGMSAVERSQVLR VARMEPHLQFMCGPLLKYDTIDEHGIWHGAALIVTADSGSFYEPHFPMLTYSWDPEAP VPSHQRQATMDTVSQTSSQLSSGLNGNGSPIAPSRPSGLGPIHTATSYELGPHPADPH STVLPSSPTMGTFGFGNRPIPNTTRSANGYGYRDEDGLSNNLCSERAYGQELWVYGGQ GGTFTFWRFLIKVPLAQHEMKITYSINNGQNMDFWVPGRMQNMRWAAHSCNGFSAGVN PDDFRGPGFKSGYDPVWMDLLSKHSEAPFHALVGGGDQLYCDALMREPEMQEWVSISK PEDKKNFPLSVNMELAIDRFFFNHYCQAFRTGAFARANSTIPMVNMCDDHECPHLILM MQIDGFGSYPDDLQRAPVFRQIGARGYFFFLLFQCFINIDVDGLHDAPGKHVYQSVII GEPGPYVRFPSHSFLPRFGPKVYMLLLDCRAERKKDQVCSPGEYQRVFDRLNNLPGGV EHVIVQLGIPIAYPRMVFLETALESKFNPLVALGRNGSMGLSGFVNKFNAEAELLDDL NDHWTARSHKRERNWLIEQLQNFALVKRIRVTFISGDVHCAAVGVLKTLKQKNKPEVS PKEDFRYMVNIVTSAIVNTPPPNGVIALVSSLATKVHKTLHSIETDEIMVPIFKKEPT GQSRKQKFIMGRRNWCRVDWDENSGELIFDIRVEREKGYGITTGYPMHVPPPGW JR316_0003476 MALMGAIGLGVYFAKPAPSRSFPVYFQDGEVVYPQFAYPLRREI VPIWLAALLAFIIPFVFFTLFQIRRRSLDDWLTTNLGLLRSLITAAVFQVFIKWLIGG LRPHFYAVCQPNVQQGSAPSGVGFANLMYDRKICTGDEKQINDALESMPSGHSTAAWA GFFYLALYFNAQLKVMSAHNPAYWKMILFFAPILGACLISGALTIDEFHNWYDVVAGA IIGTCTALVAFRQTFASIFDFRFNHILLPRATSLFHRRPFLPTAGRGPYYTYQPMQEF ASYDLPFTREGGWGYGGGEQSVGAPGDATVLASGMGGPGIGASGLGAGSAMTGTTGNH IGRGGLGQNEAGAGFGNHNGNTDRLGHANAAPTGPTSGGFGNAHPAAQQMV JR316_0003477 MQISLLLLLPFAGTVLCGPTNDTLLWGAYRPNLYFGLRPRIPQS LMTGLMWFGTQDYQSVGQIRHSCDQGDKLDSYTWTEYDTREGGVQLIKDGYNNVQITT EFLKVAGGDHGGSWAARIKGEPIDKTKISRISPIFYFGLEGLGGLDMRTEEDENGIPG EIKLSGSSPELDDFSIRIVDSANNRAVVLGPHSNIFQHRLGKTHFAGRPVKEGDMWQA KRIIASAILDRAREVIAPYQDPAVGAPDPSFVLQLPDDVYTNSNLFAIQKFFDGPFQF DVFFDSASLKQKLTTATLDQGIPALVEAYGRRFREVFPHPIDYPADKKESLESFSKAV TSNLVGGVGYFYGTSIVDRKFSYEWDDEEDSVVDEAEKGARLTEPKALLTATPSRSFF PRGFYWDEGFHLLHIGQWDNDFSLEILKDWIGLIDDDGWVAREQILGEEARSKVPEEF QTQVPNYANPPTLAMAVVAFIERVKKASVAHEGIDGDLGLDLGMGTGQVPLGGPPKVT AVESKLVENPELARAFLQDIYKPLKRHYDWFRRTQRGQIKQYSRKARSRTEAYRWRGR SELHVLTSGMDDYPRGPPHAGELHLDLISWVAYFSRTMREIASFVGEKEDEITFAKNE QAILDNIEDLHWNEEEKMYCDVNVDNEDESYHVCHKGYLSLFPFLLEQLPPSSSHLGA ILDILRDPEHLWSPYGIRSLSASHPEFGQGENYWKGPIWIQMNYLALRALYKTYAAQE GPYQEKAKQIYTELRRNVVDNVVKEYERTGYVWEQYDAITGEGKRSHPFTGWTSLTAL ILAEKY JR316_0003478 MSTTPGQGTPAVQTPPIPQGAGSAAVPSVAPARASAASREGGNS LGTFNVKSGLAQMLKGGVIMDARIAEEAGACAVMALERVPADIRAEGGVARMSDPDMI KKIVDAVTIPVMAKVRIGHFVEAQILQAIGIDYIDESEVLTPADDEYHINKHGFKVPF VCGARDLGEALRRISEGAAMIRTKGEAGTGNVVEAVRHQRAVMNQIRKASVMTEEELY VFAKEIQAPFHLLKETARLKRLPVVNFAAGGVATPADAALMMQLGCDGVFVGSGIFHS GDPAKRARAIVQAVTHFNNAKLLAEVSENLGPAMVGLTIDANLKGGNYAARGK JR316_0003479 MESEDFDQGDTTLCSMEDSSVMDFTPLFSHDSSVDFGKANFKAA YPSSIFDSFDMDKDQCTLLVQASARISEILRENRRRLDSGEDLCISRREARRKRAQEI SAARKTRHCLL JR316_0003481 MADSRVINFGAGPSALPVSILEEAGKGLLNFEGTGMGIAEISHR SKEFSAYISTVEAQIRDQLSVPPTHSILFLQGGGTGQFSAVVLNMLARHRLLHPDLPE EARVLDYVLTGSWSAAAAKEARRLAGGATVNVAVDARTLSADGKSFDRIPPHDTYAFS SDPALIYYCENETVSGVQFSHDESAPESFPFDRLPKNSLLPLVGDYSSSFMSRPIPRL ADHAIIYAGAQKNLGPAGLTIVIVRQDCIVDVDAAAKLGAIPVPIGYAYKTFAEQKSM PNTPPVFAVYVTGLVLKRNAELGGVAYYEAVNKRKQEKVYKVLREGEGKGLLKGHVKP GSGSWMNVVFEVLGEGAEARFLAGAEAKGMKGLKGHRSVGGIRVSLYNAITEEQTDSL VDYIREFLNQPPLVPPTLPSESLKA JR316_0003482 MPQSVPFERLMSPIPLADSCLARPPPTSTSSRRRSKPTTRSVRR RRVNPRVESRPTHSFDDLPWISTLDAAPELINPWDIADLSIIPDSHISSSGLGPVRSR KSSLRSSPIASISNLSPSPPLPLRDTSLFPPSNVSTPRSRFMPSRVLFHNLMPVSYDS RPEQPRPCITSSHINF JR316_0003483 MSTIVSNIFSTIVSFVNGIKYGFASGCEIVEWLCTYGGPAFGAI ARYINKNSEAPVVLRNRSGGKLISDMEAQHSPANDADFEELIRVRQEIKTLKKILDNR SARTRPGTSEAHEIQLDRFELERKKVLNDIAIEAQIRATYPARALYLAMCETYDVAPV RTD JR316_0003484 MDTFLDTEPVLGEFTEEDLEQEQDTYQKPQTDADRTDGEGYETN TAPSQSRSSSIRMVQSQSQLQQGQGQD JR316_0003485 MYHRRLADKQTGKTSIGNIFIAGLGAGVTEAVAIVTPMEVVKIP LQAQQHSLADPLEAPRYRNAGHAVYTIIREEGISTLYRGVSLTPLRQATNQGANFTAY QEIKKLAHKYQPDLVELPSYQHMMIGLISGAMGPFSNAPIDTIKTRLQKAKATPGQSS FQRIFAIAADMWKMEGVRSFYKGITPACCAWHPGRRSCSRFMNA JR316_0003486 MRVRLDEVRQKMDAEEQARDVFRWNGQIPVSEVLYSIHLAEPPH SIHPNTRRHRNILLALLPTLSEQVLRAMDVDAVKRELQARSRVGKGNREQQVLGIGNG NTPAQAPANKRSVYPLAPYPSTPPPPQPRQTPPQQAQAQPQPHQTLHAPAHHHPHQRP HRSHSRRPHPCSCRAPRRCIPRRCTRSPVSLHSPYLSLSGTESESISISHDTQEISSS FVSDNGSVSRSWVVEGSGEGSEQGGAGERAPSPALSSVSGVSRFAFEFDG JR316_0003487 MHRPPSPVITNTTLHTPAPTHNLTLIRTAAELIELDVKLRSTHP GLKIPSLPIDPAGLPLAQQKKGKEKKRKSTFLNTLSRLASPGGGKGMGMSMGIGIGKK GGNTPLVMAPANGSIGGDVNGWSAAPSMTNLASTALSTDALDRDGVISTDREKEDY JR316_0003488 MLSTYEISTLASFSADTGQRTRHRKSFEACLTHTILTQVVRFTI SFLLFYTAHLLSRTLTIPTDISGWLPLHLGYFYNCFTSVSRRSGTEKTRALSSMTLSD IFGVLDYLSWSLFTAAFDEERSDSLVRNIAMHNSVDVFSMGESAPWAAIQQHFFSKVS SHPAWLAPSADIFTGLIIASLIVLIFVAVFLLREWMSQNARLGVFEEEELPDLPPVHA PPPQPQLQHQPRLVRHFAPLDPASLNLERGGNAPLSMSVRSRLYVWRQCVRIWTQTSP WTPTDGEQTPK JR316_0003489 MSSSHPSHEYIRHLPLITPRIEFLLPSQEPLPKITPRIQWTPEL LQRHAIPRGAAPQPSSVAAPGPEELIQAEGPSGQDTVPVPPIGPSIATPATHVQVDPG QPIDPAMILQVAGPSHPRVTSPVPGGEMYKKPKGEPGRRGSGGFNIQTVLRDDFHWSE EDIGDMVGYITREARRSLDMSKSYRSQKKEKIEAICQEATRRWPVLRDYDKCWPVHSV LKLKLKYRAEAHRRVEGRRESARVRAALANIAGVNDAE JR316_0003490 MASAKGVTMTSGGAGGKVLKEQELSPVVNDSNHQHPPWQVTYKL PSILPINTLLFSEDARLLISGGDDETVYVLDTKTGECIQTLEDDNWGQITTVVWGQQE LPNRENGVVLCIGTGRGCLALVALDYDATEPFPVGANTSPVFAFNDSVEKMAFDKLNN RLAVTSHSGEIKVFAVNNTSLRLLWADNIGKVITSGLFFFGGSNQSLLTIGLETSEMK CLDASKRGPPLWTKHLIGGIGSASLSSDETLLLVDNLATGNFDVYQIADNSPLRSLPI GSTRRFSKQCAFFEGSKIAVCGSDTNKVFIVDVANNYVVQTLTTCRGTYMTQTVCVTP SSSRNVFVAAACRGYVYIWEKSTPQVQVNVRADDPPPSRYRRVGSAILPYLGFAVAGT VGHWLPHAWKLGQDIIMKTADYLIQNGADRTMELPREAVDKIFEMAAAASRALDMSSA LVSSRTLDMAAIATTTYTAATATATMTSPSATSLFDMAAAAAGH JR316_0003491 MSQPSRSRSNSKSSVTGATPIYTAMLSREHYIKAVSARSRKNAG KSASRQRRSPEAGDASMVAGPSRFQEVDDDDMDQSFESNSTALVTNTLTSNKTQAAGS IQRAYTAPMVSSFAENREVSTEGPSTEIANVSVDAGRDNLMTRRPPIQVANVNAILAI LPGRNELFPAVQHNRSIANGSYAASTNVQNDRGITNQQSGVDAGIDQVIISQVREVQA DRERIAALERGLTEQGETFKWEIDKASKMYTELLKEKVAQENLYLETKRENDRLKELE ETVLGFAKCTICYEFMEQICV JR316_0003492 MKRVMRNVRQFLDTEAQNGTLKASCSECCDRLDLTVFTDAFIND GAEVDGKDHIGSMAFHRPEDDSEEDSFNQLLARLEAQAKGPRQPRPVIRLEEEDRITM LQEKIARLPLENDYPLWRVGCRIGSEDAAVLSLLQTAREIHHIRSAFTRGSIRGSIYV EGIMDPALVNLLLSTPGILRNHLGVKREIVDRNHQHELLTMRDVKKDFEVGTWVLVKK GIYKGDVGLISATFSWGAQVLLIPRLNSQSAKSQKRKSSVLVPPAKLFDPEEARKLIS TPIIRNADGSYTLGLLKFDHGLLEKDFDYTSIANSVMDIPYSHFSMFRSTNHPDIMRA RMPRPREWCLGLEEEVLFRTPDVANRSAKWEPAVLKKLDTYDVEAEQSTIEGEREIRY SVRGTWLDILKSPKIGQFVRVVSGPYFDHRGWVVGIHGDHALITKSSVHGRISIVETN AETSSTPTNGKEQYPVPTGNDDLRKGSAETDLGTAVEAQMMPGGIMVHSDIALTLDES AATLDEEEMSMPGGSSCVTGVGKPTAAQESYNQGAVVSTTAASNQFNSGVGDDNKVEI VEHFAVHVNLLDTSFTEPLPLVDVTLLSESDPVHTKFLRHPWTGLEVIIQKHLHPRKG ETGRIKDVLHHTDNAGLQLVIQLTRFNPFAPFQTIVVDYDDVVELSTFNELVLFLDPG PKFFRPIPKSSMKHVRVLPGVPQTIASASGTPMYSEPTATPAWDPSSRTPIGTPQSIT PAWDPSSRTPDPTAHSPTSLALSDVSTSVSSSSETNCHTTSSSVCEHVLLNPKLVDIS LNVVVNGGQFSNKTLVASTVWDANNLVLRCKKYSSWTMVDPAWVTPKYANRIHDNGPL VVIKGEHCGKFVRRIHHEGTSDNPTVLVAVVTRSKDRVDVLTGERFILSTDFLCSVPE SKKDRDLNSNVMTQLKDQYKKKIL JR316_0003493 MDDASMENLSLPGAFNSSPTEQMDITSSDDVTRNHPGPSQTPQS PTEQMDITSSDDDARNHPGPSQTPQLQSQILKPQESGKRKRSDHEEISSRKLIAVEPE YLQELEFAVDELTKKLKSKDKEIKRLQSIEPSVNDLKDAEIRHLRVVEQKVETLIIGK IYISKEKSASDGAYKTLQSQFEDYKRVRFPGFMLSYLRLSIEQDVDRQHKEASRAKEH EMSELEAKFKQQIEELQIHHDRTVEELNKKVESQSLQISSLVEDSLEESETAKILLNE PDGEGSNILEEDMSHIKELEDSNMAFTERITAYEAQIAKLNADLEEQKAESSKLTRAV QELEGQSVDGISKQERITELEALVRAKTTELEELKHSTSHAQDLENRINELQGSLQES DSEREKLIQAQQVSSHRENVLASELQTVREKRSEQIKTLTAQVRLLENELSGNQTALK DQGQELERLRAASTQRRTADEAEIAKLNADLKALKDSQQSSADRGALDADHIQKLEAQ LTAQTNRVQQLEIMNAASIENASAYETRVKQLESDLEAQKAESSKLTRAVQELEGQSV DGISKQERITELEALVRAKTTELEELKHSTSHAQDLENRINELQGCLQESDSEREKLI QAQQVSSHRENVLASELQTMREKRSEQIKTLTAQVRLLENELSGNQTALKDQGQELER LRAASTQRRTADEAEIAKLNADLKALKDSQQSSADRGALDADHIQKLEAQLTAQTNRV QQLEIMNAASIENASAYETRVKQLESDLEAQKAESSKLTRAVQELEGQSVDGISKQER ITELEALVRAKTTELEELKHSTSHAQDLENRINELQARLGEFEREREGQSIDGISKQE RITELEALVRAKTTELEELKHSTSHAQDLENRINELQARLQESDSEREKLIQAQQSSS HRENVLASELQTVREKRSEQIKTLTAQVRLLENELSGNQTALKDQGQELERLRAASTQ RRTADEAEIAKLNADLKDQKAESSRLTRAVQELEGQLTDGIDRENRIVQLNNDLDAKT AELKKSREESTSHAQQLENRIGDLQARLQESDSKREKLIQAQQLSSHRENVFASELQT VREKRSEQIKTLNARVRDLEKELEQAATNSRDSLSKERERYQQMSVEFQKQQAALSQT TEDLSTVKAALEKEFEDHGNTKSLLELLQSDLVDSQKAISILNSQLEATMADHIEFGE EAQDSDSAMDPDAPESTPSATGKSQKAAGKRRQMSMMPDTTLYTLMNHRNGDLQVNME PENSAERPTFSNFFNQTEFTPTLSTSANRSSPVRDGDLASSSNPGPSIPNRFSKPGHY RRGRATHQSPPPPSSAIPRSGRDDVRGVEASTAFHADTPATVADPSVVPPHSVFSSTP PVTPVPPVASVSAAERAFQERILNTLSTLSSDVRGVVSDVQELRSNANTQPATPRRRI PNRKPYSPYKQAGPNASRQLQQYLLGISEDDDIFIMDSIHIASPAEVDKFENSLRDPP PLEPLQLHFDQVKVKWNAYLADLFAEQFLRLHSNLNATADQIKEHFMARVQMFREKLI SIVPRPGETSEQCFDRVREERLNANRRKRRRTRQRNKLYVDRYSNCIDAGRHDIADVV LTLGEDGMSEDETDGEDSTVVLLTQWRNPKLLTPLKIADSLRPATSVNGTRRPGSRPL KRRRLQSAREGYQPAPDQRPENYYYSSWRACLSRYDIALLKMKDAKPFFGE JR316_0003494 MSASTLTIHFVPPTPTSSSSSSSAASSASLSTLPTSSNPTPARR LSPPPPPPPPPPPPPPPPPAPIFLSLPPPPRPPRTYSHAPTTITSPTHNLTLIRTAAE LIELDVKLRSTHPGLKIPSLPIDPAGLPLAQQKKGKEKKRKSTFLNTLSRLASPGGGK GMGMSMGIGIGKKGGNTPLVMAPAK JR316_0003495 MRVRLDEVRQKMDAEEQARDVHRNILLALLPTLSEQVLRAMDVD AVKRELQARSRVGKGNREQQVLGIGNGNTPAQAPANKRSVYPLAPYPSTPPPPQPRQT PPQQAQAQPQPHQTLHAPAHHHPHQRPHRSHSRRPHPCSCRAPRRCIPRRCTRSPVSL HSPYLSLSGTESESISISHDTQEISSSFVSDNGSVSRSWVVEGSGEGSEQGGAGERAP SPALSSVSGVSRFAFEFDG JR316_0003496 MYHRRLADKQTGKTSIGNIFIAGLGAGVTEAVAIVTPMEVVKIP LQAQQHSLADPLEAPRYRNAGHAVYTIIREEGISTLYRGVSLTPLRQATNQGANFTAY QEIKKLAHKYQPDLVELPSYQHMMIGLISGAMGPFSNAPIDTIKTRLQKAKATPGQSS FQRIFAIAADMWKMEGVRSFYKGITPACCAWHPGRRSCSRFMNA JR316_0003497 MDTFLDTEPVLGEFTEEDLEQEQDTYQKPQTDADRTDGEGYETN TAPSQSRSSSIRMVQSQSQLQQGQGQD JR316_0003498 MSTIVSNIFSTIVSFVNGIKYGFASGCEIVEWLCTYGGPAFGAI ARYINKNSEAPVVLRNRSGGKLISDMEAQHSPANDADFEELIRVRQEIKTLKKILDNR SARTRPGTSEAHEIQLDRFELERKKVLNDIAIEAQIRATYPARALYLAMCETYDVAPV RTD JR316_0003499 MADSQIVVAPANTVTPPTTPINAAPPPTVEQTPLAFKSTANAFA MEEPLLDDVYLEISEETRGHYLVGISPQNFLDSFLPWKQPTPEDAPEPDRVSLLQSVP PIEKARKEEVMYKPFVDALNGWVTGTDNQQSLVFKRFNNPDSTCGRMNVDIATYWEKD TPSTSTSFAHQQTHEEFKVSHRYDAFAHALDEGPEEEEEDTSQEDADPAAVAGPQLED DDDVGLFEVKTEDVDDKLPDLTADVEKDTQISNQTRGQIAAYAGVVLSMAFRSHFFSM LIIGKYARFIRWDRRGAIVTHRFDYTKSPTLIFSFYRRYGQLTHRERGFDLSAKPWQG AVPQNVCEAFDSYYRRSWHGGSKFNHRTGKDEPAEPASRQRFFTIAVKDRNPDPTAAH LEEIYFVPAPAFRRTCLSPFTRATRRCLAFFDAPDKKDQQMCFMKDSWQETSARSAPE ADIYRRLHSHGVENIASMRLGGDVDDLVTVTQDWFHVLSQSGKYQKMGHLVCHRLILN TVARDLSTFVWCKVLLSCLADAMEAAQQAYKAGVLHRDISAGNVMIVRNHETGEWKGI LIDWDMCLLWEEQKLIGEVRTQRTGTWAFIAAELLMLPEPGAAPVIHSLWHDMESFFW VLLYQALRYLRHNLLPEQTYRRMKALFLDPMRVGGRTYGGDEKENTLQFCSLKTQRKK VASFTISGLNDFLETAGHFLHSRYQPKNELPDCDLNNPDDKWLPNLLRSTADSMAPLN VTRTALASPTNDSQPPADRALWKNASVKDASIDFFINTWVADTKDTKAAHNRHFQSVS NSVINFLDGLRVSHSSKRARDDIDDTPDTKAKTEVRPTVKLEHVPPSTKRVRRR JR316_0003500 MSESEDSSFLDGTYLSIAQETQGHYLVGITPQKFLDTFLPWKNS IPEELPDAERVLLLKSVPTIKGNEEEDTMYRPFVEALNGWVTGTDNNQPLVFKHFNKP DKLCGHLNVDVATYWLKDVPSNSTLFSHQQTHEVFKPSCRYDAFEHALDEGPGNDEEK KDSEQADSPRVSGESEMVTDDRFTEAKAEEKLSKLNIGVEKDTKIANQTLGQIAASAG VALSMTFRNHFFSLLILGKYARFIRWDRRGAIVTHRFDYTASPALIFSFYCRYGHMTR GERGFDTHAEPWQGELPKNVCEAFDAYYQRSWHAGSHFNHRPRKKELVSPASKQQFFT LTVKDSKPGSVGNQREEVYFVPAPAYRNAFTSPFSRASKRCLAYFDSVHEKDRQMCFL KDSWQDPSTRSAPEADIYRRLHAKAVENIASMRLGGDVEGLVTVTQDWFHVLSHSGRY KKFGHLVCHRLVLNTVARDLSTFVWCKVLLSCIADAIEAAQQAYKAGVLHRDISATNV MIVRNNETGEWKGILLDWDMCLLWETQDGHHQRAGTWPFIAAELLMYREPGEAPAVQS LWHDMESFFWVLVYQALSCLPHNLSPELLSYRMKRLFFDYLCEEDGKFYGGNDKENAL SFCSLKLSRKKIATFTISGLNKFLETVGHLLNSRYEEETQLPDCDLNNPDDKWLTSLL RSTADAMAPMNITRTALASPTNDSRPPADKALWKTANISAAPADLLIVAWHSQGMPFL SKSKELQNRQSYAITSSIMNYIDGLHGSHNNKRAHEDIDESASVTKKTVIELTKKSRR P JR316_0003501 MATESFGFQAEISQLLDLIINTFYSNKEIFLRELISNGSDALDK IRYASLTDPSQLDTEKDLFIRITPDKENKILTIRDTGIGMTKADMVNNLGTIAKSGTK GFMEALSSGADISMIGQFGVGFYSAYLVAERVQVVSKHNDDEQYIWESAAGGTFTITP DTVNPPLGRGTEIRLFLKEDQLEYLEEKKIKEIVKKHSEFISYPIQLTVTKEVEKEVE DDEEEVKEGEEPKIQEVDEDEDKKKKTKKVKETETSNEELNKTKPIWTRNPSDITPDE YSSFYKSLTNDWEDHLAVKHFSVEGQLEFKAILFIPKRAPFDLFESKKKRNNIKLYVR RVFIMDDCEDLIPEYLNFVKGIVDSEDLPLNISRETLQQNKILKVIRKNLVKKSLDLI SEIAEDKDNFAKFYEAFGKNIKLGVHEDAQNRSKLAEFLRFFSTKSTEEQTSLKDYIT RMPEVQKNIYYLTGESLAATRDSPFLEVLKKKGFEVLLLVDPIDEYAITQLKEFDGKK LICVSKEGLELEETEEEKKARETEAADFAELCSTVKDALGDKVEKVVVSNRITDSPCV LVTGQFGWSSNMERIMKAQALRDSSMSSYMASKKTLELNPTNAIVKELKRKVKEDKAD KSVRDLTYLLFETALLTSGFTLDEPTSFAKRIYRMIALGLDVDDEEEAPAASTEAPAP SEPASTSAMEEID JR316_0003502 MPNPALPRLVRSTLENAPDALSLNDLLSVIDEFVLDCASAEDQE PEINQLGEDLQSIYDEVVDHSCLQQTEIFLAILYHLGPVLSSISVISWFDLVLRPALR EPKLPTQAVSHAKELIISALQKANEIYADKVRDFRRRLLELYLLDAFNESSGDDVLEW AGLDEEERIKRTHWKHNLEDILVKFGNERPEDLLNEVDQHFATRSSRLQLLTLLNVFS CAPNFATAAEILPKLPLMQSLLLSLFLDNSSTACTLGVTLIVKLLPFFAVHAREDLKS MLPKLLAILARIMCWKQRRASKDRVPTGDEVDVDFEKELEQETNPVLTISPEITWDRL EMVFNVATPMPPSSRPYFTILYYLYPSNVLKFLRDPAQYLTDSNTPSPYLESWKDALD QDEIRRRSENLVREHNCHPLLIWRDAIVELEQREFWMKYDISRIVSEAAMLDIRNLAV GLRARYISGRPSPSLNPPESSSTTSDPRPPPESHSIKPIDLSSGKAVISLQDMIDTTI ALKSKLDLEVIQPTSQWPHSLFSAIGVSSPEPNDLPPMATLEQDPNSLHVAQAISGLQ REVLLLRNDLNFELWLSRENAKHIARLYQDRILMKTAETERQGLYNKLRKYRTQVTRL EKELRDHKMQASNAKNKYADWNAELQKKLKELRDEKKSWFLEAATLRTAEKEARALFD AQGKLLAEASKQVFDLSTQKKENQHKIDRLHDYERQIEQHIKVQSMWDEDFAKFKEQE NDISVMRSQYKQMTLRLESMEAAQVELEELARGYRRQIQTLEAQLAQMRRKVTTNSRT PFVEGMAALQLEKVALSETNTKLREENQVLKDEVEELQAMVQLLKGQHSRQSLISEPR ASPILSPRPFH JR316_0003503 MSAHIEEVASDHSDHEGHDHHDHDHDHDHEEDPTSAAALDKIQS RSERKARKALLGLGLKKVPGITRVTLRRPKNVLFVLASPEVYKSPNSDCYIVFGEAKI EDMNSQAQMSAAQQLSSSGAGAAPTLEESGAGGDDDDDDIPDLEAPEDDGPVDETGVD PKDIDLVMQQVNCSRAKAVRVLKESGGDLINAIMAASE JR316_0003504 MLAFVTVGSTRFDSLISAIFTKTVLLSFRTKGYTNLVVQCGNSA FEFSTAIQDNQTHRMERAGVDIEFYKFKPSLQEDYEKADLVVSHAGSGTILDVRRMGK PMIVVPNPTLLDNHQEELALALQEMGYLKSTSVENLAKTINDFDPSDVKPFPAFDGSR FARIMDEEMVQVFVSSLHHLNMTLRALEAAKVEGDPQTYEDQNVHAIYNEIASHFSST RYKPWPIIAAFLQSLTTGSIGLDSGTGNGKYLPLPLDRPGDIWTIGLDRSKNLLGIAR TAGNANIFREVVLGNVLDNPWRKGIFDYAISIATIHHLATHERRRMAVQCLIQSTSPV HGRLLIYVWAIEQDELSKRKVVADEEILSSSGKDVIVPWVLSNNPQTQTSPQVFNRYY HMFAKGELSGLVLEAAAELGLQVGQKPPQGEKDTQGIEIVQDGWERSNYYVELQRWQI JR316_0003505 MESIPSEQHAWVVTRQGFPIKALAFKTDWPVPKKLEDNEVLVKI QAAALNPGGWKLMVAVPNFLIKRPHVAELDFAGVVVKENSTQFTDGEAVFGWIPADLQ WKTQQGALAQYARVPSSALVKRPPNITPTQAAGITVAALTSYQALFHIAKLEADQTVF INGGSSSLGAYAIQFAKAKGAKVIATASEKNEDLVRELGADEFIDYTKKPLYAQLLEN PPTTKYHVIYDAVGVIDPSLFTYSEKYLAPNGIFISSGPMPTKISIGEAWKLVKTLLA MFTPAVLGGINRRYSVIFTKNNPDDLNAIQKLVADGKVKPVVDSVYELHDALAAYDKI MTSRARGKVIVKIDPGVN JR316_0003506 MEVLLDFSRDFDVGLMDKVVMAFYTGAGPEQQLAQQILTQFQDN AESWTRYIGLQILEKLIMTKWKTLPEGQRQGIRNFIVGITVKVASDEVSFRKEKTYIN KLNLALVQILKQEWPHNWPTFITELVESSKTNLPLCENNMVILKLLSEEIFDFSAEQM TQTKIKNLKNQMCGEFSEIFKLCSEVLEEAQKVSLIKATLETLLRFLNWIPLGYIFET TIIDLLLNRFLEAPEFRNITLKCLAEIAALNVGAEYDPKFVILFAMVMTAVNRMIPPS TNIAQAYQNAGDSGQELVLNLALFLANFLSNHLRVVESEQNRDVLLNAHLYMVKVSQV DEREIFKICLEYWNKLVAELYDEIQSLPIGDSGLLMGLSLGGTNGAQNMLNGMSLRKN IYSDVLSNLRLVVIERMVKPEEVLIVENDEGEIVREFMKESDTIVLYKQMRELLVYLT HLDVTDTETILTEKLAKQVDGSEWSWNNLNTLCWAIGSISGAMNEETEKRFLVTVIKD LLGLCEIKRGKDNKAVVASDIMYIVGQYPRFLKAHWKFLKTVVNKLFEFMHETHEGVQ DMACDTFIKIAQKCRRHFVMQQSGEQEPFVDEILRLLHRITVDLSPQQVHTFYEAVGY MISAQPNKPQQEKLIAKLMDLPNNAWDSLMAQAAQSMDVLASNDNIKILANVLKTNVS ACTSIGSFYLPQLGRIFLDMLGLYKAVSGIVSETVQKEGVIATKTPKVRQLRTVKKEI LKLMETYIKKAEDLDAVNNNFMPPLLDAILGDYSRNVPASRDAEVLNVMATIIQRLGP LLTPQVPAILEAVFEPTLNMINQDFSEYPEHRVGFFRLLRVINLNCFPALLTIPPNNF KLFMDSIIWAIKHTMRDIADIGLNLCLEVVNNFATAADPAVTNAFFQQYFLSIVQDIF FVLTDTDHKSGFKLQSVLLARMYQLIEMNVIQTPLFDPSQVSDPGVTNTTFLREYTAN LLKTAFPHVQNSQVTVFVNALVENHNDINRFKLALRDFLIQLKEFSSGDNTELFLEEK EMETQQKAEAERQAAMRVPGMLKPSQLDDNDTDI JR316_0003507 MFKKFSAATDIAGHTPVKSSVQRQIRAAVLSQWKIEPETLEAIW PKKEGLVHVKCREHVSVYTVNSEPLFFQHFDGPFYPTLRLLHKYPYILPKVQVDRGAI RFLLAGAHMMCPGMTSKGGRLPPAEEQIPAENMVAIHAEGKEHAVGVGITKLSTEDMK KINKGVGVETATYLGDDLWSLTTL JR316_0003508 MNAHSFAYSDFIPVKSRKNRNRKGKSSPPLSTLLAALQEQLRQE EWFAQCSRILDSSWSGFSAQKPAVLCLGLGSPSSSLVARVQLAFLTETCKRLDIAADS VSLYDPIFTTEDTALFEELQMNVLSKNRDTESYSLSVPTICFMPHCDIELYDTLLRAN WNKEGLSNLFLLGNQLQEYLDNKPASVLETSVPFLLRAAPALDSQPFPIASAWPTAFN NMSAQCVSQSRPGIVDLLLAAPASAAAAGASSKPEKDNSSEETLEGESCRGILESARA SSPRPT JR316_0003509 MSSDSSALSLLTRSKLHLSTSGRDSCSLHRWVLLKNSIVSSPAL TTSTLLATQSDIHSAYPTDDSEDDESEEVLGSMETDAFMFPDAGQLVPSSASSGIRGA EAQWLDSLLEELGDDEDDDEFAVDSDTHSATITVEDDEFPLYSPLGSPMSSSDDLPNQ PAYYPPSISVPYPVPYPPYQSSLIHAYHFDSHFDPLIPSVSAPYEDPLPYYDTHDIED LPVPDAIEDTSDDESDAPTTPSIGMSSSSLSLVDAASIPLPPERSRLRYSSPRVYVDS NDSYFHPFEFDPLPFPDEHVHTSYNHYQEC JR316_0003510 MQSESTTSFYPHLRQRFQSLVWSCLDADLTKSAVFHAERYFSMD RTNHEARHLYATSLLREGQTYSALHLVNGAHDELCTGCLEIKASCSMKLGRHRQAREA LECTLRDTNYVSSASSSSRIAHSFPEEAVLRCRSGTTAFKGNLPEKASRSFQDALALN PYLWEAFEGLCALGTIPDIDELFPPRPPPVKRGPPEDIPPKSAPVATGAGFFTPDTGN GGNLFRQWKPDISQPQPFRMAPPPEPSHTFYPFSPEDNSYLVSRPQPTSNSLAQAVSR PLSSADEAGPVQKRMRSTTQQPEGLKAKASKSSLDDPLKKARARPALSFANIFSSSGR RSQPTTSSRTNAAPGKSNAQPATGHIATRRSTRLQTGTGPKQLHSKQSSTRDRRRPTT HSRTQSNTESEKDEGLTVGGEVAYSPSPPAALSPRSEASPSPSNWTSAQEQLAQEEYE HEQAEHYIYELVRSFARSTRALALYDCQKCLDELEQLPHVHQNSCTVLAMVGRAQYER QDFSSAERAFKTLRREDPYRLWDLEVYSSLLWHLQRSVELSFLAQELLNINPQSPQAW ITIGNLFSLQKERQQALTSFRRAIQLDPTCAYAYTLSGHESIDEDLNKAINFFQSALR ADARHYNAWYGLGTCYLRMSKVRLAEYHFRKASEIHPKNAVLLGCVGMAVERRGDRET ALSLFNEAIRIAPDNALVRYRRAKIYVSMRKYTAAVEDLELLRSTTPDESNVVFQLAK AYRLLGNVVKSAQTLAAARDISPKSISKIKKLLETVKDEGDEQMDEG JR316_0003511 MSMYQRDPRAGGFLGGDRVSGQDIRDQNVIAAQSIANIVKSSLG PLGLDKMLVDNIGEVTISNDGATILSLLAVEHPAGKIFVDLAQKQDKEVGDGTTSVVI VAAELLRRANELVKAKIHPTTIITGYRLACKEAVKFMQEQLSISVDSLGRDALINVAK TSMSSKIIGNDDDLFAPMAVDAMQAVKSINLRGDIKYPVKAVNVLKAHGRSARESIFV KGYALNCTVASQAMKKRITNAKIACLDINLQKARMQLGVQILVDDPEQLEEIRKRESE ITLERIRKILATGANVVLTTKGIDDLCLKEFVEAGAMAVRRCRKEDLRRIAKATGGQL ISSLANLEGEETFEASYLGTADEVVQERISDDELILIKGTKVVNSASIILRGANDYML DEMERSLHDTLSIIKRTLESGSVVPGGGAVESALSIYLENFATTLGSREQLAIAEFAS ALLSIPKTLAVNAAQDSTELVAKLRSYHNAAQNAPAGDPKKFLLRYGLDLLNGKVRDN VAAGILEPTMSKVKSLKSAYEAAVSLLRIDDAIQCVPEQKGDPDPHGH JR316_0003512 MTTSAMSTPFLDRLIESSTRRSYKRQKRSHSPPQKSSMAFLASP SGQFLSAPLAPSRKKSQRFLATNNEIDEFLSSDLEVSFASNVSLNSPPREHQSLAASD CEPMDISPAPAKHSSRLSASGHRPRAFTSGARLFGNDLSNSNSQLLSSPQLAIGQATK SSSGTQGTKKTQRSALPFEWLATSRVPEPPTPEGFRQPSSPMDDAMDVDTSYIADSAI EPADFDPVPESAAPTITDFNQLFHDTMSPRRSFESPAGPELRKRRSFSPESARAPKYQ SSSPIPPSSPSESKLERMAAGAAASRLGKPGLQGLGAPSASFLRRPRRPVLSAMVQPY DQHAQSAYPTLESPPSISRDSEEDPSPRGSAPVRRAFSAFLPPSVYTELEEDETSFEG QDMSSPAQAYSKRQQVKTIRRCDGTEDFRPLTGVTALVQNESPSAKFMAAGLPGFGDN EAHGKLLPCHRVTEDGLMRITCDTLNDLLDGKYDEDIIAYHIIDCRFDYEYNGGHIPG AVNINTTAAVEELLLGPSLTKPKASVSGDKARKTILVFHCEFSAKRGPTFAKHLRAKD RAMNNHVYPKIHYPEVYILEGGYCQYFKDSAHRCEPCGYVTMDDPNHATSRREDLDQF RKAKFGRHKSYAYGDANGKSLSFGQQQQQQPKRNTAPSAPPSLFAAATAARSRRGGNG TGSGLMTLAEDGNVTADADDTDTDLGDSPCPPPIKATTLKAKKGVRTSIVRSETYGPI RMPY JR316_0003513 MAAHVQDVFMLFGDSITQGAWEPGLNGFGQRLSHVYARKLDVLN RGLSGYNTEWGIPVFEQCIAKRSDENAPKLRVLAIWFGANDACILPSPQHVPLTKFSS NLNKMVDMVKSPESPRYSPDTRIILISPPPVNTLARRADLAARNPPLALDREFEITRA YSEAVREVANAQNVPFVDVWNAIWTAAGENEESLSKFLGDGLHLNEDGYKIVYNELMK TITEQCPEVHYDNLAYVFPPWAEIDLSEPAKSLYIM JR316_0003514 MSSDTRIIPLRVVNKTAYIWDVDDIAIVRSKHRICGILVGTLPH LSQQNVFLGVPLVLMPEEAALLVNIGAAVFVDDPNAHRNPTIPQLEAWSAEQRDLARS QIELTETKTAKESANPTRAMSEDALRKRREREERKKAKAAEVAAQQAAAASGSGETEL NPSLLLGAPLMSKPETIHTPPTDPLTPSSSELSKSASTPYTIVIPASAASQPWYNASS CTYKTIESARAAGVWDFPATLSDRARSGVFHDLWKQGYFMGGGIKFGGEYLVYPGDPL RYHSHFSASVVESPIASLRPMEIVAHGRLGTATKKAHLLCGWDDEKQEVSYLSIEWAG FG JR316_0003515 MPPATSFKADNDIIRHPSPFILNVIGGRPIVRHKGAAKSQTYRD PNEIAEDGTVQMEMKSFTNSPSFSSSSSTSTTKPPKGIRPTSGRVKLGSRPKLD JR316_0003516 MDICFQLAKIGADINCGDQPLHDCGTNCRDSEIRANVYKSLLLN SLSLISIYVFDLLLQPLVHDQQKWFHRNIGWFYQLLWLLPVVGISLYLNGTWCTIIAK RTYLLQHGGRPVATQPTSYTGMLKAVATSAYRVVMVCTSVIVSFALQRIPLVGPVAGF IFLCWVDA JR316_0003517 MSTASKKASGTAKPTVKKSSVAKPAASHPTWVDMIKECIAANTE DARIGVSRPQIKKYVETKYKLEIGAAQVNQLSKAITSGAEKGVFFLPKGLSGRVKLAP KSKPAADSSAAKENKPATKPKAAASKPKAAKASATTAKPKASKPSTTKTAAPKKAAPA KPKATTAVKKTPAPKKVLAGKPKAKTASTTKKTMAASKKAPAKKARCYWQNYHHQG JR316_0003518 MSIAPALKAATRSAYRDVLRAASVTFSGDQQVLQAFRLKIRNDI SQNSATDPEAYQKHNQFIKDVAQVLRKNVVQGVHIKEPGEHDGGSLYRIRMTKDTELG DNDSIKNPPPIESSRSARRKEKGQPHKHLLDAVPTDTDLPSSSNSPPRYFSALKKAHK ERVIPELLEEDLEEQFVRGSGPLDQLANPGLSKEAMKAAKQRERERQRRKKAKKKALQ KNAQNSKDDAD JR316_0003519 MANVNSSGLHSLATIIKRLEAAASRFEDIALAIDPSTGSNRIEP VQQSKSPAQETSTPHVPSAPPPPPPPAPAVAAPAVQETPKSVLSFHEKVVDAKVKPFL DFTKNFAGPNVVEISALVQKQYEILGDFLELVSSCTKPEQKTLENILGPFLKSIEAIS RAKEANRRDRDWFSHLTILAEGAPIIGWVINPKPAQFVVDIKDTVVYYGNRVKQEYKD KNPKHVEWVNLYVAIFDAMQAYIKEYHAAGLVWNSKGIPVQEYKAQGSAEAGGAPPPP PPPPPPPAPVPAPAPATAPATGAAAVFAELNRGEEVTKGLRKVDKSEMTHKNPALRAS SVVPASTSSSAVSKKPLKPSKPQALAGKKPSKLALEGNKWLVEYYENETLTIDQTEIS QSVNVYGCKNTIVIIKGKVNAVNILKGSKTSVLVDSVVASISITDSPSFQLQITGTAP MIQLDSTDSGQIYLSKHSLNAEITTAKCSAINVSLPVAGEEEGIFEEQPVPEMFKTVI KDGKLVTTIVEHAG JR316_0003520 MIDVQDATKLIRDMLPIIDPDEDYLTIVAAEEQISASEARRKKE FEEALTNLKALSKVLEAARISSTRPGSVPSEQAHATTLNELDGTKISLAKAISDAETA LGSKEAELSALKEDARKLEVYDPAAEHEKELDGSTLRLAIYKAIGFEPILDKNGNIKK MLVTARSGDVHSVDFNTEKSDLECTELLWKLVNS JR316_0003521 MSGPETPKANKQPSPSTEKKQDKQPIAELKNGKVIFRQKPVSFE KQSETLQDIVKFRSMLEDKINAKESFSVFPEEFKPLIAKLAHESDKTLNALAKYVHQE LLPAAHDAVAPATSAVLPVHLIECAIQEIMTRNNYGIDVPLGVKPPAAICVWRWEVKP DHISWLPKNSIGKAELRQAERIQAKEELKAIFETYSQKERDAIIDPKGAGKVQAKDSS ISTVDGKLDVTKITPQSSKKQGKKREEEPESETPTTKQPRPKKEKVQDPEKIAKEKEK LEKKAAKAEKEKKEKDAHSKSQSIMAKFFVKPKSPSRVTKSQYESAVAGPSRIQSDFE KVFKPFVLQKDKVMAPANWFLDEKRRKRKAALPTGQEVIVIDSDEDMKDEEQGSSSTE TNLEGASNQEHLNSILASLPPGATPTRVLHRRFDALKAGFRVYNPVTVRDLMAELSEA EVSGNDDLVRSLLAKLNDRELLPAKAFCFHTDARPGYFGTWTRSSRIIGPRTPFAKDT LVFDYAYDSGEEWEEEPVGEDVVDDGDEEDVDGDEQDSDLDSWLVDDDEDPNLALLHD SSPPPILDFPTSAPSKRKADEGERKIGKRRKVVVPLVPFAKGPVLETVIGQCDYEPFR PYAIQLFNDTPLSIDPFTFVSTCIEDYRSRNAINNAVPTESAFAIPALPPRLTSITNT SIGNSGTDLSTNTPTIAPLVAKKVQPTTKFTFPEVYMPLLMAKFTQIQASSITALVES IYLDLKEHKVKKTAIEAKVREVGEKCKEKKVWIIKPALLVNHSLQIWHLTGCSFTSNQ QQQTES JR316_0003522 MASSFFAAKATSSSKLTSKQRVEPALQPWVEKYRPKTIDDISAQ EHTVAVLRKTLTSTNLPHMLFYGPPGTGKTSTILALARQLFGPDNFRNRVLELNASDE RGINIVRDKIKNFARQTPRAETVASDGKVYPCPPYKIIILDEADSMTQDAQGALRRIM ETYARITRFCLVCNYVTRIIEPLASRCSKFRFTPLDSDSAALRLSHIAQAEEIDVDKA VIDELISTSAGDLRRAITYLQSASRLSSSSTPATKIKPVDIQEIAGVVPTAVIEDFAR ILGIEVQNDMVVDDSPPRVDGRGGFEPIKKYVKFLMREGYSATQIISQVHDLVTFHPT LNGRKKSKCALVFAEADKALCDGADEELWVMEVGLRVNKAVLS JR316_0003523 MAEDLFDGAIGIDLGTTYSCVGVWQNDRVEIIANDQGNRTTPSY VAFSAEERLIGDAAKNQAAMNPRNTVFDAKRLIGRRFDDPDVKKDMSHWPFEVVEKEG SPLIKVNYLNEDKTFSPQEISSMVLMKMKEISEAKLGKTVKKAVVTVPAYFNDSQRLA TKDAGAIAGLDVLRIINEPTAAAIAYGLDRQSKTEKNVLIFDLGGGTFDVSLLNISGG IFAVKATAGDTHLGGEDFDNTLLEHFKNEFKRKTKLDITEDARALRRLRSACERAKRT LSSVTQTTIEVDSLFQGEDFSANITRARFEEINAAMFKSTLDPVEKVLKDAKMPREKV DDIVLVGGSTRIPKIQALVSEYFGGRQLNKSINPDEAVAYGAAVQAAVLTGQTSDQTK DLVLLDVAPLSLGVAMQGDVFGVVVPRNTPIPTNKSRTFTTVEDNQTTVTFPVYEGER TQCRDNRLLGEFELTGIPPMPRGQAELVTTFEVDANGLLKVSAMDRASGRKASISITN SVGRLSNAEIDQMIKDAEQFKAADKEFSARHEAKSDLEAYVHQVESTITSPEIGMKLK RGAKAQVEAELARALEKLEIEDSTADELRKAQLGIKRALQKATAGIR JR316_0003524 MSQPALRPWLAAARCSSRKCSLGANIIARHQSTHREALLETPED WANFRKTREERKLQFSFFEPYGSTTTSTNTFKYRDGLVKPPRDATVSALLAAGAHFGH AASRMNPNFVPYAYGTRAGITIIDLDHTLPMLRQAASLVRAIARAGGLVVFIGTRPDL RPIVTKAAERLGRQGFYVGERWLPGTLSNRRQFFGDHVVDKERMLPDLAIILNPLQNT IAINEFAAENIPTIGIIDTNVDPRLVMYPIPANDENTRTAELIGGVLSIAGREGVALF ERDNVATLKQQGKKQRQRISSSMSNE JR316_0003525 MDPLTLPALPTLATVENLIFNEKRGNCVPVYVELPADLITPCMA YLRIAKDSKYSFLLESVIGGENVARYSFIGADPLKVIKTGPGEEITGDPMTALQRELA LHQYVKIPEVPTFTGGAIGYVSYDCIQHFEPKTKTELKDVLGIPEAVFMLVDTLLIYD HIFQTLKVVSHVFIPKSFGTGNLAFTYQTAVSKARRLAKLLLSTATPEPPQPPITLGN EGVSNVGKDGYEGFVTSLKKHIVAGDIIQAVPSQRLSRPTSLHPFNAYRHLRQINPSP YMFYLDCGDLQIVGASPETLCKVEKNVVYNHAIAGTIKRGKTPEEDEKLGAVLLASEK DRAEHIMLVDLARNDVNRVCQPKTVKVDHLMKVEKFSHVIHLTSQVSGTLRDGLTRFD AFRSIFPAGTVSGAPKIKAIEIISSLEQERRGVYAGAVGRFDFAEDEMDTCIAIRTMT FKDGIAYLQAGGGIVFDSVEEDEYIETINKLGGNVRALEEAEEYWYKVQQNQGTKKAN PRNV JR316_0003526 MITPRFSCGQTDEAVIVSLYCPSIRAADVEINVDETLVTIHVNP YFLRLNFSKPVLEDDSSSAQYDPSTGNLTVTLTKENKGEHFDDLDLLAKLLAPRKTVS EPPSIEVISSESLSEDELTSKTESLSIENDEFIKASENDWQLPQEIPSPLPPLNTSAQ VRYGFLSIHSGYLKYASHTENEVNELGDDAEICTKAERRVKRVKHEDDKWDEEHYMAD FVDDEYIQELIHWPHPYITSHDPIVYTEKENLAMLRLPRKEYLATENQTHDLYLTLVT LLFSYAYDSRTSQLDPTPESAWTICSLTPAFTALDPPHSFRYKGGPHVFPADELEEVL VPSYRRSLAFPLYRSFALAERCRQDVASFLAKGKRMVIRCLLEMKDILDHHEVYYIYS KIWLDDFCVWIQADASDETLDLLGKALMEVKVRKVAIGWGLPDFEQAAKEALQGERES DSDDQTTDSDA JR316_0003527 MPSSLYVPFLLVGMLLTGSSNSIWSKYQDMQCVENCSDPNPANH VLYEQPVWQTLQMFIGEMLCFLPVIYTWLNTRRQSSVQLEDDSDHDPLNKLASQPLQG WKVLLLWIPAACDLTGTTLMNVGLLYTPVSIYQMTRGALVLFVGVFSVMFLRRRLWLY QWVSLIIVMAGVGLVGWSGSLIKDAVKESIVHNLARALNLYHDPHTKAIEDPQVTSVL VGIFFVLFAQVFTATQFVVEEKIMSRYSVSPLVAVGFEGLFGTISVLMFVPIMAIPSI SAISPFFDLPRGWYQMIYTPTVLYSGLAICLSISLFNFFGLSVTRHVSATARSLTDTC RTLVIWIISLGLGWEKLVFPTSLLQVLGFSLLVYGTFLFNDLVAPPPYLPVPRHEPAL SRVSDEEEREGLLTGPLDETAALPADLGQSGFDVVPPGQHALAQGVHVTKTSTTHSD JR316_0003528 MVQLILSSAKGNQGLKYFPYVGYLGLTPIRVEGIVRTRLDADLK PLSASSLTVSVRCYESRVGRINTLQSNVLVDYTQILWSKSDALEHEPISNLEFPFRIS IPAKVAGFSTAQFVDYRCVWRVEAVLNHVPIAGVGSRQIRHFDLPLIRYDLPPFSSIT PSPEPMLGQEINKPRTPRLRYSIHPPKSPIGPLDLVSIPIHLHPLDHAVSIRSASVIV ERRITLLDVPQQSASTTPIVPSLQQSHSSSSLSKALSPSPHLPSSSFPSASSSSSSSS SSSNPAYSHSYQDSEPVASTSNLSISSSNPTITPGTVYPSSASITSETPLFYNSINQH PSPLTQGSSSKAVVNPIAGAESSGHFSHDGRGTWTKTLTLQWPAAKSHSRWAIGETIS SEIVSVKYFIRTKIVVTSPSGTETIELADQELLVVSTNDAERQLALAKYNELQDAMVS DGYSRSKSKSPRRSRPERDDSAIPPSPAPNSSLTTTATPYNNRTKSSNRRPHTSAGPR DKPVNFAGGAYGRPPRGQEGEATFGQASLGNGVGTGSGSGLGSTSTKRRSDVVVMRTT QRPDSKRGFLSGNGNGKLSSTSFWSTVHSNGVAPRVKAAPSGSSTSTTASSSSVSSSS HGGDSETSEHMREWEEELAKIEVKSRRSSDLLGFSGKRKRPGVARVIVPN JR316_0003529 MGNSSSSGRGHHDETVDYGCLVPQGVYTGPRDWNQAIVSQLIVA RRLAPFYRPLEDYEEDWDDDQILAARKELPDPDNADVVTRIEATNAPSNPHKSKRPGA LKEPAKPEAQIYRGAVECPICFLYYPPNINHSRCCDQAICTECFVQIKRAEPTTTHLV SEPAACPYCVQENFGVVYTPPPWRTGLGSDGSVRLIYPSTPAFHMNLTSWFNQSSPWS DSQRASSEPTTVPTHKRRQKSFSADSPEVVTTDQIRPDWEAKLEAVRAAVARRANRRI IMRQVGDRLIPVGVTSGRVHALSPEEAAALGNETAGSRRSRRRQGPQNGQLEQFMGMA GQDLEELMLMEAMRLSLLDHEEHQRKEAEEKKKKAAEEAAGDNGGGNASNELENAAGS QATSGPGPSTLEATSTLRPSNSPNVSSPVSSLSRSGSPSPPKQATLSSQESQQPGRRS WSISRSRTPPPNPVPNLPLSEDNQAAWRDRSSGAPPFSTLNAALTSTSTAAAFLGPSD EQRKSRSITPEPTHAPTPSSSIPSHPTPAQASLPTTTNDIHSLPLPITVEDATPTVAI VDPSPDPIEVNSSTPSVPPAEPHAPAINAEAPQSSSPISSITTVESETGAGTGVSSSN GFLPSSPGSEMSHEQLSHSATTIEDPSKNGQTAAVSTVVEELSSIPIVRPQFTAFYDV APLLPFAGTFLAKRYDLRRPS JR316_0003530 MEAPWTKSPKEILDHYGVDPIRGLTTAQAAKHAEMYGKNELPED PPTPLWELILEQFKDQLVLILLASAVVSFVLALLEDSGDSAWWSAFVEPLVILLILVA NAAVGVIQESSAEKAIDALKEYSPDEAKVIRSSQISRIHASELVPGDIISVSVGDKIP ADCRLLSVSSSSFRIDQAILTGESTSVHKSIDVVPDEKAVKQDMTNILFSGTSVVNGS AKAIVVFTGEKTAIGDIHKSITSQISEKTPLKRKLDDFGDMLAKVISVICILVWLVNI RHFWDPSHHGALKGAIYYFKIAVALAVAAIPEGLAAVITACLALGTKKMAQKNAIVRN LPSVETLGCTNVICSDKTGTLTTNQMSVSNFLVVDGNTSAPREYTVEGTTFAPFGSVY NASGKDASAELKSDPIQRLAEISSLCNDSKIVYHPEKNTYSNVGEPTEAALRVLAEKI GCRDAEVSKSLKDLSLSDRANAVNEYFERTRPRLMTFEFSRDRKMMSVLVRLNGTGSL FVKGAPESVLERCTSVLVDGKTIPLTAGLKASLLDRTVAYGSNGLRTLALAYRDVVDI DSSHYLSESSKDYARFEQNLTFVSLVGMLDPPRPEVRDAVANCRAAGIRVICITGDNK GTAETICRQIGIFGEDEDLTGKSYTGRELDALSHAEKVKAVQRASLFSRTEPGHKSQL VDILQGLGLVVAMTGDGVNDAPALKKADIGVAMGSGTDVAKLAADMVLADSNFATIEK AVEEGRLIYNNTKQFIRYLISSNIGEVVSIFLTVLLGMPEALIPVQLLWVNLVTDSLP ATALGFNPPDHSIMRLPPRDSREPLVGKWLFFRYMVVGIYVGCATVFGYAWWFLFYTG GPQISFYQLTHFHQCSTQFPQIGCDMFTNEMSHTATTMSLSILVTIEMFNAMNSLSEN ESLLRLPIWKNKYLVAAITLSMALHFMILYVPFFTALFAITPLNWDEWKAVLLISFPV LVIDELLKFISTTIVEPPTKIKLD JR316_0003532 MSASPPKRICQVIKLKPEAEDEYIAIHKTVWPEVLAALERAHVI DYSIHYYKPLQLLIANFKYTGNDFESDMNKIAEDEDTKRWWKVTDGMQESFEEGATGS GKEIPWWTNIPEVFRFEGNN JR316_0003533 MASSDSLPSAYYLNDTMQDSQESREEPWASTSTLVNPDPPVNYI FDRNSVISATLYTRSGPAYRIITNSEVNRTELCDLTDHNIVATIKRRDFLPNLVVFAH RNGKSMKINKWLKRRRVAQGSQMTTVNDLVTPSGHFTWRSDDRHRLALYLENQTDFPI AYSKKLQDPPTLALCLRSCSDNDRIEIITSFIILEHRLRMKEKTLQGNTLYGVGSQFI MMR JR316_0003534 MFSSRVINVIVLLTLLVVLSDARPVRRRTCRAPSSAAQLSATPS PSATVSPSQVVSESSASQAASTSPIVIPSSSSVPLSSKAPTSTSSVPATSPTGVLGGM LNRLFPVSGFSKSWTTSPLSGQALALSDAAFRPTNVLTSVPHTYVNAPDGKRAMKAHY PKGSYTFGFNPQGGFSFYAPGHESVDLTTAKEATFGYSVYFPAGFNFQLGGKLPGLYG GNSAEESINCSGGSRSSACFSARLMWRSEGRGEFYTYLPPYTEPQFAANKAVCNVAPQ SDCNPVYGASVGRGSFEFATGAWTTISERVRLNDVGQANGELQLFVNGKSVINVGGLI LRDSAAGRIRGMQMQTFFGGSKIEFASPKDQDVFFSDFSVAITKAL JR316_0003535 MSHHHHDHGHQHPHIHGSDSKDYATANKEHYADAASSQENPKWI ELAKRSAQAILARYPFDEESTSVMDFACNRGLLSREIAGYTKLLIGVDISQTPVDLFN EHVSNQGIPPDEMRAVCVELKGEEGELDGLKFDVITSIDDITKTLAFFLKPKGVLFVV DVTPKESDGISASDNNLFPEKFHHVVAHQHGLSESAIRTAFDGAGLLSFSFEPISSVK VHEREATLFIAKGVKPEV JR316_0003536 MESAVPIASQTNSSDYYDDDSQFLEALNTTVLPGDVPICSPLRD QSLVPSQTCDTLPPTQPSTKRRRDDFQSPEEKDGVQIPSEDEVYGPAHFGDFGEYMRR KRAKLQIQNSDLAQSRESGIFKGLSIYVNGWTRPSVQHLRQMIVQHGGVFQAYLTKKS VVTHIITCSLTPAKIREFKHMKVVRPEWLTESIKNGKLLSWKDFKYVHQERIEPTQGA KSNQESLLQATKSAPSTIPPVDPLSNTTPLAFKHPVSAELNAEEQHSAHDSIPGSDPC NKGDQPRLPSYAADESNPNAKRAMANPEWRKAHTSVSTDFIDGYYKNSRLHHLSTWKS ELKNLVQEAQERAESGSSSERVGKIASEQHEGFSGGLSMKGSEFVMRSPKKWKGKERA TGDEDRVIMHCDFDCFFVSAGLVSRPELKGKPVVVCHSQGSQGGASSTSEIASASYEA RGFGIKNGMSLQQARQLCPDIITIPYEFDRYKEYSLKFYTVLMAHADDLQAVSVDEAL IDVTTAVNQLRVQSGAHNPAKDFAEIIRAQVHYATKCEISIGISHNILLARLATRRAK PAGSYHLLPENIPEIMAPLQISDLHGFGWSTKRKAQEKLGVITLGELAKKSKGVLIEA LGKATGETLYNAIRGVDDKKLESDKPRKSVSCEINYGIRFENNEQAESFIRQMATEVK KRLDAINMVGRSITLKIMKRDPTAPVEPPKFLGHGACDLFNKQMPLIDPGGKATSDAE IIGNHACKLLKSFHFDPKELRGIGIQVQKLESCTAAIMVPQGQALLPFKSKTSSSTDA SNTAGYNKQTPERSSDAGFALANPTTHTHADFDLPSFSQVDMSVFEALPRDVREELET EYKRRSASPFTSAGPIGDPMKSLAPQQVQVTKRRSVTPSVFPQKPEGRGSNYKRIAQQ LAPRTGASVSPQKSSIYTWAKKLQEKKKPTGVRITNVALRDLNLDPDVFFMLPLKVQR EQLVRARLIKQKGAIPEPPPKKKILKPRKHELPPDFVPYRAPKPKANHPPPPFLRQQG PNKGEKLYFTETDDIQAVVEKWVMAYRHWAPRNKDVEFLSKFLVQSVDRSKATDVGVE RAVAVMKWWMVLLRRFFPTSEYLDEDDLACSQRDTVGEAWWDALYGVQDEMDNVTAKR FGGRLSYK JR316_0003537 MAVNPNEDTEFNDALRKYGIIGPRESTPPSPSPPPSPTLDDVLD EFTAKELQELSEDVNNEETERLIAVYRQKRIDEERRDRLRARFGEVYPIGREDYTREV TDASKVDEEDDNEEKGTGVICFLYKDGIPRSDRAFQHVRGLARKYPRSKFVSIVGDKC IPNLPDSRVPMLIVYRKGEIRQQIVAWGADRERRQEELEAILLVTGALDIPDRVEPTG GKDEEEDEDFEEDDGDPSSRMRSAATSTNARAPRNIRSQKKKDDSDSDFEFDM JR316_0003538 MSADDLIKESLLPRRYQEEIFSRAQQGNVIAAMDTGSGKTLVSL LLIKWISSQEKSIGKLIAFLVPKVALVEQQYAFILNNSSLRVAKFHGALDLDLSDRIG WKERLEGCDVVVMTAQVFLNILTHSLWALDKVSLMIFDECHHARKNHPYNGVLREYFH SPTHLRPKIFGMTASPIWNVKDPRGSLASLEKNLDAKVTAVRDHVQELVAHSPKATEL VRVYSRPPAEYDFPSPTLFQCLKVIDIQLWNQLDIPWANIENRYLVTLNDLGPYCASL FLYQEIHYHACRVIVENKQSIVAKLDDVMAMEGILPVPPSCSTPFPDDFSLLADILYE YTDIFPTGTSGLPLSTHVPLAWCTPKVKLLVDILCAFLTGQGTNSDGISKQTDRTHGD PVKRFRDRQINILVATSVAEEGLDFKECDLVVRFDPLQHMVGYVQSRGRARKDGSTYV VMIQENDTAQLEKYHALKQKEPEVNRVYQTRHMDIDDDESGSDDETDPVDMMARERYV VPSTGAVLNYDNSLNLLNYLCSLIPCDAFTTAHVPKYGGDFQATVQLPRALPLSPEDL FFSGPIRRSKREARRAVAFKAVKRLKELDVFDEYLLPMAKHSEDGESIGKTHRPQKTK RPEIPVIMNVLIRDPWCIGNKLWIHPIVIGERLVAGLVTGTPLHPEEITIAGQPVKTL PAKLLTFEKDDEYDQRAVMHEFTKLGIWYTITSRPLTSSLSLYLVPVTAALLPDFHAM ELLLSNPHGISDWSQVSEEDYEKLVVISVNHWGSIHLLRRIRHDLSPMSVPTPGSREA AGATYYEYWMAKWARKKRPALVPCDGPLLEALRRPRSNMGAYSMDHTSANTVMVSVPD GRLLPLRKCNWLPISSSILDAFDVLPVLCRRMTDCYRAQSARFELGLPAITTNLIIEA FTIPSATMPFNNQRMETLGDAVLQLCTTVHLLNKYPNRHEGQLSNLRQRYVQNQFLLR RALDLAFGRFVNSEIPSIFKYRYVLQSPLYTDSIIPQRYYNSAYPRRSLQDCMEAILG ASFLAGSIPLSLQTGTALGLEFGGSLPWFMRYSQNIEPTTIAPLFSSLEKDLGYKFRY NHLLLESLSHPSCTNSNVPSYQRLEFLGDAILDLVVIYYLFRKFPDATSHQLALPRTK AICSPSLAYLAVRKLALHKVMLSNSINLTTAIDFYSPLLENLSGKEIVKNGWKYDPPK ALSDVFESVIGAVLVDSGYDYEKTASVVEHVMSDVLEALSPAVDKDPVSELLEWTAVS ARKKNKTYDMVEQEGIAVTVHGTMIVGPIVSASLTVAKFAAAERALTVLKDPMSENSL LCLCDCAFTMKVMLPPIANLQQCTENNFPQDNASDEEDEAMEVQT JR316_0003539 MTAGVRSGDVSESPVFQNPWYIVATVVFSASNQPEGVKYVLENV LEDLGHYHEQEDLEKQSKKLAQKMREAIFKSGMISGYSKVFLIDVNSLALQRMILVVK AINSLQVLNTAIPDEWKVTEIQRDTSISLAEYEAKGMSTFRSIYGETADSVDGLLTTI YPDLGWFSRTIAYGITYGHGETLSQLETSYTLVAALIASDTPQQIGWHLDGAKRGGAT TEEVKAIRQISMEVAKFSGIKWKHGVPEVT JR316_0003540 MGDSSASDAGAHVSYDALASLLGVKGPLRLVPQGSTPKAHVVIK RDYIREKSDSGESSTSIARFSFIAGKKFAINKGQPLLFAVAAPTDQDGKTLLCDDNTF LLEADVASVSDDNGEEDIGNILSKKEQKKEQGNVGGLPPKMRKSYSRKTSYASYIENE PPSSIGFLGYPLGAPTPRVYTSASVQTETRSPPSPSPAFGTAPLETIRSPCIPLSIID IVEQSPVQLPSPVSADHAREPTSESLTQIEGEEMDDFSRAERSLSPMDLSSASCSPAN SPLPKHDPLPDETTPNRSEREFLSFESLARDVSSGPHAQDEPIPCPPGEAIPSGSLLC NTVSPLLSPIHPPSILSPPPLPSPSPAASIITSTNPSSNISFDNLSVETIPPTTVSDK SRLENVSMHIPSVNVIFSTTRLPSEQPSSSLENGSIPPFFHKFYTTKDQIIDYRGLEV QDETKVLDEVPIDEKTVQETQNERTVHAILKESSSNVSNPVNKQSAEMKAVPQAAMSQ EEVISHSKKTPPTTPNAVASSSKMTEDWSTVDRDSLTRNPGVPINLRAMRSAHSQEKA PDLLPTPLSSRVSALPIQPRNSSPPPDPKSLAYIPSGSSTNPLGIRPSSGRILPITSQ KSVPKGPRSLVGPAPPTQQPKKPVVVGAKWSAARSSGSSSNALHGNVSTATNSSTIPA TSTTSSHTTPTSSSVKIGLSHIMRYASPSPPPPQPDHPPPTPPVPPQTGLVKWKRISC VDEKQANVPSSIPLDPESSSQVTQISNETFPSLPSSSSLKRSRDVFTEDNSQLPENSK GPTPKKARSTSPLPLPLPQSQPTPTSNDNTSLFKVPPVLSSPIKTANTPPKVPQPMTH PLPPKPVATASSYRPPSGKRERSLEPAESKRPPPRTDWPATLSSASYQMQSSRSGVNV GIQRIVFSSDGTQIAVVCADRTLRIWENKQPAAEVARLSHNTAVVCVCWMHADSGVLT LTADGMIMKWTRNGKEWKFTKLFLVSEVAMLDIPVCMAYAKDRIAVTTPSGVKVWILN NGSWQSQRDIVRSGVTAIRFVFNGDALIGGCRDGVLWYSEVPNGTLRAHVFFPSKKPI TSIDLPPTGTYLLVAQEGGQCHLVTLKPSESKGVIERTYTSEKLQSLKQKSFPAVFAT RGQAVLYGTVNGCALVWDRKKGVIVYGLKHPEGDLIQAAATFDGRPGVEGLMVTGTTQ GRLFWWPQPVAAAPSSTHNGTGTRALIVLYVVWHYATDKAVLLYQSDQVVATNAFTIC ELPAFRPSYRLLPYETQPRGSVATPPMSSGDKRRLRGINLINMQTIKCVVVGDGAVGK TCLLISYTTNKFPSEYVPTVFDNYAVTVMIGEDPYTLGLFDTAGQEDYDRLRPLSYPQ TDVFLVCFSVTSPASFENVKEKWFPEVHHHCPGVPCLIVGTQVDLRDDAQVIEKLSRQ KQRPVTSEQGERLARELGAVKYVECSALTQKGLKNVFDEAIVAALEPPVLKNKKKMCL VL JR316_0003541 MSLKIRSSLLIVAVLALSTMVTSKPTSRHHHRHEAKVAAAKNLK PLKQFNPRQQHTKRTEIAFIPKPKPSVTITYIPTVATGTAKAPVPIIQGPSDASKNLP GIGPIIQGPSDASKNLPGIGPIIQGPSDTSKNLPGIGPIISPPTNGNPPTVDSKAGDL VDGLLKNVFGDSKPLNPLSDTKANTNLPKVGSDPSPLRTGIELSPLKDKDDQDGKVSY VVDSDLGPFDHSFNAHSRRDEVDSNDEADDMVLKPLERLVGILGEIP JR316_0003542 MSRPQDDRNQEATGNLDERCTDALIWELMLQAGPVVNVHLPKDR ISMAHQGYGFCEFLTEEDAEYGCKIMNQIKLWGKPIRVNKASSDKKQLDVGANLFIGN LDENVDERLLYDTFSAFGIMATTAKIARDPTSGTSKGYGFVSYTDFESSDAAIESMNG QFLMNKAITVQYAFKKDGKGERHGTPAERLLAAQARKNNALPVAARPPPAPIAFGARP PMPGFQGPYQGQFAGVLAAPPPPPGFTPQQTIMPQMQMGMPPPGMAPPGMAPPGMAPP IPPIPMGMPGMPMPPPPPPGMQMYGGFPPPPPPGFGGQYPVPPPQQQPPQY JR316_0003543 MAHTILEYSTLESTSKWKNLPPPPGFSKSSSSTHKVTNSKKAVV SPESYDALKEKRAWDVAIAPAKSLPMQAFMLYMSGGGVQIFSIGIVFMLLLSPFKNIA GINEAFAQYAPSKKRPKAITTLPIHKAVYILCNLLTLGVGLWKCRSMGLLPTGTGDWL AFETRGPAPEISLF JR316_0003544 MGHPGDQVSLKESMPRCALPPVAPWVRTRSKFRQLLSYIALFSV AVTLSTILPTPWSLYHATNSLKNAFNSGKTDPATEWEDNIWPLRQQTPWDISTDYSYP RKLDYEVTEGTWLRLDVHPISGDIVFDMIGDIYCLPGRQALRSNTEAVNARPILTGIP HDSDPHFSPSGDRLVFRSDAELGVENIWVTEWKGCEEMDVRSPSQYNEELQLALSQQE YEEGLLTHGVKESPTRRTNRLLREGRLTARRVTNETYRWVTDARFHPSGHKVIATKWY TSERSLGAGEGWEYDVPSIHELRTGTKNTIQPGSGTRLVSRSLPLGWTSENYGDQQIG PEQFVWKGNDALIFAKNVIDSSKFTYSKDIHKGIYSIFQKNLTTDSTITLVDSTPGGA SRPELSRDGRTLAFVRRVRDKEALVLKDLRTGSIHNIWYGLTYDVSAISAPMGTYPSF AFTPADDAVIIWAAGQIYSVPLATNSLGEKVASSSDSPKAIQFVARIKKRLANTRSGG VDLVHFETQDTQDIRSLQDLRANDEGTKVVFQAAGTTYWQHVGKNISGAVPVMNEEAS YYSPTFVPGDDSLVLHARWSDSTYTTFELSNLNTNTVHEIEVPFGRYFSPILCKCHGS ERTIAFVKSAGSYLSGEILATAGEGLYMGEITLPPVDATNSHKITIRNLRFVPTEIDF NGDDSAPVVNMRFIHTNQKLLIQQSRRAFVIHFAGKHDLSGKPPHRNVASGEMSTELV VVPKSNSKSALDIAFADFFHVYFVSGKHVKKGEAVWSRPGNATKGLARLSLDGGHSIT FSANGERLFWFLGPYLHYLETSTLSKCSSAIRKDQDTFGVSCVKTLVRFQQVNNVKHT TDIARLKQEAANAVALRQPWLEAEINNSDVLVVYNATLLTMESGNAATDLVNSGILVV RGGVIEYAGPLKDYNVPPGATVIDADGGYVVPGFLDVHAHWNGFSDRYPAKSWELETF LAYGITTLHNPSADTVSAFSERSRVEAGKMVGPRIFTTGTIIYGAASPGIHQDIVDMA EASSALIRIKAEGGPASISYKNYNIPSRASRQRLLKVAQDMSMLCVPEGGMNFDWDLT YIIDGMTTVEHPLPIPQLYDDVITLYALSGTGATPTHIVNYGGAWGEQLVWATEDVPN NPKLRRFTRHDILEFVSESTARPKDSYAFLNTSRSIAAMVDKGLLANVGAHGEPPLGV NYHAEMGFFGMGGLSNYEVIRAATSSGARTLGMFPSLGSLSRGKLADFLVYPPGIDLL VGEVSEKTRQLRFVARGGRIWEADSMVEFWPVKGRKQTMPVLNAD JR316_0003545 MIITIRNLTTDIIVAQASSSPSKFNVNRRTKHHGNGSTEKSSFP TEITLHTSVDVVMELPKGFQHELNLQHIPDTVQDLSSSTMTETQGLLGRNKWHIHPEG FKVRFSMMLGSFLSEMPDRLPLSSLALPGTHDTMAFHGWPISQCQSPASPLSTQLLGG IRVLDIRLAVIPPPTPILKPDIKYELIAYHGLWPQKTPFTIILKDIHAFLNSPIGMKE TIVMSIKQEDFVVTPARIFSKLVRETIVHGAGGWGDSESTGHGVNKGMWFLENRIPRL GEVRGKVVMLSRFGGDGHGWEGGLEGLGIHPTTWPDSEKKGFQWELKGTLVRTHDWYG IPSFLSIPEKVKLGTENLIPPPDLKKPLLPITYFSAASFPLAFPPTVAKGFGWPKWGL GVEGVNSKLGAWLLDQLGGDAGAAYPKATNKDILLEKRPQGEQQAEPRIRGWTFLDYY SEPEGGDLVPLLIECNFRGRKEGEEGCQDPNL JR316_0003546 MPTLNLVTNVKVPDAKAFALEFSKFAAETLRKPEKYISVIYTHN EIVTFGGTLDPAFSLRIDSLDNISEQENEGYSKAFYKFFEEKLGTKGDRGYVTFIDPG RANIA JR316_0003547 MFCKTWILSAFIIGGLASPSLVGDILGTSPGSMTSESPSKANVT VSDDDIMKTPMGPVSRKNTHYVPDGAHIRHNNSNVDIVWPNGTVISSTPTSGSSRNAS QYIGRFAPRGVDEGDVVFAYFKNDKISPFANFSTIWDVPTPPVNSDGQVLYMYNALVS NEFDAMLQPVLQYGISAAGGGNFWAVSSWYLVNSDVFYTNLTAVPSNQTLGGFINIDN ITTTSDAVTTYYYSCLFTGVPGTAMSVSVNKELVYAYEAFGVDSISGPSDLPKGRTTM RNVNIFTLDGKDANAAWTTYNNDNATLATVTIVKNGTDGEVDIVYPNQ JR316_0003548 MQLLCALPPILPYPQDEINVEIITGTVEVQDEVIPEDDDDDLTY QTRGKSVLRAVFKIGDGKFEGDRTAIRKYSAGKSAGKNYSRAAGKGNEVNTEQKGKGK AIQDSSDIVYEEPEQEFMDML JR316_0003549 MSTDVAEQNIQMWKVKKLIKSLDSARGAGTSMISLIIPPKDQIS RASAMLTQEYGTASNIKSRVNRLSVLAAITSTQQRLKLYNRVPPNGLVLFVGTILTDE GKEKKVSFDFEPHKPINTSLYLCDNKFHTEALSELLESDSRFGFIVMDGNGTLFGVLA GNTREIIHKFTVDLPKKHGRGGQSALRFSRLRDEKRHNYVRKVAEHAVQHFITNDKVN VTGLVLAGSADFKTELSQSDMFDQRLAAKVIKVVDVSYGGENGFNQAIELAAESLANV KFVQEKKLIQKYFDEISQDTGRYCFGIDDTLKALELGAVETLIVWENLDITRYVLRNA AGEEIIIHANKDQEKDREKFIDKSTGLEMEQATEPQSLLEWFAEKYKEFGANLEFVTN RSQEGAQFVKGFGGIGGLLRYKVDFNNLTSIDEEDDDEFYSDDDGGI JR316_0003550 MTVVRSNDPSHPFTYTVPTARTVYLSRKPRHWRKGYKSPSSQGK LGNYLGKITSIVTGSPASFRFPYRLNPLILYRSRDPTSIFYDIRVRPSPDLGLIPQSV GDVYELATSPPTQRLLIWHPKLPWKIKIQSNSPNGISVMDVLIGIHEQLRCTIGHHEY YTVELTSEDRQRLSDAFQVRCGGDPVEMVGGVRRIDFLGLESCFVGLSRSRNETWEMK TAIPPRQRMIID JR316_0003551 MPPIFDKPTADKYDYIVIGGGSGGSGTARRAASYGKKVAVVEAT PHLGGTCVNVGCVPKKIMWHAADLQDKITNHAGGYRFTGVENAKFDWKTFKPQRDAYI RRLNGIYANNFDREGVEFHQGFGKLLSATEVEVKRPDGQTYVLKGDNICITVGGQPTL PSDEEIPGASLGIDSDGFFALEDQPKRVAVVGAGYIAVELAGIFNVLGTETHLLIRGE TVLRTFDPTLQETLTPWMEKSGVHLHKQTHVTKVEGEKGGPLTVHTDKGEKIEVDVLL WAIGRHASTKGLGLEEVGVKLDKKGDIVVDEYQNTSVKGVTAIGDVQGKWLLTPVAIA AGRRLSNRLFGPEKFKNDKLSYENIPTVVFSHPPIGTVGLTEPEARKKYGDDQIKIYR SGFRALYFSMVEEEHKEPTVYKLICAGPEEKVVGVHIIGLGSDEVMQGFGVAVKMGAT KQDLDDTVAIHPTSGEELVTLR JR316_0003552 MATGILLHSSLPSPSSSPPATATTVPASHPRRSIRFAPLPDPRR AVLITDDGDELPIAIPHDHDLNHIPASLVLSPPASPSPRTHDIRTDTSDERENSSASS TNSYLSSSSSTSASSTSTPRTTTPSDASPLSSVTSSPVTAHSALPSSTTKCSPPLLTA HNPPSSWPKPRNLSLLRPFKRSSTSSSGSSSHSLTPTPSIEASQGHGHSHTARKALAL SKEEILTLGAINLFRTSSRESTDTASTATSSGWGLGLSRWTSANGSDKSAPILSGSSP LARTQSTQSYKSKSKQPSSLASEPKSASQPAASRHKGTRMLNGRVYGSKRPATNPNPF ANARDDEDEFVEWGYGGMGSVKGAKSAGMAGTSAVNWERLHGGAGMNGRAPKQGVGGV AVSTSSAMADDDDGSGMGWVKRRREERERKKREEEEKAAREKEQEQAKRSVEEEVTES KMSTPTPTRMSTMEANTSEKDSEVPAAEPSSSNPEEQKPEEMNQVVGEMKEGFVFGGA LVTDEPEQEKEREHTPVVVPVLMRPRHLHHSSSHRHGRSMSGSGIKSAAGSKELLAAA TDDTAVVPDGAEAAVAEKDGVHHDSKRESLSSLSTSGSDEDSEEDEDADDGGDENEED EEAEEEEGRKTALGAGVEKVSRHKE JR316_0003553 MSSSIGSAVRHAMAHVTDNAKIKDLKRDHVNISSSSGLTTDFGS KISDTDNWLSVQGENGTQGPFLLEDHIAREKIHRFDHERIPERVVHARGAGAHGHFRV YDNTASKWTFAPVLTDPSRTTPIFIRFSTVQGSRGSADTVRDVRGFAMKFYTDEGNWD LVGNDIPVFFIQNAIKFPDFVHAVKPEPHNEVPQGQTAHNNFWDFVGLQPESAHMVMW AMSDRGIPRSYRMMQGFGVNTFTLINAEGKRVFVKFIMTPQLGVHSLMWDEALKLAGQ DPDFHRKDLQEAIENGCYPKWTFGIQVIEEKDEHSFDFDILDATKVWPEYLVPIHQIG EFTLDRVVDEYFSEVEQVAFCTSHVVPGIGFSDDPLLQGRNFSYFDTQITRLGINWEQ IPINRPVCPVMNHHRDGARQHRINPGSINYFPNRDNVGHPVPPSHGGYIEHAQKVEGI KRRIRTPKFQEHFSQAQLFYNSLSQHEKNHVIAAFSFELSHCDDQRVYDGYINVLNNI DFDLAVTVAKNVNGPVPDKPVRINHGHKDQTLSQLYYAPKTPTIASRRIAVLITDGFN LTEVEGVRAALASAKATTWIIGPRRAKIHSEGGHIISGSGIVADHHFEGQRSTMFDAI YIPSGSEHAKNLASNGRAVHWIREAFGHCKAIGAIGDAVDVVKQALNLPEVNFANSGV DDVVSSYGVVTTGKYDVSSAVVDALEIAPSPKGFVSNFAYQISKHRCYEREMDGLTSR VAY JR316_0003554 YVNKSTAQEMGLFWVADNGTAFMKADDTSVLPLGVTRASIRITS QKTYNTGLFILDLNRAPWGCAIWPAFWTVGGNWPHNGEIDILEGVHDNEHNQIAWHTD PGCHLDTNATFTGQVPNNSTNCDANVNSNSGCDVVEWSRASYGTFFESQGGGILAMKW DENDISVWSFFRAAIPTDVTAGTPNPSLWGPPSAMLMNTKCDIPKYFTNHSIVFGEYY GFYFTAIHNKLTNVILDITFCGDWAGNSYATSGCPGTCPERMTDPTNFENATWSINSL KVYRKQVLAGKDTSGARSTRHIMHSVVGKARYLLGPVFLLLAYELW JR316_0003555 MSNDDPISYIFPVAKDLGLFDYTISIANDALNRALDELDKQNRA ERSLNFCMALLDPSIHAEKQQLETFQIYRAALDDPKMVNRLLDSDHIKDRVSASLPVQ KPRHDFSATAMHQVISLPDTLDTIFSFLAVRKRTDRSDLCNAALVSHAFYNAATPLLW QSPRRLDTIRKQLLFAFGASISGKGVGRHVQRLSINIFKGCWNIKLLIKIAGLTPNVT DLAIYWGNSMDGEEIVTTESVVSVNQILLTFSKLERLDLAKYSYTPPRERILIPVDAH KPFTQLKGLSLYRFHWLWEPILQGIGSKLEDLDIGFGTHLESHKLVKISAKVPFLKSL HISVLDVDDLGFAFTNIPQLEHFYVNNFSYVDNTSSEKLIQHLLTMRCLRTIHIVNCM VKGEQLELLANSSLALENLTLELIGDDSVPQAILKFLRDKRKTLKRISLGFCDGYKLK ASNDIAYALAEIPNLEYVDIDMDLSGDRPSAASIDALLNNCPNLLLTDQLRNLADGNS LFNEKYLPKLKSIEDEMYEELSDFSFKLKFHHTSSHPCPWNFVPMSDWTTDANEALTL SLVRSATDKEVLAEDETYEEFHPTFTYPIYGEDEKIYGYKDIVIDLRFTSGSLKQYLN ITYAKKLPSTSTVDDVEATLSGFIPPGYFTDKDAFLKAVDNDANAFRPPGQLVYSYTR PSPSSSSKGKRKRSGNNQALDPQSEDVIEYEVYHSTWDTPGFREYHRRMQLFILLYIE GGSYINEDEDTWEFVLLFEKRKRRDTSHTSAYHFVGYSSLYPFYYFPEKVRLRLSHID FFYLLSFIKEIFHLAELYKAIYQYILKNPVIAELTVEDPAEAFEDLRDKNDLEMLLSN ERFIEEAFGAQDSVGGTRRARKSLHGGEHGLEKGKLIPPADKVWSEKWRKDLKIAGRQ FQRVTEMLALLHLDPQDQNIMRAYRLQVKERLYRFNFEILAQLEKEERHEKLEETFQS VRDDYHRILALVT JR316_0003556 MDLYFIPDDPEHTLLVSANGVPHYQIDTDEPFEDRPCVTLIQRP GASPEECIVAEIEWRNRDTPTILRCPLLSGAAQYVGLQGTGIRSLHYLYKRHKFGSVR YFVGDDAFEYRWKPVKGGGCVLTRSDSNTEIASSMRVLGTEGIFAGEKKQVLRIQPCT LDIDLIILTFIIMERKRREHEGTEEMENEYGVHSSQVKSINEAEDDGMVELGDVEIGE L JR316_0003557 MPTSSSKYYHPYFSPAEIHHLSEKQRGKQTATQEEKVRQNACTF LETIGARIGFPRRTIATAEVLYHRFNLFFPRKDFQYHDVALAALYVSTKENDTLKKPR ELLAASYSIRYPDRAAKSKNPNGEIDLDTMDPALVEGDRQKLLAIERLILETICFNFT ARMAFPYVIKIGKERRADKKLIKLAWRIAIDSYRTLLPLSYPPHTLAIGSLYVAALLL SFEQPQVPEYDEQGKLSPAVLVQQFSTPSTWMDVYRVELGDVDDFAHTMLDLFIQFSQ NPSANTSPSTPSSPSPNLPGRDRHNLQTLQPQSPYKSDQLIRMKIALREHEDALRGHR RDQRPLSSDPSALYTNEKIGQNDGTVRFLFFPPDKGNVA JR316_0003558 MAELAPAPTSSAPMEGIISADRPETVSQSTPAVEEYSCETLYIQ NLNEKIKPEVMKASLRGLFKVYGEVLDVVAHKNLRMRGQAFVSFASPEIAKNAMKDVQ RFPLYSKPMQISFARTRSDAVVKKLDTEHFDEHKARREEHKKKTRYTNPLKSKFRAKR LAAEVDGGAAAPAPKRPNVQMPDEYLPPNKILFLQNLPESVTKDQLMALFSQYPNLHE VRLIPTKRDIAFVEFLDEGSAGVAKDALHNYKLDGENKIKITFARK JR316_0003559 MCTGNLPVVDWELLPKVDQLKSNDIAPPSNCAGSINTRNLGVRY PTSVAGQRKQSSPISPGCTAPSTPFHSNAQIRLFNSMSALKLSKTSAGQARGAFGQVK RRWIHGSLKRQGILMPAMSPLMTEGTITRWKKREGEAFEAGDVLLQIESDLYMVDVEA QCPGILGKILIPDGTSNVPVEQLIALVARDTNELAVLQNQSNLPLPPALNSLPVPPST SASSPRQSFKPLSSPRTPTMSSRTPSLFEMHTMGYGQRNAHIGGPRGTIPKPLESLGL DTTSEIRVAMSPCLTPQWLASQTQTEVSSPFTPSTTKWPASGGDVDQFQTEGAALRRM IVSNLSQSSRGVEIEDWL JR316_0003560 MACMKGISMAFRASQMVPAASHAARLFHGSSARWAVTNFQMPAM SPTMTEGGIASWKKKEGESFVQGDVLLEIETDKATIDVEAQEDGIMGKILAPDGTKGV PVGKIIALLAEEGDDISNLELPKEETTPAPKETGNSASSSPPASEPVFTPSEPIHATG AHSYALPEHARPLFPSVHRLLLEHKVTKVEDIKGTGVRGMLTKGDVLTFLGKASGPLG TFKPSISPIEEANQARSKAPSQAAAAAPVPLDGPSIRRLIVSSMLQASIKARNPVPSA IKDADFDSVLADYLPPVSKSTLQTPVTPPAPSKTTNYLDVTITETGLTITVEEARTAF IFSDVFDEYTFHPEPPPRHRLSNSKGKRTERSKRDTKQEVGPSHLGQVGMLDSETESE SDEDCNKPHDQQEYQQEDEEEQDMENAAFEIPLTTLIECLNIFGTAGPATGNINTSSG AIDDGERGSGGGRGGRGRGGNRVGQGRGWPNPNEDNSDGENSDHRDRQGANLPMRGLD AYFGNGGSNSKRTSMRLSYPGGGYPLTLIIAEDAAGPTTTCEITTFDPEPQLELEFDT SKTVLRIILKSSWLRDALSELDPSCEKLAFIGNPPISANQQQLPLASDANARQKQKQR SPVMKPMLRIQATGTFGSTEMDYPNDREVLETFECTRNVSFSYRFGHISRTIKALSSS TKTSLRIDEDGLLSLQFLMPSPKPRIAAGRSDAFIEFRCLALDDEI JR316_0003561 MLTIISRVYRWIRTLSQRFFLQFGLHCATHQIRVILISCVVITS LFYPALDLYTSSRNSSQSLLYNWGCALHPAPEQDLANLWQSQDTLRVHEDPVARAKCR ANNAIRVEHILIQSPLVEDDGALNHNILLSTLDLEQRLEDIISSGDSPCLKKPDGKCL VISPLAFWNYDKSTLLSDSNVFDTLTHSKNVSVSGIPITPQMVLAGRGSYEPHVGGNK LDYATFLALSYFFPNSPCWDSGAEHALWVHTIQNTVSQDAEVVARGPEATLIALDYDP HLSTTKGWSAISAFLYLAYIGFIAYVVWSVKYMDAVHSRLGVTFTALVEIAVSTITSL SVCALVGFKITMVPWEVLPIVIVFVGAENMFTLVDAVGKTSVTLSVKQRIAEGLSRAG TSNTLKVVSYNSILGIIAVFAVGAVRQFCIFAIVVLVAHWFLAHTFFMAVLSIDIARL ELEELLRHDTSLVPSVPPKADNLNTKQPRSGWRKLILTVQNLLQGRAATNISLLMLLA IAATLYYTTYTASTSTLDSTLRKPLGAISRTKTRPAADAISIAEHIWKTLNPAQTPLL HLRLEIPTIITFSAGSEISRNPSGINARYTKRTFKFILWILTILVVPIAATTGALYGL LLYLMKNTELLDAQRQSAEADSTSDAVADEKIFQDKFSFSTLPRAFPSDVELIAASKD GRIIVSVGLNNEIITWNANTKKHISVNAADVLLRMTSTSSSAGSTVTSVAVDDKGRYF AVGTGAGLIAVWSVSKSSGKVKHLPPLALENSSAGVTEMQFVPSLSGYSRTFGRSPPA SEPSSPETKGSDMVLLATYENGVVARWTVGEIPSVTYFVASRRATVVRSSLLRVSPED RVLIAFTLDDGVLELMETGDHEPLMLQDCYLQAGNPYDLVRKVHACRAEMNGSMRLVV AAATEAGSVSLWDGHTAECISVLEDAHGRIDHLRVSAVDCKMCPSCGYLPMDSLSLAF SVNSVVQFFKLYLDDPSRRCSCSRAVRLQQQLHHVSSRDSLGKRSRSNSNASSSQIGS PLIPRARLATAFETSAFPISGHGVHSRRASEKDTSRRSSELLTVPFPGNIGSADDYDL HVSHTQDLSGSTTPTSSFSSQSVWKNAVLISLADVMCERGGWGVTNSSFVGIRRKPRS QGKARAILSPHALQSFTSSGLTIATLERWELWIFDPVGAQLRSSLLASLPGQEEESWD SSPSSSPSVSPSSSRTNSVSSSFSAGGTDEGIARLPFTRVSPLLVSSSHALAGFGNTI GVVHFDA JR316_0003562 MKLCVVLSFIVAVGITGVTAHVADDTDDTELFARTWGTGKWTMD DDLYHRTVKPHFLSVFKGMPSFTGLCGSNPDFFIEKDGTVYPSAVESKAKKLGKCTKS KYNADMSEYLTELLQHNE JR316_0003563 MGWLSSLFSNDSSQRSRKSQAFTSTHDAFSLPTSSPVFNPHPDA FNPDTLVSPSPLSARTPTAYTYPPQGTIGYGYAPPSSAFGPRPASSSLLPTHMDQLHT PLTSSQGYPPLSKTWARIRSWLNREYPELGDTLNYGILPQELAEIEMQFGFALPSVVR ESYLCVDGQEAESAAGCSEGLFFGLTLLPLESVLEEWRFWREVDNDPSTGANDALRQS MQSIPPDWIRREYSQRGWIPLVADKAGNYLGIDLNPAEQGQVGQVIVFGRDFDTKVVL WNGDGLTGWAKWLAAFAEELESGEGFEIGATDASEGSEDEVGYESYFYDGHGRGQGDG GGDTGSGGGLRYTGEYRGWNVLEAWADRSLRRWHEAGVISADIMAPVAEDDDRQELDI VELSMQGPGAVEVAIPVLTETSEAIPRPTPEALGHHSGQAGPSNRTEPVPTISVTKPP APLPVTLPTASDIAPLPSPPNSAHSSLEDLESGRINGLHGYSEDVGLVSSTRRVGKSK SPIRRETSETTGSALPLSSSPPLLPSTPPKTASPPPIIPDLLADLSPELSTQSFKPLS EVYSEELSKASEMKLDAAEVTPEEEKELDTTIRLVGGGGQSGIVNQNSIVSSPVEEVV EPVPDSDVVSVNSVTSPEVEAKKGEKKHKKNKSSLANLKRLSHLGNKKKSDSTTNLNE LVSP JR316_0003564 MDTLISLMNHPYLTMKLGLNAESKRNIDAIYPITLFFAFGIYLV YERYQQTHNSPVPFECKFPEPADPTWDSLQIQNPHLESHLEHPEIMPPMHVPERRWIT SFDPSNGLHIGTFLADNAAEINAKIVRAENAQKKWKNTTFQQRRRVVRSLLKWLVDNQ DTCARVACRDTGKTLIDAALGEILTTCSKMEWIIENGERALRPETRRTNLMLSYKKSQ VIYEPLGVVAAIVSWNYPLHNAWSPILAAIFAGNGVVLKCSEHVVWSTTWFVAAITDC LKACGHDGDLIQVVCCYPEQADALTQSPTIKHITFIGSETVGRKVAVAATKHLTPVTL ELGGKDPAVILPKTDLNKWASIWLRGVYQNMGQNCIGIERLIVHVDQYDELFEIFSQR VNKMRLGSVMSTVQAGYVNTVEGGAMINGDRFRGIEKLIKDANEGNAYVIGGSEYKHP LLEHGYYFEPTVVGPVDVTMDIANQELFAPIALLMPYETVEEAIEIANGTRYGLGASV FGPDQEDCLKVAKQLECGMVSINDFGGPEGLRSLTNPKAIITDRWPSLVQTSIPKVLD YPLRSLRSSWEFSAGLVRFLYGYGWRTRIAGLFTVIGAARQ JR316_0003565 MAPKRKHTDDSASVASSTRSTRAASKSTTAKNTAKDSAPSSSRK ATHSGATEHEIETTTPNKRAKTTASTRKTTTRKSKVVDEPTTIGKPSSIKASEIPFKH IDTRGSIEPAPIATAKPPPVPKKPTKAEVYSPQRALELFDDYADSDNADVIGPEGFER ICTDADMPLDGPRPIIFAWQMGAKDMAKISKDEWIKGTGTLKASSISQISTAIAELDD LLMQGKPPIVLGSNNQDYDRASYFAYSTNVKAAFQKLYLFSFTLVKPETSKNIDMEVK FLTSIFACNMLMLDSQTSMAFWTVLLAPKYPIMEDVIKFITEKGTYKATNKDLWSMML EFCETVSPSLEDYEADGAWPTLLDDFVIWKKASTGKASNTRS JR316_0003566 MSAPGHFIALFHSSQMPGEIFNPNPVVFSTSKSAARQSDLLAHS LWVTDSSQSEDEADDVEPIDQDEIYDLIRSIYDPEHPNTLEELRVVSAPQVTVGPNSV KVEFTPTVPHCGMSTLIGLCIRVRLLRSLPERFKVDIFVKPGSHQSENAVNKQLNDKE RVAAALENPVLLDTVEQCLSNVGARGIV JR316_0003567 MASSHSTLSFEQSTLLDHVQTTGTHKVKYHGDSDWDFHVESAKS PLDLSLILPHYRARNQPVEHRMSMLVTNDSAPIKLKVCRNFLRSKFYLEVFAETSDVT IWLPSDFKGRIQASGKPTFSAGFVNRILRNVRINEQDDEEIYSEDEVYVVTQGRVSFR MWDVRTCSPENTHKESLKRMFSCARKAPETAIDWDFLLKD JR316_0003568 MNFLSEDVPDPTDFPPQAIAPGLRTLDGSFRCDICGELYDGPVT IACGHCFCSACIRNCLLNKQECPTCRKSANEVHIRPNPVLEDVISAWKEARPFVLGLT KRETERVGEKEASRKRKRSSENPSSSSSIPGNTPGPSNRDISSPTRTLKAKSPSKVVK KPRTKREGNAEVNVISSSESEEDSKPQALKFAPRAEDLVECPLCTKKIVYRELNGHMD NKCKNDSAAKSWSLLLGGSKNGQQKGKHKKKESNSDDEYPLPLTTYNTLKDRQLKDML VEQELPITGNRSNWEQRHRQWIVLYNSNLDKSKPNRKTKADLRKDLKRWETENAKKKK TVIADVKAYQKEQKMEFAKLVEAARPKKAGEDASVTIDTSSPLPLPTSSSTAPGDATE ITSEDE JR316_0003569 MSKLLTFVTVAIIAAQQAAAVPVWGQCDGINYGGSKVCDAGTTC VYINDWYSQCQPGGSNNPTTTTRVVPTTTSSSSQTTPTSNPGTGLHGKFVARGKKFWG TCADSALLNNAANAAVIRAEFGQLTPENSMKWDSIEPSRGSFSFSGADTLVNWAVSNG KLIRGHTLVWHSQLPNWVEQINDRNTLWDVVNEILNEDGSLRSSVFSRVLGESFVTIA FNAARAADSTAKLFINDYNLDSNNAKTQGMVSLVRRINASGRIIDGIGTQMHLSANGA GGAQAALTALASTGLPVEITELDIAGASSNDYVTVLRACLNTAACEAITSWGVRDTDS WRANTNPLLFDSNYRPKAAYNALIAAL JR316_0003570 MAAMDIHEVFSHPEVEMMVKMQGTPWLYDYTPKTTSLCIIELYE NQAPRIQEDMNHEAFERYCKNQNLCLRLDETNGPILRIIFADSIYVPPPVNFKVPTNK RVDNDKTVLLMHHYLKVPALFFRDQAPSATYLTIGNAMFTRRAATGKPNVIEINSMVI DPRKSLLNIERIVFTQQKAKNISELHRVARDVRFIKDTVGALLEIIDHVINAQKQHRE LYGVHNHGLDNSVHDSLALLKSKANSTMREVSSLEGRASLKIDLEYSLENQQDSRTNL KIARLTTGIAAAAQKDSSSMITMAAVTMFFLPGTFVSALFSMVFFDAPGDTRPGNLVV SPQWWIFLAITIPLTILVFLVWIVWKRWRTKALNLDAIQKETEEEKALEPHS JR316_0003571 MQVASVPYSAPIPHSHYHSYYQLHPPGPQDHDLKRQYLALLPPH QIIDLCLNFDIHLPPYIKSSLWPPDINAAIAKLRKDPQVSAEPSVEESSKDGEPAVMD SLKSPSRSEPTPAAQGVVSPTEPRPPDKETPPATSEPSSSTTSSAPATESPAASPTQT APATSSNTTPDVVQPVASTSTSTPAPTSAPTPHPAPAVVPPVHPQYPHQPYGYGHHPQ ATYPIAPYYAQPPGGYSYQYAPYANPMQTAYHSQPPATYPPQPSIYNSMVPIPHPVQQ QQQQDIVNGVDDLPSYEEMIVEALTGCSDPEGWAPKDLFAWMASRYPLQSNFRPSASQ ALQKAYRRGRFDKGPNGKYRLNPSWEGGNTTRRTTRRPQTQNASTAPTASTPAPPFTH APLVHHHHGHAATPSTQPAPQPPYSSQPYGYPPYGYPGYPAQPAASTSTAPGTIAGAP TSPSANKATTETSAASAPATETDSADAYEAAQNILSAINFGSMYQLPPEERQKDGQPK DDQPATETSEEITDNGVEHLLSHVQAMLASNAASQSGTTAPASAPSLPQPTNGTSPED PRAELQAQLALLAAQLAELAQVEDSLARQVSQPQPQLQHTAPAPIAPSLPQQIPPASE PAPVFQNSSSSIVPSEPSAHIHAPTPVVALPQVADVTTDDDVPDPLPEDDDSDDDDME EII JR316_0003572 MSSFSTDLQRPTMRRKSSAQNLLSSFKTPAQSSSSSAPVPPPLN LSSASPINSTSLSFASAATPTASTPLPRDWDAQSLHSDTVASTLAGAQSPPLGQGTSI DLLRELVQKRITTLTYLRSIHEGRSHWFHTIQISRAELDKAFHNSNPAIRKRTTRFAI LGLSLSTLLDINQPLDLLRGLNIIITEYDQSKEEGDKSRMRIFKGKGLKRGTQGIPEF AGSYVDGSTDASSLLSPSIPFPLDYHQTLLSLLDVLSEVYNKISKVLGPSPFPIPQQQ MMGPLGLLAPHPGVSYLFPSEIPPSNPQGGFNNPNHQSNPNLHQLPYPPNGIQPESEL SGSLWGIANPSSGPMGGSSIAGQPVVGWSSGLGDLVVKIDSKLKASILYGFSLLKELD ELARAGIKDELASLDPLLRNISNGSGGVGQVLYDFEGM JR316_0003573 MEDINAIAKQFVDFYYATFSSGRSNLSALYRPNSMLTFEGTPIK GVDAVIEKLVSLPFQKVQHKITTLDAQPSSATMDVASLIVSVTGLLVVDDSENPLNFS QVFQLYPEGRTYYVLNDIFRLNYG JR316_0003574 MLPKFSIIFGFLSVSPLSQAILPSELFSSDIPTKVLATFKALPK PVQYPQYTDTGPGKWLYFSPNTWTSGFFPVTGYALNTRKQLCGATPANGLNNADWLNL ARSASNGLLSLNANNGIGHDVGFISYPFIEELVVNPTNQTAINAVNNFASMLAARFNP VVGCTRSWDTADPTDFQVIIDNMMNLEVLFHSADLTGNSTLRKIATTHADTTMANHIR DDGSTWHVVEYNSTTGLVIKKRTAQGFSDSSTWSRGQAWGIYGFANMYKFTNDTNYLD TSRRLATYFLNNIPSDGIVPWDFNAPLTPPPRPADSSAATIAATGLLLLAQQETTDSL KQQWIDGAFSILNNITTLAWRPSWQSLLSNGTVNIPANNSLTGIVYGDYYFIKAGNDL VSMGLTSCRDNVDSNSTASTSDRASSPVQSSGARRLSLF JR316_0003575 MSSSVAGLGTEIVGVINKLQDVFTSIGTQAQGNIDLPQICVLGS QSSGKSSVLENIVGRDFLPRGTGIVTRRPLVLQLINRSAGSNATNGIDRTNDAKANAD EWGEFLHLPGEKFYDFHKIRDEIIRDTEAKTGKNAGISPQPINLRIYSPNVLTLTLVD LPGLTKVPVGDQPRDIEKQIREMLMKYISKPACIILAVTAANTDLANSDGLKMAREVD PEGTRTIGVLTKVDLMDKGTDVVDILAGRIIPLRLGYVPVVNRGQRDIEQNKAISAAL ENEREFFENHPSYKGKAQFCGTPFLARKLNMILMHHIRATLPDIKARIQQNLQKYNAE LMSLGGPMGDGNSGNIVLSVITEFTSEFRTTIDGNSNELSSNELSGGARISFVFHELF NNGIKSIDPFDQVKDGDIRTILYNSSGSTPALFVGTQAFEVIVKQQIKRLEEPSLKCC QLVYDELIRILGQLLTKVQAFRRYPALRERFNAVVVNFFKTAMNPTNKLVQDMVAYVI TSLPVNPAHEHSLACKLATLTQRIPISLAATRHATALVNDRINASKPPPAAADPKNPR ASVNNNKDLDVDIKKEETGFFGSFFAPKAGPKKKGVAAMDAPPPTIRPQSALNERETM ETEVIKLLIHSYFNIVKREMIDMVPKAISLTLVNHSKENLQRELLQELYKPDVLDELL KESDYVVSRRKELLQMVAALNKAEEIVAGV JR316_0003576 MSFALPARQITFGRNTQQQPYQFQQPQQIPSAGAIALPGGGTFQ NTQSTANGVSLPGPSPLSNSFTQPQPVSKLPTPPAAVSAVASNAVAGPSTPPASQVPA TPAALTLEQQHITAVEGIVPTLQNIVATVNLDCRLDLKTIALHARNAEYNPKRFAAVI MRIRDPKTTALIFASGKMVVTGAKSEDDSRLASRKYARIVQKLGFDAKFSEFKIQNIV GSCDVKFPIRLEGLAYSHGQFSSYEPELFPGLIYRMIKPKVVLLIFVSGKIVLTGAKV REEIYTAFNTIYTVLCEFRKP JR316_0003577 MVHLNFSKLSALFAYDLKSVAYKPAPGSSPQGAASLQSQTPMAR TTEAQFTPSFLTFGKASATALQQRQEGDKQPKSMDADSCGEQTYDSPPVLSQDFPPYD QTTANVYRYRQQQSVNLGSWFVHENWMTPSVFKCASGPKIAEIDIARGWGSTKSARAV LERHWDTFIQSSDFEYLASIGINTVRLPIGYWNLGPDYMQGTDFEPVADVYANCWPRV LRAINQAGEHGLGVLVDLHGAVGSQNGQPHSGISDGQINLFNVSSNMEKTVSILTFLA DKLSVVNNVVGIQLLNEPRYDSGLEDFYSRAIDAIRESSQPASKLPLYLHDAFDLARF SKYVSSRQDFIVQDHHSYFVFSETNVQESGSQLTVDVDGAIASSLLDVAAKQRRNLVV NEWSCALTPSSLAKEKNAHDIQKKFCEEQMKVYRNATAGWAFWSYKKEECDSDPGWCF KAAVGKTLPESFFSYSKLKSIAFQNDEITNTSELLIPTVSTDNLNLFQQVEFSLRGRT TKKDRKQLADRQIPHRFRAIHLQRDTDNVQSDEALKNSSSKGYSDGLTTAKVFAAYNM SMLGFTGQFIQDAIRAAGPTLVAQGTEDGYSSAFMQGLRSGQRAAMEHK JR316_0003578 MGHLCVRRAASFTPPGPLDPESKIFTEKAEHVRSSRFAFVKSPY DAFSRYTEQVAFSGAHKGRSNRSLPYSGTKLVSLASPLGTTPPLPPPSYKSPSQASLM PPWSIQNSSGFPDVTTQRTQTPYVAHTRIPKRRLANISKLRITKKSNPPSISKPIMHP EGPLPGPRIEVEYLKSPKATLKPCIAPRSMQHGVVQLPAIYLPSPSQAYIFNNKESPS VKDRSKHFLSVGGGGSFASHGPPKLDLAYSSSQIGLAF JR316_0003579 MADSPAPPPLSDIKEETASGTLSRSASQPTLYALDSVPNPANAP THGDQHHACPPVVAKPKMRPRGNSSVSHVDLEFFDPSGVQELRHTMTHENVNQPSGKP GSRASTDSSEATLNIGAGDQPFDFEKTLKRVIRKREEADIKPRTLGVVFRDLKVVGKA ASDSFQPTLGSLFNPVVILEQIQKARHPTLRNILTGFEGVVKPGEMLLVLGSPGSGCS TLLKTLANQTDEYYAVSGERHYDSITPTELANHFRGDVQYCPEDDVHFPTLSVQQTIE FAAKSRTPRSRVGSTTRKEFADFVTNMLATIFGLRHTLTTPVGDAAIRGVSGGEKKRV SISEALASRGCIGAWDNSTRGLDASTALEFVRALRIATDTFDSTTIVSIYQAGESLYE YFDKVCVIYEGRMIYYGAASEAKAYFLEMGYEPANRQTTPDFLVAVTDPNARIPRRDY TPPRPLPRTAAEFAEYFLQSSRGKTNKGEIQAYLDEQVGKQEKKEAYMQSAYAEFAKR SPKSNPYLLSIPQQVAIVMKRRWQIILGNPLATGLNLFSFVFQGIIIGSVYLNSAEAT SAYFSRGGVLFFALLFSALATMAEIPALYSQRPIVLRHQKAALYHPFVEALALTLVDV PISLVTSVVFSIILYFMVGLQRTASQFFIFLLFLFTMTIAMKGWFRGIAAAFPSEAAA QSFAGISVLALSIYTGYTIPKPTMIGALRWITYINPLKYGFEAIMTNEFSTLNGTCSV LVPHGPGYENITLANQVCATVGAVPGEATVDGNNFVLLSYGFKHSNLWMNFGIVIAFL VGFVGAYLLFTEVNTKSAAVRATVLYRRGAIIKKSTRAENVQDEEMMDVLDEKPPNTP ISPKSFEASHTPAAVTAEEKSDGVIRHSDVFSWRNINYTVPIPGKEDRLLLSNVSGYV APGKLTALMGESGAGKTTLLNVLAMRTDTGVVTGDRFVNGQSLPADFQSQSAYCQQMD THMPLQTVREALLFSAKLRQPTSVPLAEKEAYVEKCLTMCGLEEYAEATVGSLSIEHR KRTTIGVELAAKPKLLLFLDEPTSGLDSQSAWAIMDFLRTLADNGQAILCTIHQPSAE LFQVFDRMLLLKKGGKTVYFGNIGHNATTLIEYFEKNGGRKCLPHENPAEYMLDVIGA GATATSDLDWYEIWKKSQEAVKLQEEIDAIHTEGRNRPAVTTEIHNEFATPWGYQVKE LFKRNASSFWRDPDYLMAKLVLNAIGGLFIGFTFFQAKDSQQGTQNKLFSIFMATILS VPLANQLQVPFINTRTIYEIRERPSRMYSWTALITSQIMIEVPWNVFGSIILFFCWYW TVGFETSRAGYTFLMLVIAFPLYFTTFGQAVGAMAPSAEIAALLFSFLFSFVITFNGV VQPFSQLGWWKWMYRLSPYTYLIEGLLGQALGHQEINCSPVEFVKVNPPDGQTCEAFL TAYISMAGGYVANPQATSACEFCSMRTTDQFLGAAFNIFYDNHWRNFGIFMAFSVFNI FLIYILTYLFRIHKGPLLPSLRRKTSTN JR316_0003580 MIQTKVFLQGQHNYSLITGPTGPLVYPAGHVRIHHFLYDITDAG RNIQLAQIIYGILYMATLVLSCAIYRSAGNIPNWVILLLPMSKRLHSIFVLRLFNDCW SVMAVQASILCFQNGFFDTGILLFRFVTS JR316_0003581 MVDIPPEIWYRIALFVPDEELCHLLGVNNVFFDLAMNLRWREVA IETRSTIEAMHILKRLSDPYVAGRLHHFRLRLTHVKAKHTRGNSNRDRGKDFKQLKQH FYLIINRVFKIKHRVSNEINIAAVERLDPQSSRPTFSDVVNAIVAATPYFVNVRELTI DSWDLPPSYGNLETLFSSFWTSFGENLQCLSLGGNMEGFRVFIESNPRFKRIQTLRIE FTNNVFRVDREKDTAILVDIVVPFINELKASLHMLQVWSWANLDLSFFFQRLSVPPLL NHLNIRMAFNKVSDPSGLKHLLCEGAKTLERVDLRLNSSGLPFNHTSEESLGRWLSLC TEDPRCFANLRYLDIYPTNTLVGTDVLLTSIIRSSGTLAHLTIRDRYFHLPEATLVLE AASRCRNLTHLRMNVLRLNVPLLDLLSVYVPSITNLWLAVGEAFNNNENAGIGQNFFH ELQQRRYMDWKLKDLSISQGGHDVDRETLEAIANSIPSVSSFFGRGHMDIS JR316_0003582 MSLLPSQIYNFFNSTYNYLSPPPSPQRQLSISREPSASAASSLK ARLDAACQSPTSTLAHLSPTSMRRELDVTKHDLAKAHADTIRLEERCKMLERTLKETR ELLRSREAELEKVRRERDRERAMGERRRSDVGPQYSAHHAQASNSNLSLAYPRNSSSL DTRMSSAELARHMHGNRMHLHQEEIRRTPSPSRTSSASASTSTSTSISLSSASTGISN GKNGKINGVSGNAEEERARLRSAETYMSRIDNWDGAQVLQAVLDINSEILQFAASATE TCKFLSSPSPQTPKLAQAIQDTSARIGPNITSIISSREHSQDPLLVQLALQGCLSLCI ARALNSFCIGFPSKSDAVLSQIYTHMHLTEPQPTSAKWRALTHQHIHSIYPTLTDYSV NELSDTILRWCADIFFIANGHSFSPSSPTIQSPSSSPPISISPPVSIVSQTTSAHLRV LYLDQIRRISRAVLRLASITREEIMSTSFEVTIADPSGTFDDREMVDAFGEYGESHGL ILVTMELGLKCVTRVAPGLELPDLCDNTEGHGGNNGMLLNGKDGSMLESRVLLQPKVV LESVLEVIDR JR316_0003583 MPKNNTNTPRFPVLAPKHDLQCTPVLQDQIIVIEHFFSPAECKA FVKFIDGLPLELTPPKKRGEAERVNHRFAITSSDFAAKLHELLLPHLPSFPYPSSVKK PPPLNGPRLPQFCNSNIRLYKYSPSQYFGPHYDDSVRDPMTGAKSEWTLLIYLTGEED GVEGGETLFYHEQRGKPREVISPPLKRGTALLHRHGQECMLHEGSLVRSGIKYVLRSD LMFTK JR316_0003584 MPGPEDASTSKEPSKDTPVTFKSLGLIDPLLESLERVGYKKPTD IQVESLPHALEGRDIIGIASTGSGKTAAFALPILQKLWEEPKGLFACVLAPTRELAYQ ISEQFEALGSAMGVRCAVLIGGMDMPTQQIALAKRPHIIIGTPGRLLDHLETTKGFSL RTLKFLVLDEADRLLNMEFSEAIDKILKLIPKERTTYLFSATMTTKVAKLQRASLTNP VKVEISSKYQTVDTLLQYYLLMPFIEKQAYFIYLVHSLAGNSIIVFTRTKAEAQMLSI ILRILSFAAVPIHGDMSQSQRLGALAKFKSGSRKILVATDIASRGLDIPSVDVVINYD VPQHSKDYIHRVGRTARAGRSGKAITLVSQYDVELIQRIEEVIGKKMVLYETEAEDIA ALKERVGEASRAAKNEMKDMELNGKGKGKKRQREEHGGRDDKDRDDDVVEAGMPMTRK KSKHRR JR316_0003585 MASSASQSSQRVLVSYSAPPVFLASPNWTKVHAALLSQLPLRNI HWKSASRGSVKTIQELDVTFVPFESLRDEHTSQIPGSLLEKPLLHIFIAHCEDSDIDT YRTSIKKQIKDWHTMVTSRKNQEWLILQIIRPDATKQAGNFFQIKGTVLDKLKTDFNS DKRDRCVQINWMTGNENPLAWAEFVNKMKEGLLYAFDVAIDARQEEVKRSENQQAMPG WNFCTFFILKESLAASFEGITLFEEALIPYDELEALFYKVSKEKNMSWFGNLIQTNPQ DDVSPLLTVSKKPYRDLILANTISIFDFRIYLLARQCQLLAKMGRLKDITSKVGLFLG ALGQRLREIESTLPAFFIESWIYSSALSAVEQINNWITSLKLDTSKSSSVNAGKGELL DIARSQLDSIGIAAGHLPNYPPFSISSTRGTEAPGGKLRFSNETLNTAVNNRKAFYEL YIAVTNRAIDLYAKSGRRKFALKLHGNLAALELHRGNFTAALNTYTSLPGHYAPHMWT SLESFMLSKALDTHMNLDMPQNVEWIHIVLSFLKTYVEHIDSEMLMHEADKVDYVSRL VGSLRDSVEKIETDLAHPDHPAMTIRVGNQAKLAESRDGSYLDVVVTNHLPCSFPVDQ ITVIISGRDSDKFRYSSPVNFSCPPGKSSFSLFCPTSTSGSFILESSEIRSAHLLLQW NHRKTNNKGSPSQNALLVRVPADPLSLNVRISQSNKIELGRPQSLTVLLSTGRNSIKR LTMKLASPSVNFWCKEARLLDGQNFDGFNPSDEGLGMENIADDSDVTLLIPHSDASAL TAMKVNLEVEYSTANEPLINRVSFFPRVLITTLPISVNVEDFFRGTRLISKFTVSSTS HQHVRIADASLDLPEDGLEGVTVQPALKKRNVVTVTPAQPANFLFTLDSAHGPVRESL TLRIKYRMLREEVESIVESVVRSVIEESQSSSGNRIIVVSRLIDALESEAGWVEMYGV TGELVVPNTAEGDDEVGKLVEEARKRLAAHRHPNSPEGFWREIKIPVDVPFMNIVAAA CIRLISTPFAKDIEQHTLPSLYAGQPISAQLTIHTSFRWGSNPSDTNKQYLLRFNIEE MIREWLVSGPKKGDFIAKDGETHTIPITLIALHHGEFSLPKVTITALPMAGAITMGSM AVPSIETFQAHGADRILILPRGGRSTFVVGMGPG JR316_0003586 MAEGSNYDYLFKVVLIGDSGVGKSIGKHAKLVYAASNLLSRFTR NEFNLESKSTIGVEFATRSINVDGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVY DIAKHATYVNVTRWLKELRDHADSNIVIMLVGNKSDLKHLRAVPTDEAKAFSTENGLS FIETSALDASNVESAFQTILTDIYRIVSSKSLESSTSNIEPPKASISVGPTVDSNANQ GGKCC JR316_0003587 MPSVDLDPFQPLFVPGPTQLFIRDFKDRVTPNDTQRNTLIVAGI YIVVIFILWHLPYLRVIIYPFKLLTVGFHEMSHAFVGVLTCAKIYSIELDPDEGGATS MSGGVPWLTLPAGYLGSSFIGAALIACGFNTNASKVASIVLAVFFLFTLWWARKNWLS WLLIFGMSGLIVLFWFVGGGIALRYLVLFIGVMSCMYVIWDVVDDTLARKVNTSDASE FARICGCCPSRVWGFLWLIVACIFFALGIIVGLVSFKQNLEQQKIDSSHFLPVPGSSS HAVSLSPNYHVAAWAVIILLSMLRLL JR316_0003588 MDWWEEITTRQADIILANSRFTARIFKSYFPSISQTPRVVYPGI NISAYEDAVDLLDLDTVAVTSQRPTLLSLNRFEGKKNALLALEAFGLLKSRHPHLGRL RLVLAGGYDPRLEDNVRTLQQLVDRTSTLSLTYNVTSPGPIPYGMSSSDSETDVLFVL NFTTSQRTALLLSSSSLALLYTPANEHFGIVPVEAMACGIPVLACDSGGPTESVVDAS LVKEEGTGWLQRPDPQVWADTLLEIVNQSPSEREEMAQRAKARARSLFGMEAMTRGLD DALQQAVDLGPVDVFGWTMIVIAFFLAYLAGPFLLP JR316_0003589 MAFSLTGPRGTSSSNSVAGGSGSGHRGRASGTLPFNGSGNGGAG GNWGAGGGSGAGFGFGLGQSGGIGGPANGFSGAGAPGSRRHEEELINAYEAEEERIIN VLSKKLEQLREDKIELENALEAESESHVNKLSRELALLRMANRELQEQLSAERARSVN VGTSVDSTEANGSSDAGTRRTQVDSSDTDAATADSSAGTSVLASGLRMLGNEANPNVT MMLDALRRENEQLRSRLVGTEREEELIDCRGRLGLPVDNLIGLTPADRDPFSHPTHLR SRSESESYSRPRSLSSSSSSLFSPSVSVIPWATSGSRRTETGSLNDHSNINSNASSPS TSSFIPGGVVGGMAMSYKPRFTGGAGYAQTDSVASGSTRTYSNVRASGSNAQSQTHGV PIPRPPSQVPRPVKHTHEPFTGINGDDEDVDPELEERIRGSTVQEEAVLSTSSSASGE STGSGRSLLYPFSPSSVGVEPSSYMSAETDVTSPPSSGSFGMIGMGMGHGANAVVMGG IGMGAYGVPQRGLSYPSVPPPSLSSSFGSPSVTFHGLPPREASSSPVEPLSRRGSIGG RSLGRGSFDRGAGLPAGARVAETGTLQRSRAGSLAVSTLQESEDGES JR316_0003590 MATDLAKITPPRDLSDAQKALVFEHFFRRLATYRNTAVIYAILD DTNVTEFEASLNVWGQSLLEKAWLVCRGDDTDKLPPQEDIAKILNTILFLDITASTQY SARTRVFLASLGPLDESAIVAALKNPDHIIDEAQKQAETSRRSHADKGKTLRIVGMGV GAVAGGILLGVTGGLAAPLVGAGVTTVLGWLGMGGSVIGLLASGLAGSSVVCGALFGV YGARSTASMVERHTKDVSDLALVPIRSGKDEGNSDTLGVRLCVSGWLDSPEDVTAPWR VLEGDDTYALQWEMNALQELSDAMSTLVKSQAMNYVKAQVIKRTVFATLMNSLAPLAL LRIGQIIDNPWMNARALAIKTGAVLGDMLANRVFGNRPVTLTGYSLGALVIYEALQHL ARLPPSQTRDLIEDVFLFGTPVGADGRKWTSVRRVVSGRLVNGYARDDYVLGVLCRAS EATWEVAGLEEIDAKGVENMCCEGVEGDRMWRTIAAKYVPRTLTPLNALVGGLAIPVA AHELLLLNGNVYGISGFIHRAIKGSTEAMAGVAGLVLGGALVARMEGHGPASLSLAFP KVLVSGFLVGLGTKMANGCTSGHMVCGISRFSIRSIVATATFFTVGVLTTQLIHQDFP AAGPTNWSIGAEGYRLLALQAIPLSLSVLLYALNSYPEVENPATDANSKKENVDGINV YPGLRILAYLTTSVQFAFALRLSNLSEASRVISFLLLPFHSAFDPSLALVGAGALGLG IPLYRYFRGNERPRLGGKWAIPKGGKIDSRLLIGSTIFGVGWGMAGICPGPGLVNFGR ALGSGGQALAPYAGWLASMVIGGLFA JR316_0003591 MPPKADINKAGWEQSLGDNPFIRMSKQEYGRSCGTCARPFTVFR WNPGSGARFKTTVICQTCAKIKNVCQTCLLDLEYGLPTQVRDTALGLQNEAPTSDINR EYYAQNMEGKLDGNKSGLDSGRAASAGKEMLKQLARTDPYYKRNRPHVCSFFAKGECK RGSECPYRHEIPADNELAHQNMQDRYHGRNDPVARKIMAGHAEAQGLKPPDDVSVTSL FLSSLPVTSTEMSIRTQVLQSLPSIQATQLKSIVHVEKTRCAFVNFRERTVAETAAQA WANGLEIDGERVTVKWGRSRPKQPANTAGPSANSIASAAALVRSTNSVLSAKID JR316_0003592 MDNNDTRIKNLGGGSLLIAWQLKGKNVLIVGGGEVASQRIESIL ASDAFIHVLSPQNGLHPRTRQFIELRKDRITYHERCYSGPGELDDMDMVLTALDDNEL SRSIVELSRQRRIPVNAADIPDLCDFYFGAQIRDGPLQIMISTNGNGPRIASLIKTRL QKGLSGLEGEAIIKVGQLRNKLKERAPGVGGQLGRDRMKWISSLCNKWEMEDFTLLDE AMMDRLLDEGWEQGKRVPEIKDLGIRPTGNNTHRCRLYNACSNSLVQTVIAFVAGAAM TTLLFRHVSKR JR316_0003593 MAQPVSLVHFSGHNHLRNRLVLSILSGKYVRIDKIRSEDKNPGL RDYEISLLRLLEKFTNGTVIEISVTGTAILLKPGIILGGTLQHECPTSRAIGYFLEPL IMLAPFAKKPVNVTLKGITTDEHDFSAYILRTVTLPHLQLFGISQGLELRIKKRGAAP GGGGEVQFMCPIVKQVKTINFIDPGKIKRIRGVAHAVRVNPQFSNRMIEASRSILNRY IPDIYLYSDVYKGDESGKSPGYALTLLAESTTSAIYCSEAISEAGVAPEDIGLKATRA LLTEIEKGGCVDEKHQTLVLLMMVLGSEDVGRCRMGEPTNKTIQFLRDIRSFFATSFK IVSADPSDPECSQLVYSCYGTGYVNANRTLA JR316_0003594 MSSESSTLVHDWPGRYHHIDQVLNTPGPRTDPGFLAGDGVKDFL RNKAKILVIGAGGLGCEILANLALTGFKDIHVIDMDTIDISNLNRQFLFRQKDVGKPK ATVAAQFIMNRVPGVKVTPYFGKIQDKDDDYYLQFDLVICGLDSVEARRWMNATLVNL VDPEKPDSLKPMIDGGTEGFKGQARVILPTITSCYECSLDMLNKPTAFPICTIANTPR LPEHCIEWASVLEWPRVHGDKKMDTDDPDHIGWLYKVAAARAAEFKIEGVTWSLTQGV VKNIIPAIASTNAIIAGTDGVYSYTFEHEKRDDCPVCGGEAVDMTINSDTTVEQLIEI LIEKQDIQIKKPSLSTSTKQIYLQAPPQLEQATRPNLEKKVSELVPPGGEITVTASTL PFSLSLRISYEA JR316_0003595 MPEGDSFLPRVQSVFYAVFDVKQGPKVVYQVPEGLISVTSTGPP SSGNINNGCSVPPTPSSETSPPVVPTGSFSNLNGLTSRNSSTSLASPTDFYRPGGRHL SSPQKRSNSSQRTLFNFNDISMFVIPHQALCGRLVTCATRRHRIIGFPVELKGNYTRN YFRYNLCFVFEREADLSCYEPVVRKISRVLKACEEESHFLSSPNTSPAVHAILEQLYE DLNSYSETSISIDQFNSIELKIFPFYPNPPPLRDWMVPLALINLPKRVEDNWDLTVLK VSKFIDGINHVSRIAHLADCDLALTRQAISHLLYYQVIMMIDIFQYSNMYTLKKSVQW LADEAHVKEECGPYVTKPGKTIPDWPRLLHLYSRLKPGKTIFDWMKSHDVESLGIDVR RFTSFGVIKGFLRRVHRYPVYISPDPDEIGSNEHIYPLESPIEPITHSVLARKRGKSL SAANGQQPIIFPTPPADVTPHETDAPPSSTISASHRARRASAAEKVLEQLRSRDMQKS GTNALASQRNSWINFPHESLTNSSNSLATVTNALNGSVVSLAGISATLPSTGVAHASP TKNASTGRDSRRQSLIAPVVPPSSPIIAKMTLTPSRPLNQAANITSQPSVPPSFKSML DGEHHTDELSVKFEAGWPLLEQWLRTIGGGQGENDYGRVVIICK JR316_0003596 MSISGFKTISLPLSQLSLSAVLKCGQSFLWTVLTPLTTDTPAHS SDVPSTHTEYRLCLRDRVICLRQTPDALFYRSVFPEAHCSESVQLRRDEETLEWLKDY FQLDIDLLDLYKQWADKDKVFAKFQDRFQGIRMLRQDPWENLISFICSSNNNISRITK MVQSLCTQYSPPLLDLEHPFLPNKMLTYHPFPPPSALADPSVGSQLRGLGFGYRADFV QRTAKMLVDFHGSNKVTTYGKPLEASEVWLRQLRNVSTEEARQELLKFIGVGRKVADC VLLMSLDKKEVVPVDTHVHQIAIKHYGLKGSVNGKATMTPKLYEETNSRFFSIWGDYA GWAHSVLFTADLKSFALYGLSPNSSPSLNIPSPKKKGAKSVNINDNLLPTPPMTPSPS KRKSETELSCALGAPSTPISQLCIDTAETLSLIDRVKRRRRV JR316_0003597 MSAPSLPALFGSVLKTTTKLAELPTMEDQTQELVEECLKNLRDL HSHIIDLSIFSPNETLEDISTRDLVYLAVPYVFAEVQGRMKTTVRVDRMNSLIQAERY IQNFIGLLEKYEIVPEDERTLFDRKTANVADFSKRRELKINQYKKERELKARIDTIRK RRRQITLPEDASSDFDLLASLLFAESNDTPKEEEANSETEEILRETTLLLLRLLYAHA SGQLQSMEQELDLLRNAPPSPIMGPDEHEDERSKKRKEEASEWKLDVPVPGGPDGKGP LLDSKGKPLRPFTILPSDAVDRARLQAQVFGPGHRLPTMTIEEYLQIEKQRGNIITGG GAASQNAPTSSEKLANESEMDGTLESDLKSEIKRQKDENWARFTDENPKGAGNTMNRG JR316_0003598 MSESASTPVSADFSSSPPKRTKIVLLGDQSVGKTSLITRFMYDT FDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAIVVFDI TNRQSFLSTTKWIDDVRSERGNDVIIVLVGNKADLSDKRQVTLEEATTKSTQMNIMFM ETSAKAGHNVKSLFKKIAMSLPGMEKENQGDVNTKIDVTSPNADVPEASQCSC JR316_0003599 MKLTDKISARDASNPFFTFEFFPPRTDQGFENLMSRISRLSSLN PLAISVTWGAGGSTKDRSLELAGLTQHSDLDTILHLTCTNMEIGLIDQVLSAAKDQGI HNILALRGDPPRGEEEWTASDPRFTRAVDLVSYIRSIPEYSSWFCVGVAGYPDGHADN PVDEDTEISRLKEKVDAGADFIITQLFYDTNHFLLWYRKVRAEGIDVPVIPGIMPIQT YSSFSRVTKLSGARVPESVSAALAAISQDDRLVKDYGVKLATETVRRLNFEAGIKGFH FCTLNLEKSVQRVLENLGWAGSPFSTQNKLIIDSSSNPPPSNIDSTLVISPSSATNSA TVGLSTLATSEGEAGQGELNNAATWDDFPNGRFGDFKSPAFGNQDLYGGFGISKNEAL ALWGNPKEASELTQIFLNYLHSKIPTTPFSPSPLSPESQMIFSHLENLTKRGWWTVGS QPAVDGTDSSDDVVGWGPRSGYVFQKCFVEFFCGLKEVEEIVKKIEEKGNGWVHYFAG DYKGEFRTNVPANGRNAVTWGVFPGQEIAQTTIIEAESFLSWKDEAFSIWSEWASFYR PGSEERKLLEGVRDDRWLVSIVHHDYKNKDALWTFLLDGH JR316_0003600 MSGARPTLLAHEPYFPRLLPKPPQPHPVPLPKEPIYGHLNAYRD IQREMDDLSDEEHELEELNAAVRDRGFSFLVPIGRSLTLQEEKNDNGQAEDDSEDSVS MHTGGPPSILEDDGENDSGQDLDESMEDLDEEIADNTEEMNDGDTEEYEEDRSELL JR316_0003601 MTFPYDSFNVEDLHTVDDGIIKHLFPLLEDPSKPFDFLIGHFLG VDHVGHRLGPDHPSMKLKLEQMNAVLTRVVETIDEDTLLVVLGDHGMDRSGDHGGDGT LETSAGMWIYSKGAALMETETEIPSGLLQFKIFPGCDVPHRSIQQIDILPTLSLLLGF AIPYNNLGTVIPELFWRDHGNGLLRASLEVNAAQIMRYLQTYRNSASGGELNDSWEGI IFSWTASQSDNLFGASKLIILFNFTRVALAACREMWAQFNPLLMGSGLVLLSSSLCAS WSVYLGLTSVKTDWREWLKPRLSGVILAGVCGAILGIAGQQVLRIFVPGVSAIDLSLF TGGMASSVKFIISSPPKISFRMIKSVPILLVLHTVAFLSNSFTFWEDRGKFSNFKNGK NMAGSSWFWMDPVRRWYRNLKTENGKFKSWDMQTQLLDSYRDTVAIHTACESSTPSSV LDAASAMRFSPSVRFIDVIPIALLGLHAFYGTGHQSTIQSIQWKSGFMITPTANYFFA PITVLLNSVGPVFLFALATPLAALWNRSPLPISVTQPEADVQVKGESTLAALGIVIYY SALLLGSTVSAAVLRRHLMVWKVFAPRFMTAVLELLAVDLAVLIGVGVGLERIVQRLS KTFHGEAVS JR316_0003602 MVPRTFRYHGLRYTTSYVTPANAKYLRKDFNDMMKKVTVMQLLI DHGVPMLRGLFEDYKNRLISQNQNMEWFADKANDWANRVFSQCSTEMDLALVTVTAKC KKRLKDIGHNIVDINYVQYAISQSLRGAQIYKLAYRTFRKIRPKLEALVTSQKIIRIK NERRQLLKTRYRQYQQALIPDAWQYQPPENFFREAGAFSNFLNAEYVTRGDISRELTD SLFPGLVEEWTKKRKLEILSLLPEVDTEQPFEKQIQKLDLATSVITCNDCKYMNQEGR VLLGWKNICRHARRTVGGNLNPCSGSEVVEPVAVVAATSLICCAGLDPRTTTIQDMDS RDDRFFCGNCIPDTSNGVTGLKAYKWTECVRFSMYYFGLPTDVTTS JR316_0003603 MENDSTFVAPEGVYSVTDEHKPNHAQNVAMSVGTAIYPSRVSSI VLRFPPPKQGNVPGFAGLLGGGKSEPKKDKGEKAAKDREDGISLSSSDTPEESEPPPL SSSDQGNTSQSPEYRNLFSHPSAGGKKKTAARPKHNIRTTSSTFITRIQTAEGLTKTL QSKQGDVTFLFYNMAKSFVWIEAGSKAKEPLSRITFSAHPTCHDINISTANPDRLDVI IGFNTGDLIWLDPINSRYGRLNKQGSISGSPCTAVRWVPSSSTLFLVSHADGTIIVYD KERDDGTFTPQDPNSGTNDASSNFVSSPVDGITQEWDPVDSLFVTMPPWHPVTSGGGA LSAVGKAEKEKVAKNPVSHWRLSKQGVVDFVFSPDVKYVAAISEDGCLRVIDALAEQL VDCYASYFGALTCVAWSPDGRFILTGGQDDLLTIFSPWEQRVVARCQGHSSFVSSVAF DEQRCDGRTYRFGSVAEDNKLIFWDFSSGALHRPKFQASHHQRMSVSSTISLAYKRDR SAQYLPATNSPKLEETPSPRFHPAPSRNEIAFVQPVLIKQLDCDLLTVIQFLPRSILT ATKGGQIRLWVRPLALRPRFMKNNKVTAIDPHDLIPSRTGPIPP JR316_0003604 MNPLKGTYLSRRLSSFYLSVSARHSRCNSTSATPPADGHLPSVA SSEILRTKREAGLRTPGIKWADEDSSAPTRIIVGGRETRKMNTYQAIRDAMSIALAKD ESTVVFGEDVAFGGVFRCTMGLAEEFGKERVFNTPLTEQGIAGFGIGLALMGHTAIAE IQFADYILPAFDQIVNEAAKIRYRSGGGYNAGGLTIRTPTMSVGHGALYHSQSPEGFF MGASGLKVVIPRSPIQAKGLLLSSIRDPNPVIFMEPKILYRSAVEQVPIDDYELPLGE AEVLIPGSDLTLLTWGTPVYHCETALHLLQSPPPELQPVIPASLRSANIELIDLRSIL PWDVKTVAESVSRTGRLVIVHEAGMTAGVGAEISAEIQKRCFLKLNAPVRRVTGWDLP VGLQYEKFNIPDAIRILDAVVETLAY JR316_0003605 MDLNIRYVPHEVNEWTVTRAVAAVLHSEDFAPIIEGRLINFRVK LNENVASGIRNDGTGVLTLPTEEIGSKFLRYVYDDPIKIGKQKLKFFKSPKPPPEHLS VTLRKTPYVNPDIEEERQAKVWALDTKLRVDKIQFGIFYQSVYPAGQKVKPGPRSFSV EWERDYTRNSYACLHFEYDHKLIRITLGNQLTEQFGCSIAVHFSSIQKVGVGYDGNPY ICFDTLTPPVLESVQFHRTMTGEYQRDNQKYKQRIGAIDDAHINVAPYAPQLRIVLYN HPDKDLVKEFTNMCEIAGLSKSVVLRLVGPNQQIEANKKNFFSIKRMLKLHKTLGNFE WPVAFQLEALLYNNLMHTDDLDSLILQIPSLIATHGAPFVGDLLRRYHDEMKDRPRTE SPSDCFKRTMTRTKINFNVPRDSFRCHHVTFTPTRMILEGPYPSQSNRVIRQYQGFED HFLRVDFRDEDRLQYRWAREVNGASFLISRVGGILKQGFELAGRRFEFLAYSSSALRE HAVWFMNPFYHPQRGMVDAHFIRESLGNFAGTELFKQPSKYAARLAQAFTATDPSVDI LRHEWDEMPDIGEKPYLFTDGVGTISRALGDRIWSKLRENKHSTGSTLKPSAYQIRFL GYKGVVAIDEELDKNESSIHMRLRPSMRKFEVTDNQVAPLEIAQAFELPNTCYLNRPL VMVLEDLGVRKEALQDLQDDAVREARTIDDSIGHFRDVLKAHHLGSAFRLREILTRLK DKYNMDLRSDGKTIAMDDPFLRQLRQVAMNDILRDIKHSARIPVPQSYLLVGVADEGP AYEAEGRENVYCLAEGEIYACIQTRTEEPIWLTGNVSISRSPVAHPGDIRRVRAIGKP PPNCFFGHLKNVVVMPSKGSRSLASCLAGGDVDGDLYSIICYDPVLPRTLEEPASYEA LKPYTLPENRDVHVSDICDFIVEYINSDLLGLLSDRLLVIADQSIDGIRDPNCIYLAE LCSHAVDYPKSGSPPDLDTNELPRTLIRCKPDWHAAEVVSPRPTDYYESSRALGYMYR SITLDELQPIVPTGPPMKAFTDPISLALLDSVRHYLGDSAFISDNPPSEIVKLFGRYA DELKYISATHTLSNTPGAQLLEAEVVIGTILAKCSQKRWRKDRIYRMRLHAEHLVRDV QRSLSEAAIKRDSDYTYTDLITGLELSWFAWGYSRRCRYNEPGSNSFGLIALGIIFDC LDKLDELEVKGRHGSLS JR316_0003606 MQTQDDDDRKPSTFTKPLSSVQITDALKRSSDDGVTIILSKLAV SEIGSREAKELVDAGQYDRHNSGSLVERLALGHNRLTTLPPEFALFTKLRYLNLKHNS FTAFPDVLTLLPSLDTLDLSHNRIKSLPTYPGNLTRLQVFSLSRNKITRLPSYITQFK KLEVFQIDRNPMEWPPRAVIHSFALQDIANGKDAIQGLFNWIESDGVMGKEYDDSGYG ERPEWEDERPWQFPAHDYSDATPTPHARSFSIDSNTSISSIIESSRSGVEEQSHIKLR LKDGPNYADNFGSSSSGISRDAILDNFYASSPVETTLYHGHAEQDGITHNRTTSYAST LRKSSEGSVVGKKSMPDLRKLPKSSKSTGTSPEDRLPSRIPNLSKLFIDSQLPPPIPI RHDSASSSSPHNYPSSMYPAELHAEPVTPPYVTAERNSYFHRSSMIVMNQNLPKSLLS LLDSARTILFAMGQLYQTLEHYVHQGADERLSSIFKKVLDPANVNMLHLIRSLDRFDD VSQKTVPSPAVCRGLVECCRDTVTAFRKAVGLLVLQIGLDLTDDPRYIRWLILELYAT TAELSFAWQAIVPEIESLKPYLYGSIFSNGSSYITSGGSDMAGTNSEEPSAVARLRPL ESGFGAVRARTARRHAGSFSSKDVEIGKDLPSYDIVPNMSGGLATHTPMLRTPKRQAT APPITSPSSSASSYPFNPTSSHTGPSTSSYTPRHLRHASQNSLFESSHVSHSSSLEYP SSHQAANMDVLRAIQGAVDLAPTAWDQIEETLSAVIRSNHELKETLGRARAVTRRLSR HASDMSDGYAEVDIRLLREDAHLFLKTIVHLSNALKAHGSSHFIPPALKHNMVKLSNF TEDFAIMLHVSSISFTSRSRPPSTYFKFAAYNTNYHVVEDNLLGSSLSRSRSAQPSLN SPPPPPITSTKSSSISKRPWLVREASVDGSDPG JR316_0003607 MNTAHNSMRLSSPTELNTLRNIYTICCILRAIILCDLLQFHEAI SSLDHAIIIAGAPGKLDLILHLIRGIQAKIGQETAFRASGVSVQKCDYFKTNYKEDTV PSIVPPSFLSFQLVSSKSPFILRDYARDWPAMEERPWRSAAYLRSISGPGRVVPVEIG DDYRSENWKQKIMPWDEFLSTLNLEDQPTSDFSDYPQYRQPVSEDNLLFNTWLGPEGT MSPAHTVRDHKHDLALAQFRGDAI JR316_0003608 MQSLFARTEERQSEQKKSWDKVKDGHIYIADVAVRLQERMRRNG FALILVSREAKAEMKERGYIWTAVTVTVLDRLLLLLDTGSSPSVRNTAAKQLAQLAVK SVISDVTIVEDDIKAGTSRHQIPLNDSPAWAELLAVVARIIPFLHSKSYESRTAASVA LSQIFSLVPVWEPSCTSDEKKKPESVPLTAPDFPNFSVKELILQGNLLLASSGKEFVK PAGILSSSLEVKKAKKDAMARLGLEFLEDEMDLEKEFAAEMDVDTEDPVTTNSNDATM VPNAGESALSSPMDICPPEAASAKDPSPSTRSVTPTIPSPSTPNIPELDTSALSARER NRLKRKRKPGNSAFVAPPPQTSGSRYAAAASGTSNKARLINAEEKTAPNSRLSSPSLG VAADKVVIDPSKGGAISPKSAKQSKALEVENGVWIWDGVAKVLEVDLFSASWEVRHGA AMALRELLKLQGKCGGMRNDLSQEENGIAHEKWCNDLAAKFLCIFVLDRFSDFVSDQV VAPVRETVSQTLASLLIHMPHRSLLHVHSVLLQMIRQNFVIDDDNKVSKDADRQHIWE VRHAGLLGIKYEVAVRSDLFDEPFVKSEDEAELVGGKGILRGVVEAAILGLGDRDDDV RSVAASCLLPVAEHIVQQLPESLEDIMIVLWRCLCEMKDDLSSSVGVVMDLLGKLVAY KQVIDILAQENVSLPLSTLAQTLFPFFRHTIPNVRLAVVKTLDSFMVVSSLPKDWVAT PFMCLLFQNMICEEREDIRDASISAWQTALTILADCPGRMENVVTQKLILDWYSVMMT PLGGAIDVSSFYNPSPSQDGAVAPERHNVDKNMLTQDLSLITIEVTLKARIASATALA YLMNFWPVKSPIDQTFGPILLHYIESSSMLQRFLSAIVAEEWARKYTEKPKSETSRPL LLEISMAKEITHKTLAWLQGNPPLVYYEMAFALSRIHSDCSALLQMFSTDCKLPMSSI PSLGREVDVSGSNTSRDSFTINKAHEAIGPIYTQLKDRLGRTRKKELLAMGEKRQAIV ANIERYNEVKIQHDIRVSAAFASAFVAFKSVPDKVSPVVKGIMNGIKNEENPDLQKRS AVAVASFVEFCVENKISQPPDKIVKNLCTFLCQDVEQTPTFAYNCKTIDAILSFQISN VSASTKSGKDLGGEKDRQDSEKQQEAKKARLARRGAGLAFQQLSLKFGSQLLKAIPNM WQSMAGGLLSACQTESPKESDLLIEKQHGQDVIDSLSVLEDVIPTFHEELWPNLHALF PMIDLLLQSRFAIIRQAAARCFATICDLMTPEAMLYVIEKIIPLLQDPLVLTNRQGAT ELIYHIVNHLDIKALPYVIFMVVPVLGRMSDQNEAIRSTATNVFASLVKMVPLEAGLP DPPGFPEELLKRRDTEREFLGQLLDGSKVEQYNIPVPIKAELRKYQQDGVNWLAFLAK YQLHGILCDDMGLGKTLQSICILASKHFERAQKYKNTKSPDAVHLPSLIVCPPTLTGH WYYEIQKYTDGLRPILYTGNSRERARLLAKLPSYDVVVTSYEVVRNDIANLENMKWLY CILDEGHVIKNSKTKLTKAVKNVQAQHRLILSGTPIQNNVLELWSLFDFLMPGFLGTE TSFNERFGKPILSNRDGKSKNGEAAALALEALHKQVLPFLLRRLKEDVLNDLPPKIIQ DYYCDLSELQKTLYDDFAKSKAGTSAESTVSAQNSGSEPAQQHIFQSLQYLRKLCNHP ALVLKDNKEVIDSVFSQIGVPHGSSDLKDITHAPKLLALRQLLVDCGIGSAPTINTDN QKTELIDTSSENQSSFSQHRVLIFCQMKQMLDIIEADLFKAHMPSVTYMRLDGSTDAS KRHAIVQTFNSDPSIDCLLLTTHVGGLGLTLTGADTVIFVEHDWNPMKDLQAMDRAHR IGQKKVVNVYRLITKGTLEEKIMGLQRFKLNIANSVVTQQNSQLASMDTDLVLDLFRR TSEEEDAIAAAKAKAKEQSGPATQKSILQGLEDLPAEDEYEGLDLSSFMGSLGR JR316_0003609 MSTPSSPPVSVAYVPYQAYKSKRHSHTLSSSTAHLTPNQSPPPV SRPPSSAVDTLTLPPKLVLDGESEQIHESTRHVSPTSLLVPQQTHNSHTISTQHGLES VSSLSSGFLSSNPTEPQTSVELQATPQSKVVVAHQAPKISTPSPGPSNSQSVPKKGST FRRVQPKSTRPKLSATHSRESSSSSPAPVLHKVQGNADNRPPAPAPTTSLPVISTPTA LIHPLPSISPPPIAERSTSLVSQQSYTSFGQASSQDRSAILRTTSTSTAPDNEGLILN ISGNSSPLPTRKPAPYRPGFQPKGVYRPLTDEFLAIRRTLHEGDGEGGMKRVERTKLE RRLEKLIDLHFPRHTFEDGTKESLQPDKRPVLRSSKEARRASSFFDFQTLRNLNINDA GDLWRGVVSGSLGDPVRNDIRAAEQRITPWQDDAAVSKCPLCSALFHALTNRKHHCRL CGQIVCSLPIKLHQRKVLCSSLFVVDSETHQIEEVGEGVDYGVRRRRTDSISGNQGRQ IEEDKFLKGVRICRECRPVLLKQQYYQQTRITPPFALLYTDFIRLESAIEESLPKFQE LIMSLNHHDQPTKEASAARKRLLDSFAQYDKLSKKIRGLPCPNGPGSSQDRVQAAILT RANLFLQKNMFPLQSLPTPHSGKGAGTPNSQNDGVMNTPSTMSADVDAALAQKLQPLL EQEALLESFVEEAQTQRKFEDVKTLKVNLLEIRQEIERLLQAVPKGSRNSLSEVK JR316_0003610 MSAISGITVSPELASTFANAVQSGSTRFIKILIQNESLVHDISI PIDGSFEQDLRLLQRDAVLPTDSPAYILAKLDAPSSDWISIYYVPDTAQVREKMLYAS TRFSLLKSLGSSLFTDSIFATSKEDLTEEAYASHLRHAAAPNPLSKREQELADLRMTE NQTATYEGNRARASHIGSGVGLNWSEEAENAIMNLGEATESQLVIITIDPKTETLALH FSGDIEPDSLNTLIPSSEPCYALFCWSISAQDDRRLLVFIYSCPSQSPVKNRMIYSSG SASTFEAAKGILTSLSPSTTIASRKIETSDPTELTLAYIKEELGLSQVEQPPQSKIQT SKGFAKPRGPPRRR JR316_0003611 MSSAKSGVAVYCGSSTGKSLGLALAKHDRLLVYGGGSKGIMGVV SGAVLEGGGKVLGVIPHAMVAAGGEDEKVQSTTKVYLNEAGREKVLTEG JR316_0003612 MSAQPPTDSLAQVSLASDDQAVQAAVHDQIVTPWDVQGGVSSDG KQQAIDYDKLVDQFGTRRVDAKLLERFEKLTGRRPHVFLRRGMFFSHREFDRILDRYE QGKPFYLYTGRGPSSDSMHLGHMIPFVFSKWLQDVFNVPIVIQLTDDEKFLFKHELKP EQTKLFSKQNARDIIACGFDLDRTFIFSNYEFMGGAFYQNVSRISRQITYNQVKATFG FTESDNIGKVHFAAIQAAPSFSTTFPQIFGTSSDIPCLIPCAIDQDPYFRLTRDIASK LKHPKPALLHSKFFPALQGPQTKMSASDPNSSIFMTDKANQIKNKINKHGFSGGKETE EEHRLLGGDTEVDVAYQYLTFFLENDDELEQIGKDYRAGTLLTGQLKAKCIKVLQTFV ADFQERRAKITEEEVRKFMDSSRKISPTMGKSQSGVTVA JR316_0003613 MATPRLHPHHSLPAPVVHATFSLPQSKSHVLAGVQDAYWSDDEA EDAECPLCLEEMDISDLNFKPCICGYQICRFCWHHIKENLNKRCPACRRIYTDDAVEF KPIATQDHKRLTQQKKQRDRERKDLEALGRRHLANVRVVQRNVVYVVGIGPRFAKEEL IPTLRSNEYFGQYGKITKILLVKRNSPGGGGPVVGLYITYHRREDAARAISAVDGTPS PGGGREVMRASYGTTKYCMAFLRGVTCSDHSCMNLHEWGDEKDCFTKEDLTTLKHTMK ATESRSRTVTTKKGEEDAGLPRAASWAQKGSNVASSSTGSNVSTITSLANTVSVTSRQ TRRTGTMRPVRSVANAPTLIPESKVTQSKPVPTKASQPPASRPSTPATADPIRIQSSN EVKGTKQQKDATPVSQVPETPSPSPANASETGPASQEPTSSIPNRPQSTDSSNSTTVR PPTSKLTNSLLAPPGLPVPPGLPVPHGLSAPPGISTPSRPPRAETASPQTPLLASQTS YQMSTAARALLDDVKARRESVLPPGLGFSPFPDFDRTLQTLSGEDGGFSFNFDPKLAD AVESAEQTDFGAMPFHGSFIDAFPALRAGSPFMRSPSSGVSNNLNHPIYDHSASRTAS DKQNIRGTSYLGSFNPFSELSIDGSSPVSSSPLQRPQHSPVEEERKVSRFGFARGRQS STATSSPLHSMSPLSYHNDIHPFEHLNEEHNGGHVWHPGLHDLNLVTVPQGLAIQSQS AFAQQHEPLQHINGDLSEAQLRSFILSSQDKEHSINNARNTLDSHLQFKSHQTFEDPA IMSASFVAPMNDRLFASAGYGPPPGLPVPPRLSPSPAKLQQMDGRNIGTHASANSFAP VILSSEDFPALTPSTSDPVSNLNPQPHTDHIIAPQSSLPPVLSTKEQEKVERKAAKKA AAAEKALERQKIANEKAEAKAAEKAKLAKEKAAEKERAVQLPKLHQVDNQKEDDVSSI ATDNRSSTPTTPVEKPKAVRQQQSLDKLPLTRRASHKGSEGSLAAKRQELAKSAMRIS VTKSFEPPVPDIPSQDPILSKKPKKNKPVVKLAKTPKEEDTQEEQSLPIATDASRGPR IPLGSSNSRSESVDRSQGPTSVRELLAQIDATSPGIDLASNPFFDVQRLVSPARMPLE YGPLVHALSALSVGGGSFANNMPSGSIDNAVSSFQQLLETLTQTISDLLRLLPRTTWD DSSSFDGVLRDMLKGDDFLDDGEDGPGREDEVAVLTLALERRARWMEVQLSKLEELHR DINTAAVRAVLSFNDNGWDKHSFLPRVGETLLRFDKVGTIEENGQIRPMTADELDKKL FVAKEAAVFVETELRETMNKFQNMTIHNQDSM JR316_0003614 MSRLIERYLYVSRFLSTRIIKSSPRVSPIFISAQKFGIYSQSGA PYLRYSPWSNSFSGSQGTRVFLQAVLYSAIFLGTSHLISQRSSASPDTEDLNLSYASP EELECAIEQLRQIFPRAQAVVTDPDVLKTYGSSENSYHPALPHSVIVRPQSTADVVQI VNIARNFRVPITPYSGATSLEGHFSGYPTGSICVDLSAMDKILAINVDDSDLICQAGT RWEDINQTLKERGIPLFFPLDPGPGATIGGMVGTGCSGTNAVRYGTARAEWFLNLTVV LPNGEIIKTKRRARKSASGFDTTKLFVGAEGTLGIVTEATLRLAPVLPTRVAMAQFPN VQKAVSAVQDKIGLIRNQHPLRVLVSDEPNLILNSPYGPHIQCVELLDDNMMATINSA GLVDKPYPVKDTLFFKIQGDDQSIKQASKVVEKIVNNHGSSQFIFAKTEQEAEELWES RKYALMSSIGAHPGKRCWTTDVCVPVSRLPELVYETKKDLNNSKLINTIVGHVGDGNF HSFILFDENTEFDATSGAVHRLVHRAIALDGTCTGEHGVGLGKKEYLEEELGINTVNL MKTIKKAIDPLNIMNPGKLYPNDDRSKK JR316_0003615 MSNLHHDQRLQECYDQLHTIVARRNELLRELYRMIERRKDTQSS FDDVDHESDEFQRFLKRFDLSADTDLGSITNLREEEIPFLSETLSKSSPSSVSTSVVD HKPSQSTRTTRSQSRATEQPQSPSPIHDISLNGTPTEAQIQRMSDDEGDLKKRQTSEI PSRTESSDNEEDELELMRSSALSAEGEVRPSLPVLVDKIQKEIPSPSSQTLQGLLEVS SPSLSHPLPSRCTPTPTHTTEDIEMKALSVPNTPKLKLDQMEGQSDIDMTSLTYNNTG PSSEGNHTRVSPEPPQLANVAPDVPLDTIPMEVDKGTEKDEQGDVNVTNGEDEKAEKE SDVEQHGLLEESSMSVKREPPSSTSVTERLRIHIPLPPSIEPEVLIPAPTSATHEEPI FVLDGVTSPVPRSDSPKLPVESRINSVDAYTLPPLSIMPPEFSNRKTKSTKRKRDRDG KRDKDKEKEKEREKDKDKDDAVPLGLNRWGATVVANPVWKRVARATKCLSTREWGVAM NELRLIRTIERIEALKDSGRWSFRQPKKQRGVGGLVKTHWDHLLDEMKWMRIDFREER RWKMALAYNLSTAVLEWHLAKTPEERQRTGICVKWQTSLFRTNAVSSSRAVSVDDVQQ EPVNTQLLDDNYGTDDDDEDEQEKAFSVTDALEPANIIQESMELDIQPKSEEVDEQSA IAILHQIPQSDLSQESHPTLTGSANPTNALKNSSTDPLLGGLKSSSQSTNGDAEATIT VKPSKSDLVPLQERIVYTGDDSLFIDLDNIRIQDSTKDKGDAFEPIDLPSLFPDLQPL GLLDVAHPVVPADGKRKVDKKSDRDDPHKRVEDITYTKLYPTGRFMFTKPTLIGPLQP AKKWKDGKWLPLDYFSVVPETENGIRIPEESASDLFDVRPSMSSQHSMPKTQRIKDGE DKEKHAWTANDDLLLKTIIDKYSTNWQLIADTFNATRLSTPTDVRTPVDCAERWKEKW NPDRKLQLPETSQSLTEEASTSGSNAQSNMLTRAVKRLASTGTTNMSSSLNSAASTEA RKRRRHILLNEAVRKAVKKRNEAAQKAIANQRKPPAVHETHNQFNKLPKLSPQELSRM KAEKDQRDSQEAALVRKRQEEQQRQNLLLREQQQRPNVTVQQQQQPPQPPQQTPQPQP TNAVQPLQQQAQFPQQLAQLQQLQAQQQANQRQNITGITVMPNRPARITANANGRPVG PQALQAQAQAQLLQARMQPQIHPGGGATNLPQGLLAPNQGGGTALHPSTGAFYGLAQN MTQEQVELFRAQLLTAQQQQQQQQQQQQQQQQQQQQQQQQNQSAQSNFGAQP JR316_0003616 MSHNNNDPSRGVAYDPLPLTQDDHLPNTLYNAPPSPDLTSTFHT PQTAPGELGPDTALGGAQPRFLGAALYDGPGSPVIRDSFASSQHTFPGSEYNASVYAL NDPSGPARYDGSYRDDPRDSYYAGEHGGVPMSQGNSSARMLEEKRTAYEPPQTKSRRK IMILGAIAALILIILAIIVPLYFAVFKKSNNNEASSSQSTSKATTSSSAPPTSSSAAS PPVRVVTGGDGSIITMEDGTTFEYKNPFGGYWYWDENDPFNNGARAQSWTPALNETFN YGVDRVRGSPALYQKYSSNPVPPVDEWTLSQAMRADVAGGGISQMETHYKTFITEKDF ADVAGAGLNYVRIPIGWWAIEVRDDEPFLPKVSWTYFLKAIKWARKYGLRINLDLHAV PGSQNGWNHSGRFGTIGFLSGPMGYANAQRTLDYIRILAEFISQPQYKDVVTMFGILN EPQETFIGQDVLSSFYLEAYDIVRTAGGTGAGNGPYISLHDGFLPRDQWANFLPNADR LSLDSHPYLCFGPQSNAAMSTYALTPCQRWGSAVNNSMAAFGHTNAGEFSNAVTDCGL FLNGVGLGTRYEGDYDGVWPRMGSCRQWTDWQNYDEATKRAIRQFALASMDALQDYFF WTWKIGNSSVSGVVETPAWSYQLGLQQGWMPTDPREATGVCGNTSPWTPPLKSWQTGG ANAGNIPSSVRSSLAWPPASFKTGGAVASLPSYTPTGPIPTLPGPTFSPVNPTATIDV GNGWANSADTQGMHVPISTCSYPDPWVNPSTAPPPMCGAGTRRETAPRSFNTDPLSS JR316_0003617 MSTSGATAQASEFRKDSTWKGREQSQEAQYIRQKEKEQLEAIRQ THSENKDNDGIDQVKHPMDRDFEGGFGGQEDLEDRYATTSGEH JR316_0003618 MQSIKHQGTSAFNSNPSGYQVFRNVKDFGAKGDGFTDDTVAINN AITAGSRCGGGTCASSTLTPAVVFFPQGTYIISAPIIAYYYTQLIGDAKNPPTLRATS SFNGIAVIDADPYIPGGNGAQFYVNQNNFFRSVRNFVIDVRQVPATASQGTGLHWQAS QSTSLINVVVEMSTAPNTAHQGIFMENGSGGFMGDLVFNGGKFGMWVGNQQFTVRNVT VNNAQSGIFSVWNWGWTYQGITINNCQVGFDVATGGVAAGDQTSGAIAIIDATIHNTP IFVRTSTASNGKLDGSIVINNAMLTNVSIAVGVQGGATLLAGGTTTITSWGQGNVYKG SNGGFIQGTIVSANKPSPLLDSSGKIFGRTHPQYANFAVSQFVSVKDNGAKGDGKTDD TAAITAIFQKFSGCKIIFFDAGTYIVTSTITIPAGTQIVGEAWSVIAGKGSAFQNQNS PTPVVKVGESNSQGIVEITDMLFTTIGPAAGAIVVEWNVKQPSGTTGGAGMWDSHIRL GGAAGTNLEPTQCPRGATPSSNCAAAFLALHLTPASTAYLEGTWVWLADHDIDGDGST QVQIYSGRGILSESAGPVWMIGTAEHHVLYQYNLANAKNHYMGLIQTETPYFQPNPAP PTPFSANSAFHDPPSAPALSSAWALNVQGSTDIIVFGAGLYSFFQDFTQTCLNSNSCQ SQILNIDTTSSVTIYSLSTVATTFQISIGQNGIINQSANANGFASTVTAWSRS JR316_0003619 MVYISHPSLAGDRPSYLHAYKDILKSHRRSPLASASSVNILVSP DVDSLCATRMLATLFKQDDIAYRIVPVSGLDDLQTIKDDLFNNTDLHTLILINLGSNL DLADGNWFGTFDTKVSIHVIDSSRPMALPNLFLGGENGSRILVWDDGHAEKLTEEKKS WEVIEYEIEPDPEQTDRDSENDLSDDGSEDEEGFEEDDNDFTGSEHADIPTFEPNLPS KRRKLEALNRSRKRQRREPKAWGMSLEEYIAHRRMVDKYYESGLSYGQSASSAIYLLA TLLERVDNDFLWLAILGLTHQYITSRISREVYENYHSVYYDEVSRLNPRLAVNDSVHS LVSINPDDMGVRTSEELRFMLFRHWTLYDAMFHSSYVAGKLGIWKERGRQRLTGLLAK MGFSIPQTQQPYSHMDMDLKKTLIDKLNDIAPEYGLVELSYPSFMRCFGFHSQPLSAA DAVEGITALLDVAGGLRLEIEIEGMRNGGEWFGGGRTWEGTDLTRAVPKSDIDYKPQS VDSARQNEVDAEEDPSKPRGEPAWWMKNFWVAYDALSDIDALKGSLSLAMSLQRAIIR QGTSIIDKQDIKTMRNHRVVILSQGPDLALFCNPGMLWRLGLWLAEALRERLPGTVVG LSKRKFLPIVIACLDEARNMYTIVGMMAALEFDQTRKNQFGLAFINAKSAFKLSAQFS GFHSNIIQIDKMGLKDFLKKLC JR316_0003620 MDTAVPSLETFGEVQQVWHPWRHSGFLSWQFHILNHKGHIISTV DRAFRGFGRRYSINFKPSALSLETQLPGVSALELKNPFPSTAHELDIDTRAVSTCSIA LEHRLRLLFPPFGREWFILYDKLGLNFIFRDN JR316_0003621 MLPIKSLLKVPLQHSLACLSISRSYAISRFAPKRIPGVGRTRQI LRPTGQQSKNKQVNDTEMSEPNNFTNYTIDRSSPSHKDGLSKLLLQRN JR316_0003622 MQPKVSTPKLVVSTNPRQETSRIPSKLLIQKSKPRSRNARRKNV YIPTTLTVATLSKVLKVKLDFLLSKMRQVGMTQEATYDYILTSDYAMLLADELGYNPI VDDEAAFDIHAPPPHPNPSSLPPRPPIVTIMGHVDHGKTTLLDTLRSASVAKGEAGGI TQHIGAFSVPVSQNANSVTGSQTITFLDTPGHAAFSAMRARGADVTDIVVLVVAADDG IMPQTREVINLLKREGSHVSLVVAINKVDKPGADVESVKRALMVEGIQLEEFGGDIPS VEVSGLTGHGLPELVETLSAVAEMQDLRAEQDGPVFGHILESNFNKGIGPVATVLVQR GCLKVGARVISGLSQGKVRLMMDSNGKSVKVAIPGMAVTVSGWKTLPKAGDEILEGSE ADIKKALANRVTMAEKEALHEDVEAINSSRKLDRDARAAAAAAEAESRHLARDNALTE PETGPKELKLIIKADVSGSSEALEGALDSIGNSIAISKVMSTGVGDITESDVMMAKVA NAIIVGFSVSAPRIVQNLAAQNGVQIFTSNIIYRLVEDIRERVISMLPKIIETKVVGE ATVLQIFEIQLKSKQMAKVAGCRVTNGNVEKQKYARVVRDGEIVYEGSLDTMRHLKKE ITEARKGMECGLSLKDFSDLREGDMIQMYEKIEKPGIL JR316_0003623 MARCTRKGCGVEFKEGEASTCNYHPGTPVFHEGLKSWSCCQDIN KPVLDFDEFMTIPPCTEIQGHTSKVEAPISPAPVPRSTVNVSSETTSDGKEIYQVGGV HKDSLTSSASAKQEVTAAMPAPPPVPVLEVDDLNQAVPVGTPCKRKGCHTIFVSDEQN RQGDGEGTICRYHPLPPYFREGSKGYLCCKRRVLEFDEFLKIEGCQTGRHCFIPVVTE PKASIHSYHTTEEQVTCRIDHYQTPSQVHVSIFAKQVDKERSTVTFEDNKAMLDLFLP NNRRFTRTLDLFGGIDPRSCTSKVLGTKVELTLQKIDARSWTVLEKTDRDLGPISLTF GVGGRTGTVGGKEIILDESNKLRT JR316_0003624 MIINAILGTILWTSYGEASKGLEPHLKNHSILNTALSGAIAGAC QAVAAAPADNVRLLLEHGFGGHTWSCAWKEVFKNASTTQSRPARLQDVRQLRGWLQDV GQMAGRGWNGWGWGVAKDTLGFSAFFLIFELSRRAGSTAKDVYVNLFSNATNQEYNGV IKKQIPAVINGVVLVSGGVIAGLTYEFIGRPFDVARRAIYLERLEKSHRMDSSYAILK RKIIKDSASSFFKASGLPADLTATSTRTKQFLRTIGRVGPWGVGFLVWEAYSSGLN JR316_0003625 MPSKPSAARHDMSVILVTGSYDHEIRFWEAWSGICSRTIPRTGE SGQVNRLAISHDKRFLAAAIHKKINIYDIASSSPDPLATFEGHTNNVTSIAFNSEGKW LVSGSEDSTIKIWDLRNPANNVHRTYYNKSPVNDVCIHPNQGELISCDQAGSVKQWDL TDTICSHDLTPAVDVPVRSITLALDGSCVVAGNNKGQCFVWKLNDENTGLPRFQAVTR FQAHSKYITRCLLSPDARFLATCSADTTVKIWSISPNYELKFEKVLAGHQRWVWDCAF SADSAYLVTASSDHTARLWEMASGETVRQYNGHHKAAVCCALHDGAS JR316_0003626 MASPGYQPSPESLPLVSTHATEENIKSFNAVKRDETSFASSLPA DQGLYSNENEKDSCGVGFICHIKGEPSHKIVSDARQLLCAMTHRGATGADSRDGDGAG VMTAIPHGFFKREAERDLGCVLPDPGEYAVGNVFFKPNDPVQLQSYQTVFTNIATELG LRVLGWREVPTDGTILGPAASSKEPTILQPFVVLRAHYGDANTSQHGTFDATHFERQL YVLRKHATHSITLAKGFYVCSLSSKNIVYKGQLSPAQVYNYYHDLNHVLYKSHFTLVH SRFSTNTFPSWDRAQPMRWAAHNGEINTVRGNKNWMRAREGVLSSQHFGDQLDLLYPI IEHGGSDSAAFDNVLELLVVNGAVTLPEAVMMMIPEAWQGNEDMDPRKKAFYNWAACV QEPWDGPALFTFSDGRFCGANLDRNGLRPCRFIVTNEDIIVCASEVGAVYIAPEKVVQ KGRLKPGRMLLVDTLEGRIVDDKELKRNTASKQNFASWVETHVLEVPNIIKRVKRSQV SLLPTLDDSTLSTDPKLLAFGFTVEQLNLLMLPMVIDGKEALGSMGNDAPLAAMATQP RIIYDYFRQLFAQVTNPPIDPIRESIVMSLAAYVGPEGNLLEVKPEQCHRILLPSPLL SIEEMNAMKHLKAAYTTWPSRTIDITFPKTEGLPGYRRALERVCSEASQAIDDGIKVI ILSDRATGPNRVPLSALIACGGVHHHLTTQKKRAKAALMVETGEAREVHHLCVLVGYG ADAVCPWLVLETIHKVAREGLVKNGKTVDDLTQNYRHSVDNGILKVMSKMGISTLQSY KGAQIFEILGLHSEVVEPCFIGTASRVQGATFDLLAMDAFELHERGWPSRDTILPPGM PESGEYHWRDGGEAHINDPAGIANLQDAVREKNQSAYDAYANNANTQAQSIHLRGLLD FRYENATPIPIEQVEPWNEIVRRFVTGAMSYGSISMEAHSALAVAMNRLGGKSNTGEG GEDAERSQILPNGDTMRSAIKQIASGRFGVTSNYLADADELQIKMAQGAKPGEGGELP GHKVSASIARTRHSTAGVGLISPPPHHDIYSIEDLKQLIYDLKCSNPRGRISVKLVSE VGVGIVASGVAKAKADHILISGHDGGTGASRWTGIKYAGLPWELGLAETHQTLVLNDL RGRVTVQTDGQIRTGRDIAIACLLGAEEWGFATTPLIAMGCIMMRKCHLNTCPVGIAT QDPQLRAKFAGQPEQVINFFYYLAEELRGYMAKLGFRTINEMVGRADMLKVNEKLRTP KTAHLDLSAILKPAWQMRPGAATYRIRQQDHKLYIRLDNKFIDESEPALTKGLPVHIE CDVVNTDRALGTSLSYRVSKLYGEEGLPKDTIHIQMKGSAGQSLGAFLAPGITIELEG DANDYVGKGLSGGRLIVYPPKESTFKAEENIIVGNVCLYGATSGEAFIRGIAAERFAV RNSGANAVVEGTGDHGCEYMTGGRVVVLGVTGRNFAAGMSGGIAYVLDTAHTFASKVN MEMVELGKVNDPREIAALRSLIEDHRHYTGSEVADRVLHDFHHLLPLFVRVMPLDYKR VLEEQSAREKEEKARQSVIDLIPSRTASQVDLASDGLQDVLLPKTVGTVTPPAKPRHE PSLVDVEDSLVDDSTTKQRLTKLDKTRGFMKYKRLGEAYRPPRKRVKDWKEISTRLTE SELKYQSARCMDCGVPFCQSDSGCPVSNIIPKWNDLVFKGQWQDALNRLLMTNNFPEF TGRVCPAPCEGACVLGINEQPVGIKSIECAIIDKGFEMGWMSPNPPSFRTGKRVAIIG SGPAGLACADQLNKAGHFVTVYDRNDRMGGLLMYGIPNMKLDKSVVQRRLDLMAAEGV TFVPNANVGVDIDPKQLQAENDAVVICTGATWPRDLKIPNRGADGIHFAMDFLQLNTK SLLDSELQDGGYISAKGKDVIVIGGGDTGNDCIGTSMRHGAKSVVNFELLPRPPVARG RDNPWPQWPRIFRTDYGHTEVAAHFGNDPREYCISTKDFVLDDEGKLKGLNTVRVEWT KDSGGRWKMEEVPGSEKFFPAQLVFLALGFLGPQNEVLQALGIKQDARSNVQTPPKKY STNVEGIFAAGDCRRGQSLIVWGINEGRGAAAEVDNWLTSGSSRLPSAGGIKTRTFGP PPSKIAPDVRA JR316_0003627 MRFPRPLALRCRPPAPQRTRSLFNFLRAKKPPSTEPVPVLSEDN LFHPFSKSPFPAVRARGEAIKQLAPCPVCASSHGHIHAHTKAQPKAVKHECPDCGWPT HCSEEHWAEDEEHRKYCSRLREANEDEHDLRSGRRMREFEMPGPQGYEETVSLANWDV FWYTRNFPSMDTERSRRHASKLLTYPITIGGALHQHSGLTLSNQRVTPEGSRSLAALR STLHVPPGAPETDEAAVGKPQVRIFILGARAESSLPPHVWEQLCMLFPSTHFHLFFIG PQVSLPKPPKATVAPTMAKKAESAKLAEAPDQSEMREEKVTYLPNVYQPPTPQPIERL KRTRSSLERYGVPSYTVPYTPQLTITGMQANYADVHAQFQHTLDPYSDLFFFFSPGFG FPSPDSFSENGEPLLQIASPTEWGPVLPMLLASKCPIFVTGFSPTDVERDVRSLSTAP EVAGEFEWVITPGPNSFGSEKWEVADFDPRVMVKTNWGIWAIRGKSRDVQEQRSIFSS ILPRLA JR316_0003628 MARPSVDEEQTPLLRDFGDDRPEQNTTNESNFGDRIHAIAQEPL TPLTKILLVLGLVLLLTSSIFIGLFAGAQHKLGLDHGGGEHKTVTVTLTSTSVSTSTS ISTTTEPVPPEPTKEPSEKRCLEPHCIILSASILSSIDTSQDPCENFYDFANGGWLKS HPLPPDKPSFGKFEALAQENKQIIRTILESSTSVSPLDDQILTKLRDFYSSCMDEDKL DEIGTAPLRHLVDTLRKLYRGNDTDITAADAGAINVKGLTAALAFIHSRGIEGLFSFD IEGDVGVDPNHMVLWFNQPGLGLPSKEYYEEQAILEVYNIVLERLLITLTEEEEESAD SHSQSSVLTTNEEHNVWPPWPWPPWGGDDDSDKKPVNRTLEAQKLAKKVVKFESKIAQ ASLDLDIIQQDPVATYNPVPLANLTDTITQIHFPTYFSAFTPRSYPDTVILTYPDFAA SLSNILNETSADVVEAYLITRVALSLSPYLGRSTEAWQAHRTLLEALTGIKKGAVGDR SEQCIGQVENSLGFASGRFFVNETFGGDSRDKGTKVITDIVESFKTSLKDIDWMDAQS AKAAAEKADAIRVKVGFPISPDTRNPRSIISYYRDVKANKLDFFGNVLSAGASSTFKT WSQLGKSRDLNTWEMYPSTVNAYFNPPSNEIVFPAGILRPPFFSVEWPNYLSYGAFGQ VAAHELTHAFDSAGRLYNQEGKLKQWWTNATSEGFKVKQDCIVEQYSGYAIDDGKGGK VHVNGNLTSGENIGDTGLIQAYRAWKAQFHTSEKAGTEYLLPGLNYTREQLFFISFAR IWARASSTAAAVQRIRTDPHSPTRFRVDGTVSNIPAFAQIFKCSRKAKLNPPNEDRCI FWS JR316_0003629 MPFLNLIARWGTLEGRDNSKPLALAVLWISIAVVLAMTRVAFLA YSVNMLLIKRFTPDTSSLGTTMSLVQICICFSRAISPAFTSATYAFSVQYHIFGGYLW VIIMVLIGLTSSRFSATVVLESSKLYDV JR316_0003630 MDTETLFALVASQLPEKDLSFTQDTIMDALLTSNGNVDAAVRYL LDTRTTKTKKRKHINLDTWLRSSSRSKQVKSKLEGPGPSSTMTVSSSNHIQQSTSSAL NSNSEKTVNLLSVLRQPPSLKKEPSRLPPMLLSNPQMVAKYTPCTMHLGVLPPELACR LFHTMINASKNWKRNKWWLFDRVVESPHLTSFFARKTDGLDDDENWQEAAQYWYNGRM TEPPEPFSPEMEEACKIIEHVVNEEMKNRKRFTLEWAGKDGADPLWRANVAASNCYQG GRESVGFHSDQVTYLGPYPTIASLSLERHKPSTFRWPTTHS JR316_0003631 MVSKSNSNRSSKKFIPAVLALIVVSSWFLSPNTPHTPDIPGSLL SGVDFLDYSHLSSHCETISAIGISEYTARQIALAETLYALNASAYIAEPGANTHFYGN FSNSQWYLSERPLLLIISPEISESMDGQKILPRVTVLTPKFESARARMLPVPSPNGTV HYVEWAEEENPYAVVLSALPMSTAGSSKSRTIFVDNSIRKFIVDGLLRASEPQRNSTV PAVEVLSAPLDITQMRERKSEAELQILKGKGHTFSTYLFEVSHATLLAIREVHKNLYP GIRESQARSMMATALGAAGLKDGGCLTLFGENAALPHGSGTDRTLSKSDFALFDCTAS LHGYYSDVTRTVALASAGISSEQLNIWRMVQSAQSIALAVAFDGTVTSTVDAAARAIL ELGGYRQYFTHRLGHGIGLEVHEPPYLRGGSNDIIRTGHTFSDEPGIYIEGKVGVRLE DCFYINEHGFGVYLTEGVGGQSKDPWNP JR316_0003632 MSNNEIPIVVAPRPVRLSPSFFAADRIAFNVPEALSRASSRSAL PSEALEEFLSILTPSFIHKPRSIAFPALLPDRNISFRQVQQLDTHDSSLNPESPKSDS KPAHVETDVRWFSSVLLSSPVSRMHTRNPFQRSRDARSPPPLPPLTPAAVPLPPSPAP DSIDTL JR316_0003633 MDQHTPLLDQNLPAQAPATATAPAGQLALLCAVRFIDPLTFTQI FPYINQFLSHLHLIEHQSQIGFYSGLVESTFAFFQLCSIYQWAHLSDSIGRRPVILAG TFGLAVATVFLGFAASLPAILLSRSLAGICSGIAAVLHAVLGELTNPSNQATAFPIYG LFWPLGNIVGPLIGGALADPAAQFPGVFDYPFFRDYPYFLPCFVTGMFALLVGVLVAC YLEETLPSKRAGRNHSVLQESPSTVESTSPQVSSQVRFQPHLDAAGLNDAPPPMTMAQ LLRIPVIRALTFSGVALCFTATAFDALFVLFCYTPIHLGGLSFSVRIYAHVILHQVTN PVLGIAHWLLPRRSGHIVHINSNIVPADPPHPYQSRKVI JR316_0003634 MSISERVAQWPTVMPYDKQSVAVPGTKRPGQTAHYRNGIWGLVE ETAPDLFVTLDQIFAEGLLKSKNKPFLGRRPILSTNPLKFAPTYTWQTYGEVDVKRRH IGSAVHTLFTQGVLGGGEYPTVGIWAPNCPEWQIVDIALQSYQKVSVSLYDTLGKDSV AYIIEHSHLTVIFATSEHIPLLLKTAHRVPMLKAIVCMDPLSAEASKLLREWSESQGL IFKEFAELEAFGKANYVEPIPAYPDLVASICYTSGTTNNPKGVVLKHKNLAVATHSNM YGLMLPEDACLLSYLPLAHIYERVCELCTIAVGGQIGYFTGDPLRLLEDAQILRPNFF PSVPRVLNRVYQAAMVGGNVPGLKGILFNKAVQTKLATLHQTGEVTHAFWDRLVFRKI QAVLGGRIKLVTSGSAPISSDVMDFLKIAFACEVAEGSGTVGPPQPINEIKLVDVPAM NYTSEDKPNPRGELCVRGANCFTTYYKDEKNTKETVDEEGWVHTGDVVELDSCGRVKI IDRVKNIMKLAQGEYVALEKIENVYSSTPLIAQIYVHSDGLQSFLIAVIVPDPVQFSG IVSSVTGNKVAPEDVAALAAACKDSRVISHVESLLMKIGKQNGLKGFEIVKRVHLSLT MFSVENNTLTPTMKVRRKDAYNMYKKELDALYALGEPSSKL JR316_0003635 MYSGYNPPPRPHYHSNTPESHYGRPAYPQAQPGFQQPYGPPPGA DPQLWQWFSNVDTDRSGSITVTELQSALVNGNWTRFDLDTVKMLMAIFDTDRSGTINY NEFAGLWKYITDWQNVFRHFDRDRSGSIEGRELAEALQSFGYNLSPQLLSLVEHKYSS EPVSGYGPPPGITFDRFVRACVSVKSLTEAFQRVDTDRDGWVQISYDQFMNIVLSAP JR316_0003636 MARLVLHRQSPIAATINVTKRWSTSLGIWGASAGAAALLLLSVT PLVRREVLEKVPVLGSYYEDKTPASDKSV JR316_0003637 MANPRQRRKQRSSSHRAVSHSRHAKRNLKKTPPIRGPKALQDAW DKTKTVRQNYAQLGLVVTLDPLASGGIEKPLGRESASMDTTHDYEPVASTSTAPTSQP NVPVGFGRIKRDADGTILGFELNESVQEEATPKTRDLEEELESRMDQDVRQKWSTHFS SQIGQRDENLIKSLEEISGRATGTTTLSIPISGAGHRHASSGELKYLQPLIAKYGDKV ESMANDLKLNPEQRTAGQLRRALRKLQ JR316_0003638 MSPRGPASATSPTTRTSSTPYSRRSASQPKSSRQQFSACGACRM RRVRCDLKDLPVGFVGPHPACSNCKERGIKCVDEFADVKAVKLLRRGRRLQQVEAIYG KVADQDDSSSGGLNRPLSIPTLHIDFFASPFWRWLTIQRPILDSHEFLSRFTAHMKGT QPLTSEGGLIAMLLVTWAASVGLNERGLPEDDTVTDDQSTLGGGSPLEGSSSRPAASG KYQPPGSRRREWKTKTESYIRELLELIDLHGILRRPSLDGVRALLLLLPLLDEAQPLE RLAISEATFSHIQALCVIAPSPPFAYEEATARARLFWYAYTHEGLTTGIRGGRFVLNS DDLEAFQRTLPMNNNTGHSGLNSPISGPLDGIDPYHLQDSAAFNGQESPARRTLMQLM HSSAAPLDLSNLCRKIHTVLTGVKATRRTEEHGVIDADGMRDIWRGLDRCWQELEVMK QQISEQDNLMRRLEINQYVSAWQIFIFECHNVIRESLKGSLSSSSAPGLYDPSSSRPS SHSSNSSPYLSPHQLHFTANRKCLQLLPQIINLIRTHTPREHSDVPSIFRWDAGLVRD GCFFAAYLVANLEGDFVDGGSEDEKPKFIDLTVDEGVSICLTALATMRWGYSKSEERE ETIKMIWEGRKQRRQGRSHHVPLYDSDYPQPMALSGPNVHLSLSPSPSMAGSSLDGRP ILRPLTLYPPQRRVESAPSTACSTDDRGSNAWPSYTPPGTSTSVDTSTGTGFSRRGSP VFSNMSGFKSADDMFYHGVTDVDQFSYNVPVSTPMIRNTSSMVNGGYGHRHSPIDSQA LVASTGSNYTVTTPFNATYTSILTQLDFSSCIQFGENCNAGYH JR316_0003639 MHTSEYDYLFKLLLIGDSGVGKSCLLLRFADDTYTESYISTIGV DFKIRTIELEGKTVKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDNDTFTNVKQ WLQEIDRYASEGVNKLLVGNKSDLTSKKVVEYSVAKEFADQLNIPFLETSAKNATNVE QAFLTMAKQIKDRMGSTSTPSGAAKSSTVTPGQTVQSQQS JR316_0003640 EESYYGLDLSLLTLHILRPPLIEGRTTEEKYEKDGYAVKWTFVN ELELIFVVAYQRILQLTYVDDLLAAMKRLFIQYFEPFVASFVASLHNIGTIKNAAAEG PSWDFAKAFERWDTLFDKLLKSLEEKAAQDRKSRLRSVVRPVEDVTPPSDEPDTVPSI NPDVPQDEQQIARNVQALKSRLRGRGGRRVTRGRADLSSKDSPAESDSDTQSKRKAKA KVQRKWGDEPVTESDMASLDFSSDKPSAGVDIGSHDLESLVDKSSLGHRTSDGLYEVK DWDFSRDKTANDADDAIARALKPLDSRTSGAEGSMGAFSSIFARLTGSKTLTQSDLKP VLDAMKQHLMKKNVAKDIAEKVCEGVGESLIGKKVGGFQTPYNAFRTALSTSLTRILT PKTSTDLLLSIRTKLTAPLPSNQARMPYSITFVGVNGVGKSTNLSKVCFWLIQNGLRV LIAACDTFRSGAVEQLRVHVRNLSMLGVNGSTNSKGRVELFERGYGKDAAAIAREAIL HARDNNFDVVLIDTAGRMQDNEPLMRALAKLVSVNNPDKIIFVGEALVGNEAVDQLTK FDRALRDFSSASGAGNGRGIDGMLVTKWDTVDDKVGAALSMTYVTGQPIIFVGCGQTY TDLRQLRVANVVQAILKD JR316_0003641 MRPTSVHSAVTTSTVSVQPPSLPSTPVANGNNFGSSSTFTPSES ISLSSLSSISSPIASHSDPESSAPHQSSSSYSVITFSTTNENGYTIDVTSTSFFMPLS TNSASNGGSINNAKTDSTSAASKGANVRAIVGGIVGAITFILLLLFAIWTIRRRKKNR MAPSSEFIRSYGTPFGSGSESRFRHLDDSTYDADAQSISNFPFETALSHGTQESYRSY FHQTREKSDIN JR316_0003642 MKVVPVPVREDNYAYLLIDESTNKAAAVDPYDVPKVAAAAHEHG VEIVAGITTHHHFDHSGGNKEFASKYPDAFIYGGSDKVPALTNLVKDKDEFTIGNNIR VKCLATPCHTQESICYYVTDAVEGTHPGGVFTGDTLFIAGCGRFFEGVGAEMVRALDY LETLPPKTIVYNGHEYTAGSLAFGKHIDPENPALARLQEITRNNKITTGLTTIADEKE WNVFMRLESDAVRKATSATSDTPKSAIMDALREQKNKFKG JR316_0003643 MAPGKGWFNLESGSSVIPDTSFFEGDSAFKSLGLSRTTRLYGFA GCLIAGFVLSLLGTVVFIFGMTVLFAILYVLGTIVSLIGTGFLIGFFKQAKLMFKPVR VIASIVLIASIGLVLVGAFVLNNGILCLIFVFIEFLAYTWYTLSYIPYARAAVTKAVG LG JR316_0003644 MDNRANLHETLFSRNSTPPAQQPLPQYPSVRSAASSSTPSIIDT LFQNITAPAPADHQPTLPEPHAPEPYESPAINPGLLADETATRSSPNAGSVAERQNAL LSLIGGPAATTRPPVSQQPQQGPSQAPQQVPTPPGSSQRSNASPSGNDTQKILEQMMS GSSSRSTTYSDTQRTNTQQAAPSPPYSQRDDYRNFNQYEHSNETSPRVQTLPQPVQPI QQQQPPPPPLPQPAQDPPSPRRSIFEFSSVFDHISTSVKKKPVPPQPSAISSGNEDSG SWTNVVDPKRQSVENLLENLTRGQPQQLPPQPPAYEAYLSGGDFSQVEQSSSPVRAPL PPIPAAAKPTGVPVRTSSPHPSPPKGQIDFHSQPQPANTISVQAPYSSGLSFTSSGNR RDKEGSPGPRGGQVPNQGQNQPQNQNSNYNARQKTGAKYKAPVSPSPPSQVVNIDVSQ SLDDIQAGRDSVKWTPIALVKQDAVFLPGTTIGATHWVAYAMTRGRVRVISRSSGDRT LLQLSQIFSPTASVIDMAVFGNRLAGVTSDGGFVVWELPEVITDDVPGHLLLCVPPTT DPHDAIRAVKWHPKDPNTLAIAADDKIYVIDLTNTTALHGQPLPHNELQHIGHLFTVS SPVAAFDFDVEHYALAAISEDSTLATWNMQDGMAYSVNKIRGDDIPSSLTFVEGGIVV GRKSGTIFQLLSATTKTVLSTVNFINSSHQDDADMFGHVSYDSRIQTLWVANSRRDSL FAMKVRFENAYIGDEENLRGYIDQVVEFSGIKPTIHFVILTADADPHGDEAHAACIAA KVIPGELALVGFSVHSTGVDQILIRREWFEAALIDARSKLPGITFPQSHAPDKTQRQP LPSVPSSAIQAPPNIGTFAPARNHTPTSDDVENEFSEARLSDAKSKGGAKGKNVNWKE KEDAGKGQEKASKPTDAAIINDSSLGQALSKEIKKTEENLHSRIGKLISKEMDKQHQR FEETRLHEQAEDFARQEKILKLISTELTRNTTRVVEMAVKNEIQNSVLPSLETITRNE VKSALNDQINVGLIDAINRSLPVEMEKLLLRPNISNHFANVISTTLTPMIERNIKEVF SANFFQFHSQQTTAMHQDLLRELRSEISTIKTDLNKWHNEQLRGQENTIRDLEHTVRT MAEQMKFLSMSGPQALHHIQPPPQQVQGSSGGQPVGPQQPTMNTSHLRQPNIPPSNPP VAPYQQQHPPFQPAPPPQAQPQMHQTWYNSIAAPQPSHPAQLPQAPVSQSQQERTPPP KPDQWDESYLGVLHSQDPNKLRELLSRTNPDLVFPLNGTPLVSQAVILTLVHRLSTVV GEVAPNDETFKSSLWWLQRVASLLRPDDKLIADFIPRVVPTVQQSLNTTKQRLAILPG GLGTIEAARQLSDIQESLRRKIA JR316_0003645 MSALKTHPKVYWIWNHRMWCLEHIPEGPGQDAETIFGWKQKAWD RELFVVEKMLDADPRNFLAWDYRRYVLASMPVPRPESAELVYTSRKIGANFSNFSAWH QRSKTLASLWGQGKLNEEKSREQEFELLRNAMYTDPNDQSVWMYHRWLVGTDGSKELL NREIAAIQELLDEQPDSKWCLESIVHYKRLLLKNWVSGAEAGPLISDVKSLLHRLEQL DPARRRRYRDLAHELDDDGTRSKQ JR316_0003646 MPSVAQKKTSFEELSAYFPLTFPTPSPTTHPDLISTQDLHREED LLRNPDSFRAWWSAINGMRENYTALQKTEANMSKFPENVLTVLGPLTTPLARQTLQRL TYTYEAALIQFPNSFKLWKSYLNMRMSFVLGKPVVKKKAGGKKKFPEMKDALEEAAED LQEWESSLDPIVGWEEWKALIATFERSLMWLPKLPRLWLMYISIFYHPRCPSILSYTH ARRTFDRALRTLPPSLHARIWTQYLLWAEKKGGEVTVVVYRRYLTVDPSLTERFTGLL LSPANSAPRPLEAAKLLLSLARKAARGEYISPEGKSPYQLLEDWINVVENFSEEVGLD VDDTDDSNKAVAEAEVAEIEASKTDSSTPSAASTSGPLIRMAGPAIPVTADGKPTAPY SEDEDPASPRKLNIEHIIRKDGLAVYKDQAGRLWTGLATYWIRRAELDRARDIFEQGM KNVLTSRDFTQIFDNYAEFLEAILDNIIKSQEVGEIDEEDTEDVDAEMDRRMKELDDL TDRRPFLYNDVMIRRNPNDVQEWEKRVALWGENDEEVIKTYTKALETINPRKATSNLH RLYIHFAKFYEEGGASGEAEPDLNSARKILEKATKVNFKLVEDLAEIWCEWSEMELRH ENYDEAIRVMQRATAIPKNVKINYHDHSLSAQARLFKSLKLWSFYVDLEESYGTIQTT KAAYDKILELRIANAQIIVNYAAFLEDNEYFEDSFKVYERGVELFTFPVSFEIWNIYL SKFVKRYGGTKLERARDLFEQALEKCPPKSCKPLFLMYAQLEEDFGLAKRSMSIYERA TQAVADEDKFEMFSIYIAKATANFGLPATRPIYERALEVLPDRQTAEMCLRFAALERK LGEIDRARAIYAHASQFCDPRVNPHFWSEWNTFEIETGSEDTFREMLRIKRSVQAQFN TEASYLSAQTIGARSGAKNTEESGASQDAMAAADKQARNGPAFVAAKKSVAVPEEGAE SAPAPAPQAKNDEEIQISDDEDI JR316_0003647 MSSRLASFRGPSTPTTSPALRQQQPNSPSSPSRYTESTYHRKTR TLLQELRSVTETWDDLVLIDGLKAARQLVDTRTDLDNALKLVPDRRPNTHLVGPKLII MDECIARLDAVLAKLQKQFQRMNSIIENLELVLIDAHKVKGWQWVQETPLWVTWPLEA FVTRVPEILKPYHRSLALHTQLVDSLRKHSTPFEVSREIVNKWVEQPWLEGAGWEAVW EDICEAEVERWGGA JR316_0003648 MARKRKNRTHLKGGVTKDASASNIPKSIIIKHGQVGHSIAQLVR DMRKVMEPNTASRLRERSRNKLKDFLTMGPALSVSHLLAFTLTPVAPSLRIVKLSDGP TFSFRIERYSLMKDVISSSRRARSVGMEYLSPPLLVLASFPPPSPATPPHLPLLMKSF QSLFPPLSPHTLSLSSARRVVLISYNAERGTVDFRHYIIKVKPYGVSKRVRRVLEGAA SSAKSLSGVLDLGNEKDVADFLLRKRGEPGPEGGYESAASSAESTMGDDADAVDLAED YVGRNNRKGQRRAVRLDEVGPRMELRLIKISEGVPGKEGAVMYHHFIKKSKKEVMQQK AEHAAKAKLKKERREEQERNVQRKKATKKGREAGEDDDEEEEEEEEGEASEEENEDGL GVWDDEEDISDDEEAEEEETSAAESSDDERPPIKKVKTKGRR JR316_0003649 MSTPASTPDKCPVDHSSFSSPPSTSAPVSSQACPVDHTAQSTWS RFMSSGSSPTPSAALSREREISSIPKTGNEKWVYPSEEQFFAAMARKNHNPQAADMKT IVPIHNAVNERAWSEVLKWEEGRGGEACGGVKLVNFKGKPREMSPKARFNTLLGYAAP FDRHDWVIDRCGTKMRYIIDFYTGHSAGAPKENVSFYLDVRPALDNWEGVKMRIQNLW PWKN JR316_0003650 MPSSAPVFSTPLTRLFKINHPVMLAGMNVAAGPKLAAAVTNAGG IGVIGGVRQSPKFLQGSINELKSHLENKNAPFGVDLLIPQVGGSARKTNMDYTGGQLP ELIDVIIEGGASLFVCAVGVPPKWAVDKLHAAGIPVMNMIGHPKHVAKALAQGVDIIC AQGGEGGGHTGDTAFSILIPAVVDLCKNAKSPLTGEPILVVAAGGISDGRGLAAALSY GASGVWVGTRFVASVEAGAPKTHKEMVVSAGYDDVVRTLIYSGRPMSVRRTPYVDEWE TKRSQEIQTLVSEGKVPHEVEMQNHPERSLAGRSWLMGRVAGSIKDIKPAKEMQICSV DELVQTAAQSLNAAVSLQVPRPKL JR316_0003651 MSTDNFIVTEAQLAIEEARKQKAERTKSLGDPIELIGKALAIEI QDSVAWIGENTNVARLLDLESGKTLHLFKGHTGPVTSLAFCDRTPGSGDREILITGSW DKSIRLWDTVSKRQISSTPNAHADFVKTLHVFSSLNLLVSGSSDKIVRFWDISQPTSS EPLISLGSISSHTRPVECLDGEVVSPDAAILYTGDTMGVIKSWDLIRESGSPSRWKAI HKQTINHHRTRINDLWYKNGQLWTASADDTVRVLSQDASDGTTKLSKPIMQPVAVRTI LPISLTDLGEPYLITAAGDVLRTYDVSELDEPDLISEIDAHWHDITAVKLWMRKTIGE DGKTRIEPWILSTSLDKTIRRWKLSELLHPATPPKKTPENLVAEPTPKPASSSELTEE EERELAELMDD JR316_0003652 MPSSLSRPSLSLPAPFQEPRLVCPDAPLTPPLSPKHSHIPDIRD DVDCIPDTHNPHTLPSDLMDVDPDAPEADSPIHPMPRPQRLLEDEKEHLQRSGIKLSD FEVRGTLGTGTFGKVLLVRHRGTPSSQGTQSYFAMKILRKTEIVRLRQVEHVNAERYI LSRVHHPFVVDLFATFQDSLNVYMLMSYVPGGELFTHLRRAHRFTPDVTRFYLATIIL ALKYLHSFNIIYRDLKPENLLLDSRGYLRLTDFGFAKIVDDRTWTLCGTPEYLAPEII QSDGHGKAADWWACGVLCYEMLVGYPPFFDESPYGIYEKILNGQIHWPKSMDRLSRDL IKAFLNPDRTKRLGNMIGGPQDILDHPWFRGVDWDALERREINAPIIPRTSSVDDTRH FLHLPLPPAEEIPGLTGQERHSTFQQLFHPSASQFLEF JR316_0003653 MSTSATSWHAALESLPATPEKIPAFFFAHGSPMLAFPPTEGSDG GMMGYHGPKGPLAQFLKEFGPTLLKKYRPKGIVVFSAHWETYGERLVSDYPENPLLMD YYGFPPELYQLKFKSKGDSKLAQHVVDLYKKAGQKARLTTVHESRGEDGRGFSGPGLD HGVFVPFRIMFGEEFTEIPIVQVSIDSSMDPEKNWELGKAVAQLREEGILILAGGLTA HNLRDRVSFSPDTARAVHKEFDQAIHQAIATDSAEERKKALFALPRHPGFRASQPRED HFVPLYVAGGAGEGGAVHTIVDLYGLASFAFGI JR316_0003654 MAARPAVGGWLKGKTVRKGGALPSNTHTRSSTPQVQSTSSTVFG GGVKDASGRTSEFFSMDDQCPVSPCPICNKVLRKLAFTPQTFEDLTVEKEVAVRRRIA KEFNKRREDFPDLRSYNDYLEEVEDITFNLINEVDVPQTEARIAAYRAENAALIELNV QREEAYAQNLKEQEEAERRERELRASQLRREEEEEREEREKDKREIIDKLESSDKNAA KLIAKSRANALKRSSARAASTTVIQSNAKLLRTRAATDIPDVPHVPFNDNFYCYEDMY SMRQGYDDFFSEAVRKDREGIMRAGGYKVEEAWERALRFAVAGLDLAPLVGSEGVQES FPPPTESTGDVVMSVS JR316_0003655 MPHSEPRRTNVDDEIEFQSVRCAICSMNLDALSISHRQLHYDKH LNDLDKQVEGSSSMPIDVDSMSQSRKQYHNRKAISGPVKGKKKPSGPFPCMKESDDFW YAAQANPPPPSFTPGIIPLLRKALVKSHARGQTRKAVLCYENAVHCNREPWDANWGCG YRNFLMACASLMNQTQQPLYFPLLDFPLSPSIRNLQTWIEAAWSEGFDNEGRTELKKL VGTKKWIGTADLWVAFVSRGIPAELVDFDLSSKSKESVSDLVVNWVINYFSLNQLNPK PSNAYESLGISTIATSDKMPIILQHDGHSRTVVGYETDKNGVTSLLVFDPSYKPSSNV REAALAEFSRMCNSAIGQSPTTLKRKYSEIQNDQDLGVEESGQTGTLNPKTPFSPIKN GLFKTGSSANVEGGLDLVAMLKKFRLEPKRLQKKKLYQILYFPMTAPLTDYEKSQLKQ VRSTKI JR316_0003656 MSRSYDRALTVFSPDGHLFQVEYALEAVRKGTCAVGVRGKDVVV LGVEKKSILQLQDPRTVRKVVMLDDHICLAFAGLTADGRVLIDKARIECQSHRLTVED PVTVEYITRHIAGIQQRYTQSGGVRPFGISTLIVGFDPHDSKPRLYQTEPSGIYSAWK ANAIGRSSKTVREFLEKNHRDDMEREESIKLTVKSLLEVVQTGAKNIEISVMESYGKV TNLELSEIEAIVAEIEREKEAEAERKRSRLAATAAGQAAMTQRAGE JR316_0003657 MSILNPTHSPTPALLKHVLLSEILAVTTVMRKNSRWASSMHTMT IRESPALGTNMGLRISSPVNQTRVSVRYTREAELMAGFLELKRSVKDMHGPITSAALS ALHSFFICGLISPNSPSLDSTLIELSNTISHCKFEASDSSGDEVVLLRIMTVIQDCLC GSVGSGLGDIEVCEMLETVLTTCCQMRLSETLRRSAETTMHILVRTVFSKLHTLDPEE EEAKLLTGNDDEAAEIELRVSVPAKDEKAVSEESFSAETAVEQDQKEEQIAELEKEKE KEEVEVPPTPMSPTNRPEYGLPSILELLRVLVNVLDPNDQQHTDSTRLMALGILNAAF EESGSILAQFPSLKAIVVDPGCKFLFQLARSENNNVLHWALRTISTILDTMRKHLKLQ QELFLTFTIDRLTPPASILPKGQGNTPMLGSSKSRNYSPSPRPGTPNANSPLLMPADK SDSDSAAASPARLSVPPARGETRDLILETLSQISGHPSFMVDLYTNYDCDINCENLFE RLIDFLTKSVYPSNQGATLDSQRNVQYICLELLLSFVNDMTARANDDVQSTESLLQAK SKKQLILTGATRFNTKPKAGLAFLEENKLIYADLSPEVSKNRSLAMFLKGSSRIDKRL LGDFLSKPDNLDLLKEFVGLFDFKDKPVAEAMREMLEAFRLPGESQQISRIAETFAST YFAAGPAEIKSEDATHVLAYSIIMLNTDQHNPQVRKRMTIDDYKRNLRGVNDGSDFSA EFLQNIYDSIRKREIVMPEEHIGQLGFEYAWKELLARSRQTGEYIVCNTSAFDIEMFK AVWRPVISAIAYAFISFEDDYIIQRAIAGFRQCATLAGHFRLPDVFDFVVVSLSQATS LLSDSLPAHVPVYPVIDVEGQSVTVSRLSVEFGTNFRGQLAAVVLFNIVNGNGNALRE GWTQIFEMFQNLFLHSLLPTRMLQMEDFLGGVTMIPLRGSQPSRSQVRSEGGLLSALS SYLMTPYSSDMGVPDATEADVESTLSTIDCITSCRLDELYSQIIQLEPEALVAAVRAL EALAHERTVAKLKIQSDDSIPPLDDRGNHQLPYDPASVFLLEIMVSITSQAPQYIEEL WPIVFEHLSAVLSTPTEYSILLIERAVVCLLRLCQLLAQHPPLRDQVYVAFDLLGGLP PSISNLVGEQVIAGLILVIQKHKDIIRSQTEWHLVFAMIRSTMAHAEAARMSFELIET LAAEGPDNLVTPDNFSGLLTVLDDFATSASTLQEQHQHRGRRAEPLTTSNSPSISRGK KAVDLLPVLHKKLLTFLQASQVDEVQAWRNMGLPLLIVLGRQSVNAAREIRHSAISHL QRILLGSPLTNDADQKKVEEVFNRVVFPLVDELLKPQVAFRDPQGMAESRLRGSALLC KVFMHLELRESRAKTDFRLLWIQVLDLLDRLMNVNRGDQLYEAIPESLKNVLLVMNAV GILIPPGSGEEELQKTLWLNTHERMERFLPGFLTEVIPIPPQAQTPSTTE JR316_0003658 MPTSPAGVDNVSVRSSYELEHPKPLNIEQRDSPSLEQVPSATGM GYDQSLKSEGRPGDAVSRQTALVIMATTWTIVLMNAPMKVGWFAFHPPLQSLAILLFT FGIITLQPTNQPKTKAAGLMRHQLAIFVVGFPTIALGTIAVSYNKWLRGADHFTTWHG FFGIITMAWLVFQIFLGAGSVWYGGALFGGGMKAKSLWKYHRLSGYILFPLLLFTVHL GGGWSTWGEKYSYWIVRFVTYTVAPLAVLVGVYARIRPSKMQFAINK JR316_0003659 MHLDFRRKSHSRSPPHRMASDITLPILHFNDVYRVTPQKISRTE SIDVTQFAALVDDIRNNWPNRPDGKKDGLLLFSGDVFSPSVESSVTRGSHMVPVMNQL GVDVSVTGNHDFDFGYPHLCKLVNDTNFPWILSNIIDTTTSKIPQQLNEYVVIERSGV RIGFIGLVEEDWITTVSAWPPEFVYTSMKETALALSKKLRDPQGEHRCDIIIALTHSR LPNDITLAKELFAFSPNAQKTHPIASEHGIDLLLGGHDHMYFVGKGVTSWDGYDLKED VLGAESDEGDILVIKSGTDFRDLSEMAVTVSPTPPGSVRRMVISKITGKRHVTQPGYR SSKKMTKLLKNLLGSISSALKAPICRTDVMIDVRSYYIRVTESPICNWIADICRHAYD EALCQNGGRGSDGVFLCAGTFRGDSTYGPGVMTIGDILEILPFDDPTLVIEIDGATLW AALESALSTWPAQEGRFPAISGFRVTWDSRREPGHRVLGVWLLIPSNEAESNKEIDKS HYAEEPVKNEVGGRTYRIVSREYMVQGHDGFEALTKGKILVDHECGEMLSTIVRKYML GSHFVNKVIRKKEEKISFLRKTTLPTIHELEKEFKLAKADRSTAATRLWKYAANLALH RGRSKSYYQTHIKISSTEHMSSVDAYDGESIRKGRECRKVSTEPDDDLLLVHPHVDGR LVNVGKGAEESK JR316_0003660 MEISAAHAADTDYLVRQAMNSGYQWSSLLVPPAYITYVLARKGR GALSFNRFLRATWIGGLGGAAVFGGASYVRNAYSSEEPVRARRIETAYDVDRVRRIDH STIGSVLAAVLTPAIFWNRANIVNLILGGAGLGSTAGLLTHYGRTISGDPPAKIKAPP VPTP JR316_0003661 MAAEHPMPSALIFGGLNTCSRALAAFLVPLDGEAKVSFLRIVDK YSVHPATTYIGPEFTKVLANPNVEYRQANLTVPAAIQSAFDPPAGKPAFDYVFDFTGE VRNDRSELIQISNTFGIARLLGLEAANRKVKAYVRIQQPFYETSAKAPAAESEDVKPV EAMGIWWHESLRMLASIPDLNLVVLRIGFVYGPYTNFGTIISAMTVASVYGYLDKPMK SMWSPGKNPTNTVHIDDVAGGAWACAVWMATKGRSAADQEAGVKIPFHNDKGKVKEVQ GMTPPEQTPIAPLFNLVDDSKSTLVSTGKTISSFFGTTFEFFNIVESTVFKLMDDLED INEHHVEGWTEMLTESVPPITNTPLSAYMDKYTLDKHTVSFDNTKIKQVLGYQLLRPE FNHDNIKEVVDKWKAEGCWPNASKSPNA JR316_0003662 MSRLFLALFAALVVLASRFLYGHAEHAHMGDHILPQCTASQPYS LRYTGLAPLDAQICNYVVVFHSVLVPQNGAHTPIISYFAAAGAPYALLTVLNRFSRPR LDPLAYPTLWLMATQIFSFGLTFPIYSFLWVYTSNGRLPMPLRSFTRVDAESVLFAMV LGGAIPSIAMVYLLDPYITWLWQIYPVFIFLFRHLYLLIRPPSDSAQSAFPVLRFTYI ATFIAALLSHIVIVWPLFADWSALKTLIIPSITPLEPSATLRLIVLHFLKWDFLLGYL GAALASLWSAQSPKQLLAMIAWYVFSSPLIGIGAAFMALAIWRDARDQGSINLE JR316_0003663 MRPSMRAMQALRQGLSRSHSTSFIGLGRMGYQMANNLFSKQYAQ DNNAQFVVCDANPDAASSFCASFTSTYPSAVISVAETPEQATLLSSRIITMLPSSPQV KSVYSGSIIPTLGKLKEAKDTLCIDSTTLDVDVARDVAAQVSALGAQMVDAPVSGGVT GATAGTLSFLVGGPRDTFELALPILSFMGARIIHCGPSGAGLAAKICNNLVLGVEQIV VAEAMLLGQKLGLDPAVLASVINSSTGGCWASSVNNPVPSSLPGKSPPCERDYEGGFA TALMLKDMGLASNVAEKEGSPLPLGEAAKEIYSKIVQEKPELSTKDFSSVYKYLKDQ JR316_0003664 MPKVRTKKRKLPIVPEAASKSDPSTAAQSCRNTIRKYHVLMKRR KQLEQAPLEHKHELAEIDRQVSELGGLERYQQLSSLGQQEQRGGGSEKIFVNWLKELN LHRRSGTTGKLRLLEVGALKPDNYQPHSSWIEWTPIDLRSRHPSIAEQDFLLLDLKEN QSKWDAISLSLVLNFVPVAADRGLMLQLAYNFLLPDGLLFLALPLPCVANSRYLTFDH LKALMESIGFTEVRERWRKNGKMGYWLYQKKHPTPLPSQASQAFAKKTVLRTGNRNNF VIILDPSTRTSRSSINPP JR316_0003665 MSQKTRPRGNLNPSFSLQTVLTDSITGLLLALVVFPSLTALAVS TMFGRFKAAGMDLQLRRHCLPSSDVHTDFRLDYTGIGAIDKTLCPLVTFFHNIMDNPT SSSFLSYAIGISGPMITLPLLEAYRLGQSRFVLYPVVWGLLSQIATVGMVFPLYWLAF ILTEGAKVQRTYKVHSFTQAEAEAIIFGIVVGAVIPSLAMLFMADAHVTAIWQLYPLY ISIAQLVHMFIRPPSKHSQSGIVTIRALYLGLFIVSSSMHISTVWPLINDFSAIKELL LPSSSAIHPSKDINNHLLEFLKWDVIIAYSATALAMLWFSKNVKQLITILLWYAVAIP VFGFGAAVMGVAIWRDGILN JR316_0003666 MADTQLNKTTFATRVKRIYDSWANAQQDEDYESIADVDALFLIA GDPTSDEEPMRKGSCFQQWLLGYEFPSTLFLFQKNKIQILCSASKAKILSQIENVIKS VNIEILARPKGKDSTNDALPKFLAAYASCKRVGSLIKESPTGKLVSEWQGLVNESPSK PELVDMAPAISAFLAVKDEEELKWTQTAANLTSTLLKYHVAPKLESILDKESKITHDL LAAQIEARLGSGEGSAAKGPDMKVWAKGKGLENVDWPSVEFCYPPIIISKSSKSGYDL RYTVESSDDNIAHKGVFLVNFGMRYRSYSTNVGRTFIVDPNPDQEAQYNLLLSLQSEL LSFMKDGVSTRDAYQHALTYIKSHMPDLEKFFVKNIGFATGIEFRDASYILSPKNNRR LRKNMVINLSLGFSGLTDSSGQKYALNLVDTIKVEAGRSSLMTDGNKTPKDTLFFLTP DSDEEKQQKTSKKAPAVPLKNGTPMKQKTVGGKVLRNQTRRAVQDEVHQTALAKLIEH QRELHENLQSQGLAKFSEDGGGSSGKEGKGWKKFQSYKGEGALPSEVDRLRIVVDRKA QTVILPIHGFAVPFHINTIKNASKSDEGDFTYLRINFQTPGQLAGKKEDTPFEDPEAT FIRSVSYRSPDGHRLDNTVKQINDLKKEANKREQQKKEMADVVEQGSLVEIKGRRPIK MPEAFIRPALDGKRLPGEVEIHQNGIRYQSMGSQKVDVLFSNIKHLFFQPCDHELLVI VHLHLKAPIMIGKKKTFDVQFFREATDVQFDETGNRKRKHRYGDEDEIEMEQQERKRR ALLNKEIKAFAEKIAEAASTSNGDTLELDVPFRELSFEGVPFRTSARLQPTTECLVHL TDPPFLVVTLSEIEIASLERVQYGLKQFDLVFIFKDFTKAPLHINSIQSSQMDDVKNW LDSVDIPMSEGPVNLNWGPIMKHINESPFEFFQQGGWSFLGGAGGVESENSEGSDTES DFEADSEELAASSSSDDESDYGDSDASGSDESGSDFGGGDDSDEGEDWDELERKAAKS DQKKAEGKRAAGSDDSEDERPKKSNGKANGKNKAKR JR316_0003667 MADSHTKNLCVEIIHTHFGPLTSKLASVLLSRGRLSLFQLARFA DLKPRTARACVLVLVQHNILWHTKGDDGTEMFEVNVDDCIMRLRFGKFVWLADRIFGK EAADIIQVILDHGKLKSPDIMNQLSVYDPKKIKQYTLALHKLVSGAYLKPSTILSHLS PRDKCIQYESEEKRKISGFPTAKELREAKEVAQARLKREEEEAEQVGLKRKAKDQPGP RSNKRKTSEEEEVVNEDVYFRVNYARFGIHIRNTMIERAVKERYNLGASIVVQAALKA TENSQGDLSDCRSQPTSVSNIAVHIPEDAELSSGLVYPSKKVPTLTCVKDYLGMLASA DNPTPEGRASSFISYSSSKVQVEFEIIGQRMRQAIVEAVARDKHGPEGVRILRLLSST GKMDEKQISKNVMMAPKDVRPLLVALSADSLISTQEVPKSADRNPTRTFYLWYVDLQK CYQVILGNVYKTLFNIAARRRSERENSEVSAVLQKRERSDVSQDESLLTRLERETLKE WESKEEKLTILEMRVEETIFLLKDLSAYSQEP JR316_0003668 MIYNSSSGHPTLLTAAQFSELAQRIAKIFEQIQHSKLKNDTKNY VKLYEIQKKYASHVLKTDDGYDRLIGEHRFFKIFQDMVQRFILLKKGVFAADCAVTFI GGYVKFINEKVPTPESSVVDDEEDDEQEIEELGGKTFEARFIKTLLDYLLRGFDAKDK NVRYRVLQVVTGMISHLGVIHEKLYTTLRAGFIARAMDKESHVRTQALISLIKLLKET YPEDISDLNGQPSIMDVLLDRLSYDPAADVRHTILHHVPINPQSLPAILERCRDTDIN VRREVYMKLSNFEKIADAGVAADASNDLIDPHPENLTLAQREYVVKTGLGDRGATVRS AAKKLVWSWIDAIDAATNDKDVGIKKNPAKAWQTLLPAFLRLFDLCNGSKVAADALIG AFSERPVVIQKAEFSEQYWLTLTPQKTLFGRVFIEHCSNTNNDARLEVILPDVAAIAL KIRSVYNMIVNNVVTADGNMDEDHKPDASEDDADSRNVIMLELLKLALHLDYSEDLGK RHTLQLMRDMISNNSLPENFIAPCFDILRILSSSERDFIRMVVETITDLRDAVCFDEV ETIKLENVDDPSLEESSKSAANKITWENMTTEQKSRADNVDLRCLKMFSEMLKRVNTT FDKNSVLQGVVRDLIIPAMRREDSPIFMEEAWKSLGLCCLIAKDIAQWCFDEIVERIP TSSGDMKTILIQSLFDIVIVHRSGFFVTDNHKYTLESFTNLLHGKILQEEDPTVKALW YMGTAKLVIPGVITTVETMKVLLKAFLSPLTADNNELRQFSNYFFQRYSFACRPNQKK IADMFVEVFLDVSEDRKLFADLDEDMEAVASSSVANMFIELTDPFRVEAGSDIKGPNN ANDDVQLDMAQDVIRLLYGQGLKINLQKEDKKVLCQLLNKLHIPHVVDDYKIRSLKIL MDNLITRRPLKDSVCLNAFNKFQATISKKFEEQLEGFSEEDLRELEDLAEVFEFIDSM TPLDDDDDQHPFESRKKGKKRRSNSLTSVNESRPQSPDPSERRKPKRLRLSNVSVEED STIESTSKPRKTKVPKKPSTLIVAPEVIVISSDSDEEPVPPRTAKTFVPRPRTMMKEE PSQVEPALISSAGSLSLNEAPDDSVMDSEPGSEEEVNNLLADLE JR316_0003669 MSAMLETFDMPMHDYQNDLDIQMHPSSDQWFPDEAQMEEDGPGG TMKPENYSPPKGDNISQMKTDAYSQEKAEITIEVDMEPFLEHHNGAEYDMEADEDIHH TGGENLDVEVYDVSVAQSPLMIAGEIVQDGPSNFVGSFDHTEPATLSHHSSPSIAHIS DLPPLEPEIHENSSLHDVSVESHDFLPDSAPEVQAPEVISDPIVEQENSVPSSEAFLD PHIPEERAPDIPLPPEVTHISELPGTVADAPEAQEDVNDDYNKAPTLGDHADIETSTT VENALGDPHEISEGVYIDPPPPVLLSVSTEDHFDWFLFNESPVANTSSQSDGQSNQAG LVLLHHFPTLYYEPLSAVFDALRLDENIQSMFHLSESELILDAVDLQLTLREDNIYAR EVSIHDLNVLHDVSGIHGQLRMRLSASTPRFLTRYQYLQEHVSQLNLEPASDMPLAEI VESNITEEAPAEENREAQVNENADEEQVEEDIETRAEDQSEELVQTKNMQDNAQEQGN QDDGRTEVDTQTHTTESYNPETDLPPLPAEDEEEDGEVEENTSPVPDHYDSTFAMGGT LDMSQRPPGLETEVEQPHDFNANAISLEALEGPVTNSHESGDGEDGVRRIQEQDGNQL DGEGEEENSVVAGEDEDGDDEDYQTIGDSQELEHQGHLEGEYEYQENAEEEYAEEEPA ADDHNEYYQESDTTHFVEDHLDYVEEEEGSATLQIQSVDAPLAGFSEFDIVHPNTDEN GADESSVGPDSHHEQGSTEETLDDGVAYTSQLNTSERDCNNSETDPTDQISAKPETKP ETTSLEVGQDGQLESFSVLEKETDLESILNPNVEESFAWEDDFDGDGDPETPLEGDNE DAPSRSNHSSVTLSSKASKRSFDDFEFEEGYEDNEGHWSPPPSSPDPKRTRTH JR316_0003670 MGRGILLTSNLPQLQNLIKRDPVAYKEEFLQQWNHYNSIRQIFR INPDEQAQHYRELVSFIAQVSTCYPKETAEFPTQISSLLLESYGILSPDTRKCLVQNL VMLRNKDVITSIELLKSLFPLLPRTSSSALRTYIRKTILSDIKVANQKSQNHKLNRAV QAMLFGMVERGMGGEVIGDKGKLRASQGPTNSREGANTDEAMWAVVLTKELWRKGVWN DAKSVSIVAQGCFHPVTKVQSAALHFFLGSEEEDDESDEEENVDLKGLHHRREVNKKT RSADKKLRKQLKVAKKKLHNKEPSSPNFSAIQLLHDPQTFGEKLYDMLNSYDKRFSLD HKILIMQLLSRVMGSHKLCVLGFYTYIVKYLFYKQLRVPSILVALAQSVHDLTPPDAV TPVIRKLSQEFVHPGVASEVIAAGINAIREVSRRQPWAMEEDLLGDLIEYRKSKDKSV TAAARGLLRLYREVNPSMLKRRERGKEASMGLAGGSQPLPYGHSAEAAVDIEGLSLLE DHLQKLREEDGVSLDGEGEDDWNGWDVESDSGSDSDSEEEWINVNDDDDNDLVITDSE DEGDEKKKQGESLEDESTRISTLAQTKILTPADFALLNDLRMKAAVKAVETTGGSKAK RKLAALEASQKARNDEQTHDEFISENDILGPRKKAKADYAERMASIQKGREGREKFGS RKGKQNKATPSSSTNREKARNKPIMMILSSGAVRGKKKASLRDKQQKLRAHIDKAKKS GK JR316_0003671 MSHNEPRILSKEEMPASEAKKGKRSLIPLSNIQMKANVVYKANL GICRKKNPPPVGKYIIVTGLIDEGETVEEAAKRELYEETGYTADDIMEVSPVVVSDPG LTNANMQLVVLNVVMEDELTLPKPNLDPGEFIVTKVVEIAKLNAALEDYNEKGFVVDA RLSHLASGLELAKKLQI JR316_0003672 MEPFDEALDRRIWSLADTRLQWHKRIAETRRTVPVEIESTVSAL LERHRELDAILLPVGSEDLSEEDTTTDDDVNHRVEHCLQNTSALANELDQTISQQQER GERVTVIAKEVKSLKP JR316_0003673 MSRGGRGFGGRGGGAPRGGGRGGFQQRDFGPPDQVLEMGTFIHA VEDEMLCSSLMTDKVPYFNAPIYLQNKSQIGKVDEILGPINEVYFSIKMGEGMVASSF KKGDKVYIGGDKLLPIERFLPKPKVAGERGRGGRGGPPGRGGGRGAPGGRGGFSRGGG RGGPRGGGRGGFDGGRGRGGGGGFGGRGGGRGGSGFGGRGGGRGGGFRGS JR316_0003674 MATTASLPPYLLPGVAVHQPPHLEGDASFATEILPGPPSSASLQ QSSLKRDPKKPSITYSYLPPSDPGSTYSGLSHGTLIGQGDLDGPRSKRSRIDKGATGR AQRASARNQAGNSTAPAMESSTSTDLGTNSIAQPIIIDSDSVNGGLDKEPSLSRSNSS VNLVDPPPPPPPAMRGRPKKKDKGKAKETDTPPLHVKEEPKPFSLLTPEPPSNLLNNE DHCSSCRSYGSLVYCDGCPRAFHFWCLDPPMENVDDDGEARWFCSTCVARKNPPRKPP PSLLSAAIHQLQTSNPVEFQLPEDIRTYFKDVGSGPKGNYVDTSEIKPPRLNRLGQLE ERDPYRLRDRNGGPVLCFQCGFSALPTKLTTDAPPAKRPRRATSRGNPPDAWKSIVSC DYCNLHWHMDCLDPPLLTLPPLNKKWMCPNHAERVMPHKRRIPKQHAPPIEITRPRQF NNGNIEVIHPEYSSAPPKPNVNVDEVLINGRRYRVPERVIVLDFWNKLNKHNEHSNKE VDTVSGMSSPLTSLSSLDDSDDHLMSPNGQATSEVDESFAAKVLCDLSVARRFNHSHT RGTTVPAKKVIDCAIQTDTDPPKLPVVHPLPTEPVKKSAVKPPATNRSADATTTTNVK ISALRRRRASHTNPPEASTRELRSRSRNNVDTPLTTVSSRSSVKHAEDSNNGAAATTS KTKPIKVKLEEEEPVSLMKPPLFDFLATSSNDVQKTQRVVRTPRQIKAKEVDATIKDT KEKRGRKRKVRDDELPIGVAMENGVEVNGTKEVGKGGKEDKQEKTEKAEKDSRKMIKT PVRHGQLHPANHVTTTPTSSSAQPHSPALSITPSLKIRLPRLSNLNLPNNPVNMSSTH LDTPTRR JR316_0003675 MANTTVSGATAIHGQNPQFLVETVIRNRIYESTYWKEHCFALTA ESIIDKAIEVRFIGGVYGNQKPTEFLCLLLKLLQIQPEKEILVEYLRADEFKYLRALA ALYIRMTFRAVDVYELLEPLLKDYRKLRLRNMSGYSLVFMDEFVYSLLTEERVCDIIM PRLAKRQVLEENGELGPRKSRLLAAMQGDSDQEDKDSSKSRSTNTMDVDVKES JR316_0003676 MASRINAARVTLANLKPAPGSQHNQKRVGRGQGSGYGGTAGRGA NGQKSRSGPGIKPGFEGGQTPITKLFPKRGFVNHNEKTYAPVNLDRIQHWIDQGRLTS SPDNPITARDLLESGCIHQVHDGVKILGDGVQHFHTPIYVIASRASKSAIEAIEKNGG KVVCKYYNSLALQDCVEGRVDRISAAPTRREDIVWYGEHKNRGFMSPETLQSLEGMPF VEERWKLLSSELGKWREQKIEEKK JR316_0003677 MSAAALRPIVTICGTTGVGKSKLAIELALHLNQSSNKTQWRRAK IINADSMQVYKGLDVITNKVPVSERHGIPHLLMDFKQPGEQYVVGEWVQDTLKLIDEM HNNGELPIIVGGTSYWIQHLIFPNRLVSKEVDGPGQQKEAVLSGDLQNAVLKLPPELF ALFENLPPEPPSAKLDPDNAFKLHQLLSLLDPVISGRWHWKDTRKVLRNLEIIKESGK RPSEIIQEQSKVTTDSNPRFRTLCFWLYSEPVELGRRLDSRVDDMISQGLVEEVRSMR KLAENNSSSWDQTDRPILDYTLGIFQAIGYREFCGYLDQPTESSFKDAVIRMKLSTRQ YAKRQISWIRNKLLPAIEPASTYVHMYLLDASALGDDWVANVQNPAIRLQNAFLSDRE LPAPNTLSDTASNLLTVAPKDVNPTSVLEARRRKVCPVCTVQEDRPVMIEEGAEWEIH RNTKAHRKMASRASRNSNSDYRVKTNVENAQTT JR316_0003678 MRFNQLWLLVSLPLGFSASFRIGQGLTAALDQQCSAQVERQPVY AAAPTSSGTIYQVLSDDPKFSRVVKAINFVEDVVSLLDDSSVELTFFAPPDQALHHPD HPHKLLPPIAGERPEQTIGSYSRLKASIRQDIKTTGNVDEFKYISEFKNLEDAIELLD QFEALSNDDDGDDKKCKEILKFILRAVLHYHIIPESHDVHELTRNTTYPTKLTIPGAS DGQPLRLRVSQSVIPPFTAINFFVRVSHPNIKANNGIIHVVNHPIIPPPSVFQELYLA PRHFSTLTSALQRSELTTDVDLRYVHGKGFAGASLVTVFAPTNRAFKALPMKLQLFLF SPIGERILKKILQYHIVPDIVVHSNFVHHTKAHDLPDEAIAYFDAKPQIIGISDSNML FDIEERKWPVFSLLHSGLTRRGERKPHVEPVFSTNLTLDTLFVNHTLRTHISQHKINF PFPGPKRPSIIDTKVFVNHHPVVAADVVSLNGVVHVIDRLLDPRKGKGHHGHHHHHEK SSRVRKYDDDCHDRSHDDRHHHKHHHKHHKHHKHEETDVYAAEYDDVWSDWESWLIKW ADEQD JR316_0003679 MLTRSLTEWMCYITIVDQDSTRSDSSGRWNGLKINCFMKRHKEW LPCVNRGDVVILHSVKIEEYRGDIMGIGQHNILRWAAYSPFAGEIHYGSANNAPKEEI LADGFGGLFSPYYRPDEDDIQHCITLSDWWKKILEKDQSELVVPVSSDNLKRKHRLLC ETGPHVFPNGYFDCTVEVLRVYISPQGVHSLYATDYTLSPGSGSNSKEWPAVMNDYVL QFEMWNDAAEFAEKMKQGEYYSIKNARIRLNRFGYVEGKIAQAKITRLDEDDVANNIH FNLLLKRKAEWNSKHKADSDLFQLVQDAEIGKFFDCLVELLHIESKPHHMPKLYITDY SKNFDLATSCGDEIWSRNLEGCVIKIMLDDGQSHILKSATPGTILLIRKLRLKFNTVE GGICGILGGSEKLITPMNINRTDNVRLNDFIRRKEEWTNTVQNSHRQPDISKCENVHT RIRDLPLQNPDAEQYQLIAKVVSFYPPELKNAFYQNCSSCNKEIPKKHRACLKCADFD HEFVQFCYQMYVLIEDEVGDQVKLSIGDKSSLFKGLKRADLAKDSLALREFSARVKPL LGNLFVSHDRPIPDTVDKVENAPLTFQINTWIVVDGSQRSRAFGLERYSPI JR316_0003680 MHPPTPGFTKATKRATADDSLLHSSKRVKIGQPTSFAENEISEQ SVTDIIKYGVDETKWITGKFKTVYPIMNGKIETMAAIYSSTPTDNGHLISIVFQLKPR LLSKLDISDLDEFRLLLRGSNVDILQQPRHTCTLPLQLVFANHVHILWKSQEDESKWD TKTLNTWQSARKSANVDNSWYSSGAEEETPEEVGQSVHSPHIAEQPIVNTKADSNILE RDHVKQKRKRERLERKRQKQEAAAQASTRDDGPSQDGTSSSGSSFV JR316_0003681 MLRISARPSGLLLIIRIEIVIGGSWFSNLAELNQYPHCCNCSKV TQDKLELQGRPHTLSLCPYGCDFPPISVIQLSYFSFDPTLFESLDGHILQAITAIAGS ITIEWLCTNSHCFSFRSCV JR316_0003682 MIQELEWEELMGPKTWLKHMQDPSKDWKLSATSFSQQAWVKGKQ SRPFPDPLVKVYDVRTMRPLPPIPFSAGPAFIHALPNRTSTLVVVSLHGLIHVVDASN PAASIELYQLDFPSYATESSYITSGAISPSATYMAFGDNDGRIHIMSQADGEIPFNGY DGQPVPWANTPAPLPEIQWTKSTPLNVIGMPYFDTQLLSVWPSNLAHKASSYSPPPRI PPQILTSMKYNENIAYASLPKELRGRRNMTSAGPRKGVSRFHSGKNAMESEPEAEMFD HYDDEVPRIYRRVEIEYSKFGIEDFDFGFYNKTPYSGLETHILHSYTNSVIQVMHYIR PIRELAKGHTTINCPEEHCLLCEQGFISRMLEDAHGTNCQASNFCKTVGFLAQGSNAI ELMDYGREGTDVDYAQKIQTFHRFLIDHMKSEANIQPQNISMYPYGIPSQYPSISPIS QILGIAGQNVIMCSTCKGIRERIHAIHIVDMVYPKKVKTLSGRPTVSYSFTSILRSSL FRQITHKATCQLCKQFSSFVSRRSISSRQLPPILAINASVYNDDSLGFWQDYRNSTFL QPQISLRGQIGGIDDAEEAIYTLRALVVKITRKDRKSHLVAIVRVPEAESNDASSPWF LFNDFVVTNISQQEALSFQGQWKVPAILYFERQNVESMLDFSHLTQKLDPSILSRDTS ISINRNRSLIKHEFLAPDELPKPGTLVAIDAEFVLMQQEETEFRSDGTKKVLRPARLS LARVSVLRGDGPRQGIPFIDDHIHTSETIVDYLTEFSGIKYGDLDPTLSKYTLTPLKL VYKKLRLLVDCGCIFIGHGLSKDFRIINIYVPPEQVLDTVDLYFIKARSRRLSLRFLS WYILGEHIQTDNHDSIEDARSALQLYKAYEEFEEQGIFDQKLEEIYREGRQYVGVSLF JR316_0003683 MDLGLPAGAPSLGQPNDDAAAKQEQEDQMRRDMMSTVLDTAARE RLSRIALVSAERSKQIETILIRMAQSGQLRGRVTEAQLIDLLNQMEEAQGKGPGVQKS TIVYHRRKGLDDDDFDF JR316_0003684 MHCRSALLSSFLLGFAQLAFSAEINVVVGGPGVLKFNPSFVNAA VGDVIVFTMKQKNHTVTQSSFESPCVKAVNGIDTGFVPVPDTMTSDFPVAKLSVLGTD PQWLYCKQANHCQQGMVFAINPGDKFAAFLAAATGGASTASSSAPVSTTASATSTPPA SSVSSTVSSSATSTPTGVDHRIIVGGPGILAYSPSNISAQVGDTITFEFHQKNHTVTA SSFDTPCRALSSTSTTGELGFDSGFIPVSDADTVFPTFTIRVNDTTPIWAYCRQANHC GSGMVFSANAVENGPKNFSAFVDLAKQQNGTTTTPPDTSDAPAAFALSYPHLWISAAL ITILSF JR316_0003685 MPTITERGKNTDKVREIASSFFHKIADSLGPQKDDKPPLTPPRP SQSSNWKPSQSHTPQDNLVSSFNDLSISNGTSHAPTSPFVGGFNPTFPGVQGSPYAAT SPSQHANAVSTPNFTSTVMMPVPHSDYPQSLTMQMALRPETGSPITRPHSTPFPSGDA SSNPPSISSRPPARPSASGRKASESDASSTSSTSSQKSGQQQCAATTKKSNTRCTRMV KVKPYAYVSDDGDEVERFCYQHQKDVLAPTGCHRPHGDAIVFVEFKDWIPSYLQPDTQ ASLRAEMDRARTKSDGPGYIYTFEIKEDNNKETIKLKVGRTVNLAKRMDQWGKQCGSK EQFLRGFYPGTVDEDGQPVIGSLMKGRTKAGEKTPYCHRLERLIHIELTDLVSSSIYL DPSWPNIEIESPSTTGDSKSSSKKTKNASPPCPDCGTMHKEIFEFTRWRKGPNRGKEW EKLVKPVVERWGKYVELYV JR316_0003686 MDTKNQDFIEVMETMTSSLSAARAALKTIKQSQQNLDVKDGISL LSLKHHVFLSYLQSLVLVSAQRVLGKTLTERSPPSESFGSKEREARGNNPGDLIDSMI ENRVVLEKIDVLESKMRYQIEKLVRLADEPGQSTKDIEDPLAFKPNPMSLMQTDVPAQ EESSAQNHRESTNDDQGDGIYRPPRLAPMPYVEKSKNKERRNRAPVPSALANLAVDPS QPFVESTSGLGGAPSLASGRAQYLKRLKDFEEDNFTRVIMKKSDAKRRARDEEDLALG GDLGGGSGHRARRGAGTLDDEFSEVLRSVSRVTGGRGQGDGYEELRKRGKKMDVLERS RTGKKRDAPGDEGQETQRMKKRSRFELETKVAKKKIAKR JR316_0003687 MTQSAFFLRARAISFSCIMFLGLLWIIIHSLCLFAQWDVMDHFE RSLIFIMLLVNTATLIMLPILLINEFRPWLDATRCLFLILCHFGMAIFFAVKKSSFQC IYSNPDQDAVCDLIILYISVTSWFIPVLVVGYSCGLAYLVYRVSQTQDTTPNDVEKQQ VDASKSDEGESTPKLDTYHYAI JR316_0003688 MRLSAALCALISLSSFVSASPWREDLVDYNINVNKDATDPTQYD AERPNSTYTPSPENWRALPTYTILLDKFADGDPTNNDFFGTMYESDIRETQLRFGGDL KGLVSKLDYLHGMGVRVIFMSGTPFLNMLWQADSYSPLDFSVLDPHWGTINDWRDAID AIHARGMYFMADFTVGTMSDLIGFEGHLNTSTPFNLNEYKAVWKKPNYIPWNFSEYRD FQIGNERNTSCVMPKFWEDDGTVVKVETNGCMASDFDQYGDMEAFGVHPDWQRQLSKF ASVQDRLREWKPDVMAKISTFSCLAIKALDIDAIRIDKATQVTVDALAVWASRTRECA RRLGKTNFFIPGEVTGGDTFGSLYYGRGRTPTQLPPGFLAAANVTANQDEFFLREAPL NALDSVAFHYSFYRAIARFLGMDGNLQVAYDIDNNFVTAWNQMFVKNDFLNPSTGKLD PRHMFGSSNFDIFRWPSLESGTQKSVLATFIASLVMPGIPLLYYGEEQNFYLYDNGAS NYLYGRQAMISNQAWKRHGCYVLGSEQYFNMPLEKALFGCHDDWNALDHFDPTTDSRL LFSQFHTLREAYGALQDGFNLVQRGNWTYFIERPGSNGTGTEMGLWSVSRSALTGYQT VGGNFTGQVWLLFTNENRTRTYTFDCSSKDWISTPYVSGTTVRNLFSPFETYVLQDSR DSFQNNGGAPWTGCLPSITMDPYGFKALVPINQWSPPRPVITKFTPGHDYRALVTDPG ASNATTLNIGFEFNVPMASCDAVSRAITYTMASSGKGGNPTVTNVRCGAMTGTNTSPL AAAPVSAWSWNATLTNVPDGVLTITVNNAPAAPAAGAATGTGTIDHFLVRKGAADNVM VFPTNDYNTTDSFKMEGGKYTFTHKAFGADFFRYSGNFGKTWTAWTRWEDTTTIDSSV FKKNSDNFWAGDHIQVQYWSNATTSAAHMVHADLNYNGKPRRVPQFLARGPFNQWGYD AGINHLMELNPDGKWELEIMGSWPTYLQLNVWGFDDYFYGDADGDGVLDRLPPNTVAP NYLNISAPPSPHLSWSLLVDDSTMTWSLKPRGQVSVGIIMYALLLAIPLITATLAVLI FMWTFYGIRHNQYGVKVKSHTNYFPIFGNKSAADLKESTPMSEKIFGHKHNTEIIGWP EDKNKRRKVLIATLEYEIIDWKLKVKIGGLGVMSSLMGKAMTDVDLIWVVPKVKDLEY PAGEPAEPIEVIIFGEPYLIEVETHVLDNITYIILDSPVFRAQTKADPYPARMDDLSS AIFYSTWNQAIAATIRRHPTIDIYHINDYHGALAPIYLLPKVIPVCLSLHNAEFQGLW PLRTKEEMKEVCSAFNISKEHCTKYVQFGNTFNLLHAAASFISTHQKSVGVAGVSDKY GKRSWARYPALWTLKHVDSLPNPDPSDIAALDEKPMEARDVQIDEVAEAARPELKRQA QEWAGIKQDPNSDLFVFVGRWSKQKGVDLIADVMPSLLEKRPTIQLIAVGPVIDLYGR FAAEKLARLMELYPDRVYSKPEFTALPPYLFSGADFALIPSRDEPFGLVAVEFGRKGA LGVGSRLGGLGLMPGWWYPVESSATNHMLSQLTKTIKMALKSTEEERAILRARSAIQR FPVVEWRQRMEDFHKRSINNSRSIAGPNAWRESDCDGGGLRPMADTDDWDPIAQAQPT QPEWDARSFNDGPQVHTPGSPAQWSQESLTPGGEHTPRSGNQYSDDDYFAHHQRGSVA PSLAPSMADSPGGYNNFLERANRTIAKDQKHVPDPFLDPGLAPSRPFGSHSRVSSVES ISSIVEEKSNSPLNKAIASFTDSDGGVASEFVQKLQTLNAKNSEHELSIEKFLTKSEE AFFGKVRKEKLSTAASIRSSQRDSMWGTPSPSLYSRPDSPNMQAFSQGDYDGPLHQEP NMPEVTPMTGLQIAMSREIGGWPLYTIVIGLGQMLSATSFQITLLTGRNWQDNVQLYV LGGVFLAATAVWYPMFRLKPSIYVLSLPWIFFGLAFFLIGLPSVHSSLHPAHRALSSA ATWCYAVGSAAGFLFFGLNFGEEAGAATEVWTLRACIVQGSQQIWVAALWYWGYNLSG RTDDYITPWWIVTIVWPLAFASFLFAYLMLYGLPEYYRQTPPKVPHFLKTLFRRKLVL WFLASEILRDYWLSGPYGRNWTFLWSVDVPKWQILILVVTFFVFVWAAMLLVLTHFSK THTWLLPVFAVGLGAPRWCQMLWGTSSLALYIPWAGSAGPYLGISLWLWLGVLDAIQG VGLGMILLQTLSRLHVCATLAFAQVIGSICVMIARATAPNSVGPGSVFPDAAKWDFSE GLSGSPMALPLFWIALVCQLVIVLGYFWFYRKEQLARP JR316_0003689 MSAIMTSNAYYAPDGQPASPSSPTMQFASQQPPQNSRSFMNLAH TESRSQAPLPVKYQQYVPSEPPPGMSYAAFLRTWTDESVAKWLNEIKCGCHEETFKAN DIRGDVLLELDQITLKEMGISSIGDRLRILNAVKALRQRVANKFVPPTSNHKPSHSID ADPKGVSDKSDGAGNRQGNRRLENVRPKPLQLNGNAGRGDLPVISREQPPDSARSTVT LPIRPLPNPTASTPPSNNQLSTPSSSAHSSGGTPGQRPNLPPLPPPPRGQPPLPPSRT PVRSLPSWSNSNQSDAPAYTSQPTPPTPQSQGLLTPSSSNWVNHHLPSDPRPGNPGSK VTLTRSISPVPPGRPRPNPSSLSHARNSSTGINGGISVTPNKRVNVANSHPYANAQTS AVLHPPSNLATTLSPIDESFSHHNSPGTPSPPTHAYTVGRGPFNPPTSSSSNQYTLDD LRRKTVKFVLPDEGLSFTIDVASCTGGVEVLEKVLKKFGKGSLRSDGNMDVSQTDEGG LMVDGWGVFMDLGQEVGHAQPLTEGELLSICHAPDHPTRENGLVLRRIRRDERSNSPS SAISSTRSTKRASSISVLSGLGVTDPEKALAVEPPSPSSGKFSPSANAKRPSKLRNFF GQRPPSELITTHLTEYFPNTEKRVLRRSLMRRRESVASYNQSLSRFSGSTQGSGRKSF SPRSSISTLPPPVPDKNGAYDRSEDLPRVSLSTDDGRSVDLQMDSIDRTPQHLPPIPF PTESLSESLDNVTNRRAPSRTLSTASKRMSYMTELRSKRDQSDTASLMTVDEITAEVE NRRVSKAFEREEELEGWTKVDSELDNMVPKPVVDDDVDVEDDEVDDDEDDDDEDELSD GVDDGTLNDDEELSLDVDDDGVMRNVIHAKKANKWIKGALIGAGSFGKVYLGMDASNG LLMAVKQVELPTGSGPNQERKKSMLSALEREIELLKNLQHENIVQYLYSSIDSEYLNI FLEYVPGGSVTALLRNYGAFEEPLVKNFVRQILQGLNYLHERDIIHRDIKGANILVDN KGGIKISDFGISKKVDDNLLTGNRINRPSLQGSVFWMAPEVVKQTGHTRKADIWSVGC LVVEMLTGEHPWAQLTQMQAIFKIGSLARPTIPSDISAEAQDFLRQTFELNHEARPSA QELLSHPWIVPSSNTKK JR316_0003690 MASNTAVHVPDGFRLHTENTSHILLDANEAFLNPVQEFNRDTSI ACIRVWSEELNRTKEERWNKSQQRKAAQKGSSASSKVPAAANIGTDESERTPDISEST EVGGKKHEYRPYKITILEALSATGLRSIRYAKEIPLVKYVIANDLSHGAIEAMKRNIS INGLGDGTLQPSSNGASKQKIHLNEGDACSLMYNHREEKKRVDVVDLDPYGTAAPFID AAVQCVTDGGLLCVTCTDLSVLATTNYPEKCFSNYGGVPVKAEYCHESALRLVLHTLS TSASRYGRYIEPLLCLSIDFYVRLFVCVRTAPVEVKKALSKNSTFYICTTCQTHYEQP LGRMITKTSTSGHTNYIFKTHPGPLVAGPMWSGRLHDPDFVGKVLAHIEENKSHYGTS SRMKGMLTVAKEELETPFFFTPSKVASFFHCETPSIDDVASALLNAGHRVSRSHACAG SLKTDASHRELHDVFRCWIKTHPVKMENISETSPARRLLAKEPLVEANFDRHPMTPGA QETIRLVRYQENPANWGPAKKAATKPDLKRKRSTEALDEPPAKH JR316_0003691 MFRLALSPSRTHTWAPAFSRSLVSSNLLSRTWQNETISDLRSKA KRRGLSAKGSKAAIASRIEEYEKLQAHSGTRHASTAQHSSAEVPGNPGLPPVSAADIF NIQIPDLSQPPPPAPIQIPFLPDFWNSSHYAAPVEETVKEEILPKLLIVAGAETHPNG GPSHNLLDLNVDDVAPKVDASPNVTESKRSDGLLDDIAEDLGFPPVKDIKAGLWKLF JR316_0003692 MLLSPTSTSAIPLPPQPHAFWGKFKPKPKEGEPKQGPMAVARPR LLTLAYGEMETLRMLPNTFGELMAAAQDWTKPPPDAMFNLRVPVEYASIQAARLVAGP YIYLTGEDSYQIAIMGVQGIRVEIVSDAPPPPDEPPPPPVLEMPGTFNLELTPGQTVA LDVTVSSDELDMSRMEDGTLVDGMFWGKLDIIHSGDTHTMEFSGTKVPPGEDIPQDLM IDNRIISKLATVAKPTAAKCNLTILSPTIQYCDVVLTFSTLWKAGVAWPPPEQIEEHK VKYFMRVHPGGALEHFESEMVSTALYYEAIPDASMLDPDNFIAPRNGFAMSFRDFVPH LMAVLDQLGMSLHARTAFINNNVSAFAAHKNIAYRFLSPSKIAAAVDIAVTTDPCVFT RLFLIFRGLNDEEVGMFAGAGEKEANAHNWREIVGWSEHSKDPEQFRVLETSVELDRE ANIAKNRALFENLGLKDAVKNLGTSTKTNKSTAKPVQPRARVKRERSEVEAPRRQSRR LLTKLQNDRDETPEERKKREAEEQIQLAREAEARLEAEEKARMAKRPRHDTLNFKTLA EADVPQDISKLSETMQDLAQNTVPRRTGNPEDFTYKEESKHQKEVKEIREKVKNLKVI SRAKVTTNRVYCAAYHSDVTKDLIFFGDKHGQLGIWDARAPPDEENEDEDIAPENREG GKYWRLQLHWPATSKSSISSIKLDPIDSHNIYTSSYDCTIRSLSFVSGSSTEIYSTDE KALISSIDLPVTGQEIWLSDSNGGVTHLDLREEKSKARRYELSEQKIGGISINPTRPN FLLTASNSRYVKIWDTRKLFDMPIELLDKEAANEKSLDFDQSIINEYLATDAGKGMFR GEWRHDKSASSAYWDPRGRSIVSTSYDDTIRLWDIQSPAFKSEAEFPSMRPFCHIKHN CQTGKWLTILRAQWSPNPDVYPHFTVGNMDHSLDIYSAKGDLITQLSDPTMISAVQAV TCSHPTLVERAASGNASGRCILWAPESLAMV JR316_0003693 MDLDNIWDEPILQDSPKRPKSIVNEDDSEEILPQPTKRPRQALF LADSDDDDVEVPPSRVVQRAPPAQDIDIDALFADIDNEEEVGAFQPLAPRLSDAELAR QAEERAKRDAPLPFTPHQIIPSSSPQRDTGNPNRSNSKSGTMDDPDKQEKKERRKLAK LDENRLLSKSGFPELIRMTKDFRMKGKGHEATDLNRLLQTYQYWTHQLYPKTQFRDTV ERVEKLCHSRRMNVALSVWRDEAHGRPSKQTNTSEGEDSEDEDGQSKNQNTSPSRASS PPLSAPPSEGDMLERPSPQSFEAPPNSGRDEDEQEDFWRSLDEFNNDTSSESLPVPTT APSSTMDEDEEMWDLIDEVERAEQAAKQSASSNAAAPSTEPPGNHNLEEDEWEDMYL JR316_0003694 MFKGGQSTSNDYGSFQVENFGTLKYPFTLNFYDEPPTFDVTIED FETSALDRLRILAEIESSAARNRSWEETKQVTSAQCSKYLPLSPTMTKSLERDAQRRR DHLSHFVLRLAFCRSEDLRRRFVKAETTLFKIRYDEDIATEREAFLNSRDFNWIPVDP NEALSVDKDYRKSEKYYKVKWTRVPDLVEKRKVVLKGGWAYVPSRELSSIVFQEFEVR LEKALETTARLLPRLDEDTRIVPILDNLSQGFLSGISSDWANNSGQTNSDELKAEQID DLAKKHFPLCMRTLHSTLQRDHHLKYAGRQQYGLFLKVLGLSIEESVAFWRKSFSGFT DDQFNKKYKYNIRHHYGLEGKRVNYPARSCMQLLMDSGASDHGCPYRTYSPENLQTAL LSAYSPLGLRQSDLGEVMAAVNTKHFHVACTRVYEITHASNGVSKGQGLGNGESVTHP NEYAAKSMELLKSSEAMVVDS JR316_0003695 MSRRKSSTTTPDMDELKTTALHQYQDTDGHFSLVRNFRLADLVT IMNGVCGSFSIFSSARYLLTNDPEYLWTAMIFPLAGLMFDFMDGKVARWRKSSSMLGQ ELDSLADLISFGVAPSLLAFVVGLRTYLDTVILTGFICCGLARLARFNATVALIPKND GGKAKYFEGLPIPSSLGLVGVLSYWAKKGWIEGQQGIPWGTTTLWGVKGGRGEVHLVS FIFAFWAAAMVSKTLRVPKP JR316_0003696 MVTPGDVDRLFLQAVLSRGIMSIELAQLLWGKCIKAVNDSDSTL KIPHRQDRQSWEAFISKINDSLDKLELEFRTLRDETTGKEMYALATYLFKSSVFQVNL KGDEVAQLATDYTPPEITFFKAIVEQIMLAPNESFVISDMAALREPSAIGLTTVTKIQ AEIILASFVQKGWLLKSRAGRYTLSTRSLIELQPYLKSHYPDEILECTICMEILTRGV ACHTANCKARLHFHCFTTYRRRHGGCPSCSAPWPANARDHPLVPVGEGAYSGLNNQRR TRTTTPSEGDENEELEELEEPTQNAAPAEGASKQPVEIKKERRGADMDVGGEEDVPTQ PTQRRRSGRH JR316_0003697 MRSNSFLRKSHAWLRQTSTGAYHNTPLTSSRSLRYKRLGFLANA PSFNRSLSSTGLPSGHETNAWCTEEINGAAEESSVEPTPPKDSAVEDLEKTILKPRRI RTSTSSPGSPREGDSVQLPAGLDAEILFAPTQSQMDAGDKDALPPPEIFNEALDNLMI TLHPQNQHRAMLASGPSTRPIEPTLGLYCPIEGGDYVIDNTVRELAFRTGSEVLVLDS VQLAAGEWGAFGKGASALNLPDNPLHFASPPTSSLANRTRKAKEDREDDLEEDEGSQL MFAAPTKVSFTLPKILTSAVGRNLLAPNSRKPSSPSKLDTFFESLVNMPLPAEELGES SLTVKNRPRLIYIRDFPTLAPSSSTWYPSLLAAVRQRRKKILSRISNTTSSPVTIIFG MTPSVTHPSSSGAAGFNGSNFINLLNSRPFANPSSPSQMTPAGKAENAFDWSESEVAE AAREKRLRSRLRKWAKNTSSLHDEFPSLSDSPEGDNSSKSGIVLIGGPDSQVSLPHML NLDLSSEPSSESNSRFFRASVLVPSSRSISKEREIRIARRREINELIMRMGVGAIGGT IEATPASSEFASLDSAGAPSSDSAISGSSTSKTSTGSIWETWGNKVEVWSDVRKISDR AMGSVLASQTSFNDHEKVTLAPTIIPWSAIRSAWKSCYSMNDTKRDWMKDIMGSLVDR DDVEGEQEKVENAGTEGDKVVESLKNDPELDQHEARLLPCIVDSGSITTTFKQVHLPS HTIDSIRTIVSLPLLHPHAFQQGILKEHTMTGCLLFGPPGTGKTLAVRALAKEAGCRM LAVSPSDVMDMYVGEGEKLVRAVFALARKLSPCVVFLDEIDALFGARMSARDSGSAFA HRGIITEFMQEMDGLKSSKEDNVIVIGATNRPFDLDDAVLRRLPRRLLVDLPGEKERK GISHLLIFSSRSADLSISVEILKILLRDENLAPDLSIDALAKQTDGFSGSDLKHLCVS AALDSVKEHMQLPWVSRHNVTAISVTTKRSVPLDAVQSTPQTDLLPDLPAPLDISPTI PSDELVAPTEAQGVRTQEILPTPTQEPVLESTAETLHATPGIVEKTRTLHLHNFTQAL KEITPSSSEALGSLADLRKWNDEFGEGRRDKKKHQVWGKGRFGFINNHLDKPGDSRVS KE JR316_0003698 MAYRDRLAASRAQREQPIELSGIPQVQTPVTASTPGGGLSDLAA FLAEDTSIQNGIQQMRDNVAQIAALRTQSLNAIDDSTHNAGRIDELTSETRVLMQELK ERIRQMESTPSRHDVQLRNNRLAVLRTKFLEALQDYQREEQESRAKAKQRVERQLLIV KPDATREEVAAAIDGGGGQQIFAQALTNSTRYGESRAAYREVQERQEDLKRVERTLAE LAQLFSDMATLVEQQDAVINNVEQTAQNVTVDTRKALENTDQAVVHARSYRRSRWICF GIFVLVLVILAIVLGVVFGRK JR316_0003699 MSGPFVYVPPVNPVNYPPSPVHYHNSPYLASFYTDPNSPFIPPL SLSGNASPYQSPNTLNSPLPTVLTPNAVPFPASSSGSAFAPENPWNRERRVSWNGSVA APTVPNSSWLQPPMYHYRRRSDAAAFPFQQPVDWTSLSPYAPYRTELVQQSFIHPLLN AEDRRNDLILDMSCPSFAPMRNIGNNQTAPITPEELNQLAFYPQAYGAHIYCELIPDL PIDIRYRPLPGYSPIAPPLKVGDILAAIWNDMQLGISQEMWASLDENRELMISRAYTK RCKIWASRGFPSMRNAGVKKVDCLLGKVFFRGLVPTGDEANTLRMIVAMCGIFAVHGL EKPANDRARFIACSKKQRHRGPDWSGCYVGQQSVLVHERLAIVGVDTGAQPLISEDGK IILAVNGEIYNYIALRNSVGPDVKFKTHSDCEVIIPLYRKHGKELCNMLDGMFSFVLL DESVTPSRIIAARDPIGITTLYQGWSSKRPGAVFFSSELKALAEECDKIISFPPGHVY DSQDDSTTRYFQPTWWGGDVEGPNASIPTSPADLTQIRETLEAAVRKRLMSEVPYGVL LSGGLDSSLIAAIAARETEKVAQAQYEIRKRKLQEATSGPATPTGQLINEEATLAAWP QLHSFSIGLENSPDLLAARKAAHFLGTVHHEYVFTVQEGLDAIPEVIYHLETYDVTTV RASTPMYLLSRKIKAMGVKMVLSGEGSDEIFGGYLYFHAAPDPDSFHQECVRRVKNLH TSDCLRANKSTMAWGLEARVPFLDKAFLEVAMNVDPKQKTFSKGSSQEVDEDGCPKME KYILRKAFDCSPDGKPYLPKSILWRQKEQFSDGVGYSWIDGMKEHASQTVSDEAFAKR AERWSTDVPETKEAYLIREIFDGLFPTEAAASTAVRWIPRGDWGCASDPSGRSVSIHN AAYGTD JR316_0003700 MKAFFHRLHLGGGAKDKDRDSVNAPKEKFPPLPSWPPQETPRPT STATVPSVASLKPLPELVPAQLSSQLSTRPLPPIHDPSPSPSHNHTSNGSASSPKQAP IPLQAEEASQESSGTTSESAGRSSRKTNGSVSISAAADVQKKVAFLSPPQTPVDFERA LPDAPAAAAASTPTPVPLKTTVSRFQAVYGKEPRGSISSGGSSSKVDVATTSKQPVKA PSARNSSPYIQKPIEGSSQSLRSGTPYSQMSANTSGSRILAAQSWSEVTEDDLVSNLG MRERTRQEVLFEIISSEERYVQELVKMKDTFIDPLLHPYSMTAASAASTPNLDYDYYR SESPFESTDDLPPIAARFMSPTPSMNPPSTVNRPQSSASPRPRDAPVIDGESLETDED DEAGDRAGTLYDTSRRPGTSTDHPRSPYRITATRSGGRASGVSVPFPSRSHMSLPPAP RNPLSTSTHSLGRQSLLIERERERERERDRKFSQGQSDSPQKGMLKKFRRSQTATEVV LGNTIAPHQLPEDLRICLEVIDSGVFDGHKRLSEALKKRYDDQFPLVRSLADVFVSNS DIFHGYATYVLHLERALEQVDAALSNVSTKKPKKQDVGEWQKVCKFLQKLEENASDKG ETGLAITLSKPFQRLLKYPLLFQNLLFHTDPSTFEYESTLQMVAEVENIVRSIEDEKI QKEERDKTRDVFARIEGLDKVRQVALPKPSRVLLEERSCSLGLGPVNVNPKPASPPPI NNGKAIRGKSSFKRLSDVLSSNGVGGKKDLWFVIFNDVVLQCQRIGTTSLPLVASTNS RTNSLPEFQGKAKYATTGRRNSATKPRNMYKFIKIETWDIGNVLQPREGVVAMEDMAK SRAQALSSQPRIVPLPDDDENNDDDSDDSDKKSKMSFSYWGADKVTVQKPVLKGRAGV NPRRGGGVASYGRESSANAKFGTRLVSDGSSHNPRPPSSRRTTTTPTPRRPPPPSDEG PNYARATITRPAWDTSTRTPIPNPTTKRTRNPSQVSPATRTPIASPKNPVASPAPSED SGVGLYRQMLAKDPSFNDTS JR316_0003701 MHTKYKPASSSHPPRAIELGSGIGLTALALSSLGWDVLATDISH VISSVLEKNIKNNLPALPMGSGLIQMRELDWSVPPDKWTWDHELAVASPSALPPAGGS SALLRPPFDLIFSADTVYATELVEPMLRTLHALSTMSASMSGHHFPPILLCIERRDPQ LVDSLLASAKDKWNFSVERIPHKKIVKAVEKGVQWDRAEWEDVEIWKLRLHESRS JR316_0003702 MAEEQTAQTNTSLLLDDEGGITDKLVICLQHIFAKYCTPAPERT SGPLLTPPENAYLSDEGLQKWARDTNGEPFSEETKEEVVESFDVTDDGNLTFRGFLQL YQLQTENDEAETWKDLQSHGFDKNLNLTSS JR316_0003703 MNGHRSEPLSIENLLQKQREEKEAASKPKFLTKEERAKLAIAKR AQEIKEERERNEAARRDREALEREAEELAQRERNSRYGGGGSSGGRYQDRYQDRDSRD NRDGRGDRGGRKDREAPRGGRPSAQDDRRTRDGYQNVPTGPRAERAKTSNGSTDVAMS NSMPPPPAPTSDLTNDSESYVPPMTDNDISAIRSRYLGVDKKKRKIRKMNDRKFVFDW DTQDDTFTDDSPVAVGSNRQGAQVMFGRGRLAGMDDGGGSGPRKSSSTANGVQLADAM ERRNAAKAGYDDRHWTEKPLSEMKERDWRIFREDFSISARGGAIPHPLRSWKESTIPE AILECIERIGYKEPSPIQRQAIPIGLQNRDIIGIAETGSGKTAAFVIPMLAFISNLPP FTDEIRHLGPYALIMAPTRELAQQIESEARKFASPLGFRCVSIVGGRAVEEQQFNLRE GAEIIIATPGRLKDVIERRVLVLSQCRYVVMDEADRMVHLGFENDLTFILDALPSETM QGEDQGEQMDVDGETLVKKGRTRVTTLFSATMPAAVERLARKYLKKPAVITIGEAGRA VDTVEQRVEFVNGEEKKKQKLLEILNSGLYASPIIVFVNQKKTADMVAKDLSRAGWSA ATLHSGKNQEQREASLQSLRSGESDVLVATDLAGRGIDVQDVSLVINFQMASTIEAYV HRIGRTGRAGKMGVAITFLTNDDDEVMYDLRQEISKSPVSKVPPELAKHEAAQHKVSR EMKRKRDADDLG JR316_0003704 MSLSIERALTAVSLIAITMASPILAQSPSPSPEPESGLQPSPRR SCSPSRESSTSTRSSRFRFVRKPSFMHDHNQSPEPTKHHHHGPLHDLKRFLNHHIPHP SHPPHSNPQSPASPPARPRGNSVQEPSSPVAHRSPKPVKQSKDRTPSPTPSGSPRSEF VPPHHHQHPITSLHDATQAHLSKKYGKWGRVLGSGAGGTVRLIKGKNGGHVYAVKEFR PKRPGETEKEYQKKVTAEFCVGSTLKHPNIIETVDIVFDHGHYYEVMEFAPFDLFSVV MSGKMCRPEIYCVFRQICDGVEYLHEMGLAHRDLKLDNCVMTSENVVKLIDFGTATVF HYPGKNHTPATGIVGSDPYLAPEVLNGEAYDPRKTDVWSLAIIFLCMVLRRFPWKIPD PKTDPSFKAFVNAHPDLSVKPPPRVAKQQQLLLADNRKLSPARGSTLPPNVHSNHVRA PKERSSTTVPEQLESSSNSSETTSILTDSSGSDSQSTAITDPPSRFVSGTAGAINPND LSKRQTHLLDTLENVQHLNSQSTTTLPNLGFFSQVPSESPEDPSVLKFARPGNSTESL PLSPYLNCDMLTPRGAPASMNFPARPILASRAASDGHLTLTSTDTTANAPPSLADIQD GEEDLTPLAQVPATPGVVPPTPISPPASESTPTPENNRGKTSSTAMVQPPKRQRQRSD SVATFHGGGSESIFRLLPRETRPALRRMLFVEPASRCTLTDLLKGKGKTSGLLCGCLV GEEKLLSTGTGNDGNSGHCIDHDEHDAADEDDGDEWLKGIEPCSAAGVVPKHVHIKVA VDEKQTKRKFF JR316_0003705 MRRCCLLLLPLVPLPVFTRLLHSLPEDPYAFPKYRVSFLNGLPV LNHTAERWLAEGLRGGELEFLDQPWNDSPRKEIGSAEDSAEPQQPISANLSYTLQHMR MGPRDSYVCLIPQPIDPAPPSQEDDTDADMTPARSWSLLQPLTGTCLYHRQGWFTYSY CHNDEIRQFKEAVPAQTRFPGTYTPEEDPGWDAYTLGRAPQNPEPGADLTVAEQNAQA ANLELARNAGSRYLVQRWGDGSICDKTNTHREVEVQFHCSMEMTDHILFVKETKTCSY VLVIHTPRLCGEPGFRSRRDSVGEAEIRCREVVDTKPEDHMNLPAADHPVKIPLRKTV LPAPVPNAKGTEDEASIREKSFNDLLRKTLAALVGKDGAKVVGDGELIIELADELEDD AVEMDSDRLVDALRAAGYNVQAEVITLDGKKVTKPVSKDGKQPEKDRKKHPLKKHDTR RDEL JR316_0003706 MSTSPNDQQEEGLDKQSVDELADTNHATDEPEPGEQILSLSVIT PEIPLLSPFFLNSIPFDANACANRASSFYRPNLGTSTTSGTVKRGRGRPKGSKNKKSG ASSAGPESPTTPTVQRKRGRPPKEKKEDAGEEPPPKRPRGRPPKNPKPASGEATTSAA EAGDSSGKKKRGRPSKKGSTA JR316_0003707 MHSQSPAAKKAVVVGAGPVGALAALALAKRGWAVELYEGRPDMR LASSKAAVGQRSINLAISHRGIAALEAVEPAAAHRFLQSAIPMRGRMIHKLSGELDSQ LYDRDGQCINSIDRALLNEGLLDQVSQTPTIRTFFNHKVTAVDFDNGSMSVHDTLANK DRTVSFDFCIGSDGSYSVIRRQMMRVVRMNYQQEYIRDEYLELKMPAGRDVNGESTFL LDPNHLHIWPRHSFMLIALPNKDKTFTCTLFAPTAELDRLCTPESILAWFKSYFPDAT HLIGEKSLVEDFKRNPRSPLICTKANPYHYKDRAIILGDAAHSMVPFYGQGLNAGLED VRILSTLLDEEGVSSTPSISDDKNGQDRRLANALQRYTDTRHEDLIAISDLAMNNYVE MRHSVTQLSYLFRKTLDNLLYSLTSPQMMSLSSLIPTLSSLPYPPGKPKGWLPLYTMV TFRPDINYATVKKKAARQSTILTGLSRIGVVVFGAAGAWLMWATADMVLNLLGQK JR316_0003708 MSANQVLYTPLTDAFDIPTNKDVDASAIPDPQSKCTYLCGNSLG LLPKPASDLVQQELRVWATRAVIGHFSHPYDRPWTKCTDEVNILLAELIGADESEVAC MGTLTSNLHLMMDSFYKPTSTRFKILCEAHAFPSDQYAFQSQVLAHGLDPSTAIIELS PRQGEYTLREEDILETIEKEGDSIALVLFSGIQYYTGQWFPMQSITKKAQDKGCICGW DLAHAVGNVPLELHNWNVDFAAFCTYKYVNSGPGGIAGLFIHNKWHETERPKFAGWWG HELSTRFAMPPTFSPIKGAQGFQQSNPSALAVAALLGSLRVFKAAGMMQPIRARSLEL TGALEALLKRSKYYIDATQAQAYRGKAGFTIITPDVPSARGAQLSLLFLPVGSGTMQA VHGYLSQNGVIGDERQPDVIRLAPAPLYNTLKDCEHAAEVLENALAELQ JR316_0003709 MNSIPYDSASNDDPLRQFDFYLPELALSLPHTPSLLCFIHGGAW RSEDKQDHAQLARRLAAATACPVAVPNYRLTPSDNHDPRFRHPIHAQDILTFLKFVRT WRYQGQLSDAFDPDSLVLLGHSCSAHMLASILLDSHQASLVPSPDLLSSVKGVVLSEG IYDLDKLVARFPAYQAWFIEPTFGPPSSGDAPYRRFSALEYPLRLSSPSSSSALAWLL LHSTGDTLIDLDQTRSMYNHLVQIAPTPSLISINTDALKEEHDAIFEGDAYLQLVRQF TSRLIPE JR316_0003710 MAAKSTNSGKEKLIDEDEEVLQAVILADSFNKRFRPLTTRKPRC LLPICNAPLLDWTFESLALAGVQEVFVICRSHAELVKNAIKESKWSKPGSGMKIVPIM TAKETFSPGDAMRDIYTRGLVTSDFVLVMGDLVSNIRIDEVVRVHKERRKTNKDAIMT MVVKESGVNHRTRAKGESSVFVLDPNTSECLHYEHLQGYPAKKGISIPREIFAEHPEL DIRNDLIDCSIDVCSVEVPSLFQDNFDYLDIRRDFVHGILTSDILMKNIYCYVAEEGY AARVQDTRSYASISKDILSRWTFPLVPDDNHPGGHIYEHTRGNRYIAKDNTVVLARTC NIGTNTLIGSSTTVSDNASVIASVIGQNCSIGAGSTIRNSYIFENAVIGENCHVEQSI IGAGVQIKDGSRVPKGCLIADGVIVGPNATLQPFERLSTKRDENDSNADDDSDDSDVE EVEASQDSIDKSALGKDSNAVVWPRAPPDEEDEVEGPENYENQRFMRLGDTASDVEVE VSDDGSSSDDEESSSSDDDDGDFHERSSVSGMSDASGVEMPAMGLGDAAGLMADAEFR TEVNQSLERAFAEGHSVDNAAVELKTLRMASNVPLSRVKEAVVAAIVEKIPVVDGDPA AQRREIASVVGRWGDLINRIGGVDPVETVSILQAHCATSTRMSLFGQILAALYQEDIV EEDHIRAWHRLAASKGTDLKDGAESENFQKCWMIGSHMIQQFDAQESESEDETESEDD NADNGRTPATPKASSVIPAALAARGATASEDSTESGDESTSTEGVDGGESESASEDVS ASENSSRPVSATTSEDETTESESEDDVVLVH JR316_0003711 MSAPEAAAAAPVVAPVEEVKPVEATPAVEPPKAEEPAVAAPVRL FSIFAPPPPLATSSPAFLSKAETAAPAEAEAPAVAPAATEAEAAAPVAEEAKEEAKPA ETEAKKEERPKSPSLLSKLLAPFKNDKKTKAPKSPKKEKKDKEAEAAAPAAAAEETPA AKEEPAAAEAPVAEAPKEEAAEPVKETETAPVAEAAPAAAAEEVKEEKKDEKKEAKAA KVGRRLSARVGDFFKAKPKAAEVNTPAKVDEHPPKIDEPAPVAPLENPATTEAPAAAA AEPETKTEEAAKPVEAAPAATPVVAATA JR316_0003712 MGIASLTAFLTTVVFLIDVIVVAVVRARVRNATDDHLDLVWGNA VWMTLGAAVALWLALAGACYGMVAARRTNSPLD JR316_0003713 MSNPLLNAAAAKRAKKEALGVVNNDDGHGGLLIVHPRDIGPTLS QPAPSNTTESIPTRYSSQPLQAGPSKHPAKKFRADSETITRPPSKSKSSKATHDRNED AEVEMDVRAMEDETDMLRRQSRVHSTIDSSLLVINNDRSQAYEANGRPTGHRRKSSVN GRGKRTSSSYQATGIITQPHNSVSESSFHKHIDCDLPEPERLRQLLIWCSLRAASNPS STTSSSSSSSSKPPPPTLPPLSTQGAQVLKSVQEGLVRMLAGKEINLSLYEPEAASSS TRPPEDLRENEQNVRNRQWEVRYTQHIQQAQAEEESWKKVSYGYDTYTKGLQSSLEKR AAAVQLDPGALSAKAKGKRRATGDLSEMESYFIPQEHEISPEFRPALALAKSVLGHRA VGDERPIGGGAVAGRRGSMNMSRSDIEAELKRRLPELEYKVDQIFAFVSAARMTTNIA EKALNERFDLLSAKLASRTVLHTEGGEVAAAPRTAAGLLATYVAPAGTMKGPADQLDL LRALSRVDRERPAAMVGDAARRAAKEVQRAAESGAVAVGDRRLTSVPATPRKTPGTPR RGNTPSRDR JR316_0003714 MGRRAKNKQAPPESLDSKTWTSKKQLGKRKADADNEGQDDGKPS PRPTKRVKDLNGKGKGKEKASTKEKPQKTSKKKKAPEHADEDGSEGWEDVEDDEELQA HSRPMFNESDEEEPGAFVGGLDDLESDEGEENDEYLEGEAQEFDFGSDDEEEEVQVAP TKSKKSKQAERPKKIIPTQSDQSSSDSDEEEEYERVTMKNMAARSQKLEARAVAEAEL DIAEAQAAAEEDDDDVDMDAEEDANGDMNIEPFRLPTAEEREKEKASGGPDVHLVQRR MRECVRVLAKFSKRAEKGRARSEYIDQLVADIASYYGYNEFLAEKLFLLFPVNEAIEF FEANEVPRPVTIRTNTLKTRRRDLAQGLVNRGVNLEPIGKWTNVGLQVFESNVPIGAT PEYLAGHYMLQAASSFLPVIALSPEPNERVLDMASAPGGKTTHMAALMQNTGVVFAND ANKARTKSLTANVHRLGCKNVIVCSYDGREFPKVMGGFDRVLLDAPCSGTGVISKDPS VKINKSERDFTLLSHLQKQLILCAIDSVSPESKTGGYLVYSTCSVTVEENEAVVDYAL RKRPNVKLVDTGLQFGREGFTSYRGKTFNPSVHLTRRFYPHVHNMDGFYVAKFKVEKR SKTLPKADAEESEPVIVDDAFKADVPEETPKFQGFDESEDAPYLEEAKRKRMKAKGLR PPPRSKAAAKLAEEKA JR316_0003715 MAFVLPSLVSRRCLTSAANGKSANARQLFSHPTLKPFSTSGSRF ASTTSRNFPSPIWRALHEAGQNRPSLSFVTKGIAVAGVGIGLSTLRKEPVFCDAPPPP KATDSIYPDDRPPPPVSSVSLYELSFGTVVGLCAGVFVKKGAKAVAWFLGGVFVLLQY MGSASLVRVDWNKAASKFENLFYTKDAAGGTRPPNVLSLWNWMIDFLTADFQPRASFV AGFVLGLRIG JR316_0003716 MSYIPEPKPRPNPEALLALSLAEKREKRFSTSSFGSDRSAYSQK SYVGPLQAVATQNSIRSARPYPVENGRITPYSYIPATPQRPARPVRTPSIPSIASTQM SPGPYNNYYRSATPAGSVRSMAPSTVSNIRASTRQRYYISNRIPAPRPRAAQQLQAPQ IATQQYFSDPVSRQGTPMSVMSNQSQYAPYQMQPGYSSPPPGLGYVGNGLNLNFPQPP SQPGYSQRRGPAYYPPRVTSPLASPVAPVNNIASNQQQSMAMQMREALMMRTPSPLAR SLDSPTSPDSMHAHSHPQPSRNPSVSSVPRSPSPQHGSFSNLPVTPLSAVLPAHPVLP PSPARFEGRNVQRYGASLDTASLHEQIGQMMVGAQMNGEYRTGSAPPPNNWAASNGNR MDAVNYWRQQATEPRHVNGGRRVEGRE JR316_0003717 MSEQQPLLPAHNSPYDDRGESESQGDKGRLTEFRTKTAHVLEHP TLHKVVITLITVDAICVLADLAYSFLSPTCAPPGEGDNPEWLEVLSHISLAITTLFLI EIPLNLWAFGPQHINPFGPVPHAGLHAFDSIIILTTFVLEVILRGKERELAGLLVILR LWRLVKLVGGVAVGAGELEEETAKELEEMKAELDKVRAELNDTLKENAILKARLQQIQ E JR316_0003718 MAHLENALPLALLGNLAFLTLIFASWNINTAPSTPASSIFIAFE SSLFLLCIIFALAEFFRPRHQISRTFIECGWVGLMSFFQLAGALSATVNGLDACHPNL NEFNGVESISITNSGACTSSLLLVPSSWLSSVLSLAYFLTLAISTLVHKDSYPHIWRQ TVYTIDWFGQNRASPNKDKVVRDFFQTKPYSDDEDPYSAFYEDIESTSGRKKAYPIRD SIEESTPWAPTNIRRGIDHPFARPQGSASSTRTSPTLNPIAHTSFDLSFPSFPDRTAG VGVAGSRYVEKFRESGVLARSESPAQYTTHYHAHKSSFPLSVTDDDKPIPLPRLSEWI RADPIRL JR316_0003719 METSFAITGKGYLIMAADTTAARRARQAQQPSAPRKATLTDRTG DTVQFAEYVERNIRLYQIRNLYALRPSAAASWIRRALATSLRSRKPYSVNLLLGGYDT ATHDPHLYWIDYLGTMSEVPFAAHGYGAYFALSLLDRYHDPEATLEEGLATLRRCLDE VAKRLVVSPGKYKVKIVDKDGIREVDL JR316_0003720 MSGPPTHIPLPPPPSWPDNFRIDPDNPHTPFFTPPTSPARPLAS PSAYFTPPSSPDLDLPLPPPPPQQPTAPPTPGLPTDDHFPQPVDHALDLALDDDGLST LEKIYLYSRSKAAFHRVFIAHALPEYLLHVTPQEAVEYVLPLLSGLAMDDDEHVKEAL AAELVPIIWWFFTDCQIIPDDPKPEEAYASSSTTVTISVQAFTPILGTLLLSSNPMVG GAARFAVVDLLSRMKKADDRQFGAFQRRHHHPSSDIIHPWEITRTRREDEDEDDDDEA PLATGLFGHRERAMFTQEILQQVVIGMGKLDVDYEPEQEPPVSQGQQHPATSSQFSES NPYFPSLSSQSSNADRHENVSMRSVIDQTTSSAGAQFHTDAQTSSPAGPSSAGTQFSN LHAPSSSHSDPGSPMAVDTNDSDNWDDVDDGEDEQAAVGRLSCMSLMAAVTASGVLSK ETQLAFVEEVGRVGRDNVYWVRREASFALGALAKVVPEEVVINSLLPLFDALRWDGVW HVRHSALFALPAILTRLSPAQRRTVALETIVALSADHHPTVRSGVLEALGEVIHTFHE DPDGPPQELIYLFLGRKEDRRVRDGQQELSEESIRAQTPLESFFQDPKRPLICAFNFP AVAVTLGGGRWGELREAYLDIAANTGSGVRRTFAASLGELAKIIGKENAQRDLVDVWW SSIQSDEEEVRTKAIESLHDLLEVLQKEVGKPLVEGLLTAWNEGRLRGWRERELIEKN MVSWVNLIGLDNISLARDLLQKGLEDGVASVREAATLALSEIWDAFASQKGALDTIRS QLQQLAASSNYRRRMTFIACQQTLALTTNQKGELLVSSDAGILDSVANLARDQIEGVR IGAARFAAVTHAALLRHGHTIPRMLEQLVDVLLQDTSHEVRSYVAGLSSGIPPGREHH NSGSTASRGRSVRGRLAQLATFSRPPRRVNSEGSEGETRSGGRYELEADERKSNGLLG VYTGLQAELFQTAGSNASERSVDRLSTLSSPLLPYASAMLNLLQILRYCVFAVFLVAN AVITSVAVWNLSTIESSSGLRNAKQTDGLLIFVGTSGLLLILTILFFDLNRKHALIVR VWFELAWVGVYCIFELAAAAALTAQSSSQICDSTQILATTSSSACTSAQVLQAFTWIC ATLLLGYLIFLSILTIVKKRDDPTILHCAVSRFPIVANQSIKDNDGVRNLSPEYQYPR FVGGNAAPIIAAPIPRLPPTHREPILSYNSGLSYRSGLGLEYEIEHYQSPETVFHAAT SEEGGISRQSALPLSLVPAPAPVAVRDTRVIEPEIQRNPPPISQQTQQKPPPPQHLLP QHLHLSSSSPFYHSSVQSAIKTTEPQAPAPARVEQQIRRLPPSPPPLGDWPRLDATSR PRAKRKPLPQPDSTEHHDNVTSSVQQPPPQQPLIEHHIQPGTRHQPLPHPPQPHPQPQ PQPLPQVPTHSRPLPRAKRTPSTTYTLDARALTAALKPLEVSQSMRSKPSRPSGPRRK SDSIDDGRPPQ JR316_0003721 MADRIKQLVSHLSGTVMTAELWQDVPLAPPDSIFQLTAAYKADT FKDKVNLGVGAYRDNDNKPWVLPVVKKATAILLNDESLDHEYLPITGLAEFTTAAAKL ILGADSPAIKEGRVVSVQTISGTGANHLGALFLSKFYKWNGPARVYLSNPTWGKPFNY IPSNILGTEGRSANHHAIFRNVGIEPVEYAYYDPKTIGLDFNGFIDALKGAPERSVFL LHACAHNPTGVDPTPEQWKAIAEVIASKKHYTFFDCAYQGFASGDLDKDAWAVRLFVE LGLPLLVCQSFAKNAGLYGERVGALHVVSPNTETANRVKSQLSVLQRSEISNPPSHGA RLVSLILNDAGLFEEWKRDIQTMAGRIIEMRRELHRLLTEKLQTPGNWDHIVNQIGMF RYARA JR316_0003722 MPAKKRCQAGVGTDSRCSSAALRIVGECPQCSSHFCGTHRLPEQ HNCSNLENCRQQAFERNKNKLESERTVASKMATA JR316_0003723 MARDRLAAMRAQQQGNVSQNSYPTQASGNGGSRRPNPYAQQDDR SYEMADVRDSHTHLAPSSPANGAGGDMTAFYSELSSIQESLRAFNDNIARIGDLHSRS LNNMDDAAAQRNAAQLDELVQDTSALSTTLKRRIKALEAQGGPGRDGQIKKQQAAFVK SKFVEAIQNYQSVESQYRTKYKQRMERQFKIVKPDATPEEIRAVVNDEQGGQIFSQAL MNSRYTESRAAYREVQERHEDIKRIERTLAELAQLFNDMSVLVEQQDETINVIQAQAA NVEKDTEAGLQYTDKAVSSARAARKKRWICFVIIIIILAIIGIVVGVQVAKNNSNK JR316_0003724 MADAPTKQKLWGGRFTGKTDPLMHAFNQSLKYDQRMHAVDIRGS IAYAKSLTLVGILTKDEEKRIVKGLQDVGKEWEDGTFQPQEDDEDIHTANERRLSEII GPLGGKLHTGRSRNDQVATDVRLWLLDQVKEAEKDLKALIRVLVERADNEKDYILPGY THLQRGQPIRWAHLLLSYAFSFKNDLDRLRELVPRISVLPLGSGALAGNPFLVDREFL AKELGFLSIAENSLWGVADRDFIAEYMMWSSLVMTHMSKMAEDMIIYSTAEFGFITLS DAYSTGSSMMPQKKNPDSLELLRGKSGRIFGNMAGFMMTLKGLPSTYNKDLQEDKEPL FDTVDNISACLQIAEGVIATLEVHGEKMRAALTMDVLATDLADYLVRKGIPFRETHHI SGRAVALAEARQCQINELTMQDFKALSKEFTDDIYKVFDFEASVERREAIGGTSRKMV ERQIATLRAELEK JR316_0003725 MALFGLSPLFFSTIATTFFMEKNTGVLDVASFTSFVALLTTVVY GAGYINLRRYQWPPELTEEIVTLPDETSPLLASARVVEDLEQICHGDPNPHIPNLPQL FKKIDFWLLVFFCVFVLGVSRHYQRKQRL JR316_0003726 MWEFLIPKAKQASHHRHDIPHRSVLKASFDSSSKTVCVAYVDKK KKRHKLVILEGAVREEEASFAGEWTESVMKLAYEDFGVKRSRKLMIFVNPFGGTGKGA TIFATKIEPLLKTAGCVLEVLYTTHRGQARDVSSKLSLDFDAVLTVSGDGLIHEVLNG FSEHSNPRGAFAIPICPIPTGSGNGLSLNLLGYEDGFDVVAAALNAVKGKPMNVDLFS FTQGERRSISFMSQAMGLMADLDIGTEHLRWMGDSRFLYGFLRGIIAFKPCPVQLSYR LVESDKNKMAEFAHSKRAETTTISSSESQVSELESKVEGAMPTLKYLPDDEDGWTTFD KPVLYVYAGKGPYVGRDFMAFPVSLPNDGLIDVAAMIKSTRGDMIASMDGAPEGVGYW LPNIHYAKVHAYRIKPLHPKGCLAVDGEAFPFEPFQVEVHQAVKLDAVERQGLDDWKA SPPRQTFVDGLNVEHLSDLYITLPTRDGSKRPYEAPELGDPLPYGHHLAFFHARRSEE QLRKDGTDEDISPPAPFTKRMWAGGKILWKNDNPLLVGKRTMGVSTVAGAEEKGFDKG KPMVFITQKIEFTQEGQRVPSVVEERAHVYFHAEIFSNRKKVFDRAVNDIPTTVDFSF EYTPTPITLFRYSALMFNAHHIHLDKEYCEKEEGYPERVVHGPLTAQMLLETVNIHFP DLKFQKFEYRATNPLFVNRKLTINGKWVDKSNILVWCSDMNGIVGMTGKVLTQ JR316_0003727 MLGIEDYGSDSDTAPDTTNAPSTSASAPKTAPKPTVKPQRPPKK ITIALPTMSASKDDDAKESEDNDRPVKKRKTGAGSSSLLSMLPTPKQSNPVPQAQPRV LGGGSGKALNFNATARTSDAESTDANLEQEAYTSSRVEQPEEDTSSASVLFRPTSVAK GRKNISVEETSLAQIKFKPATSAPAVDFFSLGSSKSVNSAPQVASSSSVQTTISSAPA LPTFEIPEPTPTDQYPGYYQLPSGQWAAHDPEYYDKFVKKWQKEYNDYVRALEKGTIK GFEGLQDAAVEEVDALKEMEKAKKEIQEREEKKAITQGAGGVPVAPKMNINASKTSGI ARSRHQLSTLLKEAYENREALEERIAQGKRNRKEAGNKYGKYLKR JR316_0003728 MQSDSVAVKVSQLRLTSQRLGQVQAKNDSQANITRTDIATLLQR DNVPLAREKAEKLIMDEAYGDLLEEIEMQIGVLLEKYNELERQTPPSPVMIEAISTII YATPYVHSKDLDAIRKYLIDLVGPDFARSAAGNRDHHVSKRVLKAISAPIPSAFQLDG YLEEIAMAYGVSWNPEPAPQNIINSLSEALDVEASPEVDLRELRRLCSQGIPDEPAWL RPRIWKLFFGVISIKKASWKTESLKHREAYYDLVRRLLEPFSRAQTSHTPHDDTLLSV YKQLSGLPRNIFALLEDEPDSSLQCPLHENAPESIRIIYANDLESRVKTLLHQANDAS DITPTPEIRLESDADTTPGISLTPAGEETAEDSTSTTLLPSRKCLFGNAHPKHCSSLL RLLYLHSTINPGGLSYHTASILVPLLSALTQEVELDDIGHVEADTFWLLEAVLAEFSG LEDDDGRVWMKNFSERLAWADFEFSSDLESRSLDPALPHYSYRWLMPLLTHTLPLPSL FLTWDAIFSRPPRERGSYPKLDYLVDVCTSMLLRSKNHLFRLWNTGRTTRSLWSTETS VQSPANGQDSFMEVLSFLQNYDLRYVGGVERIIQTASELYHRRQQQTVTSQQPSLSLG ARLKVNMWKGFGSAPNPTYDASSIDKKRDSSDYADGDETETSETIRKTTLASRVSDTV WRGITNRSAMDDENPSAPPSPVPPTSPNTHAEKALNVSSSPPAQSSSLWNYAEKLKDS DAVATISKVSTNWRVKGILGGWGMSKPNSPTTSSGNEKEPIPHVEQNMQGRRGSLPFL HSPTMIPPPSALSSPDVGFRSPELSPPLPNSGLIGKTKSLISRASQPATPKSAPRPLL LGTSTFVTPSPRDSVSSKHPRSASTGTRTPDTDEWAEVMKAKRQHFNRDSQSSVSSLS PSDAFGRTPKSTRSDRESDSSSRIVSINRRSVSPMAPSFRIGQARSSSRASSVSSSGF SSPPLLAKSPLQESSLIEDESLAQQARTRHVLQSFSTLDTSLQSRSYSEKESDSDVTS SELPVPTRKPSWKKNIENNGESEDTANSTSAGVPVRAPRVRSKRYPRPANLQIQDDKS PRYAAEQKTPSPSTLKVEWPGEYENVATPRATSFDSDDAPGIPKSPRRSRKISTGDGE RPRKLSTDTYREERPRKLSSGRTRKVSTESREVPRARRESAAEEGDDEGYDELLSAYE SEDAPNVSTR JR316_0003729 MAPSISHPLPDHPEFSVIKYAGCREYRVRNRRVLATLAQLLPHV YSYPLIVIILLFLAKQTFLTILYESVILLPPHGIQLETHRGFPGLGSLFSSKHFISST TLEDIIINEGLSGWNVLYYLAMVKKTMSSGSGMDVAFQVRVLPSVPLTLLTLA JR316_0003730 MALRILVRGLSLTMMVRGYNSLGGLAIDEIMSQQYGGHLQFLTI QGLALACLTMTTSLLYEAFPLSILRTTKRYLMVMAMPLSVVISTIYWSLVTVFPHLIL QASSPSESSTPSSSPITPELFHIPLSIDLALHAVPCISLLIDFFIFEKKYNKQEVKVG APIAAIGFSLWYTLWVEHCARMNNGIFPYPFLTENTLKVRIGIYIGATLIAILSLKLI NHLHS JR316_0003731 MSTPKVHKIAQEGFGAGTNELYDRIRPSYQPPALDFIRESLKGV GPFNILEIGSGTGIFTRAILADPKWNPLIKELKAKEPSAGMREVFSRTVKDERVSTSE GFFHDTGIEDEWADLIVIAQAFHWCPDYDAASAEFDRVLKPTGTLAFIWNLEDRDGAP WVAKVRDLYELYEQGTPQFRHEKWRATFDTPSYQKAFEPPREKQWTYILQGTKEGVVD RASSKSYIAVLPDTKKKEVQEEIRKIVDADSEKRWIDEPKGIFEYPYKCWVVLAHKKQ V JR316_0003732 MAQLGFDRRRTNGPEESLPYLYDEDLPDKWSSGKPRKSRGPSDI RPIFLQPGLISQATGSAYIETERTKIACAVYGPRQSKNVAFHEKGRLNVEVKFAPYSC SVRRAPMRDAEDRSVAMAIHQALLSSVRLETFPKATIDIFITIIEEDGIEGCVAAGSI AASTALAHAGIEVFGLVVSCSAAVIGSEIWLDPTAEESKLSTGALVLSCMPALTNITS VWQTGGMTPSQVLSVRKRQSLEAHPEESTDDLSSALKRVKHDVMIYMLSWRRHFSKQR AKLEA JR316_0003733 MSNSARDDYVVLKNSMTFPPSPPLSRHSNDSDDSLRALEVSHGP ASTSHRAGRGRSYSITGFDFQHDLLPLSASVTDPGNLHAESTEKNISLINGIALVVGL QIGSGIFSSPGVVVANTHSVGASLIVWFASGLLAWTGASSFAELGSAIPQNGGAQAYL SYAYGPLVSYLFAWTAIIALKPGGNAVISLIFAEYLNRMFWHSTQADVSPDEIPQWAI KLTAIGAIVVVTILCVAAKKLGTRVAVVFTTVKILVTVLGIVQLARGKASSSLREPLF AGSSTSPSSYSLAFYSGLWAFDGWDQANFVGGEISHPEKNIPRAIHSSMILVTLLFLL ANISYFVVLDKTTVGLSNTVAMDFGRALFGPIGGTLFAFMVAFSCFGALNGSFFTSSR LVYAASRERYLPAVFGKLHSTRRTPLNAALLQSGITIAFILIGSGFRSLINFSVVASW AFYFLTVLGLVILRIKEPMLERPYKTWIITPLTFCGVALFLLCMPIIAAPAEAIAVLA FVLAGIPVYYMTQSPEDEGQSRIALWFGSLMDRLRGRSRAADGWQAVATDNEETMELR PARDRFFSEHTYKFDVKMTCSGCSGAVTRVLEKAKADGVSSFTVNLETQEVLVNGTLP YDDVLARIKKTGKEVRSGTVVA JR316_0003734 MATFSPSPAPRRSSRLHTRGNSPVRSQRPHRLAAEPTLILNDSN SVASAMDIDERSSLITDRSLSRIGGEMIFAKTEEMSVSFYANLPLEVKQVLRLSDFNR DLYSGEIDTTTGFALVASAQTCFVWQHAQAIKGIPTCYIFSCPEDGSQTPKPPFHALV PQGSSREPGLILVSVTGQIRFWDSIGIGLAGGDNYISSQVENMNYEEEVTNLIRVDAQ TYILSTSFGVLHRIVLTSAGGKYHLTIRGFARPSNSGTFSRLLPSFLSSSASASYDSK GKAGHIHAVALGTTSTLGDRDVWALANGRIQRWIMRVEGWEELVLDLDLTQLLLNKVQ EKLSANSEDVEISDIAIFEDQNIALLISYSGKDVSADDFQRLYALAELKSVGNDFSVK HLYSVPYQTTSKPGPPVHPRIQLIYSGSIISVQFGDAVALCARGSEYRDRLELKGIND RTLGVGVSLTTNLLLILTANTMMKVSLDLDKIQSFRPETGHTALVKSIMMQAIIYGPS PLNPLRFSFPPELSGDALMNAAQQLSDAVMKSDSEIVRQSPDMTIQMQGRKDRLSWLI SFINENTVLDKMTQASRQRIATDSEKLFACHKLWIAYNQSISHSSVLKDAVVAYMQEI GDDSHEDLVRAFFRTRVSDIGNVLQKVSSIAKEAAKRSSNTLLQILPEANRIVISVLH EASKYRDFNWEVYGLESPMIGAWTSRSGVIDSARSLFDLTTEALKSDRGPITTVKEPS SQFPGLAKVLLECVKERIEWLALSTEPPAATQVLYREFSELRPKIFETLRQFGHQEAA FKLAERYNDYTSLVLLSHQEIVYPPHQNPHTDRIKLYIQKYGEDFTSELFRWYIQNGE VRTMFDQEAPAGRDLLNKYFENQSNRNIAITWINDLENKAFALAASDLFQDAQGAPNL EGRHLMLSIGKLSYLAHMQETNFQEASTTSSKGPSLDDFHDALDFVSVLEKLVQDFRS VLVAARARQSIESQINTIIKEKGRQLDSRVGFVYIFKDLLRTILKGDALSVEDTVDIL TLKDNTETVEDFATSLHVLSLAPEGSGSDVSGIRGKIPVEIPARRKESALHTIWRRIY LQDDWDALKQTADVSDDELMRRYQETAMFQTIFSMLMKSKEELIVDPESSLSIPSTQE ISSRWPGMSSDHINALIQDYTWEKDRVESWDLSEIYDRIKQLAEEEADRRLNGD JR316_0003735 MKLSISLNKPKATQPKAPILRPSAFSNDIDDEEGQESENPGPSG PVSHNVETSKAMKKRMAAEKRVDETVYEYDEVWDKMQEAKQRLQAAKEAEASVRKPKY IQSLLSSAATRKLDHLRAEEKMMQREREAEGDLYQDKEVFVTQAYKDQMEEVRKAEEE EKQREENRKKQGMQSTGMTHFYRQLLEDSAQKHEATVAATEKRFIGPQGPTPNLTITK PANFTPLADVELAKIARAEGKEVELNDDNQIVDKRELLSAGLNLSLPNTRHLGLRHPS NKPKEQSEQAPQIHRAVGTAASRKEINERRTREIQQQLEEEQRRVAQSKQEADELARQ RIVTKRNNEDDVKSARERYLERKRRKLEQEQAEQN JR316_0003736 MRRSLSRPVARTHSYTHQGDFDNGEDPPPSPSSSDSSVDEEEVN RRVKPFWPKYQAVFRSRGIRLDTVRDVKLFYKQQLKAGSSTLYHPFHSQTEFQQSDDA LCPDAGLPDNLFRGIRISDSKRVVVKAVHAGSREYSIVYKLSQPPFRNDPMNHTIPVL DQFTVPEDDMAFIIMEEWSTNLVASLGPCCMTRFLLAMRQCIEHAAFLHKHRIAHLDI SLMNLLTDYHGRYAYIDYELSRHFDNLSPSLIYNYRGTELPPESESSTGIDPYKVDVW ALGVLILRACKLTGYWIPELMLVIKPMLEDEPSRRPSSWHALHAFDKVVTSLGYRLES NCNEPH JR316_0003737 MPRNSKAKDAKAMKQTKLFEKQELSSTVQYPSPSPSKGVTRTGA PAKRKRKATTQDSSSSDEISRIKMAPPTQPAVVEISSDDDESTSNPLPPKSSHNKRHI QLSSSSENPSESEDDVQPIRKRLRRKASLDTNSESPVDARPSKKSGRLRRLGSSERAS SSSDDLKELAEEVEEERILNTRLRIRDKTVFQKNLDKLKRRKLKLEASEEDEEVEEEV DASQPLKGAKPSAEYDEYSDGDNSQSSFIVEDEGTVELPAEFSMETHQDLSHQFKKIF QFFVVRRKLSGLRDSLVASSVWRPEFIEHLKKYPTFELVSLDFAIPACDACHLGGRMS TLLGRLTGSPYNRSGFVEQKPRRSEAAKCKEYHLGRFCAKRTRVYHEFSHWEHSLFHR ILQEIDALHNATSSRSFHTIGFSGARAPPDDISDADGVCDWLDDRKIIDTEWQKIKNM MESARQLELDKGRDVDD JR316_0003738 MLPRTALPSLFLLLGYALLSSAAVLVDFQVAQPPPVPKDAKQCT IQVLQRDFAFSFGSAEVVELVPPTDCGPVGSWAAITLNLTVTSNGTQFDRLGIFTFQN VEIWRTSTPEPTRGDGIIWTYIKDVTRYAPLFAKPGTFIFQLDNLIETGLDGIYSTVV HATFFASSPPHPPAKKADLLVPLSNLRNDTGNDVSVPPAFSINVTLPRNSVQVFAELY ASGNGNEEFWYFNTPNQFLNALPGFLGQGPFREVRLLVDGQIAGAAYPYATIFTGGIV PTAWRPITSYGAMDLPTYFLDITPFVPILTDGQPHNISLDVTSAEEDHTILQNWFLSG VLQVFTDKSTKPTTGKITQYTASPFSQTNTVGTVGENGDVTFTVSASRKIHIESTIVS GSGQVNHVVWSQNLQYTNLQNILDDTAIQDVLQMSSGTVLSTHNGVPVVVDDFSFPLG INFTLLDPSGDSFKATFDHSYNRDLLPFPAVVRSNIQERQTASGFFLESPNGNTGNGT NSNVFSYVDQAGNTYSRVVDAVLNNITFDKQSGTLAPTSPPPHRGPLPFITGSVGKAR LPSARRVPST JR316_0003739 MATEATQSPQSAKIIDGTALAKSIREDIAERIKAKQAIFPRFRP SLAIVQAGDRPDSSTYVRMKAKAAEEVGIKYKHINVPVETSADHIVKVVEDLNADQDV SAILVQLPLGEHITSADERLVTEAVSPGKDVDGFHAYNIGHLSSRASDPLFAPCTPSA VMRLIKSTGVSIAGSNTVVLGRSDIVGSPVASMLRNADATVTQCHSRTKNIQDIVKNA DILVSAIGKPEFVKGSWIKPGAVVIDVGINYVPDATKKSGQRLVGDVEFSTAVNVASY ITPVPGGVGPMTVAMLMENTLIAANRQWEEARERKVKPLALNVLDQVPTDIEISLAQT PKPVAELAKEIGITANELESYGKYKAKVDLSILDRLSHRKDGKYIVVAGITPTPLGEG KSTTTIGLAQALGAELGRPAFACVRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLT GDIHAVTAANNLLAAALDARIFHEGTQSDKALYSRLVPTKKGKREFAPLMFKRLKKLG IDKTDPNSLTPEEITKFARLDVDLDTITWNRVLDTNDRFLRKITIGQNPTEQGFERQA GFDIAVASECMAILALATSLQDMTERLGAMVVATSKSGDPITADDIGVSGALAVLLKD AIKPNLMQTLQGTPVFVHAGPFANIAHGNSSILADRVALKLAGTEEGDSADRVGYVLT EGGFGADMGMEKFCNIKCRTSGLKPDATIIVATTRALKMHGGGPDVSPGKPLHETYTK EDLVTLKEGCKNLRKHIENSRKFGVKVIVAINQFATDSPAELALVREEALAAGADAAV VSNHWAKGGAGARDLAEATIAVCEGESDFKFLYDLNLPIAEKIEIIGKEIYGADGIEL SDLARTQVETYTRQGYGNLPICMAKTQYSFSHDPKLKGVPSGFTLPIRAVRLSAGAGF LYPILGDMQTMPGLGTRPGFWEVGIDQESGRVVGLF JR316_0003740 MNLAAASFASLRVCSRATSSRALARSSVPRAHSRLSALGSRHYS EQAASQETPPPKAEDAKPDVAELEKRLKAKEDEVTDLTGRLRYLQADFLNLQRNAARE KEQTRDYAISKFAGDLLETVDVLSIALKSVPAQALKPSSSSSNSDVPPTPEPPLPKNH ETYLHELHEGVEMTQRQLLQTLFKYHVKPFDPVGDKFDPNHHEALYQAPVPGKEPGTV IDCQKIGYKIKDRVLRAAQVGVAQDTS JR316_0003741 MAALALWRRNTYSSRLLVSLRHRAPFARQSTLASSATIEILGQR FPTDDYTNITPAIISRTHLQLHTTPAHPLSTLRTLIESAYPDFTHASTISPLVSPFKN FDELSFPADHPGRAVTDSYYVNRDMMLRTHTSAHEVEMFRAGETKWLLTADVYRRDEI DASHYPIFHQVEGARIFDTNAAGMKEVEEDNARLTSLLATANIVIEDIPHISPTNPVQ PGHDPVFSELVAKNLKLSLNNLLLKVFGDVAGISAQEPLRVRWIEAYFPFTSPSFEVE VFFQGKWLEILGSGVIRQATLDTAGVQNKIGWAFGLGLERIAMVLFSIPDIRLFWSTD PRFLSQFKQGQINVFQPYSKYPSCFKDVSFWVPREFNLHENDFCDLVRDTAGDLVEDV KRIDQFVHPKTNRTSLCYRINYRSMDRSLSNEEINHVQSQVVARLKEQFGVEIR JR316_0003742 MAQPSSSHHEERRHRSDRERERSSSHHHRTISSTTLLLVLSLVL AVLAVMLSLPSNSSSSDSSTPGGVLSYLTPKRTQALIAREGAVAIREAEVARREAELL AGAPGGVIPTPSPVLCPACVSATTTVTETLPAQTIIKEIVKEDSLAPAGWNGPRAEEI LERELKIAERERDISKREENVNRREHDASRREGWIMEQLIALGNGDPQSAVEEEYVYE PSKRKPKYQELPPIVVSEISYETQTKTVVQTETVTVPPPANTRLAAFPTPEAASPSTS AGSPRTTAVEVITEEKDPRKPRTVVRGPIRPPTRWFGNW JR316_0003743 MALRRLAQENNAASSPRSPAGPIPASPASGLGPTTPRSRLSNGS YGFHRSPADTPSISSSIPFDWEAARSRAPAPFATPLKKGRKSVATGTSTPRKAVIRKK GIIERIKNIPSAIAFEIAIFPQNVPLPTPKTTARIIGGAIHVAHFLILARNDNEENWD LVSGTKQSSWFDWTTPITLLLILFSVYNTYNLATRRRTYKFHHRMDPLSSPHAKFVVT NLDLEPLARPAIGQRIRSNLWFYFSYFWRFLFGMQPPTRPALPQGKTSRVQELEVWEP SEMDLELFSIYSPAHAVLWLSMGSSSWLYSVVIMGIVGLQLNVMTHSYTQLLKDKQII AAETMKEYNDGFVYPRINPIRKDVAVMTHQSEVVNVWED JR316_0003745 MGAPVLELRDITCYLKRGTNIFSNISFTVNEGDIVVLQGRSGSG KSTLLKCISHLTMHTGESLYRGRTALDYGIPAYRTKILYVPQRPSLLPGSPFDFLVTI TKLRSHQALARDNANSSPNELLARAIEVGEAFEIQADLWHRSWMNLSGGEGQRILLAA AISLDTAEILLLDEPTSALDQETSLLVEQAIVERVYSTKTTLKAVIWISHSPEQSRRV GSRFIHLSAGGCYETDDPTPSPSPSTPVG JR316_0003746 MASTFLPEEDVHSKSSTPIVPSYFNPISPFFNAYDRFARWREDL GLPHPGSVENLQKEVKGTHLSNYIFDGARADLTKSLSVNPLFQVTHSFALGSQTLPSS YNFGAVFANQKVFLQGSVDHEGSISGRFNNGWDANNVFKVQAQLSQQAEQSMIQLEHD YHGSDFSANIKAVNPSPINLTGVYIGSYLQSITKNLAIGLEGLYQRPTPDTSDLAVSY LAKLTSSDKNWIATAQLQPSGILQATYWQRLSEKVEVAADLQVLSAPTRRDAIATLGA KYDFRVATFRAQVDSTGKVSALLEQRFVPAFAFLVSGEIDHFKNSAKVGVGVMIESTT LTPEEMGMMGPP JR316_0003747 MSARLPQISEYDSIPSLYRISDRFGLTTQEEEADIQETLFEQNN ITSISFLHSNLSIHEDPVSTNANATFVLLCRNSDLAGVLASIQQVEDRFNRNRGYPWV LLNEEPFTEEFKRRVSVLVNSSIQFGQIPAEHWFQPDWIDEEKAKAGRIRMMAQGIIY AGSVPYRNMCRFNSGFFFQHELLKPYRYYWRPDVKYFCDVDYDPFKFMEENQKVYGFT ISLVEWEATIPTLWSAVKEFISENPHYVDPNNSMEFLSDNNGDTYNLCHYWSNFEIAD MDFWRGEAYQKFFDFLEAKGGFYYERWGDAPVHSIAASLFAAKDQVHFFRDIGYRHSP FQHCPIGEKWVKGKCSCDPNDSFDYVQNSCLKKYEDMFW JR316_0003748 MMTPMRYVVVVLALIISLHYLVSFTSDSYGRVTSLESLKKAVVG SKPDPNVPPYKVPVSDEYYVHKNTTSPLGRKANAAIVMLARNSDLNGVIISMKQMEDR FNKKFQYPYVFLNEQPFEDKFVQRVSELTDAKVQFGLIPNEHWVQPAEIDEEKATESR NKMIKDNVIYGGSVPYRNMCRFNSGFFYRHELLKPFQYYWRVEPDVKFFCDIDYDPFL IMQDQNKLYGFTISLYEYEATIPTLWSAVKEFIHENPELISPDNAMSFLSDDGGETYN RCHFWSNFEIASLDLWRSPAYSKFFDFLDKKGGFYYERWGDAPVHSIGAALFAKKEQI VTDTSLSNTVLKEMHTKEGSVGVISPKILTMKVTHV JR316_0003749 MSSHALLLRLFLSSSFFSVHVALKYLLLYSDNIGITYYLTRRLR ELDVHELRDVWGFICHLLVTRPTKSRALECFVVEIAQRSTHIALITLWFMQANLNDLS SHRDSPSFVICQRVLQKCHEIVFGDQLLPSTSPYSGFILPAYTRRRKIKHHAQPVFVG LGVLLAGAPAMPQLAEITGQVAIEQGRAEEEGEGLRGPEIDEHAPLNSSMRGFPNDDT YESESPPSLDDEPSPPPAKLVRSNSDLGKSSISGRRRNPAAQTLPALPLHLQTIRKSR QSLDPLGQLDSDNTIMPFQSSPSLASARTPLRSATINRADLLLSKYDVQCQTHLLRGH YYSSEVQFLLSLENICNRLVIVPKPARVSALRAELTALNHKLPAEVCMPMWCSSSDSP NPNNGVTEPHHRIVRIPPGEAVVLNSAERAPYLLLIEILTGDLDFDPDKRANKEILRK VVTKEGGQRSTWSDFRLTSNAARKDAESNSDWPARTPQTSMGQSPYMSAVPTTASSSS SALQDEEEIDLVEQLYGEDQPLRSRMVDIEESIVLPPTPKNRELDMATWARSSPIINS EEPRHRQSPQVQHVHTPSISRAQSLSSTQSQFPITESEPPRDLSLDEYSERMRTAAIM LAQLNADQGRESVSNPHRANSASRADSLSDRTGRSGSVEISQPMHTRMRLPRAEASAI RDRIMKEMLALEEERMERMKGSEGEAMMRSSVVSGSSKTAEDEGIIRRELNKVDPSAV VFSESWATKKGRIRQGSPYGHLANWDCVSVIVKTGGDLRQEQLAVQLIREFQCIWAEE KCPCWVKYFRILITGATSGLVETITDAVSIHSIKKAEYAKRLASGRLGHVTLMDHFVS TYGEPQSAKFVRAQKNFSKSLAGYSIVTYLLQVKDRHNGNILIDRDGHLIHIDFGFIL SNTPGNIGFEAAPFKLPPEYVEVLGGVTGPAFIEFKTLFRQGFEAARKHCDRIITLVE LMQKDSMLPCFAISGEQTANQLRDRFQPTLTHALIGDHINRLIDSSLGSNWTRLYDSY QYYSQSIL JR316_0003750 MKNLFFAGLCTLLALPSFAATNTQQQLAQLAAAGNGIIKLNTET FDLLTAPKRTWSASIQLTALDSRRRCNPCKEFNPSWVSVAKAWATVPADKRNEHFFAT IDFDDGTAVFQRLGLASAPVVYHYPATEGPRKPTNGKTSPVKYDFSEGFEAAPLAQYL SQYTPTTIPYRDPIDWTRWITIAVGAVGSLLFLRFISPIVQSKWTWAAVTVVTSLVMT SGYMFTRIRNSPFNGGDGSWIAAGYQNQFGQEVQVVAFIYGLLAFAFLMLTMVVPLQT SPQKQRFQVYIWTAVIMIVYSVLVSLFRVKNRGYPFKLFL JR316_0003751 MCHTDSCSESSINADKDEWQVWGPTVGSEIHYPTLRPTHDRPDN TTVPPPNIFLPHMPPPQENETGRIETSAPVASNPSPKFLDAGHVNPQNVPRSLLTTTP SSAVVFSGPEAKRPSPMTHMLVSSVHRGNRKSRRPYLFTDCTTLMSDFRKNREKHWEF SEILGHVVEFSNDQHGSRFIQGKLETITDEQKQRAFEEIHPKHTEELIQNVFGNYVIQ KFLDHGMMGQKDAIADVVIRRALDFSIHIYGCRVVQKLIDYVPESGHKIIIQLLEKDI LTCIKNPHGNHVIQKIIQVVNPNLLTFLPSISENILDLATHSYGCRVLQRCLEYISPE YLEGLLESIHRHTIELMQDQYGNYVIQFIIEQGRAKDRNIVISKIIKNLVALSHHKYA SNVCEKALVHTDSDGRHKLIQEIMTMAPDFDNTIVKMMNDQYANYVLQRSLLLVEGNQ RETLFARVKPLVLALREATPQNTKRQGPLLSIERIVNDFYQTQPQRPRQVAENSA JR316_0003752 MASSNNIKVVCRFRPVNAIEQREGGDIVVSFDDNLQTAYLKSAA VSSGPEKDGFTFDRVFPMGTTQHEVFDYGVKDIVKDVLDGYNGTVFAYGQTGSGKTFT MMGADIDSEELKGIIPRITEQIFRSIVESDAHLEYVVKVSYMEIYLERIRDLLAPQND NLQVHEEKSKGVYVKNLSDYYVSSATEVYEIMRTGGAARVVTSTNMNAESSRSHSIFL ITIQQRNTETGAQKTGNLYLVDLAGSEKVGKTGASGQTLEEAKKINKSLSALGMVINA LTDSKAKHIPYRDSKLTRILQESLGGNSRTTLIINCSPSSYNEAETLSTLRFGIRAKS IKNSARVNAELSPLELKGLLAKTQLANTSYQKYIAALEEELARWRGGETVDEADWVLP SKAGAALKAASSAKKTPTSPTPSTPASTSRSMTPVNPNIEGLRSELESRPATPTVIGL DKDEREDFLKRENELSDALAERESTLAAAEKLVKELREELAFLKEQEASVNKENKAMS SQLNELRLQVERLDYDNKESVITVDILKEQNQDAKAELEELRKQIAELKSAQKDASAE DKEKRKQEKMAMMMAKFDTQGAFSEKDEQLRQILAKLDNVDQEASGALTADDITAIRR QLSEGQTLVKETVDRLRQVQEENEMITRRRDELEARVTSLETDYEELLEKTIHDEEAN NGDVADIMSDLKNKLEIQYSAKRDALLSEVSDLKQQLEVRNNEVRSLNASIESLKSVN EELKRAFAVTSAGIEGGKNLAESAQDLERTRKAINVQLAEFDGVKKSLMRDLQNRCEK VVELEIQLDEMKEQYSNVIRNSNNKAQQKKMAFLERNLEQLTLVQKQLVDQNSSLKKE AGIAERKLLARNERIQNLETLLQDADRRLSVQNQKFETQLQAVKERLDQARAQKAVTS STLNFGRIAKPLRGGGGASIPSPIVPIGGGSSSANPLSRVQNEDAGYRASWFFNSR JR316_0003753 MGKRKKSSRKPGPARQKVPLDTTFTCLFCHHDKSVTVRLDRKEG VAQLVCRVCDQRYQSKVNHLTEPIDIYSEWIDAADAAQREEQIARRPVASSSRPIPVP ASVDSEDEE JR316_0003754 MAARRPVTSRARVNNNLNPMPPPQQLQRPKSVMSRPPSASSQRV SSDIQESSGTSSKRQGDDGDTNIQVILRCRARSQREIDEEQTVIVDIDGEKAKELIIQ TAKSNSSLGMHTRAPTRTYTYDIVFGPEATQSMVFHDVVAPMVKEVTEGYNCTVFAYG QTGTGKTYTMQGELAPSPVGGPAVSAGIIPRVLFRLFHELEKAHTDFIVKISYIELYN EELRDLLAAELSAPSTLTQPMGKDAKGGEQKLNIFDDVNKRGVIIQGLEEIPVKDSKQ ALALLTKGSERRQIAATNFNEHSSRSHSIFSITVQIKEPSAAGDDLIKIGKLNLVDLA GSENIGRSGAENKRAREAGMINQSLLTLGRVINALVDKSQHVPYRESKLTRLLQDSLG GRTKTCIIATISPSSLNYEETISTLDYALRAKSIRNKPEVNQRMTRNSLLKDYIIEIE QLKADLLAAREKNGIYFSEETWKQRVAESELRETELIEAKKQVTIIENQMRAVRDEYD QSIALLKRREEELEETRGVLKQTENNLRQREVELAHMTEQYEKEVVVRKAHQQTEASI NGVALGLKKVTEESLRDLGGAFEKLDRKNNVFASNRRIVSENEELIRAYADALMKKLT ELDQLMKSLRGNVEEENTMHLSSQQQAIIAQVEKVNVHFEGAQDLFQQIEKYEGAGER ILSNFKDQLNKAYSSFTSEVSSWSEKATTECTQYSNNAMEIGIGQLDILEESISILTS LLNRISRDVQIYLHDERASLTELRKLAETSTAQELQYLQRQNEILAEMLINERKAADK AKNDLLQRVSGLLGDFLQQRDESLRESVGSLQRSNAEVTALLKATCERQSDLYNNLST TNGELQSQMGQANEEGNEEKEKTIQNTATAKAKIGTSLKEVETAMTSSITSHSTWANR QIRSMGKSLNSAFEEYNRAKRARVEAIDGMHANIESHHSVQHNFLVSISNEMERHGSQ VNSSVKEQSAIMKEYQVAASKNLESVERARATIANMGNKDDIPTGSTPRKRKWQYVDE WALTQSREELLKNWKQDPVADDESSEQEDRPESSSSHRTSDAAIRRTHIRTDSVQSEN VALPILEVQEGRKFETVKKADSPAEPLVESRKRNVFPVDHPFLRSVAELASVTRWFVV AKLFPYLSEYKIKPIALTFVLAPLPNALFSHCGSDELSSSYESSAPAVDLGRFITSIF VVTGFALPIVLAHSNVIDPKACAMSITGGGLVYATIIAYSAAFRQEDSDYD JR316_0003755 MDTHAFASLAHIRILLIPVGLIPRSSFDVYAEEIRSFDSIRLGE IPTDTRDGRAARFLPNPLSKGNILLSFPTHPPPQSHSLLSLVRPSHFPLAVIGVATCS QSESSKSLYSQFRSSLADIFPSGSTYPLVTNCFAFEDVEGTANLDPGETLPGLVTVPC IKNRKLHIGTLLGVLCSQILTEFGVLVQALETPLGNEYLNASLMPFLPPVAELPSSLN SFSRTESSPSINSHNSSPDISRSSFSLAAAPAIKRNASSANSSTARQSTLGVQAQKKR LSTIGTSSSHGRLYKMLGDFFLLSGRTEDATIWYNEAVQLFKSSHDPLWYACTLEGMA TIAIIDAWSVGHGLNNSVTAVKEPWTDVSDRLQQAINLYQKTPAPDGEQMHSLLAYLF CGCVLRQTSLLFSVWSSKGWGPLAFTIMLQSGTKPQLPPTLLSDDRDQWLILERLSSI TGISRASISSALSQLHGPWLLHLGQRERISILETMASFYSCLGYHRKEAYILREVLGC ILDLMVCGREEDGFSQPTAQSAGLGIHNVHAGAGGNWGTVGVRLSESSAGNESVLRLL KYVCKVLGINLESVGLVEGTKSRESPNPPSLDDYDEDIIEELRQPCGWPELQVGVVRE AVAVAEALPDFPTVAQFALSSLKTLQTVLTSGDQYHLYSTASRALVTARRRGDSRAVE YWSGRPIVSMSIAPLPAIRIPVEKPRSIQHKIGDLKPLVQGIVDPFLYNPRKATAGKE KSLVVKNEVLEFVITLQNPYIFDLELQELSLRQVLCTSGVAFESQPLRVVIPANTLHQ VVLSGKATDTGTLTIRGCFVQAPGGVVREYILPLYTAQEEERISRKRRAINSENGRSK YAGLDYYHGTKQEKRSSKSPADASGPSFRFLECKVVPEQPLLRIRRSSITHGALMLYD GEKSSIRLTLENVSPITVDFLHCAFEDSTIEPAQKALAEGNLSVSDTYETEFNLIHKP VFSWDQDEAKTIAPNHILTLTVECYGKVGCNNGVIHISYSHAEDPNLHDSDVFYVRQV SYPLMVTVYHMLECNGMDLLQFPSYPLSLLRNNHPKNARMSSLHFEDDTGWCLFSIEV RNTYGSPFDVALIRTQHGESAASSTTTIPPGSVSRLVIPIKKILLEEEQLSKPIPTLS DRQFVLAQSSLSEADQRAQRELFWYREELFKCVRGRWHETGGTRSGELSFRTQRMTLP MLEVFRLEIARVSLSLGSSCDGTTQQQANRNGSRHYPKVNEFVELKATVSYYQDYKLI TLVASSLVFTMELEANPSEYIIYEGVLNDLPVGRLESGESREITTSVCFLASGHFEIS ALVRGFGGATADSRVARAHITAILKDDP JR316_0003756 MTEGSNRNNLSRIDTDLNFTFNVYKDPWEPTVSPNQEHFKEPAS PGGIPVSPISRTSFSYAVKSGPDNLSGTNNRALNESRKLLSHVLIQLANRTKPESIVD TLTNAVNDPTERGFGALAESLKEAVKLGSKQENRSHKRSGNVVDDSDSENDSTFTTDN TIELMMQLKDVLTMSLSQGWQIFDDSLDDQALRGEEARDSRLSSPFRRSRRISKSPGR RSQSSSPQRGQIQVPELLSLCVSILHSVISEDCRYRVASPRPSRPPNTLQILTLNVAQ FLIYSHRHNPRVISQIAFAIIPAFFTFPSQMHPRLLLFFESNVIRTILQHLQQLQGLS SLDEQNAVHRQNGFNGENDEDDPVVSIQIEEAPTDGSPSTLGSTFTSVPQPSKEVQST NNPRQPAAIYHLAFLLPPLIGAIFDNLDTLGEGSKINGEISTRVDGLLRLIANSKLDT YNDLLEIIAYRGAKARRMAVAFLARLWPSSVGHAIISSPFCVFDDAHSSYSHHFVPWH FGRLKRRTRIAGTLHEDCRSCLKPISEFALLCPLCMTAVHFDCYDYPEGNYDVQYFTT DDAPVRVAMFKFSDLPPNPSVATYTYNPCNHKLKPATWFTLCLCFVCWTPLWGCFAQG LKCESCQISIHKDCVASLDPSRKCGFYNLSSKEITINWATFRSSCLVHFPILQLTNDQ LNNHSYEEIIIFYSVLQIQMQIIVNGIELGSVVIAEGKQNTKQGSPQLKTFELHHAIE RCQVLLDSGQLQYSPLTQQFVQDNEEAKFKPSIMFDWSYLEYITATIKTSFPQSRNTQ TTSNLLNVDQFTNIVDEDAESIAYPFESTSLMHMKHILAVDFTIRSDFATQLILNQLH HLSFFERVDRSPFPFESISDQKDAQCMFPLPLGLDLSMNVETLVSSVEASLSDLDLST NEFGFLLLTRRFWPNGLASEYGLKRLATRVIQWILDEDDNLAVILREFVAKQKPLPGV RYERYGQPWPMPSELRPGLNSMATNGGDYVATRRSLISRFALPWLFELRALHPDFYCQ TVFDTCQQSVDSRNSFEIDTLLETGNPKNLVKRCDDLLRAIVKLCQCSIIFDAFDDIY TRWINLVIELNQADKPMMSLIKLWGNDADTIQRSTVIVDPTSDPSLALYVDPLRTISN LARESPSGLSKSLTYLSSVIKCGISIPITSFKLFLKLTISDKDNCLQNANLLSKAILL TLWLRSSGRQDLRGVISSLQSHLAPKILESLRSGVNSNISVSVIRRSLAACLRLYGCE RSSIIAAGMVLPEELEDLPSRRKLNVRGSTIVDPVFIEREVLNALHLYLQSNSSLVTS FVAKFLNLFIMSDPPLLESFEIDNFILQNGKLLSFCAWNVYDIQNEDLAIVRTNLLLR SLLIDPEHFQETIRTWMDPSICTVQQRLSGVNRLFRMISDVTSPAFNVDGRQWRSSVI EIFYAFFTALWADPNEEIRIAVRTFSSVLLPVHFEIISQCWSESLVKAPITDRVRLVG FLIQLRPHFPAWKVLGWEQIMETLMEYDYDLNGADVPFSSPRDLAVDEKAREFYNLSS IDPDLSHLRMLADGVEIDKISVMKLKVQFVKIAGFSRVIMVPTLSGQSFHLQFDDISD MPESAYPCVEELLRVIDAPQSAKLPYAAIGISNGPDDKTINALIGSVFLDASLCILGK LRELSSLPVLTLKCALETLYIAIQKYDFEDRLFRHLQPLLRGAVLRTIELLSKDISYE LRQLSLSIAQASIKKWHTFLGATVATILELVASEIASQIQNSQDALVVQGKFLIGTTL QTFCQNGLLIGLMRRPLEPHFFTVLAEIFKGQGKDGGSVSHFICDPLLRDTLSRAVEC DPSVFLTILQSIANFVEIVYHEGYSSDLLIFTGQHLTHLVRRLSDGTIEGADPAPILS MSATLLRSNKKHSKDLLPYIETVVRAVSNRLHVKVSSLTILIQAAILSRPKNTENNGT LDIVALLFEILLDGFRMKTKILPLTIKSLIECLTTSEDANTLPPSSTHSLLFRNLADD AFLFLQNSAWHDESIDPDFQAAVATGRLLLSIGNQDPSILHRFIDQQSDKYLRLPLSI RTWNVLLLAILQENNDDWTSMIFGYFSAFSNTYSMVLRTYVTSGISSAAAATTDVNQV HISMKLWLKIADSVSKFVQGGETVVYAVWNELWPGFEGFLGVLETEAQVGLYPTLTSL ACTSVAELLLYVHALKSSLALDTASHTIILNRLRSLARGDSLLSGRIARAVKTMSEPP TETLRSNILFEQIGKELIAAEKIRIMESKRDYGRMPGERSRKEGRALAT JR316_0003757 MSSYLPSGKPEIKPKRHHGYAVLLFIMGTLFPPLAVAARFGFGG DFWLNLLLTICGYIPGHGHNFYIQNIRNNKNHARTPKWAQRYGLVDISEIKRKERKSQ WANRYNDRLPRSALEGQPYEEGQERGSSVDLSLENANGRPKRQPNGDLWRPEDESYYN PDKNSVSSSSGGRWHYPANFDDVEPISTGKKSKSKKAKKDRWERTQDAYNMSADGENQ KKRRKKKRKSTDGDSSMTRDSGDFPEDPEGGLYGSHRGGLDSGPPSRSKTTDEEVFNH EF JR316_0003758 MDHEEHVLNLSDVVHDDPIDEESPHDVHATDPHHESRPSHFDPS SSMMSPLHDNYTVSEHSNHLGTADSYSVEMLEREIATLLNQNASAASAALLSAAAQQR QANIDLGRDDDDGLDTNTENIAGINLGLSGLAAVLQAVHAQARPDDQRDSHQQQEQKT TRTAPAFHSLTAGETSDHHSSQRRRVDGKSGSEGSDYLFSEREDASEREDFANPEGAM RHSPSPHHPAEDVPIPSNELQSVGGFPELNDILNPFPHFEHDPTHNAHDLSPPGSPPV ISHAQVVEQELGAGPVPSIPISAPPNRASTQQPVASTSFSSQPETGAKRARKPQGRER GSNTHTCEEEHCQKSFTRRSDLARHRRIHTGERPFRSALHVHSRVHTGEKPHCCEYPG CGKTFGDSSSLARHRRTHTGKRPYKCEDPSCEKTFTRRTTLTTHMRTHDPNWEPDPNV KYNFKGKKRKIMDDDDDDQELAESVRTISALFQTGGNSILPSRDVQTDEPLAARVASI SAEIAAAIAQAQSRGYDDEEDEEGEESGSGQEMGGMETIGPNTSGIRGVNGEDGVEND DISGRGEDDEDSDAFPAPLRGRKTVASGSNKRKR JR316_0003759 MSAEEAPSTDLITLTRHVLSDQLRLGSTATGDLTLLLTAIQVTS KFIATNVRKARLINLVGLAGETNVQGEEQKKLDVLSNDIMVNALRASGKTAVLVSEEL EDAIIIEEEKRGKYCVVFDPLDGSSNIDAGVNIGTIFGIYKIQPGSKGTIQDVLRPGS EMVAAGYTMYGSSANLVLSTGSGVNGYTLDAALGEFILTHPNITIPPRGKIYSFNEGN AMYFHAPVTAYLKSIKYPAPPKSPYSARYIGSMVADVHRTLLYGGIFGYPNDKKSKNG KLRLLYEAFPMAFLTEQAGGIATTGTKRILDIVPTDIHERCPVFLGSRDDVLDVIKFF KEVPADA JR316_0003760 MSASLRIRIPPQKPSTSTVADDMEVSEQAKRKRRPSKKYQDAEE TRVKPGRTAGDEKSRRPSNAGRAPSPGVKDEEADVDVLADANEEGEDEVADYRSDHSA YRTTPASTSQKSKSKNAVDKQPRRKKARRMVVSESEDEDFKAAVEEEEEEVPVEPDAE DDDDDYLSFDDKPSKTTKGKAKGNQGKAANSNKGIKRKAKPHPDDIAVESKGSSIPAS TSKKRLRTSQKFDDIDVDVVGGVVSPEASAAVDHASPSTTKHDSPLPTVPPKKPKLPT IKKTKLNPPGPNTPASTSAPAKNFSLDHTGAKGPTIEERKKLSLRQTDIDLSNSAVYK ELFLKPGSVDGTPRRAKEEERRKELNKMRDEFKAKRAIESTHSFDLQAQFDKISQFEE KLRAERSSALYPNFLAAKWREKFEKERKRQRDWAYAISTNGLKEEGEVS JR316_0003761 MSSNYFSTPCPIKRKVSISSLHLVVQSCDAALSGLVESVNVEDY PTSDFSILRTDFLSLLSIIYAATTKVALSLKPSEPHHKASLVPLRDLANNVAALVHSI RLMRLQEGSTIMAEYEKVAKSVIISVKGLAEALLQADPKAYLVRTGEIHELIDFARKA GGLSQNNFEAVRRLWLQEHDSLVDGYEEIQEICKKDSEDGNEDGEDALEDGWEEFGIS SDKKLSPVEFERAEKVQAIVKLVILLHKRISRDTLSADGVKNDNSTLDKLSSLSNRLL TASDDLISSMYPYHDLANIKTCLISFWDIIKKLRVTILTSHDETLEGQLKDMSLSSGD KSKKWFSTCFDQIDITAAKIMDSLQQKTRTDS JR316_0003762 MADHKLVPSKRREHGILRVSVRRQVLPPTPLPSYTRLFSVASSQ ARKNPFAFGTFSQQYLPASIPCGRRSLSLGSIFSRSKAEATPTPHTVALITRLEAEAN VHPHDTGKQLALLQALLETKLKSSYELIVNRWERMCEFDASSPLLKSDEAFRIYVTSL QNLDQASAISDAVTKRDGLLAAQTGATATVNMSVPSDATNGQMSTQSNGTSSPVQTPT SSEIIAQQVLSRPETSNHASPASQSTSSTNPFKLPLASENSQAHPIQVSIVERKGAWV PRLLRFVLLTIVASFFFLVILSVFFENSGFMKAGPRQSQFEPSEGKTVKFSDVHGVDE AKEELQDVVAFLKDPSAFSTLGGKLAKGVLLTGPPGTGKTLLARAVAGEAGVPFFFAS GSDFEEMFVGVGAKRVRELFAAARKKEPAIIFIDELDAVGGKRSSRDQQYMKQTLNQL LVEMDGFQQSEGVIVIAATNFPESLDQALVRPGRFDRVIAVPLPDVRGRVQILQHHLK GVTVSPDVDPKILARGTPGFSGADLQNMVNQAAIQASKLGAKEVNLKHFEWALDRIVM GAERKSSYIREKEKLATAYHEGGHALVALYTDGAMPLHKVTCVPRGHALGYTSQLPEN DRTSVTLKEYLAEIDVAMGGRVAEELIYGAENVTSGASSDIRAATRTAQRMVKYWGFS NLGPILFDDRDESISPKRKEEIEEEVSKLVRGGEARATALLTAKISELHKLARALVDH ETLDLEEVKKVVKGEPIRTITEVLDEDISNLEAGSNN JR316_0003763 MKFFIDNLPIIFPYDRIYPEQYAYMCDLKRTLDATGHCVLEMPS GTGKTVSLLSLIVSYQQFFPTKRKLIYCSRTVPEIEKALAELKRLMEYRTKSAETEEQ KTKETNFMGLGLTSRKNLCINPQVAKEKKGKVVDARCRDLTNSSVCQKGRENPGSVEL CDWHENLGKLEPGHLIPGGIWTLADVLQYGRDKGICPYFAVRRMMPFIDVIIYSFHYL LDPKVAEQVSKEMSKDAIVVFDEAHNIGSLLPDNVCIESLSIDLTRPMLEAAGRSVTK LSDKIEEVKTTDVAKLQDEYARLVEGLQESISDENDTDAVMGNPGMNIDSSTMKAEHF VAFLKRFVEYIKTRMRVLHVVAETPLSFLQHLKDITYIERRPLRFCAERLQSLIRTLE LNRLDEFASLQKVASFATLVATYEKGFLLILEPFETDNATVPNPVFHFTCLDPSLAIK PVFERFSSVVITSGTISPLDMYPKMLQFTPVVQETYPMTLTRNAFLPLVITRGSDQVA ISSRFEVRNDPAVVRNFGSILIEYSKIVPDGIVAFFPSYLYMESIVAAWNDMGILNEV WKHKLIFVETPDANETSIALENYRRACDNGRGAVLLSVARGKVSEGIDFDHNYGRAVI MFGVPYQYTESRILKARLEYLRDAYRIRESEFLGFDAMRNAAQCVGRVLRGKTDWGLM IFADKRFARADKRAKLPRWINQYITETASNLSTDMAITLSKLFMRTISQNPNENQTGV SLWTLEDIEKAQAKQKELALDAEKDHDDDYGDGGLSDAALMDFDLDV JR316_0003764 MYLPLLWKVTLSALLVSAQAPTRTLSKRQDSDLPQFVTTQNGNF MFKGKKLDFVGTNAYWLAALNSDDDIDFTLGNMSAAGVKIVRTWAFNDVETIPVNGTW FQLISNGTTTINNGTNGLQKLDTVVRLAEKHGLFLHLSLTNNWNPRPLLDNPTAGLSI SARDVTNGTNNTLPRNTLSNDYGGMDVYVRQFGNGTNHDEFYLNPAIVAAFKNYTTQI VSRYVNSPAIFGCVDPNHLVASGNQGFFCADCPKLFPRKPVVVPPPPQVSSTPNSKRR RGVPQPLTKKKILQDRKAAFKKSRKMALQKRTEPNAGGIRIRGRWVSTETKRQSTDDQ GVGPAFDGSQGVDSEDILGISNIAFSTFQLFPDQNTYGVDDPSLPKFNNTVNQGLAWI RAHADIGRLFNKPVILNGFGLVTQSNAPFFVPFNSTQAPFGPDSVNNQTQAPFGVTDQ QRDDAYTQWLQAGLAAGLQGMLQYQWSQGNLTTAVGTVISPTVDGTSVSPDVTGTGVS PDDGYSIQGQGFDQAVNIIQQASQQFAADVSS JR316_0003765 MFRTASRVIFTRHPYARRFSPTLLGVANLSQTTTKSLLETSTKN TVQRIGRHVLRFTLFAFASIVIVYEGAHLYVERVQMAPETDPEVKKWEWDLINSDWTG DLIKGGFKARHIIRAAFSTYHNDVQDSTVVVEEENSEEHDQSPGLTHVVDARLYETER HLNNAISLIEGNLANDDHSSHAFCMLLIRHADVLEQLGHRMHLMLAKDDYQRAWQHCK TPQYREFIAYKLGDLNSRLGRSTEAMKWWNISTQLIQERNHESVGVSTGYQSIPHSPL AQRILASILVSKSAYLAASGNIVEAQTIEESALNFLRSIRHPDSIATTSPPQALHAAY LLQRSSLLFVHQAEVFHAQRQPVNVSIQWLASAAESSERVADILTGAKFEPKSKSDRS SPLTRPKTSVELLSVYASSPSMNGPAKALLRDARRTAAEAWNMMGILHEQRDGRGSRS ALECFERALSWAGTVEDDNKSLGPAKDTLHSDWHIYWSNYQRAKNIQASSN JR316_0003766 MASAAAISAERFLADRTAPVCSLEIGKSFSQLSEREKKYAHFIG LASWAGARIIQGQWTPQAQDLYDLLITIFSGENGSLANVDALQKKSGLSTTEWEDLLQ YTSQVLSNLVNFKTFGFTKIVPRLPSDKFEAVVVNSFNVDRALPLWKNLKDHIYATSP ESTLFIGKRQLGHISNYYLGEVINDEEVAAVQAAAEKIGVDVLNTRVVKNAPNDFTLL VASAQTQPPSLHDIESNNGKASLKVEYGDFAADLSKVVDALKEAKKYTANENQTNMIE AYIKSFETGSIQDHKDGSKWWVKDVGPVVESYIGFIETYVDPYGGRAEWEGFTAIVNK QLSAKYETLVNDAPNLIKVLPWGKDFEVDVFRKPDFTALEVVSFATGGIPAGINNYYD IRESTGFKNVSLANILAAKAPNEELTFIHPDDVALYNAWDNRAFELQVANHELLGHGS GKLFEEDANGKKNFDVDKVINPLTGGKITSWYKPGQTPGSVLGEVSSSMEECRAETVA LYLVSNPDILRIFNYVDEKEIEEIQYITFLLMARAGLRALEFYDPATQKHGQAHMQAR LGITQHLIHSGIARLEEVRDADGTLQNLYVRVDRGLVLSKGREAAGKLLVELQVRKST ADAAGAREFYTNLTKPLPGWDGEIRDMVLRKKLPRKIFVQPNTFIENDAVVLKEYPST PVGVIQSFIERKL JR316_0003767 MSLSRPTSSSISSLLKCYTPALARHISRKARPTPALRNRFFARL NAAVNTNSPSSSSSSASSSSSATSTDDSNILFARGNGRGTVPVRPYTFHIGASWAGKP EDPRGMKKVPFPPDTLIGAWRDNTLMRSRGGQTLDAGEDFFFVQEVLVPIYPALPLLS PLKAHVLTRIFLILQMRNRSGVSFGVADGVGGWIDSGVDPALFSQALMYHAHRYSRNA WAGEPEIDPTMDYEEREQVEGWEMTPYECLDLAYGGVLREKFVLAGSSTACIISLNAS SGVLRSANLGDSGYSILRNTQIVYRQRSQTHFFNCPKQLTKLPTNNGRKFSRACVDSP NEADTYETKLRDGDIVVAYTDGFSDNVFPSEMVTICSLVARAGGTEEEQVQAMADRLV EYSRQCMKSKLRVSPFEREASRVGQYFRGGKPDDVTVIVALIRETS JR316_0003768 MLFTAKQSLLPADHALLTAHPPPYTPGPYAAAAAADTDAPTSQT LPTLVELCLRALVPYPDEIALPVRLPLRRPRLLHTLIDDPAALDPRLWAVLVQIYDGL PGYLAQYRLPLADVHLPLLQTIPSTAHFSLVTVLSLPACAHLTDDTVHRLRFLHTLAA LDASSTALTAYALHSLAATLQPDRRGPWQLRILSLRNCKCITNDAFPHILRFPLLSVL GKSPFIHSLSTASLHCTDLRGTRCLPDKAFPFHPPSHEYDDLYHPAPLVSALESLYDI QPRLYSSEHPFVLNIDSLYHRPTVPAPKVSSVAPQDAFVVVPPNAASIRVGNTTVLQR DIQAREDSEAHQRNKEAWYERQDYEITIMSAKPRPGRRNSPPSGRINQSVWIGGITPT PPSSNLPPTAQSSTNTSRNTASGTPTPRPSTSTNARRVLHHPPRFPNSEFTSDQTNFQ SESVSGTANARGAEASTHGARARVLKVPRLNMHARAMYASSSSSSGSRTASSRIPLPM VPVTSLEPIKPKPKRPTVVSTSTISPSNTFDAKLKLYRTPPAWATLDDALQEQKAQAE KRKQQMHAAATSSRREVAMVDMSSARAARVKRELDEVVQKAAKRRKLEDLEVAEPARE KGTRASIGNGAGIVPPLVNESTSRNPFRRRPCTSAPSHVPTSLLSSKSASSISSSHTR VGDRDEDAGYLDEDEDEETVYIGGGEKDDEIDNDFNDDTDLGTTPGSSKTNSSTKPSA RKSIDGKVLTPIMDMKIPVLPSEMRKEALARERKESGRSAGIAKSKPQGKNASKTGRK SEGGFDWKTWGGAGK JR316_0003769 MPPAAFPRPQDSRNNPFAPNQLPQPRPYNPPQPYAPAFPHPRRD DDEASEIGEHYDMNSSTTRLAGAPAFYDQSGDASSSEMFGRPYDPSVDSHSSIPSISP FADPGLASSEHYPAWSADRQIPMSTEEIEDIFLDLTQKFGFQRDSMRNMFDFTMHLLD SRASRMTPNQALLTLHADYIGGQNANYRKWYFAAQLNLDDAVGQSQNPGLQRLKSVKG GKNAGPKSLDSATNRWRNAMNNMSQYDRLRQIALYLLCWGEAGNVRFVPETLCFIFKC ADDYYRSPECQNKMEPVPEGLYLETIIKPLYRFMRDQGYEVVDGKFVRREKDHAQIIG YDDINQLFWYPEGLAKIVIRGGQRLVDIPPAQRFMKLSQIDWEKVFFKTYFEKRSIAH LLVNFNRIWILHISVYYFYTALHSPKVYAPRLKNFPSAPMTWSAVALGGAVSTVIMIL ATLAEFSYIPTTWNNASHLTTRLIFLLVILAITAGPTIYIAMVDDLPNKTQIPLIIGI VQFGISVAVTIAFGIIPSGRMFGDRVAGKSRKYMASQTFTASYPALPRNARIASITLW ILIFTCKFIESYFFLSASFSSPIAVMARTKVQGCSDKIFGNVLCTNQVPFTLAIMYVM DLVLFFLDTYLWYIIWIMVFSIGRSFALGLSIWTPWKDIYTRLPKRIYAKLLATAEME IKYKPKVLVSQIWNAVIISMYREHLLSIDHVQRLLYHQVDGPDGRRTLRAPPFFTNQE GAGYKGNFFPAGGEAERRISFFASSLTTALPEPLPVDAMPTFTVLIPHYSEKILLSLR EIIREEDHNTRVTLLEYLKQLHPVEWDNFVKDTKILAEESEAADGTSTQHSEKASKAD DLPFYCIGFKTSSPEYTLRTRIWASLRAQTLYRTVSGMMNYSKAIKLLYRVENPDIVH NFGGNTERLERELERMARRKFKMTIAMQRFAKFNKEEQENAEFLLRAYPDLQIAYLDE EPSEKKGGEARLFSALIDGHSELDEKTGKRKPKFKVELPGNPILGDGKSDNQNHAMIF YRGEYLQLIDANQDNYLEECLKIRNILAEFEETSVSSQSPYAQWGHKEFNKSPVAIVG TREYIFSENIGILGDIAAGKEQTFGTLTARALAWIGGKLHYGHPDFLNATFMNTRGGV SKAQKGLHLNEDIFAGMNAFGRGGRIKHSEYYQCGKGRDLGFGTILNFQTKIGTGMGE QMLSREYYYLGTQLPIDRFLTFYYGHPGFHVNNILVIYSIQVFMVTLLFIGTLNKQLS ICKINSQGTVLAGQPGCYNLIPVFDWIRRSIVSIFLVFFIAFLPLFLQELTERGSGKA LVRLGKHFLSLSPLFEVFSTQIYSNSILSNLTFGGARYIATGRGFATSRISFSILYSR FAGPSIYMGMRNLLLLLYATMSIWIPHLIYFWLSVLSLCIAPFLFNPHQFSYADFIID YREFLRWMSRGNSRTKASSWYGYCRLSRTMITGYKKKKLGHPSEKLSGDMPRATWRTV IFSEIIIPICVACIFVIAYMFVKSFPDKDGNQNPSPLIRIGVIAIGPMVWNAAMLVMY FFISLFLGPMMESWAKFGSVMATLAHVTSLVGLVAFFEFFWFLELWDASHAVLGVIAI IAIQRAIQKILIAVFLTREFKHDETNRAWWTGKWYGRGLGNSAMSQPAREFIVKIVEM SLWTSDFLLGHVLLIILTPPVLIPYANTLHSTMLFWLRPSKQIRPPLFSTKQKRQRRW IVVKYTIIYIFMVALLASLIVLPALFRDRITFDCSLCRNI JR316_0003770 MDYFSKFLRTVPQPATSVEVDHAHEFHKSWIAIKDTLLMPDERQ LSKGIMATDVPDLLKSMVQSLISESTTTEIGGTGACMEYLLKNDVLGTLVRLSEADRP AGIQAQVLSAVSNMVVFLDEQFLVHSAVHKAVLRLLRSCVGDDIQEQLDGRNKLMGAA RNVVRAEPSEYETDLVRLLCILCNRISSYRELLMIFFHDKHWYHSEPLFSVEEGDEYE DDEYDDEEEEEEDPDATLKGIKLEQAAGSDEVRPPSPNSSQATITTHQKVDGKTPEYE FLLFNYLLRFVHREGDIGYFARSGLALLVEVAMSPGKMSNNSTVDQTPSTSDPVNDAA LALAEYILDGDFSDVLGAGLNAVYSLLPSKLGFFPHISSGGSNNTMTIGGQTMADEDE KERALILIDKNRAMGIEDAGSPEFAAKIDHFLTHLVFLQNILKRNGENNSVDPSSLVG TAIVQSILDAVRRIFLENVFYPSILECSDADGSAVAVMSYIDIMIRTLQEGPLVNLLV DFLVSEDSTDPQIRQRIPANVKNRDMPPNSSDDKRAKHRRRQSSAMLLLEMEAPESRK ETDYFTSMGRYTLKDLLIANLRSKSQPTATSALQLLHTLLKYHPQVAIEKILLVIPDS FATVFPHPAIVGSLRPKVVDTSITEQDEDEEFRYPGAEDSKDASHDSSIFSQPATTYS THEREMGLYLALVSRIDPSHNRDSDGFSTGYDHYLHDALMTIQSQPDYWRALENEDNA APRFKHRLNVNDPILSLLLESLTTFFSNSPDFNVGLTGVLATLAIHPDRSMSGWILFA SNEDPFASPKPRYSRDTLYDDGDDRSIDFEIEEKLANDTNYLPAASMDEKSKPVIYTI LQGLVNQLERYRQTVENFDKYLLERRQGLLFSENLSDALNLELNIVEERKSVKSVEES PAKSKPKPKSTATSSFVSFLTPKKAKVAKAAPQTEPTTPPQQAVRKTVSASPFGSHYH HTGSVKVEPYIAPVPSNGLWTPAKSQKWTIPEDDVFGSGWNERPPKDYDNDEKVAAPA PKLEPITLSQLLDNVVILEESIKELVAIIHARRSLGIDSLRYL JR316_0003771 MGKRLSDLCLSFLDQTNFTVTSLTACFILYSRSTGVIYFTAGAV CCSLSVKVVKRLIRQPRPAHNPGRKMKVTYGMPSTHSATISFFATYILLACMYLPIHP SLHPEHAFRVFPPLVCLPWAATIVMSRVWLGHHTWPQVIAGASYGVTFSLMWFAMWVG GLNDSEGTRAIEKLVSSVCQSQTHTPTIMDDNDPINQFFPGEEEVDLYAVLSLEKDAT IEAIKKAYRRLALVYHPDKHASATEEAKENASKRFQQIGFAYAVLSDNKRKARYDSSG RTDEGFELGAGDDGWEAYFEELFDRVTRGKLDEMKKEYQGSAEEMEDLKAAYETTEGS LGELMTYIPHSTHEDEERFIVAITELIKKGELKSTATWRSTSKDEKAKMVRKKESEKE AKEAEALAKELGVWDEFYGSGKATERKKAKNKAKEKDGDEEAHEDHSTLQALILKKKQ KNMDGFFDSLAAKYSEPAPKASRSKGKKRSHDVAEDDDSPKKKSRSNVPPPQEIDDAE FARLQDKLFGDKDKAKASSSSPKKKGKGRKAK JR316_0003772 MAFNEKKIDEENDAPSLDQTSYEDAAFVDPVEHESLHRGLKARQ ISMIALGGAVGTGLIIGSGTALQRGGPLGILLGYSFVGFVCYLVMVALGEMAAFLPHK KGFAGYATRFVDPALGFAVGYNYLLKYLIVTPNNINAAGLVVQYWTRSVHIAIWMVIF IGFIFLVNLLGVRVFGELEFWFSSLKVVSLIGLLLMGIIIDLGGNPQHDRIGFRYWRA PDGPMGSYLLKYVHKESLAIFLGFWATLTNALFAYIGTELIGVSSSLAQNPRKNIPIA IRRTFWRILIFYIGGVFVIGLIVPRTNDSLFVATKSTTGAAASPFVVATTLVGIKVLN HVINSAILIFVMSAANSDLYIGSRTLYGLAVEGKAPAIFKKVTKGGVPLPSLILCTAF CGLVFLNVKSSSGKVFGWFVNLVSTFGALTWMSICYAHIRFMKALAARGMSRDELPYK APFQPWGSWFALGSTAIITLFKGFDTLIPKLNSANFVTSYIGIPVFLILWLGYKLVYR TRVIPSRDVDLVSGIRAIDEEEAKFVTAEQAKGPRTFLQRVWDSM JR316_0003773 MTSEGLIDLIPLERQLHQLTASIRIKDEDESWNKVASISQELAN NLRSRDPLVDKHTILGKTELPQTLTSLLSLGLRDSHLPGDSRTAPILELLRVGANLCM DHDENRAALLEVGFPQSVMSLLEGYAETIPTPPYSTPLPLSIPHLKIVRTSIGVLLNA SIGYDAVKFRLISLEAAMTIIKLSSTIYPPTSWTLPHKDPINEEYLEEWTLRSGISNW AWRTVSALKDVQDEKPSLLNDPTEENQNLFDSLVQTDFDFMEESCTIIESLSLDVEDF RLELARAMCYPTSSKAIPCLTVILDFIEYGTYSPLWANPMFEDVERKNKEKAFDICKA ALIKAIVEVFAEEKNEEILWTNDTIEQSGGPFVARLVQWIKRYVEAQEIKDTSSIAGS GRDDMAICASLSLGNLIRKAPYATALLSKPYSLAPVLASSHFFSTSTDIKLKHGILGL LKHLSQFSKLSSVIPISLAEVGIIDRISASGIWDEKSDAMADVIQLNAIGVAKHMCNA SIEHTIALVLPSDPTRPSGLSQILALIKRSDSVSIRSEGSRVLVNVVKSLWFAERSSD PADEKQKKREQCVANVLTQECANTLTALIGRSNKYPILVNEGIVAVSLLCTHRLGGPL VLNALTVPVKNTLPAPPSISVEDTLSPINGAVPSPEGSSRDGGLPVPRHALDMLVYVL RNIENPVNFPYEVRVNTCTFFLQLQRHTAGPSLDHVRDTVLPVIQDIKQEISDYPEGV DGEEKLLKAVNLLIDSWTRKQPSV JR316_0003774 MGGSKKNRKKPISPLPPTEIMNEDDSELMDDLLAQLDSRDQVVQ AESASVLNEMNLNAQADSIEASSRQDAKSRFKARQARKAAALAQSYSPDDPAVQARLE KEARDEEDSIRRVCDQLSLEIHEINPDGHCLFSAVADQLALLGLISPAEATYTNLRVA AANYIHSHPDDFLPFLPSTGGEDGAGALDAGMMNREEFDSYCTSIRDTAIWGGEPEIV ALSRAFNIPINVVQGGHPPIVVHSPAGDQKSGTATPASVMISYHRKLYGLGEHYNSLR KKVA JR316_0003775 MAQPGQDAKFFQRGKIEEFRADLQAAETKDKKFVKRKTVLKKIV ANITMGNDMSPLFTDVVQCLGTPLLEIKKMVYLFLVSYGRSKPDQIHMVIPNFLQDCA DRNPLIRALAIRTMSYIPIPVVTDALSDNLRHCLKDRDAYVRKTAAICVAKLYSADPR RAEKGGFVEMLRDLMLDTNATVVANAVAALSEIGDRQDGVIFKLNLATANKLLAALGE SSEWGQIYILDSLLRYVPSRHADAENMAERIIIQLQHANSAVVLTTIKVILYLMNYME NRRLMDYCCKKMGPPLVTLLSSGPEVQYVALRNILLIIQRRPAVLKNDVRVFFCKYND PIYVKLAKLEIMYRLARAENANEVLAELQEYASEVDIDFVRKAVRSIGRLAIKVEAAA DNCIKTLLNLIENKVTYVVQEAVIVIKDVFRRYPGKYEGIIPTLCENLDSLDEPESKA AMIWIIGQFANRIDNAEDLLDDLLYTFLEESVEVQLALLTATVKGFIYKSTSEKAKEL VAKVLKWATEEVDNPDLRDRGFMYWRMLAINPAVAGEIVLAEKPPITTDSDRMDRGAL DQLLLHTGTLGSIYHKNPETFIRNATGKALTDSPALNAHSRAVLIPLAAAQLPPSIVR VPGPGPIESHSTPVDLLATDQPEGEAGAEETPQPAQTSLLDDEDGEDLGSDQEDEAVA TAGKDSRDPYSNLDGAFGNYIADEPKPMGANSRGGRHDDDDLLF JR316_0003776 MASDQTARASLHPSRHGSIDIELKALKIHSRRLQSLLTIHATEL QVLHRLYYKNKNQHRGALFWRNVTEMRRFLEKIEKLKLLDSTNSLRSTFYDTTQNINA IKGPWTHYPNERTLVKFLDHCNIAVKLLDKMSERSLIAYRSFHRSLQSAAFLQILLMF VAIASRAGTLATELIDTVRLIISCTNRLILITIHNKDELAGPGPIQNSDAKPQPMDVD NSVDLLSISQAIGVMTTQQKTAQSAPVISPTQDSNAAPPPITVPKTVVYKTRIEPKQL SEPVPIPKKKKRKAPRNEIDDIFGF JR316_0003777 MVFITSFVGAAALLSGFAAALPRPDSSINEPAVSAPDGTPITDT SSPQATSVSTSSSYGSSNSGSSYGSGSSYGSSGYGSSNYGSGSSYGGSSGGSSGWDSS SSYGSGSGSYGSSGGSYGSESNYGGGSNWDSSSSASWATSTALSTSTSSAWQSYSTPS YGSGNSNWGNSGYNDCVQQCIASYGNLPSPYTPTATNTANYGSGATHTVIVAPTQGVL RYVPFAVNASVGDTIKFMWGANNHTVTKSSELTPCNKTSDNLFTSGTQNQGFVFTQVV NTTDPVFFYCGTPTHCQKGMFGIINPPNAFAAPSSVSSMMPALAANNSDVAAYASYTS KQTSGNAAASSWGNNIDMSSLPEWSHSYVAENVMYTRTFLAQNAEVIKDDGSIDLSAG GSTPLMIPQDLSAALASAAPAASSAPAAPAASSPSTASAAPETSGNPSSSGAIATSSS KIAVGLVAAFATFMML JR316_0003778 MSSSARIPQGLPSNPRSRVASRPTPPTRQAESPRTSRTHLPIPS NPRPRNPSAQRATVQDTTQRNVRPQQRYDNDGMVNRRGRWSEDTTSTSSSSSAPTWPR VRHSPSSSRTTLQSDDGIGSTGQQQSNREDDSRHNNSYYDATFTWRRVTEAATMITQE VSKVWASGLTSQGNGDEDEEGETQLTRVMRAYHLAKARTPSELPAWLFSEKERGQGGL LRSDRTALPDDSQVREQTQRRNRPAYGDNTTIPGAHAQKNKDIQNTKPISSNGPTKIS GADRLKQMRELRRNASRV JR316_0003779 MLSASLARGIRQASKPTALQSRSATTASSSQKFKVLVVGGGSAG LSVAQQIYNRFQAAGKSLAAGDIGIVDAAENHYYQPGWTLVGSGLLPKTDFSRPLASL IPAHIAHIPENVKSFSPNSSTVTTTSGRDISYDTLVVAAGLQTNFDGIAGLPAALEDP NSGVSTIYSYKTCDKVWTDIENLKSGKAVFTQPAGIIKCAGAPQKIMWMAWDRFRRTG RQDISVNFYTGMPTMFSVKKYSDALDALRQERGVGGYFGHNLVSIDSGNRKATFKKAD DGSEVTVDYSLLHVTPPMGPLNVFKGSPIADAAGWVPVNKATLQHDKPEFSNVFAIGD CSNLPTSKTAAAITAQAPVLTENLFSFVDTGKVAIAEYDGYTSCPLLTGYGELMLAEF KYGLETKESFSDFFDQKKSNRFFYHLKKDVFPYAYWNYMVKGTWFGSKGLSKPEF JR316_0003780 MWEINTLIVGVPHVTYDAKELDLDRNLRLDLVLEATFLADTAFL GTASIQLIQGALTLAAMSRLTSAQVISLGDYLEPDFDPASLTVSQLLGVLGYHNIAYP TPYTKPKLIQVFNNEVKTRAKKFKKERIKKENSIASEEGITDGITGQPLAGRSKLPAP VARRTSRRLSQIPKDEDSSPTRPEPPKRRRSSAQPVLGGSSKRVAQSQVTLIEESEPE EEQPVRKVGRTKKLSGGEDSGWEDNNIFQSGAEDSSPARPSPIRPRASRASGIPRKTR KSTSAPPQPLDSPLRPTNLPDHIPRSPPQSPFRPSLPPIPTFGRTQPEKVRFTPVREF SPAEHTKPEHPQALQRAPEVEQHDNVDESPKDSDSQIDEAISRIMSEEMHDVDVYPSA EPSAPVNRLARGVVWALIFASLFGIYSYKSESASIGFCERGSNTSQALDNILFDRAAK EACQRRNSLLQNSSQPHEELAKGYEDCGLPPLVPIPHPSSCTSCPDHASCSQFEVTCD SGYLLKPHILLSFIPVSPSRSSLTTSYVPQLSEGFFKAVSIATDGFPGFGSVGLPPRC VEDPQRRRHIGAMGKSIESRLAKERGKRVCRGDHTTPVSDSQAQLDEAVKWGVEENQL REFYRKTASPKVLPQFDEMFDKAIQQLTEWGGVFIGQDADGKRYIANKTPEMSWQCIV AVKSRETWAVWRTTVIASVVSILAILGGRLRLTQKQKENRRIAGLVQVALDTLRNQEM AHYTDPISAPQPYLSSIQLRDLVLQEEHSVPTRRRLWERVERVVESNANVRANLEEIE GGDETRVWRWVGSTGRTPGRRGVHEVEAGG JR316_0003781 MESKSQTHVTITWMDLPTAAGEVRESAKAMALSITQRWLQQAVQ PYPNHPRVYADTDALLAHAPTLRPKSDVYTFDDGRTQLLLCVHGLLPISYRQTGYNIP VAIWLPREYPREAPIVYVVPTTEMVVRPGRYLDVSGRCRIEYMQQWERKDEGCSLSAL VDALQEYFSREPPVYAKPAVSRVVAQSPPPPPPLPAKPPSSATPNPVSIFTPAQPPPL PPHPVQSFRPAIPPPLLAPSHPVPPPPPPPPPPQLFASPHPPRPDPHHIPIPVPDLLD QDNDASAIPASFPAPPPRPPNPELLRLQSEVHRKLTAELNSLSQALAIDAERLRAQQA DLLAGEPAIKDEMARLEAVRDVCRNVASRTSHAVHQAEANIAELRRKGDPEVDELVCA TSIVHNQLINLIADDNAIEDTIYHLHRALNAGRIDLERFLRATRLLAEEQFMKRALIE KIQASTMSSPSVPSDWA JR316_0003782 MTSSRASSSAIKKHDNSPPSITPQRKHRKLLKDGSGTEVWPESI EKVFVQGLREYWDSPYATYSQSRGRSRWRNQFLVDYLAKQGIDRSKKQVASHIQVLRN MWKGEPEFHLVAGGDELYPEGDSPMAAPVKLEEYHDSNSLIPFEWDESDHLPSSNSVS PNFSPADSQSEFPPTPEQRPGHFPPEFGVIHPKLQGMPLDLSYAGHGLNSPSISPSGD FIQQLPHYGDASSPFAAQQAKYPAAPPVIQIPPSYQSRKTPTDPSSQTVMSATPTHYR YARNKVTSVFLQADGMTPFSVKVDALSHPQGLQPPLTLRVRLCVPTMNDARTPSTFHG FQSFVSLENVWSATSRCVTKVYGNNICISEETGYLNVTHINVGTVNAGLPESSLNRCR WLDASLSIVLTQEIIVDDETLLCMIYDLDRKSGPMPSATLLGFHKYRAADKGTPPTTA SISPSPSTNLSFPHAGPYARPTSQPSLSYALTPTRYQ JR316_0003783 MAGNTKGKRAAPSSQVEQIKASSKKRKLNDGKQKSVKASVKSKS AEPKKEKAADRGVIPIPNLSDDDVEISDQDMDVLAEFGGAASFLKSLDKKGIMRSKKE TQRLHELNKPVRKPEVYDDLPSIDSHDEDEESWDSNMEDEDSEILSDEDDNHSIVAYS DKTAGSDEEMPYEINPRRLRQEPAKPTEIQRLPIKLADGKIQRTGIKAVIPASQEDIP SEPEESDSEAEERETPRWRVEDVSTGARFGRPAVVDVLKTNSRKAKIDMAKDQIAAIC QEILADPENNLGLLRRLHTFSLKTVVTPTHPDPVPNDPIIRKLAVLSQLAVFKDVIPG YRIRALTETEKAEKVSQMVARTRDWEQGLVTVYQSYLRLLEGELKSHSELADTALHCM CTLLKEVTHFNFRLNLMTCIVARLSKKSWDASSELCSQTLIQVFREDLTGAASLEIVR LVNRMIKERHFKVHPNALSCLLSLRLRTELGVRASNTHADKPEAKKPKSGKAQKQEKV HLSKKAKKAYKEQKEIDKELREAGAEVDKEERKTTQTETLKLLFALYFRILKNPTPTP LLPAALSGISRFAHLVNIDFFKDLMQVLKDLIAIEEEAAMAYADLDAAGIQSAFIFRQ LSCIVTAFELLSGQGEALNMDLTDFVNTLYSILLPLSFSPEMNAQPSTSTTSSSSSLG PKVYHQSVVDILFRALHLIFFSRTSGSTAPPARAAAFSKRLLSGALHWPTPAALRALE FVHGLLAKDSKLEAMLATEDRIYNGVYRADVDDPQLCHPFESSFWELHALHGRHFDPR VRQAAGVLLNYSAS JR316_0003784 MASTDSAQPPGPPLEVEAPPPADHVIRPHHIGLLTVLMVAFRNS SIKDFPSPFALHLLRVLLNEISEVAQHKSHAELMSIISTGSEGHPAVFYDFQMAIATI TFVAFSRTRKTRSNHVPCLDSFAADALCLSENSPSLHLTGFVEIIRHGVPETQPLDMK TLKNQI JR316_0003785 MNGEFLAARQLLGEAIDTARLSNDRVTLHHCTSILHRLPPLDEA QKPILQEVQPDLHPFEILFDVSKLLNPESVRMVSLEQPLSASFIKIFQAIGLYDHWLD VQCAVPVEEQQWAQHAVQSIVWREAGCSKLADIEQNVVLAFTEPGGQNSNRMAVSLNK SYQIARQGNYTAALASLIDPNVWRGLYIQDYAIWAHAIWDILALRATRRGQLRLYREL LIPRRPNGPFNPKEYSYNVESDKLTIIRESLYQILQLKQQDQAVMGIDHLLRALWYSE FLCRFSLYRTAIIILADIGLEFGLTQKSRRIIEEIMPQVINGDDIEQRAVACFTLARC IIVAEGSTSEALQQALPYLSQAEYDFKTLEMYRPLQDVQYMLSVVYHNLDMPMQRQAA AERHFETEGLQRRLEMIVSDPEILKIFDLVEVVGSALTSSARRVSPLFTPVCIQLLVS ADWIMDGPPPQEEDFTTLPISERLAHKNWKARVSAYESLIKTFGNTASDTDPAFKPYI NNVDLLKKFATDANAVAQEKGVECLVALVKFAGESAAKTREGVVPALVDKCLGSTRVG TKNQAIELVMQYVEVENGGAGVVADLLVGLSAKQPKAVAGCILALKEVVRNFGVQVVP SPPILKALPKIFAHSDKTVRAEGSSLTTALYQYIGPGIEPWLAELKPVQVKELKDSFE ALEKEGKGHKTLKPEKLTRAQSREVVEEGADEAQEEPEDMAPPDPRTMAEVVDITPKL PASLQTNLKSSKWKERKEALDDLSTLLSATPRIKDAPELAELSKMLAACVAKDANINC VIVAATCLTELAKGIMSPFAKYREHVVPPMLERLKERKANVTDAIGAALDAVFATTTL ADLIPDLDAAFKSKNPQVKEGTLKFLGRSLASATTPIPPAQIKPLADTLAVLLEDGYE GARTEAAVCFGTLMKMVGERPLNATMESIPDLRKAKIKEAFEAATVKCKAGGAPPRAP AAAAAPVKKPPTVAKPAPVPKPVVQEEEPPPKKVAPPPKPAAKPAPPKPAAAPAPKKA APSNATSKPAAKPGAQVAAGNLDTVKYKHTPEDAEALAAELLPGQVLTDLGDANWKTR LAALEELTPWIEAEIQTVDAEVVVRALAKKGWNEKNFQVSAKLYGILAILAQGCPSFG RSCVALSVPHLTEKLGDLKLKKPAGDTLLAFAEKTSLQFVFSQAYEPLSKQKAPKTLA DSIGWMNTALIDFGIAGLSLRGLIDFLKAALQNSNAAVRTSATKTLVTVKIFAGSSIK DLIEDLNPQLLNTITSEFDKVEGQSPPEPCRTSADLANAAPEASKGSKAAASDPLDDL FPRVDLDSLFKGTTILVDANSAAWKTKKEALEALQGLLDQGSNKRLKPSMGEIGQILK ARVTDTNKAVQVLALDIVSKIATGMGKPFDKHARLLVLPICTALSDQKSNIRGAAVQT LTAIATACESLEPMIPGITTGIETPNPLQKATLLQWIVDWFKENSAPSSLDLRPWAPA IVASLDDRSADVRKAAQALLPSIIQCTSFDFVLQQTNSLKPASRNSAIPLINAARPVV STTEPTPKPAPAPKAAAVSKVSTSPDPPPASPVPDSPVAPTPTESKPSSKLGVRRKLP QGLSRPESRAEIVEPATRAIPKKLIPPTSSMKQATQAPPSTGLPFSNMNVETKKSRLG KDASRWINEGGPTRKDLADALQTQMEPHTSQEVVAKLFSHDHNAINDYIAGLTTIADF YTGAVGGDESIEKLCIANLDLPLKYISIKAHEPQPNLISKCLDVTEAILAFLRSVNYQ LTDNEAMCFVPTIIFKLGDAREQVRSRTQQIIRTLPTVYAYSRVFQLLLDHGLKAKVA KTRQGTLDEMSAILKKSGMGACEPAKAFPAIASLLSDKDPQVRKSTLGTLSEAYILVG EKVWSLVGPLSAKDKTQLEERLRRVPGPSSSSKTEPAAIPVPAVSRIAAAATAAPRSA SPSLASVSRLARPASPANPLRSASPALSRPDSPSRREPTSTISASSAAAAPPPSSPAK SRPRSMLPSRLGRPRPVVTQPPATMQIREEVNSIPIPSIRDEVPADHAASSPPVSRLR PQPTPVEETVRNDAPDDIVLVISTIMSSDPSRSVDALKKIQKILAEGPEKGPSLPQYR ELAEHTEGLIETITLQMAHIFEHPKDLVLDENFRLAKHLIQTLNNFCDHTFLAESLTV DIVTALLEELTLRLLETDDSSVKKVKDLSRFINMIILRLFATGRRMTIFRNGVLPDSK EAKVAELVLKCVWKLARNIPQDLAESFLDPVELFPAIEHFLQSIPPNEWRARATNKVP CGDMPLRTIKVIIQHVVAHYGDDVYELLSASFEDPSATIVYPYVYRILNSNSRTNAES QPLRRNGMAESYATPASPGSSRPMSPHETASSVHSHHRPSSHRTSPTSSANGGNGLYS PNVEEPDPDAQLLVIIGHISSETTGALHKEGITELHHFLKAYPHKKPRVDKLLENTGA AFRKYINRALASRAAEDQERNVAVADTLSKLEYNSSESKPSDNAAIGSPEVTSRTPVR TSALDGSDQQERLSRLHDIFQYRSSTISSGSSHGRSPSNGVRTSMS JR316_0003786 MAKEPLTKEQALGYDAAMVFWRVITQIFFREVRPRGAFNIPRDG PVIFVGAPHNNQFLDPVLLSLEVYKETNRHVQFLTAAKSMQRKWVGFFSRMMESIPVS RAADSASPGTGRVLLSSEDPCLIIGEGTKFLSEFTPRMQILLPKSVNSAIAEVSEVIS DTQLRVKKEFGGESGKGTARIREKLAELQGEGIIGLEYKKLPFVDQQDMYRHVYQCLQ GGGSIGIFPEGGSHDRTDLLPLKAGVSIMALGAMANDPNVQVKIVPVGLSYFHAHRFR SRAVVEFGSALDVPTEYVEMFKQGGTQKREAVSKFLDLIYNGLKTVTIRAPDYDTLML IQAVRRLYKTPGQHLTLGQVVELNRRLLEGYVHFKDEPRVQKLREDVLKYNRLVRDLG LRDHQVPRAQKASWKTLGLLTYRFCLLLIWTILALPGTILNGPILILASIISRRKAKE ALAGSVVKIAGRDVLATWKILIALGVTPVLYALYALMATIIAIRAKAPLKWKILTPVL VIVALPFMNYAALKFGEAGMDVLKSLPPLIVALIPGQQRSLDKLKAMRIDLSNKVASL INDFGPKLYDDFDQARILVPSAAAPPSTGVPGLWRRKSSTGAVDAQGLGLTHPMTWID ERLFGWSRSARRGTSAWGGHVDDLSRINTPDDSDEEDTGDYDNVVGVLGTDDQHLAAV YKSRSRQSSFADLQRLRMAPMTAQKPTQRAGDEDPEAASRLTHRTRRASLSDGVAVTR IAAVVRKEPFEEATQDLNAEISHSKEN JR316_0003787 MGTRPRVLNALRATNWEFGALFIADKSVSKLDKTHKIIHDLAKT GGANLASDGTYNPFVNYAFTGTLRPVYPLSPKRQVPAHIPRPDYVDADGRPLSEIARA GQPPRILSLEEQEKMRTVCRLTREVLDIAASHIRPGVTTDEIDEIVHNATIERNAYPS PLGYRNFPKSVCTSINEAICHGIPDQRKLREGDIINIDVSVYFEGYHGDLNETYPVGE IDEDSKRLIRTTRESLDEAIKICKPGALFRDLGKVIEPIARANGCAVVRTYTGHGIND LFHTAPNIPHYAKNKAVGTMKHGMVFTIEPMLNLGTNWGDVHWPDNWTATTLDGKRSA QFEDTILITETGHEILTNRRS JR316_0003788 MQARAQVVFSSSVANMNEWAQRTRIPLTTADALGSTYARAHRWL HALKLQLIRDHHWTEAPSQDARMLFSLETSSIWRSSVNLPAGPNLKLQLPVHASSFFS PERRIQWQMVFHSDIFENVRKICPPVNDMLYLIQCLLTGVVTISFEERLDQGIFRTTR GLPPIQWITANEASLVDIFGPDHFRALRRACTDTHASYKLEVVG JR316_0003789 MPPFDIHAAFHRILADAQISAPLAAIFALTELIQNSDAGTMFEL VKALNDGAEALTRQATNPISINAGCELFIAFVTLFPHDSANFTDLKKELIRQGQNYAA EALTYRKKIAELAFGFIKDGSVILTHSYSRVVMQTLLLAHKRKRISVFVTEARPRGLG IKTAEELTAAGVPSTVILDSAVAYVMDKVDFVLVGSEAVVESGGLVNAVGSNQIAIIA RAANKPFYALAESYKFHRLFPLSQYDLPSHNSKILSFPRPLPVNLPSTINSLTKQPSS DSSTSDSSHPDPISPPRITQEQISENNPDVDYTRPDLISLVFSDVGSLTPEGVSQYLV GMFAG JR316_0003790 MEDFHRQDVAASCIFLSTKTEECGRKLRDVARVYQAKVQNADIA TIPTESKEVDQCQAAILLTEEVLLEALCFDFIVESPHAELLDLFETLESDLEVQEYAW SLAHDSYRTPLCILYPPRVIAFACYILSQRIIDGPNSPSLDARVSATAPSTSLPTPPS HKPPSPDASRAAIDYYNLSESELRSISEAIGILLEFYSVQDQVSYPYISSIIEIPPPV QRNPRPRLFVPPSQLNAINNQNDQQSQSISQDGLGRTPSSSHGGNTPLTLPSEDAQTP IPQNE JR316_0003791 MGRTAQDFETHGVQSMRKYLRSFTLPDPPTCAHYDLINLPPVTT KSKSHQNQALRVQPEDYRTLECIRFIFQSKENILSWLNENIPGKLPKSKLEETKKKIE NIRYILPDKLSERFFSQRMSDISHLPDSIDWELCEGDTRSPLFRYQVWKKGPFNKPRI YIPNTPSPIVIAYQTPYVLSPGDFEEFVNCKSFPSFMKGPRPNAPFSGKFKLWATLWD ACRVEDAMWFFLTTYTHWAVGQFTEGGGVAFISSVFHYKNRSPTLLEWLTYWMATAMK APDTKVLPRVYEPITESLAFEIDSDKPFSAGRARSESYWPGRDDEPGTQAITSDYIIE QWEEEVAITEGGNSLGLPAKNNIDMKRPDIVEWMSSCMNPKYRKLDQDDLDCPVDLDA EWAEHEMFVPGAPRGEWMIST JR316_0003792 MDGLLKELTKLEKLTTVNGKGISIIQSLDTLLQSLKEAREGFLK GTCSEEDLRRLAQLVEAKKKEVDDRQKDIYSVLSRLGKALDKKFTATLPTYSDLFASS ASVMAIERTIALHLLRTGQFDVAETFLQESEIDISKDLRNQFVELHQILRHLRGHDIG PALRWAKKNQSFLRSRNSPLEFYLHRSQYIRLLLSTHPPDPIAAVQYARDNFRPFYND HETEFRRLMACTAFLPLHVLQNSTYRDLADPTIHFDLEPLFAKEYCASLGMSRQVPLR VVGDIGGGGALARIEKGRKVMGDRKNDWNQMEELPIEIPLASDNRYHSIFACLVSKEQ STEANPPMMMTCGHVISKDSLQKLNKSGGRSKCPYCPVETMQGAAQRLYL JR316_0003793 MENGQPRLELLQKLYDHLFSSGTTLSKCKDATIAEKLYPGPTSS ITVAGRSLAWKLFLAKEEPLQASQTPRSSNLLASLRESRKAYSTLLEEKSRAPDAVQL HSKGDNVHNAKPSAGSSRSLDLVNPLSLHNENPWNEWFAGVELRKVISQDVERTFPDI PFFREVDVQGELTNILFLYSVMNPSIGYRQGMHELLAPLYYAVHFDGIVDDEIQDRNY KDLMDLCSAHYIAADSWALFNSVMKGVSQWYEWREPNDSEGSARNPLTPFPNHVIIPN GQNGIRPYVAPIVQACNHIQSTLLQACDPVLWQSMQKAGIEPQIYGIMADALTLWDCL FACDATLELAQWVCVAMLIRIRNDLIPGDYSGQLTTLLRYPTPSSSDKVSGAPHHAIL LLRQALALQMSPNPSTGSSIVMENKALLNIPIEVPAIPDIPPRRPKNARPPPATISSG SASLEGNTTRNHSRQTSTGAVGISEMFTRGLVERGESLGINKTFMNAVTEIRRNIPEL AASLGVRTPNQQLSAFPLVDERPVEERPPWEPRTRFEMERDISQIQARDKILGESLAW IVDALLQDESDAQEPERVKKQKQEALESLSYVRDALMTNDMSLDDDRLIGGEEKERRR VKTQKANEELQAASAAAIVSPPARVPVIDSHLGHSNAYRARPRSPESQTQPTPPIKEV GQRAPWNYTRSSFSASIPAVPAAVMPRRPPPTSTSLRRENQKSRDLTPAKTEGYQDPL GAIR JR316_0003794 MFSKALPRAVARSTRSFHASSSVAKVVATNPVKAEEVKSWASGK YPLIEHEFDAVVVGAGGAGLRAAFGLAEAGFKTACITKLFPTRSHTVAAQGGINAALG NMTEDDWRWHMYDTVKGSDWLGDQDAIHYMCREAPNTVIELEHYGVPFSRTKEGKIYQ RAFGGQSLKYGKGGQAYRCAAAADRTGHAILHTLYGQSLRHNTNFFIEYFALDLIMED GECVGVIALNMEDGTLHRFRAHKTVLATGGYGRAYFSCTSAHTCSGDGNAMVVRAGLP LQDLEFVQFHPTGIYGAGCLITEGSRGEGGYLLNSEGERFMERYAPTAKDLASRDVVS RSMTIEIREGRGVGPEKDHIYLQLSHLPPEVLHERLPGISETAAIFSGVDVTKEPIPV LPTVHYNMGGIPTKFTGEVITIDEQGNDKVVPGLYAAGEAACVSVHGANRLGANSLLD IVVFGRAVAHHIRDTLTPGLPHKSIPEEAGLQSVEFLDKIRNANGSEPTAKIRLAMQK AMQSDAAVFRTQQSLDEGVEKMRAIYKSYDNVGIKDRSMIWNSDLVETLELRNILQCA IQTITSAAARKESRGAHAREDYPDRDDENWMKHTLSFQRDPNSPDVELKYRNVIANTL DEAECKPVPPFKRVY JR316_0003795 MSDALLEEFEVLEAIYPTELQRISERDVEIEAEADDIPDGADNV QVTLCVHYTDTYPDELPELSLKHEDPKIDDNDVDKLLADLKKVGEDNLGMAMTFTLVS QLREQLSELVRFKIEEENKREREKERLLLEEEEKRTRGTPVTIESFKAWKAAFDKEIA LKKAQEEEERLKNLTPKEREEWKRASTRLSGRQLFERNKIVEDETLVEEGAVSVDVSQ YERTKDEDEEQDEALTFSDSD JR316_0003796 MQRLLQRLPYSSLRLVQTTKTLFRPCPNTLKFSSDNNARFITSP SHPAAGVLVETTTNTKGSTPEGRWKERAKDALHALNSPPHDEYTGRSVPATGKNLSAT FRRLDQILIRNNVRQELRMGERHEKKGVKRRRLKSQRWHNWFANEVRQKVQLVNKIRK RGA JR316_0003797 MSRFLLGDEIGNIKILCYNPESTEESKCTISKLYQPAVVAGPSI GVQKLATSSQGEGYAKSTVNCVLNQVSQAKLAAAFSDGTCFASSLKDDDSLETLLEWK EPRIVANKFVGMSILDSSVYTCTSNGMLRRTPFSLDGEAIENSQPQTGILPSRLRDWR LSADGTTFAYGGDEVDLSVWNTELALSTQPVPAKPVDGTKKRKRNDDFFPSEIWRARN VPNDSLSLRQPIRITALTYLSSSTNGHHIVTGTQFGDVRRYDTRAARRPVSIWTGIAK VNGVKTLEKGFSENELFMSDNGSNLSSVDLRTGGILYTYKGISGAISSIAQTPDVVVS TSLDRYARVHSVVSPPPVARAHQEKKGQVLEKVYVTSIPTVVVWDKQISAKAEIEETN EDDDEVWDTMEHIS JR316_0003798 MSISRTVLKKVLAVETPEGAGALVRRSIGSMALRNLTPFLMLDH FHVSKGAGFPDHPHRGQATVTYMLEGESQHEDSAGHKGTIATGGVQWMCAGKGIIHAE MPVHAEGRPDPRGLQLWVDLPEKFKMSEPSYQELSPDKIPVAYPEGADGPVKIKVISG KSHGVESPVRPLGGCWFFHITFNKDGSMFQDLPSGWTSFLYSWKGTLNVGTEKTVVPA FHTAVLSAKSEENGVALSGVEGTELVLVSGEPLDQKVFQYGPFVMTTKEEIQKTLIDY QTGRNGFEKAHTWKSEIAHKA JR316_0003799 MAVEPLLATRAQRAFIATITIQAIVVLVMVGLTFHKADVHVQLT QGRYKTVPCYLALFALAELFELFMAFDALRLRNIIQLFGILIFHGALIVFAALQIHQT KTALVTDLGCDVSTSYITCGGTGTLWSQIRPFLIVAPSVIGASWLFMLFWIKQLYGEF GWAIFHVVGANPRMKTMYQWYQIMICLLKFDFFFFTGVTMQLLIIVLQRNSAEFGVTV AAIPVVLILLILCGIAVQREIKSIMTISLIMMLASLSYLYKLVRFYQPASREQYLTTR ATLTTFTIVALILMLLTFAVGIRCFSDFDQGLQSSKTHSVPIRPSNTKVNSSGNMTQS QSNTSGIPLAPRISIE JR316_0003800 MTLCPILDFANHTTKPPYTIPEPTRAELWDTGPSASRKFGDNFV LLSPSDVTGQTEELYLRYGLHSNATLFSEYGFVSHLHATEQDDDTPGGQIDLEGVIED LFSRRGDVGSWMKDLLVEEGYWGDWTLHSSPAPAHPSYRLITALRLYDLLPTTTARIP SNVDALLQVWRDTIHGRRYIISEENELNWRETLKMICTCIVNDAVEGLSKTAAIDITD GSPEWLASSRSFITKLWQEEIDVSTSVIESVDRREEF JR316_0003801 MSVFNATRLIGKTVLITGASSGIGAATAVLFAKGGANVILLARR ADALAKVAEQCVAAHKESGLQQGGKFASVQLDVSDKKQIADLWTKVPQELRDVDILVN NAGFVKGLEHVGDINEQEVEEMFATNVLGLISMTQLLVRDFKKKQSGHVINLGSIAGI EPYAGGSIYTATKHAVNAFSGSLLRELVNTPIRVTEIQPGMVETEFSIVRFRGNVDAA KKVYEGLEPLTGQDIAEEIVWAAARPPHVNIAQVLVFPVNQASAGIKYTGPPK JR316_0003802 MDSAMDISARAPSPSPSSDSDSDSYNTESARIYFGPLKTPERKF AAAAASKRLFPPPSNSSLRRSPRLSSPRPRSATPTDVQPTREDLDDIERVAQLVRESE DEDESMPTSGSGTPQNGEVFVDEPSSALADKVLHALDNPSPPPSPPAALSLFDPYHTT VPEVSTDYQADLMSLTINDKTEEDDENPLYNPPTNFQPSQPPLPSDVPVDLTNEAAAE GNLISFDSFMTPPKQDTASGNVSDPTVTILPSVVLSVDDLLAQSPSPGKILMASQVTI PTVLIQTHEHSAQDAGSPMAVDNANLIDTGIEMLPEFTVGSTSGDLQPQQDILVEDVN QTPTPKLGEAPTTLLTQSLQGNLTDDVDSTQMMGVGEGSTTPLRRSTRPRKSITPNPP APIAPIPSPPSIARTKVRRKSVAVDPKEEIIADSQDEDEPQPRTTPVAARVRHRSPGK VPLSFQRELGSLSPTSSNVLSTLAFSAGDDSTATDVAAAAPASDLATIEPSQPTLSFS VFVPPETAGPSTPIRNTGPIRFVSPSKAQTSPNKFRIQTPSLNDPTVTPARRIPISEG IAQGYISPEKAAQLGYKPPAAPLAFVPTPARRVFVKDDTQPSTSKSNAPRLASPVRTL PKQRERSAEPPLRLGESIKGKEKAAPVLKRPTTVVAKLPFPLVPSETQTVSVPSVAQA LAQDEANKPKSSPLKSNLKQPTSRIPRIGAKPYARSGDTKSTAKATSTTTPRIVDLTK VNSLNYEVFLLTNHFYLSQPPPKPSIVENARRSVRVPDSTKSTVVSSSKTKPATATSA TVLKRKREAEKVSPPKPRVVIIRRVPPVVTAAANEPSTSTPTQASIPSAAPSVPAKSK KPSQGPLRIRRVMDPEPPVTRQVTPPPPPVVPPEHEVELPTIVVNPPRAVNGQLAPIK DPSPPQDMEVDELLPSSPPEPASLEPESVPMGENATSETVVVTPTPIVTVTDYSSIDP PTSGLRRTTRSRRTTTTAIDVFGEGNSRSTASSRRKPPAFRSDDVFSGMSITALKDLT TSNTVHNQKYLAAKLETEVVRREGIRPESPAMKVRTVLQRQQDEREKQRAERAQRRSR RSGELLDEDMEGSSDVGYSSLGEEHGADEEQQVKHQRGAGDEEDYVTPERPLKHLKRT RLFGDPEEEEPEPPKRRVKWDRGLFTTVYLDEVQLGSRQTTKENVSLKGILAPTAKAL RLDTLGNLPHADTPLTDLVQENITVKKIVYDSDVIEPEIVVKNTRAKKKK JR316_0003803 MKGETKNVKTVEEKSKSISLSVKETLTGLREVTGTSQIDESYLI ADKLASRQSIDVALAHDRGPGKIAHLLRKLARESDQFCEIQKKEEQKIENYRKISARL TNISVSADDVVASQLARSMVTRRDCQSLIEKNDEDCTIIWEEMINILSEETKRAIDQH LHLALTNEMMRGITTIGEVSKKRKRVDTEDFVDPQPRDDNDTRIAHVIEESPSVNNGA FQINRRQGTGTPSLSITQQEQKQDPEDNELEQCSTIEQLRLKVKSQEEKIAFLTEENK TVGPDSEISDARSQKFDFSLTTVAS JR316_0003804 MGALLSVVVLVFMGTLFSYARGSSSNNQPIVHFDKKPAFLTVRK KDGSGETEQVSIKVLLETKCKSLFSEFNPLWWLPDGHTQTLYCVFGNFSKVDLMWYQR TLLRLSDGGTIGLDFAPADHNKKLKNDTPIVIIQHGLTGGSYEPYVRAILSRACAPVE EGGLGYRAAVINFRGCAGVPITSPLLYSAGHTDDTRLALRYIAHKYPDAPLLAIGFSL GANVITRYLAEEGEDTKIHAACALACPWNLKENNDGLLNSFTGKHIYSKGMGSNLLRL MKKHLHSLIQDPNHYVAKAADQALKLKNPTLNDFDDTFTRIAGGPAPHFPFRNADEYY IWGSSHNVVDRIRVPFLAINAADDPVVRNVPMDGGGNGLVVMELTTGGGHLGWFQAGP GYIDRWTTKPVLEWLSLMGEDVVHDPIRRGALIYTDADGFLREKGKEHLGCKEIEGSG ALFDGNNGEDGVLQGL JR316_0003805 MSSRAGGLYGGIQFSSGSVFNSTVPSSAEPSKSPSIEPPKPPTT ETATKDAQNATAAGAPPTTSAAPPTMTTAPSAGAAASGKPTAAWSAALAFAPVRRNQA AKAKPAVNRLPAGAAVLPAAAVAGLSSTAVVFAPPVLVDANATSTATATSTTAATAPS QESTTATTGTQGWGRKVKPPSMILDEDVNGFKNNNQGQKKKSGKSKGKKNKNAPAFPT WDPMELYDPMRPNDYNEYKMWRTKERIDRRERMAEQRRQEERKRSRRSASYSDSEYSG SDDDERPRKSGKYDTYDRWSRGRAESAHDAPAHESAPVVIDRALTGDEAFQRRLAMSA AAARPRSPPPSVSPAAAPSRPLDYEPPHPPPQAETGEEAYLRRLAMSTMSRHNPPQPA PPPPPPAAAVSPPAPVVSPPQQERPRSVSPPALAYNPFAPPSVPPPPPPGGPGAIPNA FEERVKAAAAIAAKLSALAATAGASSSSSSPAPPPPAAEEPVEEKKPDPHGFAARLMA KWGHKEGQGLGAGADGIVNALVVEQVGSSKSGKGKNNGPPGKGIGVGSKMGKIINNNE DAKTREDKERFGEPSRVVVLTNMVGPEDVDDEDLREEIGDECSKNGTVEWVIVHAVHP PPANPEDAVRIFVLFAGPVGAWKTVRELDGRYFGGRSVRARYFPEQSFSRYDLDRAL JR316_0003806 MALNDQNILQQSGMGANTYPGIANPTPSSGAVDPTASNFVNDPT TATRGAGAGLNFEGHKNAKQNLRDAAGVVEARPGIIETTNIDPLNENSNKDDGWANAT TKTANTSHKDEEPGVVTKIVNTVLGK JR316_0003807 MQSSLRYTCSLLLSTTRRPARLFASTSSSFQAAGTPWFVDPTPE PSPRYGQRPLPPHVQLQHGASALLPVPDDAPDVLKSLHSELAKSPHLDLAQLTVSPAI LPPPGPPLPLRAPHGRRKRGGTYAGESAFDSMGGGIWDWVVIAQVKEGTENRGAIDSV VRLVRKTLLTREPPVPLAPKSRHARGTEWVLIDAGSFAIHILSKASRERYFNQVSW JR316_0003808 MGVRAAIVLVTGALFTHWIADSLTLWKSPVTDAHLWTAANYYAV LAKSSPEALYFLAAIVVLGATTLLWSLKDLRAGNIMFDGGSIFLFGTTVVMYLNTVLP NIFAIFGSLPAHTLRDPIPRALRNATLDLASNHLICSVALTGVLALQAGRFWAESTED DEDDFVMIEQPAPAAPPAESKKSRAKTPELSIRDDKVKPLLQQRVQA JR316_0003809 MSVWLPRSTTTTSIIARSYSRTLYNAHSHLIAPRSFQHNLRPVL PRFQSSKPSPATPPPAAAASPSDSTSGPPAPKDAAQGPLGARIWKKVKHEAQHYWHGT KLLVSEVRISSKLQWKILQGDTLTRRERRQLKRTTQDLLRLVPFAVFIVVPFMELLLP VALKLFPNMLPSTFEDKYAYEEKQRKLLRVRLDMAKFLQETLRESGLKANAHIVGTET FKEFFRKVRSTGESPSATDIINVAKLFDDDLTLDNLSRPQLVSMSRYMGLNAFGTDNF LRGAIRARLLHLRRDDQLIDAEGVDELSTSELQAACQSRGIRTSGVSPARLREELTTW ISLHLHNRVSGVLLVLGRAFNFDRKFGEDEDGKTAVISSLESVLSGLPDNLLNEAELE VDSEKASYKQKLDVLQQQQELIDDEAEQEQKEEDARRAKREMEAQLAQDLLPDSELLA EPSETDNARMTPEQLNELADALLVLSSKSSVLKERDELRALMEENLQAEEDPKSPSGA LTKRIRSMLTKIDQQLQEYDSRVGSSLQMISADAQGRISVQDLEKALAVIKHKPVDEV GQAVVQKLDVDQDGFVELEHVLGLMREEGLGVLLDEEAQSIIGQGNEIKASRPRKEDI IQEQ JR316_0003810 MTSFPLLPHSPEYKKAQRQYLKATKNRPKNIDQEWTPFRAAEKR FKARFPPPDLSGVLDLACLDPARDAEIELGRWKGSPTAVKSVKISEGAYTIPQIPGLV VLPSYLSKQKQRDLARWSLARHARQPNDTNLDVHYILPEEGLWNAHLKAMENPQHEIV VQPKATGAEPSPSLPSGPRQLVNNDAGSPETFEAISTTPKPPQDPSPTVKPAPASSLI YKLRWANIGWFYHWGTKQYDFTKGPGVIDDELRSVCNDAVRSIDWKKVHGHSNPAEWG ASGPEWETWEQTYGVTNPNVSLNVTYIKQDTLMAHVDRSEICATSPLVSISLGNVAVF LIGGLTRDTEPIPIILRSGDVVIMSGPACRRAYHGVPRILEGSLPSHLKVESLEDEEL KKEWEPYEDYLSTTRININVRQFMQNYPTLSISSAHYHRRPSKALQVKMENDSGVLVD LYVPRKCSATNRLITSKDHASVQISIVDVDADGRALNTSTTFALCGQVRSQGESDDSI NRLATKAGLLRNVWSYQK JR316_0003811 MVEIKREDAPASGSKGTKHPQADDDDKYRLIYSKSKVYVNPTAY ARDNIPGFVALVKREAINPIYLLAWIPESLLNERGTSEWDKFVKVEEQPTSAEEDEDI VLIELPGQRPESYAFSVPITSIYSLIVNPPSLSSWYGSIGINLINGDTLPTLHFHDDE SHSFTMQSPKSAQGSSSANVTPYPPPPTQGSSHAQKSISWGGEDLLSRLRNYAHLLRS TLQPTLFLVDPSRADIETHTTQIFSDDAVDDILGQSSFANSHSPIPAHRRPRPISESG SGSSSSGNPYSHRTSVLHRSLGSPNVSPTNPSSQARMALMQSFSNITRATRHAAQNIL SHPLAKPIVPHLPDPVRSLVNANGEWEWGSWVEKGGVGEFESARVYLARWARIVAEEG ERARRKEAQALPRSAAGVVEEDSSLGIFELLHSTANLPTPKSSRDPAHPVDERLWAGW FGKNGRPNISNEEMRREVFRRGINPKGTLRQRIWPFVLGVYKWDATTEERERLWQEKR NQYQAVKDEWCGVPEVFDSTKVLEERHRIDVDCRRTDRNQPMFSAPAEIPTTDLDDSD EKTHQRHYSIISPNMHDIGAQSPSNEHVDRMAGILLTYNFYEKDLGYVQGMSDLCAPL YIVMGGNEELTFWCFVEVMNRMKQNFLRDQSGMKKQLSTLQQLIEVMDPELFRHLEKT DSLNLFFCFRWVLISFKREFPFDDVLRLWEVLWTDYYSSGFVLFVALAVLESHRDMIL RYLVEFDEILKYCNELSMTIELDSTLSQAEVLFLSFSQIVADIDRRKAEQPRENTGVL RNRVSTSTSAASAKLAATNLSALHLSDDLRDLLKTSRD JR316_0003812 MEYLTVLRNYATAAPESLSSSLLYKHSPKNIIIFDAFPKSVFHF LILPRVQEPKLNTATLHSLRTLLGAGDREQAKEVVTAMAEEAKALKEEIQEEMMQRFG FKWDVWTGFHGAPSMHHMHLHVISADLISEKMKHKKHYNSFHPKLGFFLHIDDVLSWF DAVPSFYSNLIKDFKPSKYEPILKESLRCFHCNEEMKNMPTLKAHLQEEWNKLERRGK EIAKRKRKHEQTRASSASKKDVDEKRSDQEESSSKKLKPSPSPPSDAPSPEK JR316_0003813 MADRRPSYRRPSGSASRRPSGVASRRPSIDPSKLVSMDKTSAVP GSDDFNVVFIGAGNIMFGSDEGPWNHSFRLEHKLGPRLKVVAIVDPSVERATSVLQQK CESFVVSAYKDTRVFKTFEEFVKNMSSHDRPRAVIIGSPPMFRGSTQPGRDIELQILK HIPGIAIFVEKPIATGEEIEINEAYEVGKRISDAKTVCSVGYMLRYLRAVQMMKKIID ENQLTVMSTIARYACAYESIAKLDWWDKAKSFGPIIEQGTHFCDLSRYFGGEVQIDSV QGHALEWDEPAGKLSKQAIDESQIPPERRIPRVTAATWKYDNGGVGSFTHLVALQGHN YSCEFEVYADGYSLKLVNPYVAPVLYIRKPGDDNETVMRFADDDPFFSEISNFIDTVE DIEEDLDSPQILSSYEDAVRTYELTWAIRKAAEKSAAALRAAAAAQ JR316_0003814 MSSPRQLEENSIPAEQQNQTGDVPESPIRDEKPVFMDLPEIPST NLSFDFSTLIDPETAAKVEKVQKRQSNVLKLAEENEKLKAELKAMTDRLEAAERRKKE LISLEERST JR316_0003815 MCTAFKRWKICQRKHAEEISLLENYLLVKREDLLRRVFVHWLSA KRSIEHRRLTHERKEAQLRHLAITSAWEKWRERFKEERLRPLEYTVIIDNQKIIMSQA FRVWVSKTESLPAVRFHSKHLKEKFFRRWRDAMPNALRAKKAREIDTYNTLAKFFERW TQAYKTKTTLKAVARAKYLRLPAANPRQPIVRSRPLYSGTSDIFARRPTRDADDTESQ LSDARVEPLKEAFIPRTRRAKSPKARSERSVVRSEYGASAARQPSPVRSIVSMPDRHT KSPSFANVQASPVRSKEGGGRLWSALKDINQNRRPRNL JR316_0003816 MHRFQPTRASPPIRTSALSTSSRTEPSVAVAPELQHLSPEDIDI LDAVIDRAGPSATTFFKIFKAYSDVLKERGLDPQEVVYYGKLLKLGNLKGKDWGEKWR KVKDQASLSPGTPLQSFQSETDVNHPFLSQTQAGLSENSYDTPINERNQPTSWIPESK REILSRADTRIPTRRRGPTDFFPQPFQGDTMDMSSISAARPPSKALAFEMDSTVASED VGNLSPVPPSYKSTALTKPTSRLVPPKASSHLNSNMAMSRNPNMPKDQKKSINPDDVW KNIQMERDEKAADKFREDKLVRRYIEMWRQGLSWILTTHKQVAEARDKIDLKTYMQRW QNRVNIRIVAENELVNQFQRRNLKKFFKIWQARLRQRRQAAWRNDMRNKMKTVKMLSD NRVMKEAWEKWRLLQLSRRADKHYRSTLLVRHHGRWKGRLIVLDNLDVIADDFAEHVY LRSLQHFWNVWKQATSLRGDQRIITRKVDCRVMTTAFDLWRKRIAQARLSDKFRDGMI LKRTMQKWKRTQTNLKLLERRADKHLARQDDLLCRAIIRIWRARMRGKKYEDFRSRQD LQNAWKKWLAKIASNNARMDVAVVHFNRTDNRLVGSTIARWRQVLQTHRNAHAYAVSY DKDRLRAKMLLLWRLRLREQAQSAKVARWANRFFATRRAWNVWVLAMEERKRQERLKL WNLSKVEKIMKGKSDIVMTSGNGFIDDISSLARSNEAD JR316_0003817 MPSQVAASRTFSFMNDDTDSVEASDEHVQRNRVGPKKPSGACVD CKSVKVRCEFVPGEKKCRRCQTKNLPCHPRERKKRKPADTHEQLQERSHEQDLYIQSL LGQYDQRCNEQKVNQWVSKASFNDNSECNPSSQHLNWMHKGKAPESAVISYFSSGMLP FIPPPIVKHCGLYPEDILELFDIYFNQVNPYFSLLDQNYHKPEKLLWDSPFLFTVICA VASRYYTARPNLYSLAMEFARDCAGKGLVEGDRSVEVCQAYLILAVYPVPKKRWAEDR SWLLMGVAIRMAIELGLNQPPPAHLDIREALNRTRTWLNCYCVDGSHAIQFGKLPMLH LDDYIARNSRNWYKTSPLNSPYDVHLCGYVQMIIHMAQWREYMKEDVTSPGHQPDEVI AAAIRTQAILAHEMDLWATAYAEEYMIMPMPICSYRGNTTQMITAYLKLVVLLVGFQK ARIEDLRPDSEILIKSIEAARAVIRITLELMYPTGFLRYAMDATFLYVSFAGAFLINL FRPRFIHLLDDTTQNDIIVTVSRLISVLGSDDVALDGRHTPALYSRFLSSLMNKHGLV NNHNSHLRHQSRSSSSSFDSSQNRQVSPPDGFYWPDVHHDNSSGSHTPDLPGSFGYQE RSHGVIDMDFSLSHFIKTVTSHQPISPPPSEDVQGFNESWQLWKSPDIQPMAWSQPVS VSGWSP JR316_0003818 MSATTSTSDNARHALEMESELMRRFPVPPTFESKLEERDYLKFR LAQAFRIFGNLGYNEGVAGHITVRDPIKPDCFWVNPFGLHFSLIQPSDLLLVDHQGKI LDESGPRRILNVAAYMIHSTLHAARPDVLCAAHTHSIHGKAFSTLGIPLDMLTQDTCA FHDDHVVYTQFKGVVLDLEEGKAIAAALGSKKAAILQNHGILVATDSIEATVFFFIAL EKACQVQLLADAAAGGTGRATVKIAPDDALNTYKKNGTLRVGWFQGLPEFQLLEAREG KTFNSTK JR316_0003819 MRLLAFAHIICLSVNLISANHNVYERNLAYKSPFVDHPQLAHNT RNLHDTNIQRRQTIDAASFKDEHYITFYGSDFSNGDPFDTSVLLWTRAVPISSTGALP DQSVPVCLSFKIATTSDLSGKIIDSGEAFTSYDVDWTVKVEASGLKPDTKYFYQFSDC ASKTSSPIGSTRTIASANNLMFPEQGWFNAYGFAAHNTTADIFIHLGDYIYESLGSGA KIGRQTLGRELATIHDYRQRLNQYRTDQSLVTAHQNAPWITVWYVADNSWKAGTADSN DTTIGCAFSPSGACFTDRKLAAVRAYHEWMPIRQVDPQDKLRIWRNFQIGKLLDLTML DTRQYDRDLTDVYYNTVDLDAWDGYRANRARVLDHLYNNKISNTIILSGDSHANWVSD LAHPNDTVTYNPTTGAGAIGVEFAGTAVTSGSAFGSGITPEKADVISRTLVDVNADLQ WSEGSYRGFFTLSIDSDHLNATYYAMRNVSFANLDGFASAQFTVKAGQNRLSRPVAGG SVNAGVLKSQL JR316_0003820 MSTSKAIGIDLGTTYSCVGVWQNDRVEIIANDQGNRTTPSYVSF SDNERLIGDAAKNQVAMNPHNTVFDAKRLIGRKFDDPEVQSDVKHFPFTVFSKEGKPY VRVEYRGEQKEFSPEEISSMILLKMKETAEAYLGTTVTNAVVTVPAYFNDSQRQATKD AGTISGMNVLRIINEPTAAAIAYGLDKKVVGERNVLIFDLGGGTFDVSLLTIEEGIFE VKATAGDTHLGGEDFDNRLVNHFIQEFKRKNKKDLSSNPRAVRRLRTACERAKRTLSS ATQTSIEIDSLFEGIDFYTSLTRARFEELCQDLFRSTLEPVEKVLRDSKIDKSNVHEI VLVGGSTRIPRIVKLVSDFFNGKEPNKSINPDEAVAYGAAVQAAILSGDTSEKTQDLL LLDVSPLSLGIETAGGVMTALIKRNTTVPTKKSEIFSTYSDNQPGVLIQVYEGERART KDNNLLGKFELSGIPPAPRGVPQIEVTFDIDANGILNVSASDKTTGKSNRITITNDKG RLSKEEIERMVEEAEKYKAEDEAAAARITAKNALESYAYNLRNSITDEKLAGKFEPAD KTKLETAVNETISWLDASQEGSKEEYEEKQKELEAIANPIMQKLYGAAGGAPGGFPGG APGGAPGGFPGASEDGPSVEEVD JR316_0003821 MAAIYAVYTSPSHPPIPNHLPTLIVTPHENYLLTPSPQGDLCVA KLFPPRPREPGKASASDPGHSSPSASRVVRCEASRNLKWSIANTGVISPIYKLSLPSP DSPDLPLFQVSKPNPNAAFWSMFYFAYAGHNIPPKRIEFGKIQKNPPGPNGGGTRISI TGKTPEEKAVWQTLGEGNEDCVEWVVLCAALNLLDDEIMKAAEKNPPPPSGPNPRSAP VSLRDPGPAGGAPPPPAGRPGPGPGPGPAPGQGPPPPGQQQRPPPPPNAGPGPGPRPP GPPGNYAPPPRGFPPGQGPPPAGYGPPGQGPPPPGAYGPPGGQGPPPPGFRPPPPGQG PPGGFGPPGQGPPPPAGYGPPGGPGPYGPPPPGMGPGPGGRPGPGPGPGGPPPPGAYG GRPPPPPNAIPPGQQGPPPQQIRRF JR316_0003822 MLSRTALSRQAASLRRSSVLAQRFASTKSLRETLQEVIPVKQEQ LKKLKSEHGQTVVGDVKVDNIIGGMRGLKAMLWEASVLDPIEGIRFHGLSIPDCQKVL PAAPGGKEIIPESMLWLLLTGQVPTQEQTQALSRELAEKGDLPKFVEQLVDSFPRTLH PMTQLGMAVAALNHDSSFQAAYEKGMKKPEYWTHTLEDCINLIARLPALAARIYRNVY NPDKELAPVDRNLDLVGNYSRMLGYGDNHSLTEYLRLYIALHGDHEGGNASAHTAHLV NSTLADPYLSYSSALFALAGPLHGLANQEVLRWQLAMQKELGENISHEDIKAYLWKTL KSGQVVPGYGHGVLRNPDPRFIALQEFCDSRPELKGSPIIDLVNKTFQVAPDVLKEHG KTKNPYPNVDATSGCVLYHYGLTEFKYYTVIFGVSRALGALTQLVWARALGLPLERPK SMSMDALEKFIKNQ JR316_0003823 MASVLVPVAYIIIIFGGLFVFSYFYRKHTSTKAFEPYFPTHAER NAYITLLQKTDPPANDALLKSALVRRAMADVQRVLRIREDKPALQNLLQKGSIGDDLW NSLIAAEKELEAEIMEVVAEANSFVEGWGQVIFPTANEMLANEKMRAVFERTNDLKAE LEAKYEIKPKPAPESSASKTINGLLSPTAASETNASSDNEGSVTSKSGKKTKKRK JR316_0003824 MNDTMDVLDEKQNGAPPMEIDEVVSVRDHDAFAAKHMPDLGHEV KDFKVFTWKLNNWKKLDKKLTSSEFECGGHKWRILLFPFGNSNAPPNDTVSVYLDYAE PKKAPEGWHACAQFALVISNPNDPTIYTVSHANHRFIAEECDWGFTRFSELRKLFSIQ EGHMRPTIEDESAEITVYVRVLEDPTGVLWHNFVNYDSKKETGFVGLKNQGATCYMNS LLQSLFCTNYFRKAVYQIPTEDDLPTESFALALQRVFYHLQTSDQPVGTTELTKSFGW KSLDSFLQHDVQEFNRVLQDKLESKMKGTQAEGAISKLFVGKMKSYIKCVNVEYESSR IEEFNDIQLNVKGMKNLYESFQDYVAVEMLDGENKYQAEGLGLQDAKKGIIFESFPPV LHLQLKRFEYDIQRDAMVKINDRHEFPFEIDLSEFLDASADKSQPWVYKLHGVLVHSG DLHGGHYFALIKPDRDTRWLKFDDDRVTPVTDKEVLEENYGGEALNGQPPAIQRNQVR AMKRYTNAYMLVYIRESAMPEILAPFTAEDTPAHLKRRLDEERLQVEAKKREREEQHL FLTAKVITDETFSQHEGFDLATFDEKNWPPSDLPSFRVLKQENYSTFKSRVAHHFNYP ENQIRLWVLVNRQNKTVRPDTHIPENEPTLTVEVIRNNMAARQNDLRLYLDVIPDPTK PDPPPQSIMIFLKHFDTSKQTLYGVGKTYMLRTSKVGDLVPIINERMMWTPGTPLKLY EEIKPGMIELMKPKLSFGQSEIQDGDVICFQVDLPEKEIHDLESQGLHSNPVQYYDFL QNRVMILFKPKFEEGDAESEFSLVLSKKQNYDIMSIKAGEYLRHDPIKLRFTTTNPTS GQPKTVLKRSLNQSISEIMAPSYSNTATTVILYEKLDVSIVELETKRSLKVTWTGIHN KEEGTHPFLLPKTSMVHDLADHLAKLVTLSPGGTGKIRIFEISKDGKTQKEFTGSEMI GNIPEPVELYAEEIPREELEADDSDKVIGVFHFSKELQRTHGVPFKFVVKRGEKFADT KKRLQARIGVSDKDLAKYRFALIQVSTFKQPSYIEDEDTIYEHQFAPEDVLGLDHVDK SGKPRSGGGEKALTIR JR316_0003825 MKGFFSRLQETQTGHSKSATRDSYKFWIPNADPERDSSKPLPAH ENVAKGSTTRTHAEKRSSSRAPKPSQPMPMPTYDKPMPSTSMAAAGTTIEYMRPNMGS SSQQYYPQPPTKVTSTRTRDYDDSKMPSSSRAPYPTRSTIPPENPAKNTRYPNMRSES IAPAQHERWIPTTAPSTSKYADEPKKSLQEGLETLDRYRNRYDERDGQVESGRRDRDR RRDRDRERTRDRDADRDYYRRDQERGRDLEREKERQRTREREREREKEKEREREREKE RADKEREWERDRLEREKERAEREREREKLEREKEREREREKEKEKEREREREREKERE REREREREREKEREREREKEREREREKEREREREKEREREREKEREREREKEKERELE RAEREREREKEREREKMERDRERERERERERVEREKEKEKEREERARERERDKERVER EREREREKELLEREKEREREKYRERERAARYAERDRREREKERERAERVRAREREWEQ RAKDRETEATQGKERAQEVPPTPRFLSERPLPDASRTDVRTQDRDFRRERDSRRDHST YRSRDQDRDQVRYRAEATDGEQDFRKWKPRTSDRDRRKDTNNGWVSDTITAHERRPIR TTVNLEPAPIEEGESSDGSLRPKHGIRAMQRRMRTDDPTASTRTPRFPQDRPSEPSAP LPVNNYSKPRTFPANSEPQPPSFQPPKTHPIISEPQLPSTSTPLHMLVHLPPKAMNER PSQQPSNPNVAVEPEPTMRREINRVRLNEPNMQQDEPPPIPVEPSTMSYRRPPSRIIN TPHIMVPQNISFQPSRNENLVQTRNLQPTTATVAQESYLSSNGQSGNYGDVANRADGQ KEQREFSEHLPAFSRPEVPSESMGKQNLPSTTSSLPTVSEKTNIHTVPQGTPKAIFPD GYSRSRLNSLTLKPDYPTSGPTSTTIPVSATAVEQTSGTITLAPALSKGQQSPQFRVR VETDVTLQPPVPATIPIELRSPAPHKRDSIIAPNPLSSNQRVVDDVRPSSRMQHFSPA NEIPVVQSQAPSTPQITTGLSIQRPPTAGGYRDTSRQHVSSIIPSTPQGTDSPDSVPT PSHLHSRFKVPETPLLARAINNYYTSTNQGPIRTDTPIQSGAVPSSVEYVSNPSGPAI TNMQPKQAEQSGQQKIGAGISRPPTSTGFKQDSGKAFQQLEPIVTTLSANPEMIKITS AVPPKTPATVNNNQYLPPIRTDTDGSKSASHQIYKPENTAQSEGQVRTVELGDRPTAP LVAPPVGFQKTDAQKISTVPPTPEALLDRVGFQRTLSNALHPQVTSTDNLQTRSENPG PVRHPITPLQPLPSSSLPQTSQPSHRPKIEDAAAPASTLTGVLTTEVKEKSSTHYIVK EEPQSLTTDTFQPRVRVVEDGSPGRKPRYYLDSVHQKSIVTKEEVPKVSVNSASQGPG IPLAIQNSSSNRQGGPLGNIVHQPPTNNMANSVRRNNEIFNDHVVKSTEAALSAPAPL TTNERVRNTTLDDNSPGTTLSQPPDVVLQNTVTKAETHALRVANSVAEHPGTQSLRSG NSNRPIFREDESEVTKPRQFSGISVAQASASQRTEPVGSRSGNVAQVSTPIMPPERKI LKEDDSPRNRTGQPAAVQVQNSIAASRESLLVRSSAVQPTSQYPSTPTRPLGYMHPNS SSGSTDLQSSRANNFSSTAPDVMFTPLANQEGPGLRSRPANVPSTPKVEMAPMQFPSI PVMKEESPRLQTYINPSVVAVPNPLTNLDNVYSRTRRDSKQDNPRNRSEHRPSGSPAK PGTIPISQDASLSLNTHDRPPVANASTRIDNQANIVGQDFVNAPSFPDPTLKALQNVN TGASAIQPKIPPTVITGGSAVPYAGRSTPQVTSAHLPNDLNTFQSVTKKGFPNVQSEI TRSNTPRQLDLLDRAESRYTPASHPQPKEDTAPQTIQPSWSNTAYDHRSLLGSKQGNR IGEGHIDNETPSLGFFSSNFTSALNAGTEKRGGPGSKALTFDNGSDTKLHTNMGNTVD NDKQTAAYKVHESVPTVVRDPQIQTSSRAPVVETDVRESSQKYATNEARQFVARKEAE PERLPTPSVPSVPFTHPVLAEILSSPPPKFQIPRSRTTFMQQSISVEASADTPKTPAQ VLDRQESRQESKPTAPHRSGSYPVVPADVPAKEPISQESRPQTSRPVNYPQTSQGGNI SAQPTSRYVSSSNTAAGVQSSIDGPNSEPLVQESRNHASRTHNPTSLPPVRQVNKDAY GSTLAQSRVVSSSNAQTAPYTVTDGTSRDLPQENHSYGVRSSTYPPLVSQGVKDQLHQ NPTFPPHRSASSSIPSANLYSTTTRQDVISPADPRSVASRPGLDSTQVGASDKKAYVP PSHTNHQTPNDNGGITENTSQKPYPQAFVDPRPPTTTQAIPSSHSSSMPSSRHHHSAS LPTSSVPPLSANPSKKDEHVHSRPLAQRTPSHQQAPFTPFQTTTATSSNTQPSKKPGV SMLHAPSEETILMTPSSLARSTMLQPSTSRQSVTPSVTSQTGKKGGLFSLFRKTPTPA PVQQYEIWHPSLASKTPDSSPGHGKAPQAPPAPEPQAPTPPSPKRRDESLDFSIPVPI HGQSGRKASHPHSNVFTPFKYLTTKRNRAVSVASLEAQDGTATNTVVGSPTASMHSQA PLLPPPTRDPWQATQEWRNKEEAEAKARAKAKLRRQRPGVVFDVGEEVPDDGKKKPTR VRNKHRNASRQSEQPSQS JR316_0003826 MSLSAVEPNVQEGIEYWNTQPASLDGVLGGYGSGSLPRIDSLGS RLFLLNLFPQLSTIPSAFRPLDAPSDPPRTRALDVGAGIGRVTADVLLHLVSDVTILE PVEPFVQEALSRARRSAADPSSKDAWRGLADGSKSVTLLQGTLQDFHPLQPHHARFLD RVGYQPPRPDDEVGQGFDVIWCQWCLGHLSNVDLVAFLKRSHESLKKHPKALIVVKEN ICSDKPDGSAQEVFDEQDSSLTRSDAAWKAIFEQAGLRLVKEKVQEGLPDELFVVKMW VIISISKFMS JR316_0003827 MQSNLRSGVRNVHKVTLTRSASVQQPAIRIPNARVSGDSHVRTI SQSAAMRRAIPFSLNTYSGPQDDRDERVVRMLMFGKPGAGKGTLTARLAQKYDILTLS TGDLLRQHIEERTDIGLQAEEIVARGGLVPDDMMLKVVTSKLDNLQHKHWILDGFPRT IGQAELLDAHLKKRNMPLTLVVNLDVPDEVILSRISDRWIHRPSGRVYNMSYNRPRVI GFDDQTGEPLTKRPDDNPEVFARRLAAFYASTSPLLAYFAKSAKTANTHRPNTHQHPH QISFHTPSGLKVKTLSGTTSDEIWPHLDRLIHNNFPGLREKLETREIRTRRLVSNALA AELGATTLS JR316_0003828 MNSTVRKILVVGGNGFIGSAVCKAALARGIQVTSVSSSGRPYRS AKGHAPAWTSNVDWQRGDALQPQTFAHLLPNVDGVVHTLGTLIEDSSYKRAVQDGNVA ALFGSLTKRFFDSGNPLEKRSDSGNLTGYDALNRDSALRVCEAFLSSPAGTSDPNAPR PFIYVSAEDIFRPVIPARYIESKREAEKGIEQMMSGHANYRGVYIRPSLVYHAHIRPL TTPVAALLDLSATIHSKVPRSIPTPSSVLRSLGASSTTAGDGQTTSALDSVANALSIP PIHVDHVADAICASLDSRNNVRGVVDVRRMRELIGWSGDGNADPGVGMAGAH JR316_0003829 MQYFAAFTVALSFALSASSLAVPRATPPAGWDTPLLEATQSLTK DRPAQCNPAADDDDDLPFCDDDDDDDNDSHSSPAKVVAPATSHAAAPAPVTTHAAATT PPPAPKVTTSAKPAAKPAATPSTNAASSSVNTGGVATFFYQNGVAGACGTVHPDSAMI AAIDQARYGNSGAKSSLCGKQVKITNTKNQKTVTVTIADDCPTCINSNSIDLSVAAFQ QIATLEQGEVPITWQFL JR316_0003830 MNYTQNPFASTHSLDTNPFDDPPQQSASAANAARLEEIRRREQD LERREAELNNKAEHIRRHGRNNFPPFFPLIYHAINEEIPEASRPLITRLYQLWLVLFG TLIINFVACIFILIGGASGGGSDVGASLGYCIFIIPLSFLLWYRPIYNGYMKVKPSFY LFHLNFYFFFGGFHLLFSLYMIIGIPGTGSAGLIQTIRMFSNHSWAAAVLGLVATVGW TIQGAGNAWYYREPRDTLWKRETKLHDVIRLRLNWQATAPRRTSREAKKERAMVLVRG LYKQQTTQWLIEQQARAQSGDNK JR316_0003831 MSSPSVAQPEAVPVTATESVSPPAAAPAPYNPPTQSSVASAPSA SLYVGELDPTVTEAMLFEIFNMIGPVASIRVCRDAVTRRSLGYAYVNYLNASDGERAL EQLNYSLIKGRACRIMWSQRDPALRKTGQGNIFIKNLDELIDNKALHDTFAAFGNVLS CKVATDEHGRSKGYGFVHYETGEAADSAIKAVNGMLLNDKKVYVGHHISRKERQSKLD EMKAQFTNLYVKNIDPEMSQEDFEKLFKQFGSVTSALISVDEEGKSKGFGFVNFDSHE EAQKAVDTLHDTEVNGRKLFVSRAQKKAEREEELRRSYEQAKMEKLSKYQGVNLYIKN LEDDVDDDKLRAEFEVFGTVTSCKVMRDDKGTSKGFGFVCFSTPDEATKAVAEMNNKM IGTKPLYVSLAQRREVRRQQLESQIAQRNQIRMQQAAAAGLPGGYINGPMYYPPGPGF GPQGRGMMGYGQPGMMPPRPRYGPNGQVAGMPVPAPYGQAPAGYPMPQGGYPPRGPPR PPVARGPGGSPPHANVPIPRANGAAPANGAPRPAGPQGAAPAGRPAPAAAAGRNPPPP QAAAPPAAGYKQPAAAPQQGRPAGAAPAAPAAAAAPSIPAELGIPASQFHAAPVAEQK QMLGEVIYMRIVPSQPELAGKITGMLLEMDNTELLQLLEAPEAMNAKVNEALVVLHDF SAKESEGATA JR316_0003832 MPEFVLQAVGDDEQQFFRTLHARTLNNLNHSYLLPVDHDEIQRS DIHHRLLQFVFSGRNYVGPVKEALQFGEQRRVLDLGTGSGTWAIDIADEFPRAEVIAV DLAPIQPRVVPPNCTFEICDIDQWSIPYPDAHFDFIHARSIHIGIHNYPRFLHEIARL LRPGGLVLLVEPTLDPSPPPPSLPPMPGWSTLWKTYRACLSRQLIDVTVPERLADLLA ATAAFENIIIRDGNIPVGFWPQDPHLLSVGQLQWMDYELFLPALRPFFLCSGLPPSTV DRLIRDAQHDLYHPSYRPSTLIHIAYASKCY JR316_0003833 MFSSSLAVWSAIAAALLALQALLLAAVPRLLLFLSASDAHALTP LERFLAHHFAIFLAETEQGPHLVLQIPSPPSPVPPSAETASTHPLLYPLAIGSTLSAF IAWNSDDVGTLASIFFFFSLTISLWGLWEIIFANSASFSKTTGADKHTSSFIFGNKAA ASSVKKNMKTK JR316_0003834 MVLADLGRKLNAALSALNRSPVVDEKVLDATLKEITSALLESDV NVKLVASLRQKVKAKVKATLESNTGDKSKEINKKNMMQKAVFDELVALVDPGVEPYKP KKGQSNVIMAVGLQGNGKTTTCTKLAVHYQKRGFKSAIVCADTFRAGAFDQTRQSATK AKVAYFGSYTETDPVSIAAQGVAKFKKERFDVIIVDTSGRHKQESELFEEMVQIGEAV KPNMTVLILDASIGQAAEAQSRAFKDAADFGAIIVTKMDGHAKGGGAISAVAATKTPI IFLGVGEHLHDLDRFSPQPFISKLLGLGDVQGLMEHMQDLATQNPDKQKEMAKKLEEG KLSIRDWREQISNVMNMGPISKIASMIPGLPQDLLQGSDEEGSLRMKRMIYITDSMTA GELDSDGSPFMEMGKDGKPIGLTWRVTRVARGSGTSVREVEELLCQYRMMANMAKQAG GKNGWLSAMQKMQNAAGGKGRGAGGMPTPAQIQAMQRAMPPGMLQQMQRQLRSGGGMQ EMMKAMMQGQGGDQFDMEEMQRMMAQMGGGGLGGLGGLGGLGGLGGLGGGMGDMLKMM GMGGAR JR316_0003835 MIEPELYDSEEEETLDGLYDGEDDADAEADDDDNENENEHDQGD HLLDNIGHPDAADDATEDGDDDSGSDDSEEDDEEEDEDEEEEDEEPSLDLGLVEEGVP PGSSELGSNHSMDSRSPSALPAPAPSPPRKRSFSPAHLRRNGLAFSFDRLPRSYTVEA ICAIPHPVPTHALAGSACFSHLLTGSDDGYIRDYDIFSAVNGKNFLTAPQRHHANVVE GLMKSGQLRFWWENTANPEAKPPGILSIEEEATTAPVYSLAMQSDALWALAGTDAGYI NLFTVRHQPGQLQHVLPGHRGPVSALALDYDEKSVFSAGWDGEAIQWDLNTGQNARTF TAHNSQLSGIALRPASSGYVQTGPAIYTQSNHPSGPETETQKSSDAMNVDPPNGTEEE KAVPPTAQSGEPTQTMTADSDARSDASFDPLFDDVPEEEEAKPALPMESMLAIPSGWE PVAQPAQQPSQPARPPPTVIPPPKGAPPLFDPVEYSTYSPDLLMTAFIDGQILLWDRR VHTNGRGVGRLWMSEKTPPWCLSACWSADGAQIFAGRRNGTVDVWDVRVLGQSGPTNT PRLLKTLRNPASSGVVSCVVAFPDCRHMACASVDNIRLWNVTDSGEDAWGKPKSGVPF KIIPGHHGGYISQMIIDPGARFMVSASSNRGWYGDSTRTVFVHDIKRVD JR316_0003836 MSSPDPDTVPSHDPLADNDDPMRGSGDEEEEGEGDAVMPGISDD SSEEGEDDEEEARRIRDGFIVSEEEEDSEEEAPRRKRRKRRKKHHRAEEETLEDDDLE LLEENTGVAYKRPNRLTRLRRHDSDSPPAASSSKRRAVVESSEDDLDNDEDTHEVPDI RKIWDDDRRGEDDDEDMSDFIDYSEDEEGAAMNEEAREARRLEKKEEQSRRKRARARP ELVGIDAIAWDEIHEVFGDGHEYDWALVGDDEADLEEDRYKPDMKYQDVFEPSEIKKR MLTEDDDLIRVQDIPERMQLATSTLSETSSLSTHIRLTEEDLGDAAMWVTTRLSHQKQ KEYFGSDGSHQQLQGALVLAVTFALRQIFVEEYEVPYTWAHKQDYITHFDTNGGPSVH LLSLPELWQIYNLGQKYRSLLERRHVLTALYERLQVTDDYFTVEILPQIDSVELVADA TEWLNMKYKNKRPDYISDFRFHDDDEPEAAKRRKMPSRVSAYELTKKSVVSKLAEGFG IKPHDIVLNLLAETHTHFVEDTELHPVAFAEQFSDPDPSKALAPEELLRRARMIISTE LGKDPLLRNQIRKIFKENAHVSVEPTERGITKIDESHPYFNFKYLLQKPIDEMLTTPQ FLLILAAESEHLVTVTISIPPAVKADFERRLSDAFASDNFSESAKAWNAERLLVVHET LEQHLIPAGVKWTREYVREEAEDFLASKCSERLRERVNVAPYATAREPRYGEGTASVL AISWGKGDPHKDAITLVYVDEAGRMREHTKIDNLHDQDNLDEFKDLVSRRKPDVAVVG GFSIVTLKLMNRVKEILGSPSDPNSGEQAWTQPTEEGFNIPAIYVFDDVARIYQHSKR AADEFSALSPTAKYCVGLARYVQSPLNEFAALGSDIAAISFDEESQNLIPKEKLLSAF EQVLVDVTNKVGVDINRAVTDPYYQHVLPFVCGLGPRKAQMLVKKIASQGGTIVNRDQ FIKAGLLTTKIFLNAAGFLRVIQDRDNSRPGKYRPDDENAPDPLDDTRIHPEDYELAR KMATDALELDEEDIHDEHPSQVVTSIMNDGDKERKLTELNLGEFATSLFQANQDQKRH TLDVIREELVMPFREKREKFLLPKDWDIVTMLSGETPKTLGVGLIVSALVYRTTPDSV GVRLDSGIEGFISTEFLPEMGRKPRDVMKKGQTITGIVIDLIFRLRQDEFLVSLSTRP GDLDGGDYLFRNVRPDQRYWDDTHYKKDQDMLARKKRAETDRTRRVIKHPNFHNFNTA QAEAYLEKQQRGDVVIRPSSKGINHLAVTWKVDERLYQHIDVTEMNADPTGQTIGGQL VVDANHIYSDLDELIVNHVQAMSRRVEELMAHEKFKHGSEDDLHIFLKNFLAANPSKS MYGFTLNRKKPGHFNLCFLANKNSTVQTWPIRVAPEAYYLFDAPAVGVPELCDAFKVR HLHESQNVAAAAAGGKTPYGAGARTPARIGGATPGHLSIRNPGRTPNPYGGAHTPFVP PHHTQGPPSNYMPPPPTSYAGYQTPSLRPPVYGQHPHPPMGGPPPNMNPPRPGSVWGG GGGWS JR316_0003837 MSPLDGYSFAEWPNLSGDRSPAKNTYTTSQPVSHSEDGISKNET TNTSVILLAIARVIGVYCGISDVLIAVKMGHHGNISLVRIMWNEDDTWAAAKVKVDHA VHNGLNEPLSLSNARRHLSLDDNQCPYVVLCTFDQSFRTPDVQDTPIFSYTSQDSIIH LSASNATMHPTVATQVLLQVVGFIRQGSESPLLSLASTPSFTSDLMSIFERGSVEDVT LSYSHISAVSFAPDFLAQRAIDMPLAIAVCWYPELSLDSSEFAYENMSYIEFHRKSNQ VARWLLRLGLQPEDRIAVCLDRNLHFHTSMMGIMRAGGCYVPIDPELPLERKLYIAKD ANATFVLTSSEIASPDVFGTRTIYIEDDVSQSQILQESDEDLNCMKSDGLAYLLYTSG TTGNPKGCLLTHHGLAQAILALSSTAADVRMKNIHNGRYLAVASIAFDVHLGETIVPL ALGMPLLSARRTQLLENLPCYVKKLGITHLGIVPSLIEATLNASQSGTDGSETVLRYI ASGGEKMSDSILDKWADHPYVRLANFYGPSEVTIGCCARYMTSRTPKANIGRPFANVS GYVVDPNMNILLRGGVGELVVEGPLVGRGYHGRPDITGKVFLEWPRKGCWAYRTGDLV RMMPDSTIEILGRIDTQIKLRGVRIESEGISAIVRKAILPTDTIALDATTVLAKHPAI NVDQLVSFFTWDNTVTISTRKSQKPSLCDPPPDFIKKIKAKCEIELPSYMRPSHFIPL NWLPLSSNGKTDAKVLIELFKKLGVEEIARLSAIQEVQEARSCTDMEIKVFEVLQNHV AMKFDNPHPGINIFESGLDSMGVIRFTSELMDVFKVKVAAANVMKAPSIRDIASYITT AVDADRPRRDIIFNIPAIDEIYSTYIAESIENVLPPFTIQEGVLSRSADQNTLYVQHV IISCKPAVSIPLLQRAWKTVVDRNQILRTIFHFGRTLSQVVLNPQSCSLNWSQKELFM LAQNTSFSQYFMAQEASLIAKQVNETISTVSPYRLSAYQANDRIYLVLSIHHALFDGI SLPMLFEQVERSYLGLELSPTATISDLLQQITAVDYDMARAFWKNYFTDYVWPLSMLY PKGVYTTQQLTLPLKSPLSLIKKLAASQRVTMQALFTCAFGHLLARKVYKQKDVVFGV LRSGRLLPVDYIEKTVCPLVSILPVRVDFGGKHMLLQAIQDDISSTIEYEHIPLGKIQ GWIRPNVALFEALFSVSVNESPQSELWDVIESEPPEADYPLAVEIVIEPSTDSVTLKA RWMDEGLPDSPNTWLCDFENVVKSLADNPSPYLFHSLQHLPRSSQNPRNEQENECPTI DHSCLDAALIRGLRTIISDFMGFNPLILTPTISLISMGLDSIKSVGLAKFLTKHGFPM TSTDILRNATLNELASCIENKRVLKDDLPAITMPDISQTVLSEANFNDIKLSKEDVEF FPTTALQAGMLSQTVNSNGQLYIHSFPLRITGPVDAKKMRNAWEKAVETFAILRTSFH FSTESGVWIQAVHSSSLLDWETISVASTQDYQRELKSFLSSIDLGDETCFTRPPFWVR FFEIKSSASQPLSFLVLVLHHSLYDGISIGKLVQAVEHLYSNISIPPVVQFHELLPQF LHHEQEGTSFWVDRVRLYKPKPLRQLHPSSSANTSATVEKIIELDSSRVTEVLRQACV TIQCLCQAAWAKVLSKYTKSSDIVFGHTISGRSIPGAEDVIGPVLNTIPCCIRIEGKA RNIELLKSIHQSNLDALQWQQASARAIQKVLGVENLWDSLFLFQQAASYSGSNSKISW AFDEEIIVDEAKYPLNVEIIRRDSDIIIRCASHPSFINQTNINLLVDDFRTFLLDILN NLHASALEGIILTEDASSNQIKSKDGFDKQNFDQDCGPDSMPSSTPPIAFAYKPILMG ITNAPSSLIQPETPLSTLGVDSIMAIQIVGKFRQNGMKIVASDVIASKTIGEMLAKAS PLKPTSVDAKTFVNMAISDKERAVILSQIGDLSQNIENITVVSSGMKWLIGAWQKSLR TRYQHVFPFQLPKNLDIAKMRESWSSLLQRHPILRSTFTFAKGYQEPRLVTFKSFGGS WSEEYVPDEVFFRSIISRMKDMVSNPPSLALPPSRALLFHSTQHYYLILHLHHFQYDA WSLQLLLHDLSRLYRDLEPTTSSNLQGFLEFYSIKADQSKEQRKYWRSHFPSQFQPTL FPALNPKARQCYSKERLIRTNQECITNVKKCEERARILGLSLQSVFLACWASMQASIS SSSSSTFGVWHSGRTGTLDDIANLAVPCMNVLPLHVPHVDQSSVLQIARWISSHLPTR TSVIEQSDLVHLNELVGLKNKPICNVFVNIVKVAPDTDAQDPIIEPVYAPYFVPETVT MEDDIYGIEWDITKLMNDDIMVDISTLTRMDSVMMSIDAAPHMMDATQADALMRRWAN SVEGCLGIL JR316_0003838 MPATPSQETVLDLVGLGFGPANIAIAGALTEQWAAEQDGVPFPV KNVLFIEKYTHFRWHPGMLLPDAKMQISFMKDLATLRNPKSPYTFLSYLHSQGRLVSF INRGSTIPSRKEYSDYLSWASSQVQANGVKVLFGHEIIAIDDSPENTIAIRYRNLATG EESVVRAKNIVIAPGGDPKVPSVLAHIANHPHALHSSDYATSISKILETVSGTSRPLR VAVIGSGQSAAEVTMDLRNRLNNIPSTSRHQVDMLIRKGALKPSDDSPFANEIFDPVS TDAHFSTSSRQLREMKLAEYKLTNYGVVNPRTLENLYEIIYGQRLDADITHRLGDQGV RDALINIKPYTFISEIKVEGSSKDAPDAGLLLSSDMKAQVDGTKPVFSIRTQNVIDLT EEEVKYDAIVYATGYERRTWVNLLKHSDIAVHFGLDLLTSEVRLLPAVDIFHSVQPPI TMSSQSESGISSPTTTVSAHSSPPTSPEMDTFYSQGSKRSKCQEVFISRNYRLLPTRL VDGKADYFAPGVYLQGCEESTHGLSDTLLSVMGVRAGEVVRDLAHLNYKSK JR316_0003839 MQFASPGVRNVARKGSNILIHGHGGPGGSTSNTNASRSIHLNNI LNPLHSPHLTLPGLNFARNPSHKLFSASRNALQKFFSLLTAPGFRAPVQFTGPVSRGT SRSLHNAIRGSTIQSGLSLPARQALRNNALQRQANIFLPRAPNLGPPRFGGVAQVGLG TARNFSSARPIFQQLAENVPVAGRALYEIDWDVEMRKNYEGMLLLVENEVKKPLKTKE MIKPVCKKAKMTQPLVESNGHVSTEDLDYYFPHASTCAVTTYLLIPLAPTPTLRAPLP PNPTSSSDLGGWEPTLLPPLSYLGNVHASHSTHAIRVSSLFSRLDQANVWNRGVKCTA YSHGQVHRRRDPKSNDVESDEGVCTFLKVEFLGWTKAEVRSVIGESGSGWCTLEEVIS DEQFEEDDSISDTDSLSSGLLEDGPSFVPPVITDDEFGSTFDLSQSFVLPTIDFSPTS TLPIGRSQSMESILSTIPSEMEADPWADEYSTASSSSICSDFEDLIVEPPSANGWFDS APIFSPAVLSHSRNRYQVSMESGPQEGMFY JR316_0003840 MRVEKCYFCSTNVYPGHGSAFVRNDSKVFRFCTSKCHKNFKMKR NPRKVRWTKAFRKAAGKEMTIDSTIDFEKRRNVPVRYDRNLIETTVKAMKRVGEIKAR RERVFFKHRMAASREKQRAHRKKVMEAAKTSSVKLHEPISIESTTSQEKIKVPVKARS ALIQGEGRSMGMEID JR316_0003841 MSWYSSWIPGLPSFNFSIPSGIQGRFLSFVLKKALGHLLKPGQL DYHQIDSQIGSGYVQAINAYLDGLPISLHSGTISFIKARIPWPNPLASTLGFSLRSLH LVFHVIPHINQKGSEGIDLTESVVSVAESFIHQELSSGEEATLWQSFHHEAALHSSYD EDHSIPGGLDVPASAEETSHSLDSDPAGVSVFASLIERLLARFEFDVQDVKITLVHPD NISLTLFLDDVRYHTDSRIKTTISTAPPGSLVEGETRTLSVNGLTLSSRNLSSASSHT FFQYVTKSPEPRSPSVATSRPISRRSSQSSLDDAAQFMMSQSLAVLPPRPPSSTGSVS SSMYESAISTISSHKDPQETLPTTLTDAHSDVEEQDTVLAPDTNVDNVDEVLVSFGRQ PINFSLTTPSPVTEPPEDDDNPFISSADPNPGEEPLRLSASIGVIACAMKPWQVDALL QLGKVIVPSVPSKSVSSNDSGNRGDSRNQFPSLRVNAQIRGIVVILVPPSPNEVDVTG YFEKPMTPPSLKHGCIRLHLDTLSASIRMANVGVEKSASSTSNPIPTVPETRSTDFTI ADISIFLFRGTTGFNDETLSAFPLLLTDPYLSSQYPHRHIHPQSNEGYPILPNFEVID WTNKESRSYGTKLSFWRCQQKYSKFKAQTLASPGKLKESHAGPLPAIRFLSRTKEDTG RVSIDQTTDIQIAPLNIRIDLECLLQPGGPLSFFEALVPQTQDDRDHDSLSSEDTIEN DMHASTWNMAAKSKVAKGPNIFKTHDQQRSNKPDLTRDGNQSRITIKFPLIRLAVRSP PPRLNARSGTLVVDWHNIIVRHGAHSPRASARFANDNEIPSRNLDFSEKDTLLKIEFS RLVIACSMVGATTAFTFASLGALTDLETDNPEYLPSQSPPSLQPTIYVIKPPLSPSRA TPTFALSIDIPAVHVDLSKQEFDALQYWVDDLSQLLERLSGRLNNESSSFAGDSKDVS LIGTFVRVMIPRTDSDSIAPRPFDIKASDIDVLVELNPDNKQQTILTLGVSDLIMENT DFDGKLQRSTIKLRFSTSTMPNLNTKETRIKLTVCGFTYRFYPDVRWISDLAVFAKNP PGTFESVIPSDRTRIFVKIVDGSVCLLAPQYPGSIVTYLHELDFSTDVVGDSRDSSFH ISATSLALLAIDDTQDQRVPESSRSPPQGLLSWTSAGYALVAEVAELDTNVVNQLSTF PLWKVDINRIVLRLHLCADTLTAVTAFAQNLGSAFKSSDPQPPALPKGPAVVHQKPQQ EGRTLMCSQDTKIGVAAASIEDLAFKKVPDVGPAPDMIYDDLPTNLDYLDESFGAAAG LREMVDEDLDEFDDQEIENTTSSNDPNVISNIGGETIKIFDAEGLHIVEDYFLTIPPE KSSGSSYLSHMITKADVNFSSGKPDFSLKILDSDFTLFLYDGYDWVQTRRTIEEEVKE MRKRLAKIRQLVANGQIQEPMMEDTSALLYNSVHIGLEDDEDLLGEPSALIAAIDEEL KDDMDTASQSSWQSLRPSNAGGKSRARSLRIHGKKLTRAKTPSMEFCLAGVNAEFDQY QPEDPLVSRAFVTVKDLEILDHIKTSTWKKFLTELRSDSRGNIRETDSHMVRIELRTV RPVSGNSSEEARLRAKILPLRLYVDQDAVDFLKKFFSFKDPHAVPSAESQSDDNEAYI QLAEIFPIDLKLDYKPRRVDYRALKEGRTIELMNFFHFDGAEMTLRHITLTGVTGWPK LFEMLNDLWTPDVKATQLVEVISGVAPIRSMVNVGSGVADLILLPIAQYKKDGRIVRG MQKGATAFVKSTAVEAIRMGAKLATGTQVVLEQAEGVLGGQFDTSITAEPLQVPTGDE FNYENEEEEVPSDLISKYAYQRTDLREGVQSAYKSLQRNLSSAAQTILAVPMEVYERS GNEGPVRSVVRAVPIAVLKPMIGASEAVSKTLLGLHNTLDPNVRHENEAKYKSR JR316_0003842 MHSRRGQFEEANLQHSGLAPSEWHILDDGTGRIKAFIGKNKDPT YVYDKFEYVRVVGTLEFGVRGEKRSLSVFNMAPVHDPYEVYYHINHAMVDTMICERGS PPYNLIPDTHGQDIESLSQAFQNTSFSDVTEPHMAQQSVQEPNRQYDRVPFRSLLERD IIALIDRANLVYLEDLVMFIRQSCPTVDSAALKEAIQYLLSENLIEAGEDSGRDYFQL GERITGRN JR316_0003843 MNRFLPTLGKKPKWAPWTAQETLFITWVGVNDCGLSANPEHALE QLFVTQERLYKAGARHFLFIDVPTIHRIAVEKQSRVLEVIRNWNTALNEQIHRFSFKF KDATVLLFSAFRAFDVLLDDPESLGLDPADVRRRGGSIWMDHIHPTSAVHDYLASKIA EFISEVPSKKTT JR316_0003844 MQRTEASNSLRPFTIAQLNKATQAHTDAEWRVDDVEIGQITVVG QVVSIQKQTTNCVYMIDDGTGKMEARHWVDNSNEDDSSRFGEITEGHYVRVTGGLKSF GKKRYLNTTHIREIRDAHEIYFHILEAITVNLIMERGPPSNPHGANAKPSENGGGNMS AYSVQGGGGPSDQFSHLPALQRNIVRFIMSQPPREEGIHVAAIAKAIGAGGQDAHKIS EALDKLMDDGHVFTTIDDSHFNVSL JR316_0003845 MSAAALDRQIKPIYDALDTGSNKSAIVACNKLLKKHPKNELVKS LKALALIRSQKVEESLVLCDEVLETKPTDDAVLTAMMHVLRGLGRHNDLVTMFEDAFK KQPTNEELGQQTFFANVRANHWKSAHQIATRMYKQFQEERYLYWSVISAVLQAKDANT PPTMRPILYKLAHRLIASSPTPSYVNAERFHLHLSILRELELWEEADKLIESDVGKSI CSTSLACDEIRRAIMIQQGRYQQEAKRAEQLITEKKDRNWLEFLAVLDGTLPDTTPLI IGEADAREKVNHAQEIFSKIAEEDSTKDRSGLLALLDLEKRARLHGLSQEPTRMVSLL KQYFEKFGDKACCFEDLKPFVILDAESLSILRTFLEAIPTAFTTISELRRLINSFKLI RYTLSESEITAEKELIRVAAYARYTDYLASAPALALEHYRAMHIKQVQNDTLSHLILS RASTFSLGSIGDLTLLTECLESTQIYLSNTQETGDFIARAFQSEKYSQIPEFIVFEER LDNSLQRDTVKLEHIRMRIAHEPVTNDLIDMELIELKFIFDREHSDNRDFDILPNYQP KASKDLNEQTLLFGKPEGSKWLSCFLKVYIRGFQQASDIDDTVEEKLLIGDRPKKTAK YENGLTLKERLMQRGPEDFADLTEDEAKFIEYMNALIDWLEPYHNYARPPPDVVLAEA AKQTELKTGHPLKGVEVPTTNGHHKKDEEPPTIMDAPEVVVKYFDGVKERLPEAMKSS PSELLHVATLAQEAFLLLVAVTLRFKSPSVVKINKLSGLVPIFKTLRTNALSVLQSIS AELVLRGKADGSSDTRNALISNCSPILTPQIDHDFLFINAKKIGDSRRKVLDGIGNGI TRIRAAYSA JR316_0003846 MATFTPRQTSRTLNGKHTQVFMQTFADRIVILVTQIGKVGNLIQ ATLPATAVLIPRVPDPTQPNKLILPESSPAIQLTPLLGSAPSPHQQTLHSLYASQIAT IVWTEEALLGLERLRRSVVVGLALCQRQGEDDPQGEQEVFQGVMSMLYDLLRS JR316_0003847 MDIIQDSSNTGPSSPQKGKGVEADPKSWRAGWWDFYPLLEHPKR PVQWSRSSVIFTAHATQPFITGRHFSSSKQFVLPSPAPISNSPALYDPPTLISISPDD LWLLAYFYRRTGEGIACLWKRGNQIDNWQVKDSWTCLKGCGIVTVSWLESQREWINIS PSGPTRLPLRGPKIPVADMTMLFVTEDNYLHVTYLRQYTNTLKTLKKSLNVPGVMKEG MSPLDYGESPNHRQCMNAAIGLCYNDPIIMIASQSRRIPAPSITPTISAPPFNHMDLS AGGDSTDPNAADQRTNDWENWGDEGVIEIFECYIKYDGESLMLGIIQLSHIDCPPYSL TSLEWVSVPSPKTPEKGKIGLMTAFADFHDFKKPPTSLLNFYGPFTKTNATRHASLST TRTIEAGVVTHIEPFMDTGTLAFAYILHYSGTYGNGKNKPQNTVVGNVHVLNIPTFTE SANFSPATVEVPLEKLGEDLPFFAAISPNRKFICTLSCSLTRPSLQTHALPTLISSEL MSSRLSWALAAAILSHRSTVDLVHAICGPQMSNDDAATVLSNALALLENFNGTDSPSR YICDIMGVATDIYKLKAESTNVESENELFQSRCQAAHDICSMSACITAFEDSKEGDGY DLDAVWQLIAFSNWVIGFTEKLMKACILASNAIAEPLEGSQSDFGLFEQPILLNLCHP FALRNFISSLNHVKSFREFLAALPAGSGNAQIAQSVLVDSVDYSGVEFGRLVPLLQES LESAQKLDASECRRALAACCATNLMKTELTEILNKISQSESLLNKPALFIKPYNLIDG LARLSLLSGRRKNDEKDIITKMAIPKHTARDRCLRCGGKTVIDRNTATPRPRVHTKWH LYELMWQLRCVCGGAWSSTI JR316_0003848 MVMPSSTWKLRPGPIVAWERPLGTTETAFYLDAIFCRTADTLQQ AEVQLQPLSTSQDLLCPSNVAKAWSYIKRQYPLIAAAYDAHSDDSIYFVVTEESLRTI RPREIIFQKVSSAQDVERIAERIVVQDQLLSDKLLACLFILERTDLPGVFHIFFHVAH SIVDGMANLTLLKTFLDSLCRETHPEVNLEHRLQLSVSSESLAPHLKYNVARRRWRKA IASVMAARRASNLKGGHTLPRKVSQITPYTPPLSKTLRTTLTPDKSNLIIDNCRKNGL TFGNAYPVLGQIALTRVLVRRYLRGEIDEEEWQFRIREPMTSAGPLNLRPFLDKDWYE AGGSSNVCVSIGFFYFALPTMPLGTTRLRPGMNMPHFGDLLSKKRFLFRCKQVREQSI EITKHPLFLEFQEVGAPARIQRNKIAARQWLGGGPPKTLSNKPMSPMEQATAGLVFCN GGSSMGNTDKLLPRTYPLSPQDGKEEPLISLKSSTTRLRCRPTELYLGASTSHDHLTL TVYWDNNVYEEDIVTEWLNEVKLAAEFYLGSDQTIHSKL JR316_0003849 MEQDAGPAVDRNFTLDKTLTVPAHISSLAFGHAGHVFAGCDDGS LRVYDLSSYKVLKAVRGLGGEVSSIVCMKRPGSELRDAWVAHGQHISKFKFDPDPMIQ TLESALVSVKVGESDADVLNEVCGSVKFVPGRPRELISGGYDNILLHFDFVQGKVLSR RQIDTFALEGEISLSPPFVMSMAMSDTGVLAAGIADGRLWIGFGGEKVVLPGSKSSKK KVKMWEGLDEDQILLIKVAEGPIVAMAFSEHRKLTISTLMGVITQYRLIYDEVLGSVV LQQLWQKASPGIEKVNALIADEKRIIVGGFSAKGRGVIEVWKETPQPAQDQEGKSLAP TSTS JR316_0003850 MRASSRCCCRRLNGRVCLASVGWSLSDPHNFDKNLKGSPQLDSI HGTFTTADNRSGYPNDMQVPATSAFVSGTYASIRKLPHPGFMALTAAANSGLTGVSFF GCREFLVSPTLNRLAPWSQYAHRRQEAGRPLSQDPYSSNTPVSLSDLRTNQLLDSAIS GASVVGVFHAISRKPGVIPVMITAGTVCTLLQYGFNELNIIRLNYISKLREENRPAIA DPSSRIQNNSAPLEGSVHLLESLLGFVGFKPMPDEEYLEKLKKTRDSHLRRIAALEQQ VRDEREFEESNRP JR316_0003851 MPPIRDKFTIHSKAVVCQDVELKGDITIGSGSIVHPKATIFAIA GPIVIGMGCIIEEGAILVNRRKEVMRIGDDNLFEIGCRIESPSIGNFNTFSTRSRVHH TVRVTSYCVIGAGALLVPTEDQTLEDYTVIYGPAAEQRTWSGRGKVQEADLRRKHAEY LREMLPKFNRLRRGDGT JR316_0003852 MESWMHRNTAVIDPRQPRYAPILPVEIWEKIFAFATHIPGCFEV DDDYAAIAAFTRDRHGICLSNRYREAMQAKLAFCLVCKYWSRVAQPIIFEHLRIRAGE DASLIADTLKRLSPQKDCREGPGRWTIRIDLVLEGVHAWLPEHTIAMSRIFKHCPNLA SFSSAFSTDEPWTYELPKILRRLSQNSRLTRLEVKADHCILPIIEETLGNRLEILWLV PCRCLLSLDRLKTRIVLPNIRALIATAGCETYISRIELPSLRACILGLATMGFPLINR SLVQYCSISNSWGVFANLNDWPSLTTLSVNIGELANLKTSWERHIRHEHLECIMIENI DHMRRQMLMMKLDACTELLMQLDEMLTSLLSPYNFPHLKCVKIFLSVQGRSNTAPILL EEEESLWYTWLNACNDRGVRIEVSGGAMEWTGDEWTDYSPDEVFDML JR316_0003853 MPIPKLPGIVVPKYSDQDKWLKMHVARLCQFDNHERFPGSQPVS FASSDLAKLETQDFWVCEKSDGIRVLFLVVTNLDNNDQTAYLIDRHNEYRQIEGFFFP HHENPQLPLRSSLVDGELVIDVDPRTKQEKMRFLAFDCLVIDDQNVMSRPLDKRYGRL KQWFFKPHAKMLMDHPKMMEVQPFSIKVKDTRLSYHVENVFNDDIPALQHGNDGLIYT CVNSPYTPGTDPNILKWKPPSENSIDFKLVLRFPPTRYDEDEPDWTAKPVFLLHVWNG GGRYELYDDMYVNDDEWEELKKSGEQVDDRIVEVHWDSNISRWRMMRFRDDKPNGNHK SVVENIISSIADGVEKEALLERSNAIRAAWKARLGQPQQPQPQAHQYPHTNQMRPPPV PASITLGTTPRLEFRYGPLAQSRWSKVAGPPIVLGMKR JR316_0003854 MVLLVTSDNEQFNADKDVVERSVLIKNMLEDVGESDQPIPLPNV SSSVMKKVLEYCEHHRGEPLPAADADQSQDETRKRTTDISEWDQKFITVDQEMLFEII LAANYLDIKSLLDVGCKTVANMIKGKTPEEIRKLFNIVNDFTPEEEAQIKKENEWAED R JR316_0003855 MSFLTRCIDLAKRSPFTFLGAVRTATKRAGGTVHNHGGSPGKRL GVKKFSDQFVLPGNIIVRQRGTLFHPGQHVKMGRDHTIYATVPGFVRFYKEKHMRGER KYVGVVLERGDKLPRDEATRGRSRFCGMVDRNSPEFSLSTS JR316_0003856 MTTEANPTPLLTITPLKPSEIPPEERIIAEGKTLIESSVSWKAG KTYFDVVKTSTRGKLAGDGAPWHCRYSVHKPDEITFDQLWEKLSRNKAENELQFIHEI QKVNKIKELSPTASIWTLYYTFLPPVSPRVFTVVQVVHLSEEKPRTGLIVSLSIDLTS KGDEELHLLEEKGTKGRYVSVERVMELEDGTTEWRMATSSTPGGNIPNFLVESTMAKK IASDVPQFIKWFQKSTSKK JR316_0003857 MSPTQIALKSFDELKEALNGEPKIKVADYVNSGWDIHDAVYSKE LLISNKANGYRDITAIIDLSSFRRIPWERNVPFFLVSFLDPDTKAPLPVDPRGVLKLT TDQADSMGYQCYSGVETQLNNDYFHDLFDESLKFDVQIEGHHTETGPGVLETALAYTS ALRMADNAILFKYIAKSVGMKHGIIPSFMAKPWGGLPGCSGHVHVSLRNKKGRNIFAV TDEELKSGRPNATNEDTKFISQEAEWFLAGILDGIADVMPAVVPTINGYKRLVGGEAF WAPNAVTYGYDSRAASIRIISPPSCPPAGTRMEVRIPGADMNPYFALSAIFRLGLRGI NKKLALPGPPITHFSPEDRSNGKIKMLPTSLESATARMMRPDSIAREPEVFGNDFVDH YGGTREHEVKLWNEAVTNWEVERYLELA JR316_0003858 MPETHVNMYGGSPLNRLSWLRTSHSFLNAVITVPSTKWLLFKAG EPLVASSGSSKPYPIYLSTADVKSFLGPEPYFGQAEKPGELVIEKDGDEHSRHSPTEA ARHLGPPVVFLGVHEPQTEDSTSALPSSEFKDPQEAIKRLEGTPYFAFDIADLEYPPE NLQEILDATSIAAEGKSLSWSEPRALMSVMDPITAAIFASARSLVDWNQRNKFCAGCG SPTYSMWGGWKISCTSLLPWTDNGNKKPCPTTKGLHNFTHPRTDAVVIMIAIDETGEK VLLGRGRRFPGKFYSALAGFIEPGESFEDAVAREMWEEAGVRVWNVKYHSGQPWPYPA NLMVGFYARADSTKPIRTDLDNELVDARWFSREEVRAVLNHNTGTTFRKADYKKMAEI VDGRPNTEQKLAAEPAAVALTPSEVSTPAVEQTQEAIVTEEPPFRLPPLTAIAGVLIR DWVDGKIGFPPEAPIQRGNL JR316_0003859 MPSLRRTASSPAVRSSPYSSGLLAARGNGHRRSSGSETSARRVL ADIEWWRVTDGQRESSPDQELEDRNRGNQDIVPLDVFLGAGIHIPHVDPGVDHPSPLP LPWVQPAVTVSDETSPAVVPTEQFAGLSITPHTPTRRHHSLESSSSSLESTPEAAETP IGDLFMGMSDLDMGFTEANLLPLPLDKRNRQAALAPILMRSFALNDCLSLKDDETNKY ADFAVSPLSSAISFFN JR316_0003860 MESIKKSCIFYGAVINPKSLQSFSVSPQCLLAVDAIGNIDWIVD DVEPHTLQDVLASKGCIESEVIALREGEFIIPGFIDTHTHAPQVPNMGTGGQYELLDW LENVTFPTEAKFSDVNFARETYTSVVRRVIDSGTTTCCYYGSLHLEATKILADIVYAF GQRAFVGKCNMNRHCPGHYIEPSFEDSVADTQTLISYIRSLSPQQDNSSQEPLVQPIL TPRFAISCTDDLLSSLGNLASSDPKLRIQTHISENKSEISFTRELFPSASSYAGVYDM FGLLRQNTILAHAVHLTEDEIDLIKSKDAGISHCPTSNFNLSSGIAPVGVYLDKGIKV GLGTDVSGGFNVSILNAIQNASVAAKVCSLQTGNSGSESSSMSAIYANKQLSIPSLFY LATIGGAAVCGLENQVGSFATGKSFDALIVNVRDEAGNPTIWGLDDTNFTNLSIEDKK KHLEGWLERFLFCGDDRNIERVYVQGTFVGGRTFRR JR316_0003861 MSNPAQTSSKKLGKRKAQDDPGFQTLADDFDQFVQRSVLIQMVS RTVNAQDVEPLFLSSAPRSMQWAIESGLIVLSSSSSTLLFTVMGGMSPGIIVCDSDKN FRIALDQKQLECCKTFIDGHRFRNLKVDKPLYNFLHKKGVDHSVFQQYPNGELYILYP ESYPPGLTHALSENTLAVNECLTINRDLQIEKHIKLASGFLTSIRTPMGRIGTFKSPV VHQSPSQIGANKSHKVQGSANGSVVPPSKKPRTTPTHPQQQGPTIPVPSYNPRLRNIR GNTDHTLMPPPQIPRQHHLPTNSQTARSRTQSSMSLSKSSQPQFPDRYDETGMGIHSS PRARSTATSSLNQLVRQNSEPNFLTNAPGGFLARSVHTPETAVPNSFNLQGNSNPLQT TAEAGPALMPEGRYGQTSTVHHVGQNVVAQSYIPNVAQAYETSTGTDSAVAESQHSNS YSQYTESADNTSFGSHVVLLDTASGSFSQVAVQTASSAIGAQDPTINDTYRNVGSYQN LAIQIPALDTAALIPTPPLVSNSTSQSGTQINSGSAGTPNILDHSAYAQDSANPAFAH KSLVQNSETQEGDIPAGTYQQTQGAEMHGGVNIDSSFDWDQFSGLEALSATDVSQFAS GSIGGDLAEVGNWLQQFTPPEQ JR316_0003862 MIASLLSPSSSSPTSQQPARISQLLPTVKCSTCHKPVPLDELGD HTCTAPPPVPSLPKPAITPEAATALLPDRLQSRVAAGPASGPASSPRAPPQVQAPAQV PSSSSASRLRINVNARGPPSPTQPSFQPRSSPLARADSSTSNRSDARSPQPREPPYPS PSSSNSHSYSNSYAASSSSAASPLRTQPPPGSATIPNRMRTPSNAGSISKQSSPLTAR PGNSFLDSPSTTPVQGGRPVSPNSNISATPRGPPSATIPFPTSNSFPSRTGSAPPLNI NNTGPGPYPNGNYRPGPQISGPVGPPIARPSPPSHSISEPNFNNPNNNNLKPTAEGSG INRNNTLYRQNFVPPAERGIDTKSGGEAGMAGVGRRGFAAAARAAMFVSPHNPGGMQG AGPGMMMGPQPMQGRGMPPPGPPPGPGGYRPMPPPGMAPRLLDIDSIPRSSNATPPLS AGSGYSSHSPGPPMSPVSVGGGGGMPFPTNNINKSAPNPNQPPMSPLPPPPTSANGNT NLTRTATASSASSYTGTSVLSRSQSGASGMSFTKPLTLTKNKIKENELPPISPIDSES EYGGLAYADSTDDEGADEEHEGRKRMLSMSSAHSAGSGSVARNRFRESSPPPITTQPL PPLPAAGMAEMLRKMSTSSSASKSSAMQFGSVRTRSRSRSQDRGALGASGSSLNSRQP RPTHSRDTSASSSYSSVDGEGGENGLKQRVRERTGSTASAAIAQALGLSQTPPSEYAR MGGPGVVATERSRSLKRDGILGGSIRRAAGGVEDSGNGSVKGERTERERKRDGSITSN ADLQAQRERERLGSLESKDEEDRRGRMRNINVDIRAANAASENMNMNTGGAKTQRSNT VQGLQSPDGSQKPIKLPTRSMTSPQLDRDKLLGGSGGASGGGSRAGSDASAVTGTRKT TRRPKTCLKCAKTIDNGRWIAVDSGGVLCESCWKNMYLPKCRRCNLPIERQAVSSSDG QLKGKYHKECFNCHVCHKPFPNQTFYVYDGQPLCAYHYHEANDSLCAAARCGQPIEGP CAVSHTGDRYHPEHMTCEHPGREPCRVRLEEYWEVDGRMLCERHAAQAGRSGGASGSG SDGEDGRRDEDEEWERRRELRSKKRVTRFIDLAGADGLGADAGDSGLR JR316_0003863 MLGHIAEKIQSTSPRVLSSWRRSSRSAAPVQSRPLAVHGKRRPF SLEAIKAIFVVASRSIRRYCIPRNDVIQFTLNWTMLVGTCLTGFVFFALHMTVKPTQF VAPMDMDPSLFQPDVNLTAEVVSVDPVSRTIIMNWYPELVDQSCNISDPIIVDIFIPK VLLDATSPSFSTDTDDNAVIRMNNTALCFALDQNYISFRTVTKLVASKEFLVAESIGT QRTFQSYPFDVYVAPFTFYSENLATPGVTKPLRVSQSFGIAVNFEISLIRYFVAMPYI HTEQNLQFYLKIERSTATKAFVVIVAITNWITAVTFLTILASTLVYHPHEIYSELFVV PVGAVFAFSSVRSNLPGAPAGFGATIDLYTILPVLVIMSFCSVALLLTVLYRRIKEEK AKHIKITGDDASSQP JR316_0003864 MKPLSELKGGSDEWTTCSTPTQEKVFARLYAARHHQNHRPKSTS TSSSSTNVAEPSTTPPTSVDGDAYPFGSRSNREAESMENVTKPLFPLDAHQNIIFIYP SSVNTRNVHHMPVDPAHGLVFSSTVAAQGKRHYNFYYKDSVKPLYSYRRHPITPYAIS QWVSVVFSWRAPLCLRVWCGSKSKRDTCMEEMLDILFRPSTRLIWLDIDLDLNSETAE HFISSTEITEHANSVIRVRLETTKCSTGAAFKLLTRIQGLPNVKELTYGYELNDPVSL HFRRGYLYSMLLWSKLNIIDVAGELDEINCIMLLSRCVQASSITLRSLVNRDENLNVV QNRTLALDFYSLCANIPPLKLSYRRSNAHTGETSFHTELPALKTLILVPSHFDTVDVL KHFSFPTLEKLGLDIVLSANSNSLNDLHALLLRSRAPLMELNLYDMFVGPQAIVKLLI AVFVGTLSAPMLERDTELHARRWIRRTAKNFNIHFLSVLEPGKRRESIPHEAIYRRHW KEELEVALADMPFKQWCETVIPNIYITATAYGPLIMNVGWGEKRSP JR316_0003865 MNTFVDKYSTTSAVSQLRRAQRLADEEDEFPDSTWGSGAGFGNI MKGAPTFTIPNIPDPTAFLRLHTDDHADPSCRIKIQHGTTTLAFRFQGGVIVAVDSRA TAGSYIASGTVKKVIEINPYLLGTMAGGAADCQYWETYLGMHCRLHELRNRERISVSA ASKYLSNLVYSYKGMGLSMGTMICGWDKTGPAVFYVDSDGTRLKGDLFSVGSGSTFAY GVLDQGYRWDLTDEEAQELGRRSIYAAGHRDAFSGNTCNLYHVKEDGWKFIGKFSISS QVLGFSFLLVVVGNYDVSTMHYDGPGDVPGAPGGGYGYDQRVAGRSSANPPQEAPAAA JR316_0003866 MDDKDRTRDGDADSVKKMPLGAPDEIRNVDDDKASASFVFDKTR RTLKNRHIQLIGIGGTVGTALFVQIGSSLTKGGPAEMVTWMPISSPFVRYADRFVDPA LGFCAGVNFFVFETALIPFEIVAFNVVLQFWTDKIPTASVICFLLVAYCLLNVFAVRF YGESEFWLALGKAILAVGVIIFTFVTMVGGNPLHDAYGFRYWNPAKVQGAPFAEYIQT GSLGQFMGFLACLIQASFTIAGPDYVSMAAGEAHDPRRNMPQAFSSVFYRLTAFFILG SLCVGTVVPYNDPDLLHAISNAHPGAGASPYVIAMQHLKIPVLPHIMNALILSAVFSA GNSYVFCASRTLFGLALEGKAPRFLTRCTGSGVPIYCVALTLGISLISLLQLSNNAAV VIQWFVNLVTASQLMNYAIISFTYIRFHKALKAQGISRDSLPHKSFWQPFCGQVSIYA HTYYAFVATFTMAFVGGYTVFLPGRWDVPSFLFSYTMIAVVPLLFIYWKVRHSTEWQP LETMTFFEKERMLVDQYEEELHS JR316_0003867 MNADIEALILSGDFFNGGSRSSSPPRSPSPDHDSDHGWHDEELA AQEKGLDYDSDTARRDAAKRLDAQQQQQQESIGMGPGRTGVKGVIRDRDEAAEIQRDK RLRDAEELRAKMEATNLGGKTFLEEEREKAGRGEKADDLVMREFDRMRERRDVFGQKR EAKFGHLREVGLKGFLSAVEQEDKGVWVVVHLYDSSLERCYLVDETLAKLARTYPDTK FLRARAATLGFASRNSSNKSKPLKSRLNSVREDDDDDPYAYDDKDYDEDDEDAYEEDE VDLDMLPTMLVYRNGELVHNWVRVDWEAGDAGLEEFLDKHHVLPASGGRKDNLGFPSD EEDFDLLWSDEDDDVVHTFKT JR316_0003868 MYSDPHLDAPPPPSQFRRPWSPDVFDPNPTAGPSSSRSPVDAGG YDSAYPTELYAMPAHHHRQSHRLGGSPDRRQQQRREPSDVSVEALDLADYARTLRSRQ AEDPYPPFLPSLSQEHEQDAIQEQARHAVSLSSFPAFPRPSATNSRDTHSHSRSNVHM HPPSVVSRGPTLSSNATQHTHTTLSSTSHAPRRATHRPFSLPSSSARSAQSHAGSSRR HMYIADGAPPARPMEEEEEIDISRFPRWSRNWYTNTKTTTKYNHTVDDDDDGMYTSIP GSHLPSPPNQQRKSHFDPGYVHDPYSSDFGPPPPLSSMHHGQTTRNSSRDLLPWSTDP PEYGHGYNQPPLDPLQKAERMRMLEREFGADPNNSNNNDDDTAAARKLKDAERRGLLL DAQGRPVVGTADLRGRLVTVGPRRRVAARALQVLLAAGAGVPVLYAAVAIKQPEGAGS PPPQGKPPVLVLYVLAVATLRKAELGVAGAGAGMPGGMMVLPMLGGGGAGKKKAGKKG GKKGAKGGRGAEGDVQVNLIVDPKMFRPSNPSSSSSASEDEDEGYSQDDEGAMPGQFA KHEKKRKRRRQRQRRRRGLVEGLAMEARWRMARAWAKKLALLDAVGVLLWGAEFVFIM TGKRCPSGGFAGWCNAYNVSSASACLLCVAFGVSLFFDVQDLHASKQSPRTRNL JR316_0003869 MQPGREIVDSAILLATLPNLSTPFFLAPVIATAASHTKHRLIIV LFSRHFNVHYPGRKDKDYLTFSENQALSRTESWDPVQRILTFTYVQAAKIAQQMNKVL MDVDVLLRGLNQDFAPALPSLDICFRVSGDSIAVPLPPAIGLLRQLYIPPGDADPLSG AQTAVGTPSVTAHIPPLYPVTALGGTFDHLHSGHKILLSMAAYITSRKLIVGITEDAL LQNKSNKHVLEPLSVRTERVRAFLHFFKPEIALDLVPIHDVYGPTGWDPDIQALVVSK ETMSGGEAIAAHRKAHSLPALRTFLIDVISATNASLDHEDAEWLKMHKLSSTFIRQWI VDSALQKESADAQTEKDKVVDAENARGKVLEDARGIVDAENAKEQEKSRAESAQESTE EAKNLLAAQN JR316_0003870 MATPHSFTFSPAVQQSLAPTSRRNSASSTQLPLPSSLSPQHQQQ QPRTPPPAPSSPRAFTFSNPRPADSPVLASFSYAPHPHSSSTHAGGIQPPAAFFRPSK PIHQPQYSRPASPSSIIHVPADTHDTDHFPLGPISAGYSTDEHHAAESVHEPHPPQQF SSLKRIKQSREPLLPTSSSASRPSISVTSATPMSPSRLVRNSLDRVLSISRGLSFDSI RRSASAQREDAFETKLSDEENGYSPTAQYAGNSKHHFPAQSLDVRNSHHSGVHSHSPS HSHSHSNSHSHSASPAPSFIPTPPIRRPPLSAVPLLSPTTHKPVRRYEQHPSRNRFYL RGRILTGGDTPWAFVVAFGTLLTIAGVWFGTTAVWWWHNESPAVAGVAVYMALLTISS MLTTATTDPGILPRNLDPDPPYPATSPSDGGIRAPMPRDLKVRSDIVRVKYCPTCKTY RPPRSSHCKMCDNCVDGCDHHCQWVNNCVGRRNYTTFFALLVFATTTLLLIILTSALH LYFVTRREHIDLAHALRTAQGVGSAVAFALSVCVIWPVGALLSYHMRLLLLNITTIEQ IRNQAHKTLIPGPPPPNPFSHGSWKRNLLAVLCRPQGFSWLDAAAPVTEDKREVNPGL LEGEGMDGEEGEEEGRSHSGHGHGHVAGGR JR316_0003871 MTTPPADPISSANPPQPPVPPQPLPPTPTQPTAKPTSSHSKKPR QRKRAVDQDGKQKNQQQQPDPALAHSAPVPSYSSPRGPIFQPYPGSPYMMNPPYPVNG SPYGQHPQHPPQHGQPMPPGQPGMSGPPPQYAYPMHPNPYTHGYPQYPPYPHQPMMMY PPPRPGMPPETPHAESSASLSAAGGKRKRKQDGGGRGKGADRGSDDETAASGSDAPRQ TAAQQQQQQAELKKRTKTQRACDSCRSRKIRCDVIADSEPPICQHCKQYGFECTFFLP ITETRFKKKKLEEEAAEKDKAASGADASKTGPSQNDSQTKRDIGVFGPTSPAHLLHSQ ATINPRVYENYDLRYHHTFEVTKSGDGLIQVQKPANNDDQHHIHAKQLDLHIERDVIE TLLNAYFADVAPILPIVTEAEFLASPNPPPVLVYSMCLVAAARREVPQKVFDSIRYAV NSIIKAEDVLSTASIVNVQALLILCMTGDCHSQFVPNALSALWIRLGTAIRMAQDLGL HRAESVKSNIELRRRLWGACLICDRWASIAYGHPYMIDVQDCDARLPSSGDSTDLYMD ELVRLSILLGRVQKSIYSPSGLTFMTDEILYELLADIQRWKEGLPEQLQFRGPNTPRP AGLLHLLYCCVCMMFWRVFMRISYSCPAHLKFGLTVEQWTNLVKMTAESIDWLDANER VYDVWLLVAYAATSCALVQTAEIIALLYEATRDPQMPMEGAALNPTGGVKVRPPVMLD YKKDPTRPGGGVFIAHGKVGELKDVPEGTIISGSSEEDAAGDSEGEVASLVATAAAAS SVSLPAANYNDRTRAYLSSPSPFAPHPSSIVAPDHNRNVMQNNRSNMMSGVEFGGAGI MTPVSAVRSPIDPQQQQAMVGGPNATPLVNLTPLTAGSRTGNYMNVNPAMNQAQQTGS ENVQVMNVLDGAQGNTSLLNLAMADTGYLEGIPGGMFDWGQWDTFFSRLSGNGQTGHL GFQQPQQQNQQQQQQQQGQRPSA JR316_0003872 MKRFFERASKPFSLPNASKANDAAETASAPAPAPATAATSASTG PSAKLPSSNHANLPGTTGTTGLHPRYTLPAVAHPCPHSHLALLATKDGLLIRPHVKGQ ATIAQSAYIKISWGKTIRIEEIETVVGDGAEETVDWKDGVVVYGIVGILELYSCSYLL VITSRTEVGHIIDPRHEVYGVKGVTDIPLVEDRAKMALNTLAARNVALTRPSLIPRRQ GTDVSVDVDDDQNSKPDPESSTKPSPRVQFLSNPAIKFLTPKALSSTNLDAGNSIARP SSAQSTVSDISTPSSEASVATSPVIKTLASRLSFWSRLSKRTNSPIDANFPPIEPMSL TEEQEVLDNLMQDGKEEPAAVIESILSSTAPPPVTTEERHSELETKVIRETIREFTKG DMYFAYTFDLTRSLQHKQEQFLKAQKQHDLLAGLGALPSPENQSHVPLSPMDGKFLAL VEPYPSLPLWRRVDKQFWWNEWMSKPFIDAGLHTYVLPIMQGYCQVTKFNIPSSPVTV EEDVDVDYILVSRRSRYRPGLRYQRRGIDEGAHVANFVETETIMRVDTVVNLAEQAGK EGAITQAYRNYMHELNLKEATYCEYDFHTETKGMKYENISTLIESMERTFESQGYFWV SDNVVFSQQKGVFRVNCIDCLDRTNVVQSAFARYMLNKQLGAVALLNPSNSGRTDADL AFNDVWANNGDAISRAYAGTSALKGDFTRTGKRDLTGMLNDGVNSLARMYTSTFSDWF SQAVIDFMLGNRTTSVFSEFLLQLKSTDPRDLIRLSKIRAEAIATSVSRVLPEGERLL SGWTLFSPEELNTKVGMKFEEKVLLLSVKALYIVSYDYTLEKVKLYTRVPLGDIISIT KGAYILSPLEESSCDPEQNAGFVVTWLSSNQESRVTSYSVRNSLDFSNRNGPPSPLGP PSPSSPGFPLGNKPARGRSNTMPTASLSNILTGNVSFSTAGASGTVNFGAFKVLPIDP GRVRRHSSYGSEASDGGGGMSDEMRGAATCREAVDLIVERIERACGDVGGAQGKNFIV LEDVVSLAEAQRMTSVYAKMEYGVKRLLWLGG JR316_0003873 MPDVLIPNLDDVNKTAFCIPFNPDGMSLVLAERLPPSSFTDRDL ENGCELRFQAYKVAWKKCLDRVQEIIHELQSASAHSVVKEVKTSYNNPLPGLPYAELP VISLINPSLGISFLTSIMPLLESQESVASPVDQVHCLVIHLYPADFPNIMVGMKSIIA GFMDNTDLERGNHKPATSLANYDVKLLAAWHKALVKTSGTPSNLVIVLHDFEQFDPAV MQDVFHIFSAHVKELPVVFLLSMSSPSTNYLNDTYSRATMALLRVRKFAPPSGSTFFC PTFEPDIMVGPIVLEYIQDHFTRYNSFADAILTILQVSLTSYTEVYPLAVLVHDTPSL DILSDPGSSEFVNSLAIRLKLPFANANHENTRQKPFTPAEIRTITKKLHNARKNYHSR YNGIRMAFTLMVHIQTYLEDQGYKGLDWSGDRKPGSEPKWTNLFKPMLNVMHGDVQPY IKSLSLIVRKSKRKELQDLLQILHSFFEANSEVEDISKDRTKVVSWKTGLRSVGNDTG SLSEIAVPFSEWLTEYLSSRLRPLEDCELWDVWYTGHTPFSSELLNPSIRASMMSGLL RPHDFAVDFSIPLKEPPPEKAIWELPDTSILFKRYLDSGRMINVYDWFESFKTVLDTQ REKIQDLQVQEPATPKKRRGQKSKMQPVEKQETPKAMTAEEEEKWNLEVQARFVRALH ELDYLGFIKHTGRKADHVLRTYFDVGDAE JR316_0003874 MVNSLPQEESLNDFGMAAVLNPDGSIDPTSTSHHHLTGTLPFMA LDALQPPLSKTPPDPSNVHHYHYDLESLFYISIWAATLYDLEGGHRIAPKENFLFSGG VWGVKFPSVTPNYLFIRAT JR316_0003875 MLTSNPSSAIENVATETWSECLSHMDLTVQRNMMQTCKFFREMC LPLVFKKECVLLHVDPCIPNQEQDLRALMHSLALSKSSVHAPLVRKLTLSYNEDNFRA WEPTVADVKYLRSMFTNLCVKLFPRFTNLREVYIVSNRNIDKALLVALAELPDLTELG MGSAKFGMLDLSNGTRIRVRKLGIFNNVSDYDSKKAATVLDVFSGERLEDLTILSRVY SPKIMRSLTQQNNNALLKSIRLELDPKELKTLVAFLVSSPNLESLRVSLVKSSSRLRS SGVSVPEVLPRLPSSALPQLRSFSGVEELAKMIVPGRPVQHINVEARCRLWHSGKNNI LDRRPEELNMLLARLAASIIPIKHLGLEDYVLENGLLDTIASHTPNISSVLLNLKQEI DMVGDSPDKGFISKDEVYFDDTIKHSQNLADQQSRFFLPSVQHLPYMVCILLP JR316_0003876 MSRWIVVDDKAKGFNISYGGELPWMISKGMLRDSPVEGGPPLYN TLHGTNSKANISFDFTGSAFGVYGSRNSSTPRWNCTIDGKPVKHFNVSRGDNQEFCGD TVNDGTHKFVMEIDATEKEQFWFDYFKVLPPAGMNVQADVIYSPDDSLVHCDSDWDTI QSGTMTMKNGSMMTFNFNGASLSWFGVYNSSHHRGRAKATYSVDGEKPVSFWIENTSS HNMPLLSNQPFFQTPKYSAGQHRLEVVYYGNSKATPLTLQHLIVQDSSPNTPISVSPT TGPTAIPPSDTVPATTTTYTMPASESPSHQSESNSKMIGSIAGGVVGGFLFLVIVAVG FFLLGRCRARVEHPEAAFRDVIEPYTHNPLRRSSGYNPLPTSTAKRPLVLGNNQMVET TIVAPRRSIIRSLMRRFTGATFDDSRDTHNEASTLLPSRHSRTRTSASWIVMHEDSGI RVSPELPRINTDIIEIPPTYSAE JR316_0003877 MSRETVDEPGRGSQDKQEEKGQETEKELKYGVTELKTEIEIALE TKEREDKDPDSVTITSCPLTPTTPTLAQIPTPTSTINFISEKAPVLSLSLQIPGTGLL APTTTSEPSSSAPSSEPTSPNPLADSDILSPPSETPPSSSSAQASASSLSLSASTSAS TTAGTTSEQCLVTASARPSSSGGGLNVKFAPLPELAPRKRRSSTPLGMAARGQLMRRR KQNGHMSVQQQQLAALAEAAAANPSWTAEDREEERLRQEEIAARYAHYQASATALAAR EEEALLEEEEQEERERERRGELMKLERPRGGDVAVAERERSLGKTVKGFLRRVGRSRD AESAASGKKDSGKDTEIALKRRKSDIGKRPRGTSSPTATSPPEVPPVPALPLRPILAT ISSNGPAQTSSRMSMSISEEVSEVRRQPEPVREEEESGGVWEEEIGGAFPMNVGQTET IVEGRAVYASVETPTTTTTKVEGGTKSKVDSKPAKSSASPTPKNLPVKAAKVVSTKS JR316_0003878 MYTDELVSAMRLHSDDLSDYAASSTTSSTTSSTGSSTTPTLPPT SPTINPPPNTNTPSNSASSGTSLDTSSSSSTPSVTATIPPTISPTHSETAGPGGTLQT PSDGNKKSNNTGPIVGGVLGGLVALLVVGFLTWRYVKKNRRFDDIFDPVTQPKPHYQK ASKILKDPEPKPYTYGLVGQNTTNPIGISPPSSPPPGQSSFNDIGHQGGNDIGPQGPG SNGIGHNPGGSNGIGPQNGNNMGYPPSGNDIGLQPNNTPVQHIRNPSLTPLLAGAGAA MAASSSSRPSSADSSMPLGPLVTHAPQPQTYPPMPHNPSSTSSYPPALQNWNNAQGYA AQQQQGVYSGSAQGYGPGPSMAGPSSSSAASNPLSQGGGSINSLGSGSTAPSSWGGGN AGPPLPTMVPLIASTSPTSAQRNTSYGSGSASNNRISTLQQYEDPFARSGSPVSLQEP PRILQVTNAEPGSLDEGFYDPAVYYAAGTAPLGAAPGSPNATQVSSSSASGAASGPGR RLSARAEKQGLVHLDGGQYQTPAPQGPPAYTES JR316_0003879 MLTGNRKAAIERIPTEIWTECADQLSFNDQKNLLLTCQFFRDVC LRFVFKHKSFYLHVCKDMGSGNCCGPFASRVENVALVADSNALAPHVRKLTLRYDQSR PRTFSHQLKQLYPLFIKSCLELIPRFPNLRSVYISSNKPVDKALMHALALCPQLDELG MGPVRFGAHSLSRGVRLCVRKLGIYNNCIGSDTNPRKAAKVLDMFSGERLERLEVLSH IYAPKIAHALARQSNCTRLTFLRVRISPEDFKTFIPFLVSCANIEALSVTLHESLTCR DSAFPPHSFPNSLPQLPTSALRKLNSITGSGEIIALIVPGRRVQSVTTLISNPMPRFM KLDQGEFEALVLPRLVESKGPVKSLVLEGNVFGKNMLNPISLRMPSLTSLCVWLGDDS GVDTDPFKEATGTLAIVREYLAHCKNTPGNEAKVLTPFVRHKKYLIILHLIALGKIRL PRDLEQLDLVDRAFSVSWEQRLFQWDHCHLMKSEETHGTAYSLNMVSAIFFAISRIYP SFRLLNLSDHAPGHVYFYWYKTRSDKWDLCDFKCDGY JR316_0003880 MSQLDPKVWTQSTADNGDTVYYCKVCCDSRARKAFNCPGHERTS THLAALSRQRQPTPASSTINEVRGNPTASISNHRIIDDALRALLVSSSSNPRQPMYCF GCPPLQSGTSHATPSSPPLQAPTQSGPVSPLTGFDWNLYKATQGSTDPDQEDEPTPCI RVREGVCQRLLDLLDGVEENDDPVGDILVASEESDSSADDLPARPSVKKRTRTQNLDP RELEEWYPWQDRIIS JR316_0003881 MLEGVVNQIKSAQETGIWAWDCVYNEVVLAYPAAFAFLGDNPMQ NFNQSPLYSPVASENGGESIPHSPATSDHQDDVAVSRKRKPESMESMVKRITAFLETG RLRCKQETIQILQSYLNLASSIGSKTKLKTEKTKTGIKDTYQDFFLEKLLTSYTKEKG PMKKQAALDEAIQNLPDDITSPIWDLDEIDPHQDTPVEILHVVLLGFVKYFWRDLVQN QLNDEGKKLLIQRLNSFDVRGLGISPLGGKTLVQYAGSLTGRDFRAILQVAPHVIYDL VPTNVFDAWVSLSRLVPLIYQPKIDDMDKYLETLAQEVDSFLISTAQWTCAWFNKKKF HVLLHLVEHVRRFGPAIIFATESFESFNAVIRAKSIHSNRQSPSRDIAMAFAEGNCIR HLLSGGLFLQNRHRDIQHTTARDWRSIGPGPNRIIAIDTIIPSYLGLMAATDPLFSSG RCIVDKTPPRPLTQTLTGTLLPNVALGNHSFQTCKQVQLENGENCEPGQYVIVQARQP NGAPRAPFVACVKEIVLQLGSSNSANGYPEGLLLQSVSTNQVNSKLQMPSLMPLEDTW SFLPLSENGVWLQVVLSK JR316_0003882 MDDEMAALLRNGDGNNEEDSLNDRSNEEVGSNNDEEWDDFLRKY SDVDIFGAEESQTEFHFIPNTPANLENDNAEAGPGPQTTANRLRTLHTLDDNDDDRTT IWTKHAGTIIRQDHPPSYNTKQKFGDTRTNRFIPFASELDWKVARWAVMDGPGQNAID RLLQIPGRQVVEKLGLSFKNVRSLHKKLDTMPDKAGKWKVRKLAFKDRLEETFTIRYR NPVEAVKSLWKDPQLSKSMVYQPAKVFSGNVEDKNRIFSEMWTGKWWHAIQSKLPSGA TVAPIIIATDKTQLTQFSGGKSAYPVYLTIGNIPKAIRRKPSKHACILIAYLSVEKLD RTMMNDQQHRSRIQRLFHESMRIVLLPLIDAGNNGVEMASCDGAIRKVHPILTCYVAD YPEQCLVTCTKYGTCVKCQAPATDLGQINSHTPRTQKWTTTIIEEGKHQANGRSNSFH DYCMSYNVAGSVYKPFWDGFPLADIHRAITPDVLHQLYQGVFKHLVVWCQKALSPSKL DQRIRCLPIAYGVRRFKNGISALSQVSGSERKQMAKILLGCLVGSMPNEGIYAVTAIL DFIYIAQYSTHNKVTLGYLQDSLVRFHQYRHYFLDINARKDFNIPKFHSLIHYVEAIE QFGTTDNYNTEMFERLHIDFAKQGWRASNQKDEFPQMINWLSRREKIAGFTGYQEELS LESMDRAQSTSIDKDGDITMNPPSLLLSSSVSNHSLPFPKSLTISKLPISIAKKPNFI NQRIDLIEEKHMAPDFSYYLKVFLNTFSSNPTILRNLDNIPLPFQKLDVYNMFRLHLE LINDDQDSDEKAIVKAIPKSAKLPSGQFDTIVAITSEDAESTGLQGTKIGHVRVIFTL PKTIQTYIGSLPAPDAWPTIPLAYVEWKV JR316_0003883 MHLSSPGTSSIEELAYSDIVDSSVNGEEEPSVTRRRAPVSAYTF DTLPNAKSAKDVSLTPSQKSTYSYPTTCAQRLDSPIYSLASPLHASIESTREETPFVS KLLLAKPSAGIARNTKDIRYYPFKMLCRKKIFCKYKTPFLVSVHRKLQSVRAFCKTKK STAEVLKAARRLGRDITVLATLQEQMLQEYGLLLKMTTAFS JR316_0003884 MVLNDAMEYIEHGVKYSTGTLTIPQQNLLAVVYKKGTLPIISLS QTLGNLTHSATQSSYPDQRLVKMYLRSVIHKAVERSIHLLILIDNNIWPFLETTEEIL FFLKLKADINRHLFELGRNQEGHNRQLERRINAYLLYQQANQNAQEALHPFHSLRLSI TLRFCIFLHKFYDSTPHAKEKALAAFDHALILDSLKVWGLGEIPLRHRHSTYQALCIK YGITKIDIETATLAEGNH JR316_0003885 MKEDIKQNHAYRPWESPQWKEMLSRCTALEMFLFKTPLPLEPED SYLLKPTVERILGEWELKSLPRLLKFYLFQGHDEDSYIEDYYWEGSNELIWRTLEDNK WTQDQNERWEMHLLTLIFGPKKEGL JR316_0003886 MSSYTLSTSEIALLLRIFRHGAFAEDEDYLEDLLVRLEALSNPW DLPEDQSTYVVNSETLHHDVDIGHTGNLAGTEVVGEDMVEDEDEDRDEIEWEDEDEDR DEIESEDEDEDEDGEEDRDLNQEVVMQKMHVVDGGKRLAVAGGDHGRGGGGGDKGKHR GRKPCVRGGQKEPRQQNQALLDVVGFEDVDSRVAENEDEDDVLERQYEIAQQETAEED RETPENHVLTKSTRALLLKVCSVCDDIMDSSGSLPSFQSVVADPIGLLTYLCNPVYSK SYLDAILGDQSLKSLANRCSMAECNTLVSKFVQVLSLMHFRTKVESEFLQKKKTTPSL TRRRLLEDFWLDGKDKPEPKSKNALSMANNVRKMHDWLKMGSAFCILGGSALPGQLVT KSLIPAISKLGELFDIEFTSMFSPDMLSGYHAQYTSSVSARNLKESDKIFDNINFETY RRKRDWNAWSSFCINDLQNLSGTINDIEAYSSYAKQLSGPTISEAIPSSPTVISQSTS RISTISPTVRCSSPMSILEDIPLLDDDAMSVSDYSSRCPSPLLEDEDAAFPGHIINST YKSDADLNAKFPFSRTASKQQIFSTTQAERKKAEEAPSFLDFEQFRIQFKKHITRAGI RRGKKYLLLSSDILNQLPLRINDSKGAMQIIVDPTMSSDMRSKLWTNFKVAFGESLVE TDSKSQGNILE JR316_0003887 MSAGSNASPPFEHPVQPDSVELFIDTLSLQFGLTPERHNDLHLL YSLGAEQQIPLDCASALTGIFALACQFSVEQKILTAFASVNGSLGGLDLKGTFEELQI RLQKTWEITKNQKEDLRHLSQQHIYMPKCTCFKLLHVDVEAEVSKDASKYSMQNIFGK PAHELCWRSEAKRVASSVRNAFRQDIRDSLFGRKRCSLKRFTQACADKYHHGTLLGSQ EVEYQIRNVLLRRFAYENKELLGKEESFQDDTEDNDSHAVMGNKRQKGLKTVGRIPNG DDFWAQADDWFEKGFELRGQSFTTDAWKEYIDESRSLDDEWHKNNGRSRQQITDSSST SDQFPEFTQRSVHNRSSSPDFTTQTLPLNLTSSSLPTQSIMQFPIQSVIAMDKFKWQL FACIG JR316_0003888 MCLPLVFKHSKLLISTDPRHPRWYSRQLERLERSTALSESAHAN LVRILTLSYDHKITRRATDEDSAAMKILYPRFIDTCLKILPSFPNLRVVHISGNKNVD KEIMVALSALPNLDELDISPAEFGVHKLPKGVRVQVRKLEIYSTHDYNPNKAATTLDV FCGQRLEELIVFSNAYTPKITKSLMAQNNCTLLKSIHLRLRQHQFAALVPFFISASSL ESIHLGFAVRHDEPWIEIPRPPPLPTSALKRLTSFSGNDVPIMTIVPRRPVKRLAISK LPPATILPPSMLPRESKIVLADLLRTDPSLRLTHLEFNDDYGDDVMEVDILESVVLHA PYISSLSLSIGVNGGPRILGDPFDETLEVTVDDVDLIGYTDREMISHHEYQYLAQFKR HEDFMVRNKYPHYDNQKLNIVAESNALDSCT JR316_0003889 MESVLFMGLNAVRVLSLISLILVFASTIVVMVTNIKAVNAFEAN KGSDNSTMLNCDYIEGSTVPNQPAGVFWAVVASLLILFQTIILFLSECTWPMKFFDKY FPVLGTNFGLGPLGIFQALISTQILSHHVDDFTLVSAFFLFAIACINMLLGLIFREKA KSKRSITSWRAESKGVLPTSVDNRPVFVSASPYVVSRSFSQNEKAPTNVYTTFPYPQG QPQPNVVMVHQETTTDAASYKSAEKVGYGFGRQGEKAAGLRGFILQKPEESLPRYVTP SLPSQASLSRSASTVSGSSSFYVPERPNMPPTPRRESTAESEVISSPPTFKSSRTAL JR316_0003890 MATAANPTQDSTSIIPFKIQVSDDQIEHLNKKLALVRLPDELEN AGRDYGVPLGDIQRLVARWKSGYDWRTHEKQLNDELPQFQGSIDVEGFGSLKVHFVHK KSEVENAIPLLFVHGWPGSFVEVRKILPLLTQEIAGQPSFHVVAFSLPGYGFSEAPKK KGFKINQFAEVGHKLMLSLGYKEYVAQGGDWGSRICQRIASLYGGTHCKAWHTNFPLP LDTSLIRNPPTLFSHPWLYLSGRISSYTPAEKAGLERTAWFIKEGHGYAQEHSTQPQT LGYSLADSPVGLLAWIYEKLVNWTDNYPWDDDEAGPAASLRIYYEFHHETDTDRPKPP TIPAGASFFPKELHNLPRKWTRTPYRKFEAEHDSGGHFAAHEKPNELVQDLRRMFGKG GIAEGIVKGKTGYKALSRL JR316_0003891 MSAITASKCVPKVIPPSSPFGELLRRSRFASFDPAIRQTYSAPP SYTHRGNWGLKRPIANRSKRGAIVLRNFEEHAQYIEWDRADHQVKVIKSVEELNTTPG LVPQTSWFIGLGQAATIKATGFDSDFCPGESPKFHRFRDERYKDEAPPSPPVDQADWS GILPSTEKSTAVNLESLGRAGKGNYGAKATVQRKQPRRDDESLLQPNINAMTPVEFKR YLEHLRKLRPKFIKYLRQQLEKDHAQEVAAKKKAEKDAQDGKAVEVPKENRMSTVDPN TLSDAELVLKLGHEATTKYLHMAFLGRYNEDQTYKTTLKTKSVEAAAALANKPGRIRK QPHKLGGLVYAMPTELESLFTCKPQPGLVLQDTSAQSQKDLEGDFLATLGPLTVRLPK AKSGTDTRPLYSPFVTEPVQGIQAPRIRDPDRPGKFIPDTRKSERLLRPVSIQVEETP IVVGEGATNKPLARTRLRTVVAVDGSMSQMYRTNPFFPGTLEYSAQLPPTNRVIRTSL TQQYLSKTRLGKLPAQLPSTSTPNMQASQSTLDSLQGLLKQGSTKKKIDFRPSGSAAS KTEPEAQATEAVDEAKTT JR316_0003892 MDYSNVLKGCPHPSQITETTASPLLPISEAEAPNNEAAAAAEAR IESTVALLSTCKSHLPFTKLDSAVHLFPQIHEQRPLAQDALDDLAAALTVRFLCAGRV EDWDEMMGLRVERLLYVLSSPAGPEGEDGVGDTPDTDTDTDTDTDLGRFAQRMLAEFD ESIPSDALHDLIHTLQPQLRILNADVPSPSYVAALCALSDAQYMAYRASGDASCLSDA VSNLKDAFTHIHAHGAQSVARNIDLEALEARIPALALEQLTSDDEFSLEEGFTRALRA RGGTDATTPRPDDFRYVMRCAEVFDRAKRRMREFEASGSRQELDGAISLHREALEMRS FPHPYRPESLEELGKALTTRFNESGEASDLEEAIKLFREALHASSAFFSERSDRGELA YNLGTALAKRFVEGGAADDLDEAVCLFRQSLDSRPAPHPSRHWSLFGLANVLSMQGQL TGKHALLDEAVDLQREALRELGPAPGRDHDRERTTLLSGLGNALWFRARYAASQRSDV DEAILVFRQCVDLFDANDLHRYAAINHFAMALVGRFELLGKAGDLDDAIFWQKQCVEY LERVRNPQRHGILGNLANMLALRHQRGHGGDLDEAISLQRRVLNLEGRRHANTLNNLG NLLSTKFTECNDVADLEESIALHRHALALRPPPFSDRYTSLVNLAIAVSKRFECNGNE DDFQEVVALYREAISLLPAKHQKLFGSYHNLAKAYCTAYSKFNKNELLEQAMDAFSVA LQIPSLSPTQRLLVAQSWAFAADEYHHPTVLGAYDTLLQVARERASLSLDIESRQQSL SAGGDSLARKAALCAIRLHDYGKAIEYLEAGRAVVWSQVLHLRTPLDRLLEEAPDLGE RLRDISSKLEVASHRDTFAVPTDNKRHIMLEKQERELDALTAEWHSLIDQVRGINGFE DFLLPPRLSVLRGATSRGPVVFLIPAYEQSHCLIMTATDVHQIQLPALPVIELLKMKE LLHAASTQETLLRSSIEHIQGDLSFFHENIVAQPDVAEERATRRAGALRSDDIFKYIL RVLWNELVKPVLGVLGITASAERPTIRWCPTDLFTFLPIHAAGNYDSKEGSESISDYV ISTYTPTIERLLTSNHISNQESFHMLAVVDTKRLSCASEELDKIKARVPNDHLVQLGA SKDSPSNVKTILSHLSTASIVHFACHGTQDRNKPLQSGLLVDDGLLTISKIMQQQKLN GSLAFLCACETAMGDENLPDEAMSLGASLLFSGFRSVIATMWNIQDRDGPIFADAFYE ELFKGSDGLPMDYPDVNKSIQAFDFAVQKLRSKGAAFRYWVPFVHLGQ JR316_0003893 MTSTAAGYPLDKYDAPSMQSQQQLLQQQQLQHLQQQQVPTICGM PLKYVSLVTLAVQNAALSIVMHYSRVSIPPSLAYSPASAVLLNEILKGSISFLIALLR SPLLTQPLHQHPLTRRKPLSSPMLWAHAFTHLCREVFSPDCWKLSIPAILYVVQNSLQ FVAIANLPVASFQVTYQMKILTTAAFSVALLRKKLSPAKWLSLFFLAIGVGIVQIQNA ATAHKPTAVGSAHEFHHVMNPLKGFGAVTAACFTSGLAGVYFEMVLKGSKADLWVRNV QLSLFSLIPALLPALYTARPPNSQGFVHDLFRHFGAWAWATVGIQVAGGLVTAVVIKY SDNILKGFATSLSIVFSFLASVALFDFRITPSFVIGAGTVLGATWMYNQPSSSSSSSS SSSSSASAAAAAAGAGSIALQQKHYYQDQPSASSSLDSSPVLGGGKEKSLFSIVLNDA SPPSSGSASPYYPGTPDPNTGVNGNGTSGVLGGLGVGSFMRRKSGGFGGAGSSRNSST ASLSALLSASIGSVAASAGAGNTSPTSGQGSIAGVGGSIGSGIGGGGAGGGGRGELGL AESGELVHDETSAVGSYYNTPYSSRPASRVGSRPPSPGPVRFGRGGS JR316_0003894 MSFTTCLPQEILEGIIDFLHDDRRSLHACSLASLKLVTASRHHL YSETTISFSKFQRLLLLLDAPWSSLANTIIRLVITGEENPKKSAQERLARKRALKLAG GGVRKEHVFDNPSRIHERLQRVGSVRLSGIPSNAIPDSFWALLAAMKGVKAVETHRIG LQCPLSFFRFVASLPLLAKLSISRPTISTGATRLVASRTRTRICEGGGVDFLLPHTGT GPAIQMPLLDLRRTSQLPTQDQSLHASTVAGISVLEWMLIQNPRPSVKTLRLNLDYEQ EMLSLLSTYLQSAGSQVENLCVVLPSCITGLHNMPLIELSQITRIQHLHVEGLFTSHD TSTHILEPYFRGLFAQLLCPSSLTNPVQRDAEVAAPSPLISQLTFTLTVDAKNEASFF GMPDHTMLQTFTWFSLPEIIEEVLAPFPDVLRTLQVQLRVRMAGAVSDRDRLYSEDVI RGGVWKKFAEQGRTLRVGILPMLDREGDYDDSP JR316_0003896 MLGRKFLLTVVTLLGPLLAAAADVANWEGPHIDAAVDNRAIDWW YTQVISQKAASNGVPPSIEIVLKRGNTFQFGTSNSPLYLVEVNGFDLDGLPFAQTLVF NTSTVAATGNGLETKGTWGNNEIGFIASKDLKKFTVTLNTAVVSGTIVINSESSFRTG CGGTKVTDSPFFDKLVSDGRPLTAAENILYKKTGWRITVPAGPSTVDVTFGGKKIKFS GAGYKDSNWGPNAMNDFIKSWYVLIAEVGPWSFVTFSGATIDGTNNINSGHISYNGQF ISSQCNVIGERTKDISIITPSGEATEAGVVVPTEFDVTFVLPDNKKVAFHATNINSNP SVSVYHRWVANYTGGAVGEKQYKSFGITEWMNPSRTPHWPTIP JR316_0003897 MTTNAAPLAHNRVSDPVTVALSNTYAAISLLMECKAKIPFADLN RAVDLFRCALANQPPTAHLQPQPQSRTVSDLALALNIRFLFTGQLNDFHEHMALRAGL QAVNPTLSAPQEVSAHRKRQAAVQAHEALFRFFASISVSSVSDAMRSLRCALDLLPTV DPYARILPEAYSALGHALYILFRASGDISHLGPAIENVDAALDHIHKYGTQGVAAYSD LTTIEACRRALRFEQVVACGLPSETTFSGNPQNQWLFRDPDGFALGVRMDPDLGSLNQ AISLLRQMLKRHGTGHIRFESLKLLASTLVSRFDITGDPNDIEEVITLRKMILHEGTS LPSFTRARLMYDVASTLLIRYSQNCSACDLDEAIVLYKKTLELLSETHPLRHLLLCSL ANAIMLRFHCSGSPISLLDEAVAMNREAVDFCPSSDPDAHLLSLTSLVTVLFARFKAS SRQEDIDEVIRIFQHIIGHLYNGNDSGRFAAINRFAMALFKRFKYFGNANDLEDAIFW QTQSVEYSVKKQLLSDLAQQLNRLDDMLSYRPLRPANAELDENLERVAGANSAEMTGS TNTVAANNPILDASVAVPSSVSLPAHNYPPYGISRETRGSKRYWSVVL JR316_0003898 MKTLSLVVLSVILSVTASPLGDRAVFRRHRGAPLARRHILQSRT DAPAACAALAPPPPSATTTAPDLSSTDIPVDPTAADNSTDTTDPSLASNTTVADPTAT DNSTATTNSTATRRFWARGFHGSGFHGGFSSFDSSSFSEETTVSVSFEETVSTFVETW EDLCLVSGGDIFTGDPCNSLAGDFGIDALSEHADACDQLDIADRMITFAKSRGIRNKD ALIKHALSFRAHPRNAVEVLGVIPSSLYCLRAPINPELVGIYNEQIEGVNPGIFGSPS VPLVPFGSSGTCPLGMTADMSSCGCTGSDGTTDNTADESADSTDDSTDSTDDSTDSTD DSADSTDSTDSSDATATDSADASAATDATSTDSADASVSTDATSTDSSAAAPPPTDTA TGPNFQPTDISGNVNDPAGRRK JR316_0003899 MVVPASMIVDDTASPPPRKRLRQEESTKPAAKTSREPRLFVPFR ALGLITNHIPFVLQTRSHKGATDGPRIHLLTCLGKSWALWEGGKMGLLFVGPDAPEYI SCMAMDGDAVWVASGPYAIKYIRGKEVSRVTNPLGTHLSFITVFGSQLLALTENGDRM LIWSTSDEELESMVSFDPTFSATFILHPATYLNKVVVASSQGGMQLWNTRTNTCIHQF SAARLLSSPDLVASGSTDRGNAITALVQSPAIDVVGIGYTSGEISVYDIRADERLMRM YMEGGGVKALGFRTDGHPVLASASSAGHIALWDLNEGGRLLHMVRGAHDAAITALEWV PGQPVLITSSEDNSVKQWLFDSPTSPPRLLKYRSGHQTPPHLIRYYADDGKQLLTASR DRSLRCTSVVRDSRSFELSQGSLLKKATSLSIPLASLKFPPITSLSYSSTRSKDWDDI ITAHADETFARTWTMQGKRLGKYSLGFVDDPKAKGKERGVVGAAKAVCVTACGNFGLA GSSTGQIQMWNLQSGIKRKSYALGPCPAEVAARFYSGAAKSSERTVTGLATDSLNRSV IASTLDGTVNFFDFQTTQLEYTLVLPSTAVSILLQRDSGLLAVICDDMTVRIIDIETR RIVRELSGFHGRILDIASPLSLLTSRRTFSPDSRWLVASSLDAVIRTFDVPTGRLIDA FRTASVATSISFSPTNDFLATAHVDSVGVFLWANRAQYAEVSFQSISDNEIFDVALPS VQGVAEDEALEALTALKMADAPQDVFSTPPQLDGELITLTLLPRARWQTLLNLEVIQQ RNKPKEPPKAPEHAPFFLPTLPGVEARFAAPTDAAKAQEQEKKKEKKSTRRIDQLMAS GNESVFYQKLAAEDTHGDYETFFSYAKHLSPAALDLELRTLPSSSSIHPLAALALLLH ALAQRLRAHRDFEAVQAMQAVVLRAHGDVLIATAAEAEAGAGAGVGQMEADAETAPER AVLDKLRELEEVQRVESKRVLELIASSLGTLGFVRDTL JR316_0003900 MQINSAGVTLSQSKSIRVVDVPGHARLRNQFEEYLPETKVVGFV VDASTISRNAPAVAEHLHHILHALTSFPPSQQPPLLVILAHKADLFKSTSSSNANTST LAINRVKAILERELEKRRASQSGGVNVEGLGEEGERADMGGLECGEKEGSAFKFDEWE GGDVVFLGTSIVSSSSEKVASEGSLETLWEFLADNM JR316_0003901 MFILNWFWDVLAQLGLLHKNAKILFLGLDNAGKTTLLHMLKNDR LATLQPTLHPTSEELAIGNVKFTTYDLGGHQQARRLWRDYFPEVDGIVFLVDSADFER FSESKAELDALLSIEELSKVPFLVLGNKIDAPGAVSEEELRHHLGLYQTTGKGKVPLS DIRPIEIFMCSVVQRQGYGEDLIGSDYTEAFSMDSPSSITTISIVWIYWIADFFKIAM KLVKKLLEWHDAHSIVKYTDVDYRVFSDAASFLLHPGPGEANRAQGPLTKLFGRVLNF GDPYTRETYRYTPLLALLVTPNAWLLPSFGKYVFALCDIINGVLTYRLLKTEILPAVN TSSLYDKGDKKENEDTSPERIERLATLYSAVHLLNPLVFSISTRGSSESVLSLFVLLT LYAAVKGRWDMAAALLGLSTHWKIYPVIYGVACLGVVGQREVGSGTRMEYVKTLVNKK TMRFAAVSAGTFGLLGIGCYAIWGPPTQFFALLLSDIPHIPILLIWLLVNIGSSSLVE HHSTIAPDELYPSDGAFTLLRLAFREEEKGFSFCMVRTDMRIRNIQQSMHITVLPVVS APPTAASPSTVDVSTRLRLIRRRMDRNTSTLA JR316_0003902 MGSEPNTVGNNGDQTNELGPLFFYHSSAEPIPGFYELYGVYERS DTNVLGDDVDGESTDHQLDSDQSRCFNCGEPDHKVTECPLRLNRELIALSRQYYQFKQ GTLGTGNWQRIHAVEAWRQQRLNWLEEFEPGKIKGELLKEALGTYNEEWLRNISVWGY PPGWISPIDPRERVRSRIWNEIDGDIEGELDDSTPFEIHGDDDHIDQVSFGDTFKITR LTDIKHEDQSGSEDSSSRHLSSSASSSDEESRDEPLVPIRWANYPPSYFSSQHLIPYK SPPPSETWYSTRFENTTAYLCQFSYYGSQPPPPPSEEPPPLPPPFDPPPPPPPSMPPP PSSPPPPPPPAKVLSHASAPLPDKPKDLTLENDQADLSECDMDFSDSE JR316_0003903 MFAESCNFIHNASATINAEVLHSKDSSITPHIAAGPSTPHKSPE VIIESPRSIRSPPRSPRTTSLLLALRDVIGDPDEEEDELRTVVGNQAISAQGDNWSEA LPTLVNIESGFGEFSQPENSNANHSDLDEPEDDDCEGHWTAISDYDDEDGDEANSASG ENIFEDETVHNEPPDVITPGDDEPTVQLSAVGHTEAGTIRPLSSASSGSHRQSVASDI SANLTASSLLSPIELADIKLKPIFPIERGHSSRGSNSFDSGYGENWKPPIPLQASPPR SPSVSSTFDLNLSPFGTRTSRLLSPRLGAFIARSPVSPAKTILSPAVQEEVEELDLSL DSPSTYQQQNDANFVTRSFSSEEIEGQDNTHSSAELMEILEGGETQDEQEDETSPDSY GHTSIWDPEGGPTAVYMGDPSVSQQSSEDDAEDDTAFLAYLNSPQLAQQPNENDTLGS FYDIYSGIAPDIANPSPSHSYVADAGRTTPQPEPASRSNSSTPASSLRERVFTPPAPM RKRSGTITAADSPNSLSSPITSLDSISRGRASPFSVSEHNSVARGTGSQSPFGQEVEV SKKVPFGFKNSFSLGRASRSSLMTSRSDRRSVPASPLQQYTPAPGQDSSLASTNQSPM TSRPGLKPLRLSTLLDSNHTSRSHLQSRMPATASATVTNFSRSGLSHRTSLSSASVSS SNSFSDNRLFSSARSSVILPKNRTSVTSSKSPIISPYIRSLADLEEPHSAPANSWRQS INYSRPSSRLSERFIEDDNEDDDTGHFSTNDPYGETIRRPIPAMPHTAPAFYPPTYAI ETPKPTLMFAIASDNVDEVRHVLDSGEVDPNETVGPQSALMFALTNDKLSNKLEIVKT LLAYGADPATAKNVVMSHSRSSSFEGQEEEVQGEALPSPSSDPPKTLMDEIDPATRYY LERADAIHTRRISVLIKRSVFKPLTRVRYEIIGQDRALEQLFRVLSMHSKEVAVTPMV VMLCGPSGHGKSLLARKFGSLLDVPTHTVNMTTLRSTHDLWQSYSMSPYEEPTNCTLA EFLINNEGKRCVVVLDEIEKTVDQTALWSLLMPWELGRCSFEAGSRHVDVRNVIWLGT SNIGHDLVFQHREARKNPNEPMSREEYVELMALLRPRVSEKLGASVLSRITTVLPFVP FSLEEKRAICSEAFYTLGGEFARSLPQERVEKIISNALTSYIADEGARSLSRAISNQL VDII JR316_0003904 MIGSNYHAKRMVKVHVARAPQNTYSPSTASTTVQEASGPTHSSV SVSLPTSTATLAGVISFLGVALIIVVGIYLYRRHKNRSVWKRKTPAVEFDPNAFTVEK KGMQQQQRTESPMIPVRSHTYQASVASKDSDDSSTTSESGAGWRPQASPVTTTTTATT PKFRVTNENRGSAPALPKILTDKKRPSRAKAWTDSLALSLDDSLVRSPPPSYDLANSA GPEFLIPVPTMMPVEKGRRAAPTPPTPPAHSSRKSIMSAVAKASSSKPSTPVTPLSSA SSMYVQTLTVPVKKDDDAPPSVSSPARSESFAPKDLMMPSPPSSATTSKTKNNSPPSA NPFASSEDSYYSGNTSTTSSSSSNSNSIKHKTPRMMHVIAHYTPTLSDELRVHVGDTV KVLEEYKDGWCFAQYVGKADAPKGVVPLICLEERLRMVPVAHSRSPNGSLSSLSSWRH JR316_0003905 MESCVARGLSVSKNLKMKNSPLVLLRGKSTAAKPNSAPKVQATP PPTTAQASITWPEYLAIRRNKRRVQTALTIPTTLLGLALGGLYFGNLDTDPTKLIMGI DPFFFYGFCTLGCAGAGALAGPTIGASIWRFKNRNILSVLDKKDHDFFQRIAKNRVDA TLQSPTHPVPDYYGEKIGSLHQYRQWLRDQAKYKRKALLPEE JR316_0003906 MVLRIYLIPYDLSGVKGVLKIRKDNVLIPARRLMRNLLPRISKD VEKWYGMERVMVDDPLIPHSSDTRTLADIYTDLASPRPIPVKGYGEIASRLLDFSDDL EGLGMRSSLYRYQRRSVAAMLHHEINTDTIPDPLYIPLKTVDNKTFFFQPGTMEVIVE RQETDSFRGGILCEELGTGKTVMILSLIVATRNQISSPEPTIIDNRPIMTPLALRHFP SDVFASTRKQISSQKSPAEPTTIPTLVELMLHKARTSPNCTIPTDITSKRSSRLAEKE EEVEILPVGEMLKANVPFYFQHMVEPSNRERTQRRNKDLRPRIMYLTSATLIIVPANL LSQWDREIIKHCDVPLRVLILRAKTPVPIVQSLATDYDIILMSYTRFTTEANNADVSK LHTDTVCTCPEIPGSRVPRCSCQIPNVSPFLQIRWKRLVIDEGHVSSSLSTHLVPFVQ LLSVERRWIVTGTPTTNLLGLSLGSKSVEEMDVKISISDVMEKDEDPTPSSTRSSTPH RTDSDEGMLESPSEADTNHSSMSLSESAASPPSSVQVTGSRVWNRYDREDLNKLSNMI TYFIAVPQFNADKKLIPTHVIEPLLDPHGPRPGAIQVLNQVMEMVMIRHRIEDVEKDV VLPPVSQESVLLDLDPFVIRSFNALQAIITINAVDSQRTDQDYMFHPRNAEHLQDTVR NMSQICFWHIDENLYNARDLVRDAEIITKRAEDRNMPPSDISALKLAFDHLRNALSDS LWVSMQTHEDVPYRVYNLPQRIFNAWTRTPGQEPGCPPNQNGFMHADRLLKLHDMVVN MPLKSRSEDAIVELGGVTARRDMFIRQQYEESQRRKNKGHKRKRFSDEAPGSGSQLAS DFGKKASAADTLKEMQMELDATLERIRMEEENDGDAHGSLPTVPSRENGPHIVSSLVA SSPLVKLHIGSSASSKLNFIINEVQRYSPTEKFLIFSESPLSLAHVAESLELIGVKFL RFTTQVNPQFREQLVLTFETSETYRVFLMELKHGARGLNLISASRVIFCEPVWQADVE SQAIKRAHRIGQTRPISVKTLAIRGTAEENMVARRTILKDSHEKLPKVIEEAGMRHYI ANPKFISRPPVLTPINAFPLIHLPPEFDEPRFPVLTIRLPARPKVSPSLKRVRVEDPI EEETTPSTPGPANMSDDGSPKKKKKTIRFALP JR316_0003907 MLGNGTAVPLGSGSGSGSGSPLAPDEGFIEEDAVAVQHHLLAHS HSDSNHPEPAATTMENSNPGSASRSVHDTEELAYKLLASLPRSRLATIQRRIAPLLQF DVVGCLPTEVSLQIFGYLPYTTLLACALVSRRWQALANDQSLWKALCQARGWTWRHVP RSHAYNRNFADWDNSDDEGMGDSDEEEEEEEEEEEEDGEGSDEDGDDFTFHAPMIDSV EAAKAELTLMQAELDSGFASIAASIANLSVNLNTVSSIETSVASYPSMPSSSKASSSR ATLLPLPNHPPIPHHTRLTAAVAARHQNRYSAPSVLQDFSTAKPNYKLLHQTHIKLRN RVLASSYRLSPLQTRAGAPATNTHTSHVYCLQLYTYPETGRQVLFTGSRDRTVREWNL STGMVERVIADVHNSSVLSICVHNGYLASAGSDRKVALWHLETNKLVKVLTDHEESVL CVRFDDRRLVSCSKDRTVRIYSFPELEQQFVLNAHRAAVNAVSISDTYIVSASGDRSV RLWDAETGKLLRTLNDHHTRGIASIDFCPPFVVSGSSDKHVRLFDMHTQQGWSTDPKF GPDDATTSASALHFASDGSAHSGSISNGGLICQICGSSSIESAFSVHRGPPDRYGHTG GGCHHSDLVRTVALGEDFVLSGSYDLSVKACTFCAVMGFLHVAGTKIVDAEGKEVRLH GAGLGGWMTMENFISGYPGCEYQVREALAETIGQEKAEFFFDRFLEHFFAEPDAQFFK SLGLNCIRIAVGYRHFEDDMNPRVLKANAFKHLDRAISICAKHNIYTVIDMHTAPGGQ SGGWHADGGSHIGYFWRHKDFQDRLVWIWEQIAARYKDNEWIAGYNVLNEPADPHPQH ASLIAFYDRAHAAIRAVDANHILFLDGNTYATDFTKFPEDAGQRWSNTAYALHDYAVF GFPSSPEPYEGTPAQIERMEKTYQRKREWMDKRGLCAWNGEWGPVYGRIEYDGDETDA INKRRYAVLKDQLTIYKKDGLSWSIWLYKDIGFQGMVYVSRATPYMQRFEEFLRRKQR LAVDAWGKDDKHVKHIYQPLIDLIKNSVADPSHLKLYPPIWSVEERVTRISRTILVAE FMVKEWADTFRGLDEAQLEDLAKSFAFENCIERDELNQALREFSSIS JR316_0003908 MNRPAQPQSLLTQPPVQPRSGRVSIRAHLPRPAPLAIDEEELRK ACPGLGDTPLTYAREGLKHLSTHMYAGVQGAIPQHHRSQLPDELQVLLSDPISHGSIC PTHILAITSSLPSSSSRKYTLFPAHQLVLGAFCASLPHFPSSAAAYPLPPSSSPSVTL PVVRLALPAPAQFGLLLWYLYVQDAERLRRSLLPAGWDADVGGMMQRATVVHGLWANA CALGVVDAQVFEVVQQVWRVVLEAIAKATGAPMPEES JR316_0003909 MGLGRSNLFANRDQPGGTCQRRPLSLQLVRLREFEKEAKSRVVS LLFFASFPVLSCPLRSIGLCIRFSLGLLFLSGPPPLIHSSLRISSATLKIPFPFPTMA EEIRATSYVGFDSITQQIEHKLLKRGFQFNVIVVGQTGLGKSTLINTIFASHLIDSKG RLAADEPVRQTTEIQAVSHVIVENGVKLRLNIVDTPGYGDQINNEGCWDPIIKYIKDQ HSAYLRKELTAQRDPYIQDTRIHCCLYFFNPTGHSLRPIDVIVMKKLSEVVNVVPVIA KSDSLTLEERESFKAKIREELVYHNIRLYPFDTDEDDEEEVHLNESIRQIVPFAVVGS ESNVIIDGKSVRGRKNRWGVVNVEDPEHCEFVHLRNFLTRTHLQDLIETTARIHYEAF RSKQLLALKEGAARGPAAAQ JR316_0003910 MAPVHRQSRLGQLYSRWAAFKVEDLFSRKKEPGPRRTIFINEDL PDDYRDARGRVKQEHVYATNQVITSKYTLITFLPRNLLEQFRRIANVPMVYIVLVFAF ALPPPPSIHPAAPSSPTPVVISDDIRFSMRYNWTRGGWEAPRAFMVLSA JR316_0003911 MRFDTRTRPAGCWVSFFLDKSTLGLSLELNPGLPEFSRFFLAIA ILQFFPKFSTISPGLVILPLLIVLGITALKDAYEDVKRHQSDRRVNNSLVRVLGGGDF VNPNVMQRKSRTFVRGVLRTYGRRMKKVKRAGKEEELAGVTAPATEADVAPVDEVEYD DLDEHQQHHHHSIFPHRHSGEDARAHDRPHWKKCAWEDVAVGDFVKIMDNEPLPADII ICATSEEENVAFVETKNLDGETNLKSRNAVPALSHLRSARECASPHNAFRLDCDRPDV NMYKLNAAVKVGKETFPADMQTVLLRGTVLRNTAWVIGVVIFTGEDTRIVMNSGGTPS KRSKVERQMNPQVFINLILLAMMAIACGIVDSVLEHRYYPKLAPWLFGANRPGDNPSI NGLITFAFALITFQNIVPISLYISIEFVRTCQAAFIYFDHNMVYNEQTTLARSWNLSD DLGQIEYIFSDKTGTLTQNSMVFRQCSIGGKIYTGEQEEERDSLEKQNFSPDVKSPPP AYASGDSRKNLQPDIPLEQLRLSGSSTAADKNNTDSASENKDDDGPTAPPPKVVHHFR DADLARDLESAVHDDLDAAGAAHARHLNGFFSVLALCHTVLTSVDPETGKIEYKAQSP DEAALVQAAADVGFVFRGREKEILYLQTPFQSAGGIESVDGHEGHHVGDVKSAKDVGG ASGAVGPSSAGGFEGGKSLAALAKEGLVERYELLNILEFTSARKRMSVVLRKLDADDG RLFLLSKGADNVIFERLKLGSSEELKATTEKHLDHFASQGLRTLTLAYKVINEQEYNS WSERYHEATVAMEDRDGKIDAVSDELERDLRLLGATAIEDRLQDGVPETIADLKRAGI KVWVATGDKLETAIAIGHSTNLIGRESNIIVIRGNRSGRAVYDQILHAAQEFFPDSNI LDNQGNPTAPSEADAEALSNSPRPMSRTSNNANQPSSYPAGGPLRRMDTGISSIVGSN NGDKPGGFVLVIDGNALEVALSDDRHKNLLLRLAMVCEGVICCRVSPLQKALVVKLVK DGLGAMTLAIGDGANDVSMIQAADVGVGISGEEGLQAVNSSDYAIAQFRFLKRLLLVH GHWSYARNGTMILNFFYKNIVCIGVLWWFQIYCAWSSNYVFEYTYLLFWNSFWTIAPV IGIGLFDRFADDHVLMAVPELYWYGREGKWFGMKQFIVYMFDGTLQSAIIFFLILYAY VTTAARSDGWQVSLYEFSTTMAFAAVFAANFYNGLNTSAWTGWVFFAVFIGDILVLVY TAVYNAISPGWFVTPVYGNNNFLFKSAFFWFGIPLTVLLALLPRYLYKSWKFAFAPDD VDILRYIYKKDPQRDLAQELHDDGPLRAMKRPRPASMASHGHTESVTSLPVRPSMDLR SASRTDMSTGIRSQHRGFDFSTEENGVAMQRMQTNLSERRQSSRNLATVQETGTTRKT GLRHVLSVPRQFMRKKGSNIKEKEADH JR316_0003912 MRQSSTDSDNTPDPSLNETALESKRVKCYGSEHSSSNHWVLQDV TGNNIPTIEDLHQVIDASNGMMYMYKPAETTKKGCSLFVFNPLTMKVDDLSNRLQYRK RRSSIVTDTVDSHEKKKFPMIDFPSLAIIQSGTSKFLAVIGGYIYTDEIPDGEPNNKL FLIDVTDQARPHWWCQKVEGRAQPRLDATAIVVDNRVYLFGGVDRPNGPTEEPGVHLR SYSILEHDWDIHRWKWIVSDVPYPPQDVPEEINFGHGVPVFFGGRLLLFPSRKMPSFE ATFTKKNIFYFDTKYHTFELARIMTEDINLPCSLSFFSACSYIPPPNNYPPGSTTQYL NFSPQKRRLLYTPITSAESVIICGWQTTGIDHDHLVPEMWRFFLFPCNRIVCLSIEAA NDLIQQPAQFYYFALIGSSIYFLGSADDEDQLDRIDSSDKLLDVCLELTIHPD JR316_0003913 MALPPSTANWHWKNKNVTRWGTEWFERELPTITITGDTEGESVS ISQVTEVDGDIELGQRKSKLITIFDCKVVLKWKGTTSDGTEVKGTLTIPEVSHEIICD QLSEFVYNWRLTTESSPAVDSVFTLARTRLPAALEAKFATFPAAIVDTHGKDLTVSAD PSRSGTPVSANVNATKPTPVASSSSTPAPAAPKAQEKAKVNSTSIVVEADFRASSDDL FGLLTDEKRIPMWTRAAAQSQGKAGTEYSLFGGGVKGKYISLTPQKEIVQTWALHSPT WPSGHEATLTTTLEQGSESTKVVFSLAGVPLGMEDEIKRNIEGYYIHGFKSIGLGTEL JR316_0003914 MTIALTPVINSPPKPTSFKLPDLVSHCKFALSYNVNGDEVAQQS VDWLDTNCPDLNPKQRLALRGLQAGELTAYCYHSASAERLRVVSDFMNYLFHLDNISD GMMTRETNVLADSVMNALWFSDEYVPTKAAGRKEELNPGKLARDFWARCIPGCGPGAQ ARFKETMSLFFTCVNIEARARDEGIIPDLETYIDIRRDTSGCKPCWALIEYAQDIDLP DFVVDHPVIHALGQGANDLVTWSNDIFSYNVEQSRGDTHNMIIILMHHNAMTLQEAVD YVGELCAQTIDTFCRNKQNLPSWNPEVDDMVARYVQGLQDWIVGSLHWSFQTRRYFGE QGMEVKKHRVVKLLPLRV JR316_0003915 MKFSTAAVAFAFLFADLAAARPSRLQERIASRARSSRALVRADP SRVLQAGVVPTNNSHVEFSSNWSGVVLESPPSGQTFTTVTGTFVVPTPSGNGAASAWV GIDGDTAQNSILQSGVDFTVTNGRVSYQAWFEWFPNFAIDFNNFPISAGQTITVSVHS TSKTTGTVVMTNVSTGKSITQSVSAPSSSAALAGQNAEWIVEDFEEGGSLVTLTNFGT VTFTGASASTSSQSVGVTGGTIIDMQQNSKTLTSVSIGSSSSLSVTHL JR316_0003916 MNTLFRPLLRSVAVRNVPLAYRRPPPIVRRPQFMGSTSILQQAR LVASSVSGRPGSQSLEHAATNVKEELGNSAQDLAKMIAGANVTMDSVVDSSGASFIGI TSKIAHEVPQPIFVLGLAGGIPYIAASATTVYLAHKAQLAASGLDIGMDPGVALTILD QALNLQVTYGAVMLSFLGAMHWGMEFAAYGGHKGFPRLILGTIPMLVAWPTLGMQPMT ALIVQWCGFTGLWYADSKATVAGWTPKWYSQYRFYLSILVGTCIIGSLAGTSYFGPVA GHGLLTHDLDELREERRKTMPSKHGMIVGPIEAVPAPENADHFTRIHKRDIKQEQQKQ Q JR316_0003917 MEQSNSVLIFLKNEFKEISAELKHRMFKNDAWADSLLANSPFPK DDIQRFLHDSPLYDGENKRWKHLKRNVINEHHLTGPLSEIIRAIIYFGGLAETRDVRF EKEIDGLQLRNRVREEDIGETDQLRVLPDITICGFGASFSSAAHVSKYNVAVDLSIYT NCVTPIHVKPNSYVVHTSERIQMALYARQCFKVQQNRNFVYSLFLTEESAQLFLFDRS GCWYSRQIDIHKEAIEFVCLVLAISSKDEAQIGIDPCISWSEDKSQGYIKLDKQCEDT QIYEILNNQPRWRHNGLIGNGTCCWLARDPEGKLVVVKDSWIPVPTGNRVAEAHVLDS LKSAGLAQGISKIREFNLRESYPASFIKAVIDGMSRGPCGIEYQAKRHSRIIVEYAGV PLSDIEDAKSVLIAMRSAIAAHQQLWNYRVLHRDISISNILLDNNGQGTLIDFSEAIW LENENLVPDFANHNTGTRPFQSTIVLRSLNDRTQRTTHDYFDDLESFFHVLCYIALRN ECAKEKPISVHASPIFIRPWNEETPSLEAISKEALFRDDNIWKQLNASAPFGGVFGTL LSRYREHLKPMVLQRLDAAESIDDPDTQRPTYKELRQHSNIQYPMVLALFDDAIKAL JR316_0003918 MHPRYHRRLPHAERAQLWRRQATATKAIGEESETSTASFILTTP ITLTIIQTIRDSTSTSVSSTSISTIVKPTSSSTSSSSTAQSTSSTSSSSSISQTSLVT STGSSSSSLSPASSTVSSSGTLSGTNSSTPSAVGSLSSSTNELPGGAIAAIVIVVIIS FVGGILYLMRSKSRRTRMKLRPLWTQSKALNKPVGISSATYPFEPVESAYPATETRQV PSRTSGLSISIPAASVSVPPTSYNSDSNSSISANIVSATSTLPPTLAVYSPGAKSAPS PFSAVVSSSFITRLPDELSIAVGERLRVLAEYDDGWSLCMNSTGEQGMVPNECLNRGG GLSQRPTMAQAATQSAERRSSRVSSVGWS JR316_0003919 MAMASNGQTSSHDSSPSHPRHAPSRKSTKNSTISFMQQPESLIL PDGAIGADAAELLEEFVHPHHPGRAYLTETTLVESDTSAGDESDNNSRKEVKSPWWKK PSPWWLLMLMPFTAIATSATIAPRIEMYTILACKTHRPDIFREVYPDYDMQGLASALS RSSDQVGPTYASNSSSVLSALSEVSFLSTDTWTDGIENMSRRPIKKNKCAADPTVAAA VAKLTAVISTWMGTLSILTTGWWGAFSDRYGRTRVMGIFILSLLFADLMFIMVAKISH RLPGGYWFLVIGPSLEGLLGGSATAMAASHGYLADSTTETTRTRVFSLYLGFHFTGMS LGSAIGSLLIRYTGHILSVFYLATILHLIYTILVWTVVPESLTQEQMDEAKENYADEL LESSNNHNRNPASIRIIPYIKRLFSFLSPLAVFKPTVTKPGQNPLAKPKKDYNLALVA IAYGATISLMGSNSYKYQYAESTFGWKTTTVGYWVSLTGVTRALFLTVVLPVIIKILK PEPAQIRLPADPNETTPLIRGASNGKRTRRSISSSRVMRHREVHSPAFDLGLARASLA VEIVGYAMMGLAPVGWMFMLFGVVGSFGTGFSPAVQSVILSLYASRGGTEIGRLYGAL SVIQALCSQIVGPSVYAFAFVKTFGIFPPAIFFISAFCVTTSFVMLSFVRIPKEEGLQ PFASVTESGATSEL JR316_0003920 MPTQSDVAGSPQRPQQSRTVTSTSQPYVPQPESLILPDGAIGEE AAELLGEFVHPHHIPEETLVGSDDPMEDGSDDELDKRSRLPWWKRPSPWWLLGVLPFT AIAMSATIAPRIEIYTILACSVHKPDIFRQAYPERWAPNNSTFPSVISESPYQPNDIH AYDAHPALPLVNPVYHPASPNSDVIHWSNNSDGTPNRNLCASDPTVLAAVAKLTAVIT ATMGILSLLTTGWWGAFSDRHGRTRVMGISILALLITDFNFILVAKFYQHLPGGYWFL VVGPALEGLFGGMATGIAAMHGYMADTTAENTRSRMFSLSLGLLFSGMAIGPTLGSLL IRFTGETLSVFYLATAVHLAYTVMVWTVLPEPLTKEQMQNAKDRYNAELSNAASGREQ LRPSTRLLVEFSRIFTFLVPLAIFLPKYGGATTNPLKTPGKDYNLALMAIAYGFTISV MGSYTYKFQYAASTFGWSTETIGYWVSLSGATRAIFMAIILPLLIKIFKPKPITLILP ATPSEATPLLDSRSGSRSSSTIRRPGIIKKEIHSPAFDLGLARGSLLIEIVAYFAMGI TTAPIPFLVLSIFGSLGSGFSPATQSVMLSLYSRRGGTEFGRLFGALSVIQALCSQVI GPTLYGFVFIKTVTFFPAAIFYLTTFSVTISFILLALVRVPKESEYRRESIADLEEPT INPQPQVHHHEETLVDTRT JR316_0003921 MSGTVLVDIPQEVKDSLRKFRFKRRSQGSAAIVIKINKAKLVME EVEQFDDVTIEELAEELPENSPRYVVLSYELKHKDGRTSFPLVLINWSPSSSETGMLT LHASALLNFQNTADVSKVIEVRDGPEGLTKEVIDAKLLA JR316_0003922 MPPIDHFSGQLSYVRDDESDDNSERNRNRKKGSDDDDHKGDSND NNRSSSSDDEENDNNNNGGFGGGGFGFGFGGGGGGGGGGNGSNGGSGNRVSSSSATTS TKDHSSTADNTPTDTISVSSTTATVVITRDSFTTPFLPTSTTSSLAAVPTSPPDNAIA TPSSNLTQGANHGLSLGSIVAIILGILFCITISGVIFVITRPKFGSLARLWKNSNGPR NIFSSNQGSFEPKQQRSSSNLYRRYNPSPRPFSRTFFDLQAFRFGSPGNPIDQNAVMQ NSSRNPVTINVEPPAERYNDLQIHQIYSTHMAPPSAMMKPNVARSISSKSSKQQTFSS LSSRMISMVDTFSDSGVGSGRGSFQTYDWKPPRHDPASELGRA JR316_0003923 MRAIIQCVSEASVTVNNELVSKISKGLMILVGIGTDDTDTDVAT LSKKILTLRVFSDPSNPQNMWKASVKDIDGDILCVSQFTLMANTSKGNKPDFHRAMAT EPSRAMYAKFLESLRTSYKAEKIQDGVFGAMMSVSLTNEGPVTITLDSRKFEYVETAD SSKKGEKKLKAASETKTVETPIQVPTSAS JR316_0003924 MESVVLETSLGDIQLELYWNHAPRACKNFAELAKRGYYNGVVFH RIISDFMIQGGDPTGTGRGGTSIYGQKFEDELHPELRFTGAGILAMANSGPNTNGSQF FITLGPTPYLDNKHTIFGRVSSGMRVVQRLGSVATDAQDRPREDVKIHKARTI JR316_0003925 MSTSQRPVLVLQPSVNTTTVTTTTTTTTTYAPIQLPHLPPPSSP KDPKSYPLLHANLPQSLRRFPLVFPNGARAIFRDGDGGDEDMQEDEEVVSGVGWRMLK RDEGLQDTNVVGLAEAVERYGKKRSHNSDDMMEGIEVPSGSNATVPPPRKKARAAALP QISTVNAAAPPSPLPSPHGSPSPENIWPSAPPSGTSSPLPQAPLQPDLALTTLLTLPS LLSHFTALPAPLQSHFLLTLLRHSPLPVLRTLHSVLSPTLARDFLTLLPPELVSHILS FLPFSTLARASRVSRSWRAIIDSDPVLWRDLLKSTKIWFGGDSENSFANSIYASRRHA MRNQPPVPNPLPLPHPYKMLFKSRHLTRTRWVNNPEPKHVSFPAHGSSVVTCLIFSHG RIISASDDHSIHVYSPDTGERLRALTGHDGGVWALAACKDTLVSGSTDRTVRIWDLAT GRCTHVFGGHTSTVRCLAIVKPEMIDVERDGVITKEKWPKRPLIVTGSRDHSLRVWTL PRPGDAEFRCFGDEDQVDLAEVCDADENPYHRLHLEGHDHAVRALAARGRTLVSGSYD CTVRVWDIITGTCKWVLVGHTQKVYSVVLDLGRNQACSGSMDGTVRVWNLQSGQCQHT LTGHTSLVGLLGLSPSYLVSAAADSTLRIWDPDTGELRHTLASHTGAITCFQHDEFKV LSGSDGNLKMWNVRDGSIVRDLLTGITGVWQVVFEGRWCVAASNRNDATVLDVWDFGN ENDDDWLGEPPGGLYDEDIFSDDGDDDDKIKFYKNKRFDDEDEEDEDDDDEQADVDAM DQDLVPSESESFVEVDAPQSRQTNSQRLQTESRSSADIEIDADTSEGVEASRWAPGAA ARQNSRLKVSAVSSSSRRPLNLAEPSSSSGPARTLPNNDETPTRPRIRTHHTNRRRA JR316_0003926 MRAVMPPSPFAYSSPYAPQPQYFPSLSYPDHLQLPHHQDYSMHN DHSMSQQSMQPPPSPSIPIDPALALYPPYYSNYQQQQHPPHIPQHLSLPPNYSSPSSQ GSDTIGTPPTEHMYPSSSSNLNGKRPSSSISNSTMNDSRKKARKDDESEMNSPVAEKE EVKAKPTRGSRACTVCRRLKMKCVGAEQGPPCKRCQSGNHECIFEESNRGKRSSKKHE ILTRSLRKMERTLDTVLRSIGNPSITSGMISRSPSPSAQTVGTQALLAESPTPPPATS SYAHPPKPQQHAPGSPKLHSLPDNALNPLGLLAEASLANRRAQATNTTGIMARPHPDE NPKLGVASDNYFKPGPMTILPLRRLYIERQIQPEMLSFVSTEEVVELFNIYFDHVNMH CNLLDRNFHTPSLVCSRSPFLLTTICSIASKFYTSKPELHPKLTELAKRLAFSVPAKG YKSVEIVQAYLLLALWGCGAVERYEQDKTWLLLGMAIRMATDLNLHRKTAVTSQDTQE GKTRDVEVHNRERTWILCFCLDRSFSAQMGKPSSVKEDYIIRNTTAWYTSHVASPSDA SLAAYAELQRILSRSLEFLYSGTDTPSGLQVHCDYLLIIKTFESQIYGWKQKWIDGTN WEAEPAPAAEYKKLISQFYFNYAMLVLNSFGLQNALERNPLDIGHFFARVHTSAHACA TLVRDKLGPSGYIKYSPDSHFVQTSYAVLSLLKLVRPEFQAFLDNEQSTLSLVKDVAD TLESIAANPLHTPALYSGFLHALISAKLEQQPSSHASVNDEDKVHGNIDGEQSNDVHG GSHTPSSFGHQGTFGHDPVFSLNEFQFDSEMGPVADMSTFPPTMAPNPSEDSMGALTM ENILSSGFWDSMLVPGYSGMDGLAGGFVFGAGGSGLITPRFGNSPMQSGANTPGRMHP GHNSLTQTSINAAFDNQRKESGIKIDS JR316_0003927 MNHIHAATLVQGPNDVYLTYANSRAQLTLGEECTFSGTPGAPTS PTLAICTIVAQGSTATQTEAVSYLPIQGGGVVGPPSSGSSISSPSAPGFTTGSSTMVK GTDGDASSGTDMVSDSSGAVTPPQSGALPSASSSGLPSSSNYKPDMSHWLVLVVLTVL HFLCVSL JR316_0003928 MTEYTTSSQAYRDYMSARERTAHWVQACSPTGYEGGLYSPSVPP SVLDGLVPSSPPSEADSSHSTPPKMVLRYNDGRPDVPIPHPNGVHGSMSGSKRHQEPN LTRSHSHPYNHPSNHSRSGSSADSPSHQSRHRRPDPNVPEEIKILPTYGDAPASSTSS RPGHSRSRSVPRPSERHEEPVPDVPFIPSHLQSQSPYYASHAANQQGVSPTSQQVSFA QTPHAWPRHGHAKHPPAIIYAPSHHTQRPHYAPPAMFHHPPQMGANGMMYSHSAPVPG QYPPAYPTPYPSVGSHRHTASAHDVSVNRNYRSLGRSSRRDPSASVESLGSEKSGSTY YVLPNHGQKVHVIDPSPEPSIVTATSTTKSPTSPYFGEKKPFFHRLFGNMFSSSGSSR GSSTSSGRKLHRRHSIGAQHRAE JR316_0003929 MEDTTSDATLIPRRKAARAAAEAVAIQVAESGSEPDVEASKGKR RAGKQSGRSKKKAKMTEAAAPINAPSEPLSGNATPKPQLTILKEATSIHTSPKHNVVD LVEGPSKVSSTHQRKRSGLEDLSDLTDLTSMSSDERPTSPEDVVSKAKRLAKPFPFYD FEDTMYEDTTLTPTIPKASSSKLSKPTTSKASTSKSSAPGKTRPKPTRKIVYQDFSLD SYVWTLVNPETLDAYEDRPQGDEKGLWWPGKVQRCRTIDTPLEVRLFGKDTKVVQIFS PTKVNTIPQPSPLTKMKFKNPTYVPSQNTSTGEKEALNLTQDDIIERWQGAIQDLKRD ADIIMIDSDSSSNVDIASLSTTDDDLPEYVSLSHPASQSSSVPAKPVTSQASSKAAPK NKGKGKEKAKPNTRDWQYLSANEPDMLLTIPGELVLAKEKLQTNIYWPARIEEFVPSA NPSRAGKYKVTWVDSSCQEIPRGWFYTSSQSEFATCLMGKFESFYVHKEDDPDEDDLT PPEEDRSPSPVPLDPPPSREDFKLLDIRHQFTYTKEILRAIMDGRYTPTREKHDDFVK GGKWRKNVANSAAMWGLMDPKEVKVVDKLVRNWCLRDDKEDEVMDNVSDISPQPIVLD SVQDVTQVENNEAPAPPEAELALGDNTTSDIPAVGTLPSGSSAKPPPTFIETVPNVAG DIKSSASKEGDAQSPLPAEAIEPDPTSPDYVYPDSAMRFEPSPFPRPLHSSSPSSSSL AALLPKPSHIDSLATTSEAPSSPPPSSLAPTMDTEDGDYDIKSDLTAMAEDQKPIEAV ATVPKQRNFVPFKSLTKLSQIEYCSTILVPESVRQILLWRSGDRTQMGLLGSEEEQVL YDKGTKLLSERDWVFDVKRLRERGAMAPPTANDPWLAGRHFGIVIDAGSSGSRLQIYS WRDPRTIHVQKGSQLAYQLPKVEKGTKDNDLWVTKVEPGLSSLAENPQDVGTYLRPLL SHAREHIPPSLHQETPIFLLATAGMRLLTPKKQAEILLETCRFLVTHSNFKIESQSDA GPCGASVRIITGEEEGLFGWIAVNYLMDGFSGFSQNRTTYGFLDMGGASTQIAFEPSL ENQAKTKNLVDVRLRLLGGDEIHHKVFVTTWLGYGTNQARERYVGQAITEYDTQRGHG NDDDDDDDDEYDFDEKHHLVPDPCLPKNLELKELPVHTTDPATAHLKKSHKMLGTGSF EQCLKKTATLLNTSTPCPDTPCLMNGVHVPPIDFSVSHFIGVSEYWYSSEHIFGLGGA YDFVQYERAASEFCSRDWSGILKEHEESRARHRLGGDGEVIQDDKIVEAGQWGPQVEL PRLQMQCFKAAWIVNVLHEGIKMPRLVDPGGNDTTDGEKVAEEAGKKGLGRPTFQSLD SVGDIAISWTLGKMVLEASKEVPPLVKTSKPLVDPIDDIEDIENFPIQPIRPPFLSLG GIEERISPHLPSSLTRHSLGFSPVLFLFYVLIIFMVIGIGYPMRRQLRASCLRTIHKA SRRDSSYNELMEEGKMNRPPSPSSASGKWMYPMKQLFSSRRKPTAPLSVVTSNNPTLR HMMPSTSRTSPTRSFSLPNGIAATASSTQYASRSPSPLQGFPDDSSILGTPSSLMTSR SRNTSQINLSTMAPRPVAISRANSSYHINGKAQLQ JR316_0003930 MSIDLEWVKLDATLASYLVDVLNRQLKNAERPSFIGPVEVTSLE FGTTAPDVELVDLRDIYRDFLEDEEDNDSDRGPVKVTEGADDEEGFEWVSRRAVGREE SLAYQHLPPHIRYGRGPTDLYSSIPALRSPISTPSADMWNSTPNLSDFRHRPQPLWQS TPMYPNPNPVTPFPAGPMRRSSSHEYEHVGTEAQSNIPLSSSDSLSDPFPPNLEEQPE KQEPPPNPYPNLQLHLHVNWHSNLRITLTTSLLINYPSPMFMSLPIKLSITGIVFNGE LAVAYEGQRKRIHICILDDLDPYGPAGDRPKRDSTISTPPELDDDSPPPSTPSRPSKP LPIGQRLLPSIYIESEIGQADKHVLKNVTRVERFIQDVIRKTVEEELVFPNFHTLVMG DP JR316_0003931 MVQRTKTEGPSQAEQLLRVTSGIAAELIKAHDANESVSLNEVRA KMSKKFGYGGVPRLVDIISAIPDDYKKALLPKLKARPIRTASGIAVVAVMCKPHRCPH IAMTGNICVYCPGGPDSDFDYSTQSYTGYEPTSMRAIRARYDPYEQTRGRVEQLKSLG HSVDKVEFIVMGGTFMSMPEDYRGKFIAQLHNALSGFTGTDVDEAVRFSEQSKSKAIG ITIETRPDYCLRPHLSQMLRYGCTRLEIGVQSVYEDVARDTNRGHTVRAVSESFHLAK DAGFKVVAHMMPDLPNVGVERDLEQFKEYFENPAFRSDGLKIYPTLVIRGTGLYELWR TGRYKNYTANVLVDVVARILALVPPWTRVYRVQRDIPLPLVTSGCENSGNLRELALNR MKDFGAVCRDVRFREVGIHEIHHKVRPESVELLRRDYTANGGWETFLSYEDPEKDILI GLLRLRKCSDEGTFRPELLRTEEGGCSIVRELHVYGTAVPVHGRDPTKFQHQGFGTLL MEEAERIAREEHGSIKLAVISGVGTRDYYRKLGYELDGPYMSKSLL JR316_0003932 MSRTNGRLEPEVIMNYADGFAYSKGKMEEAFRPGGFLDKPAAKS PASIANAKESNTPALKREDIDLIVHEFEITRAQAEKALSENDSDIGKTLRALVSAPQ JR316_0003933 MISCDDLQTSSSYSSNDTSYSSGSMSNRTPKKATSASSALFSTT ELMQKDLKRDFDGHTYDQVTVAEFVEHVWKLDLATMKRITEAKLQLLQDPLTRYQDVL TPGKNFKEVNLHEPLRQMARGLLKDVCDILSIEHSKLVTYFWDGAGMVTFRSEDKDTS KARIRKPDMLEVYIPLTEDGLPSLDPDESPLPSNALKPAWRDVRASVEFKKKKIEDGV RRTSPDLPSIPEHRVSAEAKELNPAGGSESTSTTGTGRRPRRNTRPRNSRRQTPTNPS DSRASSVESVDTGSKRSASSLTDDNRPTKRARINVSMDEMQLATYALECLFVGNRHYT TGIFIDGFFIKLWYYDRSAVMCTEAFNFSTPSGTIDLALVLFTLGQCNMQQAGFDPYL HQITLPNNGQFIEPQSVTPLLRPHSDDTQLCYKFPDPTSPNDRVFTVHKTISQYKGII GRGTTAIVGKLGSVGGVLSDNLHVLKMSWQYSTRRHEGDIIQHLRKALPAWIDHLPDP VFYTTVSGDDLGLPSRTMRRILEESNRTSLDSKNDRDLHVIVTNRFKNIWQAKDVDEF KRIFLDCLECHYHTYHTGRVIHRDISENNLMIYQPGVTDKDDNKDSDNSDHDSDPKES VEVEGSSSPPPPAARGILNDFDMAAVLNPDGGIDLASTPHHHLTGTLPFMAVDLLEPS RPQNPLDSSNIHHYRYDLESFYYFLIWATILYDLKAGRRVAPKEKSLLYRWSKGDEIS AYHAKVSLHSRDFEKYAADVRPEWKDVWDTWVVPLSNMFIDGMQAQTNAARRKVASFD HATCDGHITFEKFMSAIGETERGLTKQAS JR316_0003934 MSNYTPKKATSASSSLFSTMELVQKDLKRFFNVPTYDKVTVAEF LAARGILNDFDMAAVLNPDSGIDPASTPHHHFTGTLPFMAVDLLEPSRPDSSNIHHYR HDLESFYYFLIWATTLYDLKAGHRVAPKERSPLYRWCKGDEISVYHAKLSLHSCGFQK CAADMRPEWEDIWNKWVRPLSKVFRHGFIALDDARDENIEDFDYATCGGHLTFEKFMS TIGETPRGFIKQAS JR316_0003935 MSVPEAPPVLNIHTPTSSFAIIHSVTQETLLDLYNKLSRKAATD YYGERVGPGWLKYEYNEAIWNLDDDSDYTIFVWRRQQQIQDDQQSIALTSDNEGPPVA STSRAHHAPPSPPKILPPKTVSETPTLYLHDPRKPLPAPPAYLNPAYYAFQPSRAVHH PTRRSPASSQASTNKRSKKGKAAIEDNEEVGIAKFKKEFERFHSENGVRTVMGSIGPV QNVRMLLKSGYRHVYISRKFAAKHGFIPPDTAPGSYGYGGLVNIGEWPITLIPSVSQS TQPLPGYLRPDAFTSPPSTRTGNLHASPSSSASPLPLHNWLAPPPTTSKAGSIRSVSA TGTGKQAHENVNHHTQASNTIVPKTVSIQVYLAEEPHFDVVLGRSFFEKRQVKTTSID PTDVVCLDTGEKIECELVILKDGRGEIVTVT JR316_0003936 MSGICRTRLAEERKQWRKDHPFGFYAKPAKAPDGSMNLMEWEVG IPGKSKTAWEGGLFKLVMNFPEDYPSKPPKCKFTPPLFHPNVYPSGTVCLSILDEEKS WKPAITIKQILLGIQDLLDNPNVNDPAQSDAYTMFKNDKQAYERRIKQQARENIPK JR316_0003937 MSALYRLLYLPASRRSYSSYFSSKSGGGRYFNSAKSPKNAVVPA KNNTKTDSPAEVTQDSVQSTATRPNAVVSSSIAESKSSPTNASTSTSAPSTSENTTNA ASQPSDLANAFSEFTNNHIPQVSISSKDFKMHQFFSLHRPLLLISQPTSIFRQVPANY PLFSALQPEVEHPPAPKYGLGTTKDLFIDPDAEAARQLTRALTLSKAGATLAWEKTMK HLGLDVSKDADRINLQQQFDKEWEEVLLDSTKRKRRKKMKKHKLRKRRRATRAERLRL K JR316_0003938 MDLANFSAKLEPFLLMAKSLKGAAAAKLIQDATSAPGVFVFAEL LELPNIKELEKSEQHSKFFSLLQLFSYKTYQDYLQHKDELPPLNQSQITKLKHLTIVS LAADRRILPYADLLKSLDVSNVRELEDLIIDAIYLDLLQGKLDQKEEQLEVSYTMGRD LEPGKLEYILSALKDWASTTSAVLATLDNKINQIAADAAAAKASQQEHERILQNNLKE VHEKQKEKSLGGGMATRRGAQFQVGERENMNMDVDDDSKGKNRKASQEMTPKLPRKRN KF JR316_0003939 MPSTTLMLAALLLLPSVYANQQISFTSHRQFDLQHPDHLPLTKD NDGAQNTYFNPTNTYPLPSQAVHLKSQPTLIYRPRSVDVLHRTRLRSLQHAESGLEPV LWDLVEVEGPAVEDLHTLSQLARMSGNAYALPGQKNWYEVDHAWNRSFPFGWEESDGF RGHVFQSSDNSTIVLSIKGTTLQGPTSKLDKFNDNLLFSCCCARVDISWVFSTVCDCY AKNFRCDNQCLTDALIQDSLFYSIGVKLIDDLLKIYPGANVWLVGHSLGGSLASLLGA TYGLPAVAFESPGERLAATRLHLPLPPPMSAPPLPSPVSTWKFGIPHIKFPFPFPGHS PSNEPSIPIPTTPAPPPRLPAHHIATTHVYHNADPIPQGACTGIGSPCAQAGFALETR CHLGQTILFDTVNKLGWGVDVRKHVIREVVTKVLEGDVWWGEDDDEPDGNPEDGQDDE DDDWTIIKSFKNKKGKHKDKKGREGPSPVPKPFIEEDCVECFKWEFGDFKDREKDVL JR316_0003940 MLGRSSFCALLFAVILYYSPGAVALVGASSRELGARQTENPVFL GCFADGSPRILRHGAGSNLAETSFASCADTCFQAGYALAGVENGHECYCGNAFLYDYG TSTGCTSPCPGDASNTCGGPGAMQVYSTGAGPYTTGPASFVLTYNGWNVTECWEDGVG GRTLPHTPTNNPPSASMTVEKCIDACAADGYTSAGLEWGQ JR316_0003941 MADESHGRAWPLADADLTNSILELVQQAGQYKQLKKGANEATKT LNRGIAEFIILTADTEPLEILLHLPLLCEEKNVPYIFLPSKAALGRACNVSRPVISAS VTTGESKELSSQIIAIKNAIEKLMY JR316_0003942 MSSKTVYFGYGSNLWLDQMKRRCPESKYVGVGSLKNWKWIINER GYGNIIPSAGDIVYGLMYELTARDEHDLDLYEGETYQKQIIPVDFTGTRDNPTKEVVR ALVYIDVERKGASSPRKEYIYRMNMGIADALKEGIPAEYIDKYLRLFIPPPDARALEF SDS JR316_0003943 MAGEPTRWVVVDDTDGGIDYVGPSWRADVGSFDSAGNFGLPYRR TLHGTNVDASFSYLFNGTGVKLVGFNNPRNNSGVLDPTWECLVDGVNIRVGRSFQYPE HNWLFCDSPQFADGLHNLTVKVSVKAGQTFWFDKIMYSPSPTLSLYQKDIIVDNGDSG FEYGDGWQSFSAISNMTSITNSVMSFNFTGSSFSWYGFIPGDYPTASSSGTYSVDGEE PVQFTLNGLSPGSTTTLYNQKFFETQQYPVGNHMVVVQYLGGPKVTPLVLDYLVINNG TDSPSSSPTSPISPSSNSSGTTSTPSNTQAGPLHPNSTTKTKNSAIVGGVIGGTVLVL FAIVGALWIRKRGRNLLFKKSFEDLPKEYIINPFQYNPDAATTIVQPRRPPPPPVERT RQKTQRFSGPDEDPFSPPGHAGPSPNDSRPMMYQITIDRKRRLARQSEDRIVAQRQSN SATSPSHTINARQSRIEPLRQSSSSFIPSPSHSVSVRSLNSSGSAPLIVHEDSGIRMS VPEIVRAVEIPPIYTVG JR316_0003944 MLLLWSLLALQTSEVFPEFSAEQKVAWFGILGWIDNLGKWCYQK EMSEICWQTFCAVCAAFLVEGFIKALDGMGAGFPINNGNPNTSPFNLVGYAFLLHVYS SPVTHTFTPDGGASRPDKHAIITIGIPLLQLTIFHVLSISKRLSTHRLFPTALTSLLS LAHFHGTLFSHQLFKVPIPTPSATISDTTSTPQVSPHQGRSSVNYPLLNYIPNVFETF LIAVILTTIFLNVVMQLLVRGRVDRVLSGLGVGQGTMLHDEEEDDPGFFQSLPLEEDF GVLLLRVGIASMEATGLRGWGNEVAPINLPVRFRGRDESGRDASNGRFDSNQRTHGMI RLGREQVGEVYYGSASRSASEYAIAGSSSSAVATRTKDLTRRRKKKANSVHLRGFNND IRTVDLGNSESGSHNHRGFLRYLKEVWMFLVVFWGVLRGLVVFLIERAKGSVRMRESA SKPPVVSRGAGGASGSSKENESDGEEVSEEEMRRKEKEIYQRFLRGEDISDDEEDEDD DDNDSLYSDEDEESDDGEGADDNEREAEAVGLFTDFIRNSRDQMPYASRGISTGSNGE MVLAHLMHGNTGASPSPLTRRKWNALVRNDPNSHSTRAGSPAMIGNGEDDDDGFWEIP PPTRTRNFNNDQNDGRLNNAWLCVICAVKL JR316_0003945 MLTVLLLAFIASVVRASVYVGGSQIIGQEFGPAKVEFFGGIPYA KAPIGKLRFQPPVLVRTPPTKTFNAQTFGKACLQVTKFPDTVSEDCLTLNIFRPIGTT EHEKLPVMVWIHGAGSSSLYNGTTFVAQSISRGTPIIFASFNYRLGPLGFPQGVEAGK RKALNLGLKDQLAALEWIQLNIEKFGGDKRKVTLIGQSAGATSISIHLRKTKIRSLAR AAILESTPIGPVYGPDRNGGSWRRFVEATSACAAQAKSGNTIDCMRTAEPQSIFTALT AAEDVSSHSYHPVIDGPHGFIVDRPSKVNLNAAGLPLLIGTNLDEGTLFITQKTNSTE QIEDLFRIATSPSLVSPGRQAEVIAQILKLYPDNPALGSPFGTGNDTFGLNSQYKRLC AIFGDFYIQSPTRSIQQHSCKAGINIFGYLFTDPHGVAIPGLARTRAAPGSVGVPHSA EISFLFDTFVNRTEDALNLSQNMRDYWISFATSLDPNDKFGNTARPHWRPFTHEKQEI IELNASVAARASVKVGNSTIIGKEFGPAKVEFFGGIPFAETPIGKLRFHRPVLLKSPS SKVLHAHESGKGCLQLTSLPDTVSEDCLSLDVYRPAGTSEHDKLPVMVWIYGGGFFAG SSSLYNGTGIVAHSVHRGTPVIFATFNYRLGPLGFPQGVEAGERKVLNLGLHDQLAAL EWIQDNIARFGGDNKKVTVFGQSAGAAAISIHLREKKIRSLARAAILESTPIGPLFGP DRNEDAWRRFVAATPACASVANSGNTIDCMRTADSQAIFQALTVAEGVSSSAYQPVID GHGGLVVDRPSQVDQSEARLPLLIGTNLDEGTLFTSQKINSTSQIIDFFTTTTSPSLV SPVQLADTIEQILRLYPDNPALGSPFGTGNNTFGLSSQYKRMSAIFGDFTIQSPTRTI MQNTIKAGVKVFGYLFTDPDGVAIPGLANPNAAPGSVGVPHSAEVFYVFGTLANRTPT AISLSKNMRDYWISFATSLDPNDNHGNTSRPHWQHFTSKHQHIIELNGHHTRMISGEF RKRQIEVFQNNPNTFHR JR316_0003946 MVITGSISSEDYQKWESKTLRFEDRMKYALADPTFASLPRVCMF SGITKTDLEFMGAQYPNGPLVYASMLSAFKKALLSMKNLRIVRLEDIDIDEEVLDALV HLPLLKDVRLQSPEIACREFTPLLEVEELSVMEWDLRSQYTKQKLELFSNKRLKGIDI QSYQYASPILEHLISQGTSACLTILRITIDIHIVPIMMAFFETCPQLQDIYVTSIPPT FYPVYPSLPPSALPNLRSYYGIGSLAVLLVPNRPVKCITIQDNWSSGSHDLEPSWVLS QLSKSSVVIEHLSLPPIQPRPDIFHEILHTFPKLKSLELRFPLDPNAVQSPPTDNDTT PDDRYFDLTTVNELPPIEVDEEGCPLEPPTSMKVCSTLRSYPTRLISLQGLLEWLCTN KLHLSPSIANLSILQNSGRTRGINQMFKTCLSFREQYAFAGMLSKHNPTLKSLTYGRQ HIQWSYGADGKWVPGAEYQFYIKHRNTIPTFSSLPVAVIEGAATTA JR316_0003947 MIGLRSIFVASLAISCTCAKLAWKDTKFLFTFGDSYTTDGFNIS AGVNSPVPGFVSSNGPNWVQALGSTYNVAGTKIFNLASGGATIDSKLVTPFEPTVLSI VDQVAQFHQFLAPKPVGAQWASNNSLFAIWIGINDVGNSFAWTNVSQSQFHTVLMNRL TTQLDQLYADGARSFLFLTVPPTDRAPLILQQGPTAVNAIKPALADYNQQLRTTAQKF QAKHKDINTAIVFDTQPVFNTLLDNAKTFGYVNSTGFCEAYQNGTPSQTTQTPPCAPV SNYFWLNSLHPLFTVHNSLALAISTTLST JR316_0003948 MLSLYSTDDQLPTYSGYKSYRTATSGRLSSHWGLPASSTLQDSR TNANAALVFEYCYRFINICKSYFGKIDEEAVKNNFVVIYELIDEINDFGYPQNSEIDT LKSYITTESIVSSSIAAEESSKITSQATGATSWRRADVKYKKNEAFVDVIETVNLSMS AKGNLLRADVDGHIQMRAYLSGTPECKFGLNDKLVIDKNEKGISDAVELDDCRFHQCV RLNDFDATRTISFIPPDGEFELMRYRSTSNVKLPLRIIPSVTEVGTTQVQYTITVKTN FNNKLSATNIVLRIPTPLNTTTAECKVATGKAKYVPAENVIVWKIARLQGGQECSLTA SAALTITTTRQVWARPPIEVDFQVLMFTASGLIVRFLKVFEKSNYNSVKWVRYLTKAE GSYQIRF JR316_0003949 MFWRFGFHNASAIDSLLDKEDVVLEAILDEDDLLQECKGQNTRL LSYFERVDVLQKLLGYVTGQIETEEKGRFNEIWSIVETCIGEQNQLLVPFWETVLDRS PDDMKTQMIMASHFAKVNSVFMTKKPVEMLAFIQSQPNIVERLIRHIETPSFVDLIGR IIQLDEVIPNSNVLEWLSSENLMGRLIELLSPYHTPSVHTVVADLVKNIISMATPSPG AVLTEGLQNGPASNRFARELAGRENMKKLADYMLNDFSSDSCNTPPEHDSQDEGTLLS PTFESSTSSVVQSIAVIIELIRKNNSDYFEPYLFHALRNRLIHAQQQSHLAGEDLRAS LEQVLQEMVHRMGVVHLGPMLEVLSSRLHEFQKYLKSPRSLQGPISTTIGRMTPFTLE RYRIVELYAELLHCSNMSLLNRSALFSRVYDSEGRLQGGLAGLEELAQVIALNSGNDR ESDEMDESPDEPTPSLAFPVRHPSGDSPSLDSDDDMTGSDDEPGSSDDEAMEEIAMYD EPLSPIPFAQPLPSSSTMAVASSPEALSLSSSPQTDSKASLNVPNPGSSPESDGPGMS GRSSGRGSRRSSRSRRRHTMEQSTETLLPVGEQLKRRWLDQNILGTMIDMFFEFPWNN FLHSTVYDVVHQVMTGGSEGGYNRELIISLFRDAKILHRIIEGQALNDLESAKPKGVR LGYMGHLMLISEDVITAMARFPPDLRLIIIQYAPEPEWDNYVTGRYNETKQEENRRLG GGKPVVNSAAARNMAQWKVDENELAGETDVKGVDDGQPKGEFRRAASVGPSGVTQTAD FGPAPVDEDEDEDEDEDNISSTRAPHFARYLAQEMGNSDQFGSSSDEDDEDEGWLTQS TFGLNPAPVATRPFSEPRRPLSSNGFGDAFDPNSTPSTRTAMTEDPFSSQDDDDGFGP FSDTAAASGDGFTFSSSFSDEDSSFESFGDFGDFQSAETETLDEGESTTPTTTTGSWT FAPGHEFGVGLEEVSNHEQGKGKDKAKEKGTGIGTEGSSSSTATLSSLSSTTTAKDTS N JR316_0003950 MAASFARTGKKIVAIGRNYAAHAKELNNAVPKEPFFFLKPTSSF LPSGGKLEIPRGILAHHEVELGVVIGKGGRDITQANAESHIAGYTLAVDMTARNLQDK VRKAGLPWSAAKGFDGFTPIGSFLPKETVKDAHDLRVSLKINGETKQDGTTADMIFRI PRLIEHVSSIMTLEEGDLILTGTPSGVGPVNPGDKVECALTEADGRALLELDFDAVQR EGGYQFQE JR316_0003951 MPNITDSTLEEPGPRSGHLGVAWEVGVVSTFIVVIVAVIGVAIF QIRRRQRRRQAVENDNATRTSSKRKGKKSSSMQVEVKDLETGQCEIVTENVDSDEDVE TGDEEQAPRKSKKTRSSRPHTSSRSKTKPSRPKKPSSLKKAADSSTEEYYFEHPSQKP PKYYWDQR JR316_0003952 MLRLGFVLIAVQAALGLGVGAQIVGNLTLLSYNVAGLPELLSSG NPAVNTPLISPRLKSYNIINVQEDFNYHAALYASDNHAFRTPTSGGAGIGSGLNTLSD FPYIDFERVKWSDCNLSGGDCITPKGFTFARVRVADGAWIDVYNMHTDAGSESGDIAA RAKNFAQVTAYIATWSAGMPVVVMGDTNARYTRPGDGETLRSFLASTGAVDLWVSKVR GGNPPAVGTDALVCPFPFAVGTKQEVLDACETVDKIFVRPSAALTFPAATFANAHDVF VDGKGAPLSDHYPMSGTVAWKLSSSIRLGDTIGGPHGTAFNDIPSLLSGAIPKLTSIT IRGANRVDGLAYSVKYPSGSSSTASHGGTGGTANTLSLPSGERVVKVKACSGKYNNTT RIFYLELTTNTGRTISAGKTTSDCLTTTVPVDAGSPGSWGLVAFWGRDGNEVDRIAPI WGAAY JR316_0003953 MSLNVLAIGASRNIGYYSCIRFLDAGATVTFLLRSPKAFDNDEV IQKYFKSGKACLVKGDALVEDDVKNAWSEATKFGNVGLLLCTVGGTPSLSLTKGFIIK PANLVTQALMNALVTMPKTEPQPRVITISSIGLTKSSHAAVPVLMKPLYGHFLEVPHK DKLGSERVLFHCAGWEWNAKDDGEPSDEIMGANWKQREGLPAPGSLKSALVIRPALLT DGECEAENPKKKGYRASDEEITGYTVSRKDVAHFIADAVLNKWSQYENKVINIAY JR316_0003954 MDASMQSESPSTSRRRPRESETESQKIKREKAAERQRRKRERDR KNAGMAVVGSYYGVENQHLAAVALQEHQAALAAQQQLQQPAAQQIQPQQPQQQQPQSA QEYAGHELTPEEILRRDRVRAAARERQRKHRQLVKQRRMRELGIDMGNDIMPGMEEVH YRAAADGQYHQVLPPELQQQLQAPHPPIPHHEPPFPQGPPLGGQTFASTLLLSFSCAP LLKQHLLRTLGMSNEELASLEPIIAEAWDRWDHQRRMHYAEQVAKNGGQPPPMPPPYG VEMSHDPSAPPPPHPFPHPGGPPPPTDPNQAGNDFRARFHRSIIVPTPFRTTFTDAAQ QQQQQQQQQPQPPASTATPSSSGSAPAEAIDPHLAATNGSNSTSTSTSTATKSETSAS LFDELLTTGSP JR316_0003955 MALPATRSFAVPATTTAAKVVPKVAVAAAAVAVRCKSTVSTSSQ SSIVDAKSRVREHVRNISRSSSAKATPAPAARPTPAPGFQQIPTPSNTRLPASHVYPG PAPNAPLDHTFVGLSGGQIFHEMMLRHGVKQIFGYPGGAILPVFDAIYQSPHFEFVLP RHEQGAGHMAEGYARVSGLPGVVLVTSGPGATNVITPMQDALSDGIPLVVFSGQVATS AIGSDAFQEADIVGISRSCTKWNVMVKTIEELPRRINEAFKIATSGRPGPVLVDLPKD VTAGILRTPLPYKATTPGINLGLPMNPLQMTETPTDMSLIRQAADMINRAQKPVVYAG NGVLSSPMGPKLLAMLAEKGNIPVCTTLQGLGAFDETDPKSLHMLGMHGSAYANLAMQ QADVIIALGARFDDRVTGKVDSFAPAARAAALTGHGGIIHFEIQPKNVNKVIEAQIPV LGDVVASMSALVPLIKSSPRHDWFADIKSWKEKYPFTYVKSEKGEKMKPQEVVEELDR QTADRKDEVIIATGVGQHQMWAAQHFRWRHPRTMVTSGGLGTMGFGLPSAIGAKVAAP QKTVVDIDGDASFSMTAMELATAAQYNIGVKVLIFNNEFQGMVLQWQDLFYDKRYSHT KMTNPDFVRLAEAMGVHAIRCHSAEELPAKMKEFLEYDGSKPVLMECLVERDEHVFPM VPAGKALHEQMLHPSLRAAEAAKKVKA JR316_0003956 MTSGIQSLPFLGLNEDILLYIFSLNANAVDSFVCESHSYPVSVM DIDYGRSAALNVTRYSSQVCREWRHLILASPTLWANSLDLELLDCGTDEWRNEVVKRT GNCLLTVVGTMPSMYELFPMFQFLRDFFDKNWTRIRRLIVYDDFFNGANWKELLKRPS PNLERLRIMGQDMTFDNIQDGTLFSGTSPRPSKLLRGLKLLPYLETLGIVNNLIDYEL DRPLAPINLPNLTQLSIKSNVMTIIPMLKYLVPGPNCSLRLDDYFYLPRTFSSLPETF ENYLDIGGALSTFLGHQAYQNLTFHKFHYYEMKDRGKFNIRDDQGVFDIALEDTVTIL LNHGENWPDFVSQVNAALSPLACSVLPTTNLHLGVHDLPSQSDSMLAFFDNFKNVRIL ETDPKTIQIIGQAVQTHRGGQLGGSTLFPSVCHIVVHPWQYKYKVDTKELYKFVKFRK NHAVPIEKVYFCYSSCTTEEAASWRAFANELDFEVEFESRANRSYDVSDSDAWP JR316_0003957 MLSHKWPSSSEPSEPDDEEVATTIIDGAFPIEPVSLCVFRTSTR VPPLPTNLKERIAAIEQKVGNAGPSSRSISPAPGALPNAPTNAGALRDKIAKFEGKGG IPAPRSRFGMGAPPTAQAPQRSGELYGNRIPAPARSVSNGVYPASRAASPTGDTISPT DRRSFSLSSVMNDFDDRLGDYTPVSSPSYTSPVESPESVLSMPNSPELSPGAKSIDIR RGTSFQKALEIARNAEHAKLEPLDPAVISALRPPQLQDDNGEEVESPQSTPAIVVSTE VSPSTEAPESALDVTVSSQAIPIDEASPTVFTDTTESTPLNVQNVAQLKPEVAAPSVS DDKISMPVAPVIIEVTPLAVRKRRGTISRQNSDSIPSEHIPDVPPIPQIPTNVDHDAE KSTPPAIIVHDAATSKPITEDSENQENHFNSRNAALILDAISIDPQILIPPSLATGVS LTDVLNDYIVGEHTSEDEKRAAATTTPPPMVTSSTPSSPPARLAYDSPEISPAEIVES PPIPIAKPRAKATPEPLNFLSPPASGMLPSSTSASVAGGSSLNSLSTSLSSRPMSMIE TSPSLVTRAMRMTPATSRGVPVFIPPPANTQPRKSDFVYFPPTPDPEESEFGEIPRGG ANGSMHKASQSLSEGTAKGEDANKATTFTAVVHGKVREASTTLPSTRRYVAPSTPQMK RVQRQTIAEPPLSPGQGELAALLQEAMWLEDTLSKGELPAEIIQQKEMDERAELEVIE EENVEKVRPAKGEEDGLAEEQKGITQASTKSQDTRNEPTSGKLKHTFLIPLSKARSVH RSEGSTSSKAEEFPSRRMEQDVVRPKSAGVPDQSAGRVAKSSLPPSDVFQSQAEPSTA AAEVQPQRLEPSHTGGEYPSKSPKSSRFASFRRLGSLSRPSTMYGSSSSVRHSQSMSS EISSEDSQAVATPPEAHLEFGALKFLPANEDYGHGNRSTTSFHSLSSKKSGSNLGRAA SFAEKMWSRARTKSSTSTVSLAPSEGTGEKILLINDKATYLCIVDEAPKLPAFGATAA LELPTLPSVPVIVPPSPEKKSNLILDPPKRSTSLKRSTNLPPIPLEPVPPIPTIPISI HSRPQARNAEISLETSNDSLLPPGSGDSSRPTSWTSLSSAGSLGSLPSPLFDKEFFDS FPSVPGTTPMPDINTVANFPHRRDASFDSALLSSAIHLASSHKSAANPSTTTLHQQLP NYKDTPTAVSVALPRRSGESTR JR316_0003958 MSNFKMQTLDQQHSQASSIYEGDLSIIADYRENAVVDNVNPHLK SEHPTEEPESNRQNPVTANPKRKRENEDNYTLSDGSIQESKRAKVTPFALNPIHDSGN SAAGNDQFYFIAHCPRVQAAMRRAKLPRGARFELARLVSTNKISIDQLKTANIMAIEG PNAHAIPEIEHMFLKARRRHDSLLDIAFAKEIAINSPWAELDQEERALSEGPYEGLGN NPSYPGWYGGKVQFRGHLSADRDKNQFITQKSIRLVLDKCQLGSSCRFTRRFGSWSFI RVKIPSDLYFNKKIQLDVFFRQGFVIWDRVFRACYAKGDNVFLFMTNEKLHSSSGSGT FEDGLSLEGFINWHNPLAHNTNQARLMTKWASRMVLGFSTSVPGPVIEQDCIILEPDI VSAQNSDMTDGCGLSSRMVHQLILRQLELDVLPSAFQFRLSGCKGMSLLRNDLHDASD DDKKIWVRPSQTKIQYDPSKPLDPAMRTIDLLRTPHMRSGIRLSNDVVINLAENGVPD QIFVDLFKTTLEELVTGLTTWDGPDAMFKLWMFVERVGGVVSSRRARECRTEARVRGY SNYTAEELEENPEADDEDGLSLGSKDMRSLPWFMDAISGCPSTLEEFICELLDSGFNP KSLQVLRQKLRMVAITKVENCTERLRFNVEQSCIAFAVPDPYECLGPNEVQIKSSSHN LRLNDGSLTNVIKGPVLVGRDPCKTPCDIRKVNAVEHYALQHCLDVIVFSVQGYRRLI DLLSGGDYDGDRPFAIWLDSIVTAFKNADETIYGNEPEGVDICFLRDKETVGDFVERT KSMKPPDREGAMQKYLLSGLADPSLIGKYSTMHDNAIYTHGYSSYKSRKLAAKFCRVL DAPKTGWRIRENTLDDDRRIYASTEGPEWKALLIRGKKKSTRKNSGAPVGSTSNLPYA QRDHNKLGRFIMDTLARKAVAERERMLQQVDQFFAPLPPMLDTDLAEPWLSAKRWAQQ GTPEFVDMKMKDLNRIATHVETVHQKHKQTLAQCYHDGRSFTEMRIETRQDMLRERSR EFHSGPSLDDLPTIPDQDTLNRFRASYAYKYDYDSSPDKWTRFPWDMAMGNLCAIKAT ALGQAKVVTRNFYERYKMIKH JR316_0003959 MSAQTSKITKHDGHSDTAGQLSTPGTCAISKLSTEILLIIFSLN GHADACYIDRDIGVDEILHPIVPMAALTTTRYSSQVCQQWRAILLDSPAIWATGLDLQ CFDQQNDEWREEVLKRTGNRVLTIIGDLYENMPSVKFFLKLVSTEWSRIRRMFVCDRG GVSGFETEVWNALQQASPALEWLHLDVSFENIDLDLDLETPFFANNAPRLRGIQFDRI FMRPDQTWFQNLQHISDLDIAWFTIAELLLALGHMPQLETLDLCGAAFHEDDNAEVNF STVVLPRLNRLKANCDLIIFTPVLRRLRTATNCSLHLSPIWDIPVGEDSQIEDLGKAL STFFQQWTTEEIFSLSYVQVGASFSIHSPKWDVNTCVEINGSYEILDWPGLPGLILDA LAEKSFSTVILTIFLHFPPPAKSILSFLEKFPKLESITCDLKTLDSLNNLMDSHDPER SILLPSMVQIYLLLGKQEDPNPLSNFLSLRQRLDVPIKYLVLCCGPSFTGNLQVFTAL ARTFNLEIVLDSEKGGFMNTYIDLSFLAWLEDHQL JR316_0003960 MPPTSPKGSSRSKNANEDSRSSGRKFRRERRAARRLAQSTREDS GSYTKEPEDKRSSKTAKNASDKATTFEENEDFIPFSDISDEEAGPSTRKEEKGREERK ETNDRNKPDSTMSERARDKSRMRERDSDRGGDRDERDSSRRSSGKRRHDEYDDGYANK KQRVDAASRKAPWVNGLDLERCQNVAEMLHWEVDAFVNWISPTPVEDEIRGLVVSQIT KCVTAAFPDAVVFPFGSYQTKLYLPLGDIDLVVLSDSMAYSDKATVLHVMANALKRHG ITSHVTIIAKAKVPIVKFTTTHGRFKVDISINQGNGLVSGQIITGFLKDMIPSAKGKE SKALRSLVMITKAFLSQRSMNEVYTGGLGSYAIVCLAVSFLQMHPKIRRGEIDPEKNL GVLVMEFFELYGNHFNYDEVGISLRDGGTYFNKRQRGWHAEYKRNMLSIEDPADPSND ISSGSYNFHKVRTAFSGCHGILTSTAYMRAGILSSRHKGRSVPLRGHYEPEDLSILST VMGITQETINHRRLVQELYDRRVLHNILGVKPQPIVIQDSDDEVDDERRKDSKTSHVI ESVWENGDRQSDSDNDDAHLRRNGHTGDDDGGRYAIGRQPPKKRRRTGREEDSHTVYL EDDDEDEEELSFGGGRRGDANKVDELEDGEYSSNGSDEEDRPGRFQKRDDPKRDARRS YWLSKAIGIGGGVADADAT JR316_0003961 MASQSSFAVGASLSLTPITFGQSPLCGSLAPTATEWSALNETVE GRLYHGQPWAKSCFSTYNGNIVQRNEDECKYVQENFFNSHVNRSHAFGAYSATQYEGC MATGESCVLDWLNPSNPDSFAPPRDCSHGAVSPYYIDVRKKEDVIAAFKFSKTQKVPL AIKNTGHDFLGRSSAPYSLGLWMHNLKYISHNSEFIPEGCHISGQPALTFGAGTQFYD ISEFTDKHGLQMVGGSDQSVGAAGGWAQGGGHSALTPVYGMGADRTLQYKIVTPDGVF RTVNACQDEDLFFALRGGGGGTFGVVLEATMMVSPKESFRVANINWPVSNQNLQAVLD IFLDNITIIAENGWGGYLTPSSGNLVFITPTLEIEDAKIMMEPLVKLTTELGGSSSVT EVDSYDEWFKGWVNGTMGSQDPVGLPIALASRLIPAKNHETEQSRLELRDALMNAFAN SAFSQIHITTPYGFKGSQGLDTSVHPAWRTTLYQVILVNSWFWDATNADRELAYSQST KAVNFLRDITPDGGAYHNEADIHEPNFEESFWGGHYPRLLEIKQKYDPEHLLDCWHCV GWKGSEDPQYRCYI JR316_0003962 MFSSTIRRALAVGQPIHSSFKDPSDSSLSNVTDSSEIYDFLTTG IPVSLSALPTGGLSEGSEAPSLKSASSSIVLENIETSKIADTEFESDLLTSEQSDQSV DDFDIISVAVPQIEEASSCEVELEQIPPSKTNSRSSAPLANELACDQTVEKELPGDHD STSMVSSSPITSTESIMPGSFVDTNSIPNSNMTPPLTSDENDPALPSTSGSKGLQTTP TNRMRKADSNGKVRTRKSPSAFPTFLHSIAVSNINADLSTHLPHTQPEDAEPANTKPL KRVPSSSPMLQPIFESTPSSFPSIPHAPSVSTGMRALDPTFAKKMEEAGRLRRLQSSS SINPAIRKTASASDTLSGEPVSHLKSYSQDAESEESKLRDLLDRTLRELKDTREEAER VTAQITWEKSRLVKELKASNEHVRVLLAENEVQRQTISSLRTEVNNSEMELTAIKQEK QKWQGQLDAMHHRVARAERRGRWLDNLTQSKVESRMEGAYGPTRRSAKAAALKTASAE VVGAVVALNEEILQTANQLVESIERSHIVGSNASILRSKAVVGETITRMMQQQQSGNL RYLLVQVALETFMVHWCTQIIEGSYPRRQSFADLLIDLSSQTSNPSSGYVVTSSTAVR CGKINILDMSTNMTSQFRTWITEIAQDLFKILSAGSLRMKLTKGDVLTSKLLMLVKMA YDLRTALAEKDICGDLDIAVVSLDYPFQGKWMEEAHCDTMRNKKASPASGATAEQGLV AAISGFGLQRSPADANGKASTSDPDMILKPKVVLAHVLEKSL JR316_0003963 MPSRSRTAFRFSDSKSLSQWHTRKISIDLSHRITPDKENRGVRF DTYGPSYSKSTCHRSPYPPVTLNPLKGTPTSQSNHTNNDACGLNHMAIEEELHLVLSA LDTAKQSMAQYISKESTLTEQVECYRHENKRLFEAIEVKDADLARASVTIEVLMKEVD SLRRDRDRLLEENLGLQTSVGDVTSSLNNTTDILSDKEAHAESYLVEIQQLQEANRRL RFEYSELLDTHRKVAELNLLEQELLLGQAESYREKSDALDEENAILVERLQLTDTMVM DARTTISMLKQANEELDSLYSSAVDATVDLAVRLHVCDDHLSYAMEGMRLQEEKWTSE VAEANLRLQTESSRLKSLVSSETNAKFLVRAELNDLRKEHTSTLAKTDTLRTELEKVE LRLKGTHCKLTEAQSTRQALDARIENLNDDLKNARFVGSGLTTNLLKAQCRILALSLQ KDDVEKKLDDALEKWKTATCQLIEAEEREQDTIADLESTVGDLLEENENLENRLQKAK QKKQNLRIELEDMKERLEVAEARRRTWRQELNVVQRQLRIAQKHNRSMDQLSVYQFEV HRDLGSNHIKRCKNHSSSTEYSKLVEYVEDINAAIAQYAADLTDKDYFYYTSPPVEES KRTNHILGDSLVSALKKKAVPVKLSKYLTLISLVLEIFMVDWTSAIIDALYPKQRTFA DVLVALAAGTLPCSVAGACKTNNRHSIRSQSTAVISQCDRGDYSAWAQEALDDLPGIL QTCGLVFKNQYEERFKKRFTSLFKDAYKIRTCMAEKDLCGNLELFVVSSDTLFQTDTM KQSPRMKAEGKQNKENAVVVGTVGLGLEVMEKEPNETVPRSRVILKPTVVITSALNSN JR316_0003964 MASPASSSSSMSSSSSPVHHASLVDPARHNPALLQLVDFKVSRQ VIEYTIDIVVETVDYALGRTTPSSSRGRPLRRPEHAKFSDFVSKVLTRAEVTMPTLLA TLAYIDRARPHLHIGMEEWALERVFLGALIVASKYLNDSTLKNVHWAMCTSVFGKRDV GRIEREFLDVLNFELKITEDDLMSHYQGLADAVSLSSSRRPVESVKEASLPTYTHTER RHSRRRPAPVASAVPELSPPSPVSSSSSSSSSPSDSPRTPESMDIDPASPAKRSMEHP SKHHIQLPLPHQANPTTARKMMNAAQFSTMELLRSFPIPRMTTA JR316_0003965 MNQYNFKLLMFEFWALGMLALVFTKCPEHWLNMIESRQFLNSAS RLVKRETIPTRGVQCPAMQQAEVQRTAMSVGSAHPACERLNSICRKQHADLRERQTRK SSHAVHPDVKSPSTVATLVGSKHAEDHGRSSLEVFLINGKRGQARTVGRVITRFLRSG NGDDIFIFRPNPHRATARSSSDATFDFT JR316_0003966 MATSFAAYASQYLNRQQGGASTVMSASTSQPMFFSFTTDNDEED GGRRGKTNDSDLDDFDDPHLGLGQSSGGLEEPVPKEQDDEDPYLRLDEDEELPQGMGR SRGFRQHQQHQQSIPLIARSPSPASPPGWLAHLAQSPPQRQTSSPSNESNSSSSPPPD LFVAASPRGGKNTHIPPPPPQTQYNTIEPQSLSLTESLLPRDGSARPFHVFSLPDPRH TPRKRRKHHDAPFISLFLALLLVSFVLLIILLAFTSRPSGIPASLLPYTVMVRTVPVL VILTCISAVAAYAHVWALRWFVGPVMAATEVAVPAMLGISAIWAFVGSFMWDEGQGEP TWGETTGLRLFSIIPLVLCLITARRLLYLPKRIHTTSSTLSLSTYILMTNPFLLALSP ALLIGMLIVSIPFITMVFRLLLIGYTTTNKDAGRVEWHVKAWANWAIAGVLVVWLWSW TVARGVLRTTCASVVGAWYFSDPALPPPPPTSTHTIHAALTRSTGPSLGSIILAALIL TAIRILTILTFLLHRLPPLLLRIPWVPLAVPVAMYLVPGVRAAAAWLEEKTDRVSKYA LVYVGLTGVSFWEGARRGGSLVGSGRERDVAEPEQPQGRRQGRRQGRAEQTQAQTPVA KKRFGAEPPLALLTISPLTLTFPFALLTYLFVAHTLNAPNEALGAALLAGGTTAIVGL FCVGVVRDTADTLYMCYCIDKAEGVRRREEVFVAFEYGNQSQPPNQAQHPFTAGRNAA TNTGPLLPTHNNPNQRGKAPGAVPQHRVGSTQVQGQPETIIPRSPLSTRRSDGTESMF GVGHGIGRAPGMGGREDTESELGSEEEQEEVPARSKPKSEQKRSVPISPYRVGSDSED DDDHGRTPTTTNVPVNALRPPHPLTMPHAPSRGSNLQPPVEEEEEDLDPFKTTGANVD EEVLLEGLGGGGYPSTSPRNNTGAGYPTTSSSPPGRGVHAPGLGLAHGFNLHEHKRST SGGLGGLDFGVGAAPTTPTATGGLSGFATAGGALAAAHRERMMSSTQELNMKSQFLMN MRGSGAGAGSGYGADSAVSATTGGSRSGAESEGEGEESQLGPGSDFFK JR316_0003967 MANDSVLSYIPEELPECATAQAHFRTTMPIHHQRHLKVICIGAG ASGLLMAYKMQRNFENYELMCYEKNDDISGTWYENRYPGCACDIAAHIYTWSFEPNPS WSSVYAGSEEIHNYFCRFSKKYNLSKFYKLRHLVTSCIWDDTRGGWDVEVTNLNDGSK FTDQCDILINGAGVLNTWKWPEIQGLHNFKGNLMHTANWDKSADLKGKNVGLIGNGSS AIQVLPNILPDAKRVTTFFRGPTWVSPVKNVVMDQHFYTDEERHLWEGDAQEHLKYRK KLENSLNKVFPMFLLKSERQQDLRVGMTEIMKGIIKNEELEKKLIPSWAVGCRRMTPG VGYLEALVSDKVDISYGTVQQVTEGGVIGGDGKEYPLDVLICATGFDTSYLPRFSVIG ANGVSMREAWAKEPRSYMGVGTNGFPNYMMITGPNSPIGNGAVLVGMEAQADYICKMM DRWQTESIHSFSPKAEAVDEFLAHKDAFMKGTVWQQECRSWYKSNSITGKVTALWPGS SLHYLEAMKEPRYEDWDIKYSGNRFSFLGNGYSQTEVDPTADRGWYLRNEDDGEYLSR GKRRKVFSKAGTWTLDGPVEPKNSQQMSEAVAIKYYRSVLRVAIRLDSL JR316_0003968 MNSPPDPGSPISTESSGSPPAEMEESNFPSSSGPTGYLANLTSQ ISTAFGVGGSGSSKRRLPSGPGFSGASARDAKSRKRGDTSRSGTTQWEGSKEAIGGKK EKDELIDNALVEQLRKDIGDPFLEPDFKN JR316_0003969 MLELEKLSAALIVFSLLHGVRGDDEVSLASPNHMDWASLNRTVN GRLAVGIPWTEPCFTIYNGKNVTQNFAQCGFVQANFFDHPARSNAFGAYTLQNYEECM ATGDQCALDWMNPANPLAFNPPQTCNQGSIPDYFIDVQNENDVVAALNFVSKNNIPLV IKNTGHDFKGRSSAPGSLALWMHNLKNMTHESNFVPEGCHTSSQEALTLAAGTQWQEV YEFANSLGLEVVGGAEQSVGAVGGWVQGGGHSSLTPMFGMGADRVLQYKAVTPDGILR TVNSCQNSDLFFALRGGGGGTFAVVLEATVMASPSQAFRVANINWPVTDDNLKKVLSI VVDNAISIASAGWGGYLTPTLGNLVLMSNKFSSSDAEKMFQPLISLTTQMGGVSNVTE FPNYLEWFNAYSNGQSGSQDGVAVPNALTSRLIPAKNHETAAGRAEILDALTNAFANA EFSQLHFTTPFGFKGSDGKDTSVNPIWRSSLYQIILVNPWVYNATVDVKRATYAQGTK AVNFLRDVTPDSGAYLNESDIHEPNHEVSFWGINYARLLQIKNKYDPRRILDCWHCVG WEGPTSPRFKCYI JR316_0003970 MKQDINTVELSAQEHSQITNINLYSGHAEITRLFKFEIQAGSNI VVITGLPCTFQQDSLRVEGKGNASIHDVTVEHTVPPQNSDDNSEQSRLVRECSNIETA LQSARSSREALNKYLSTVHAEKVDIARLESTLDGYMMLGQKIGQKILDLKKELSVAQQ ELARVREADRKAPKVQPFSWKVSINVHGQLTETVRIFIKYVVYGADWTASYDIRVDTQ TSEKNVFILYKAIVHQATGESWDNVPLTLETSSPSFGIKPPHLPTCRITAPRAIPYPV PGSGPPGFVPVIPDDCHYFMPPPPLAKSPSISTGSPRPSLPIPEPFQPVMTQPSMIHG SNKGNIIASFRIPGLINIPNDGGNHNVSVTQLDLDAAITWYAVPATDTRVHMKAEIRN VSQFTFVPGSANVYVDGSFIATTAIPSVSPQDTFDCPLGLDSTVRITYHPQERKAATS GFYSKTSSNTFVQRITVLNTKSIMIKNLKLIERIPVSEDERIEVKLLQPALALPTQSS KTSSSWQKPIKVSSSVVAQWNGVYEAGVDQESLGKDGKFNWVISVPPHKSVSLTLQYE VSHPKNLDLTWYTD JR316_0003971 MSQHDKGTNTISLVASENSKISNINLYSGRAEITRLFKFDIKAG QNKVTILGLPWSLQDNSLRVEGRGNASIHDVVVAKTLPPPRKSSNTIDNTQARNPLKR RKKELESELDSTRHARTALDKSLDTVGEGGFEASQLEGTLDTYFRLGRKVALRILDIE KELLEVEELIKQEEKQRKAAPFIPSWQVSIDVHGKFDENVRVYLKYAVRNADWTAAYD IRVYTQAKEKAVAIVYKAVITQDTGESWDDASLTLETAQPSFGIQLPTLSPWRVMPRQ TTIEYPSGHVRERRRSPSPRGRAQSRSRSPRRHRRVSPSRDSTLSFPYSSGNYDREPE LVHRTVSVSDKGGISAVFRVPGVINVPSDGGKHNVTIAHFDVNAELMWFAIPAVDTRA HIKARIRNESDYPFIPGLANIYVDGSFVATTYIPSISPQEVFECPLGLDPSIRLTYHP REKKSAQSGFYSKTSTQSFTQRISVFNKRSAPVTNLKLIDRIPVSEDERIEVKLISPQ LTPVPAAKAGGKAAAAASQSTATSKAPGSPSSIPAWLRPIRVSEGVMAQWDGIDDPTG GAGLSAVGKTGKFNWLLSVPAQTTISLVLHFEVNYPEALAVQVTSLKPEWAFQWRVCT LHTTTTRICFAIRLQIDINHSYIMNNTNEAQNTFKYIEAACGFVPVPALSSAAELLCP LVLALEALEGLKEDTQCCNILVETALEIAKSMNDSAKEITNRGDKISTNLIHHINEFN NTLHDVVPECYKLMVRQSTLKRLRWQNKNKEVINGLIDRMRSAQTKFQDEILGISNGA DNIRTAVEKYRKLVSTPDPISTPGPTRRPASTDYTHTTTFAPSLAPPPYSKEERQSIE LEHIYVPSNSNVQNIGNYEATFPGPMVRVSTSGIVVGRGAIARNIGNVTTTVSFVSQP GESN JR316_0003972 MALSFIGKPISLISHSDVRYRGILAGIDPQASTIQLSNVYSMGT EGRRPPNQFIAPVQDPYAYIIFRASEVKDLSVDEPSAAAAAPPQHNVHDDPAVLGAGG PAPKPYAPYPNPQLPANGAPSQQPQPPYNASQQGQPALAPVPQQNQPAPTQTGQAPTS RPAQQNANTRRPNPVHTAAASLETVERALGDLRVSGGGAPNHSAPRGGRRGGQRAGGG GRIEGELKVPKTDFDFQSSNAKFEKPAHSPAGTKANTEDGNADGSEADAGDKAPEKEE PAYNPQKSFFDSLSSSSAGPASGPGGQGHRGGGGGRRGGPGSGRNRREEEREKNVATF GEPGGVGLLGPGSYVGGWGGYGRRGGRPRRGGGGGGGRGGANGQSAVQARV JR316_0003973 MSQNAWKEEVLARYGLTETDRLIYDAFTRFTGILEAPKSVLPYL FNWAEFLTFPHRKTPAAPGTLEYRWRGVRNMIAKRSIQDYFWRYCTIHWVPEGNVGTV ERQQSVAHLMGIIAPEALTAALVRHGERSREGTPALGSSSGMYGSGSQESGHGVFNMD FF JR316_0003974 MSTSAQQESTRQSDSKDAAIPARGTPEYEAYYYQQIEESVHRTA AIYPESSIAKGWLSLNPIQQRIIVSSFLPGRVGHNNNGTLLMSSVGSHSETSSGIGDH DERISIKIRHPLLNNIQADVIASIKGLSSFFSFSDISYC JR316_0003975 MRPRHNLEVDLHSARSCTNTTELVHISKDDMMVFGFDDEELFLR GHWSMIKNTLLPTKLHQWHRSERHRLCPLFRNQDRALDIAAIAREFLDSSTSNVMYMA SLWRVHSHSGSGLPPPSQLYTSTFCLSKLMPQPRTRSHSTSGTLSRNFLGDPLSVSPH GGNEQASLHSGTQTSLGSTAKSHRKLLSSQHFKPSRNTVAGPSRTPGSPPVKPLVISR PRAIVRKSLQLPPPVPEKDVPRKPPKVKREDPDSDDEGTLVSAGEVPLRHHDAPKKQI SEVEMRPDGLPATSKISRKILAVIPGMSDDEDQDEKLHNPCDARTRPKSIHWGLGSSP YQPDFIIEREEEATIEPFTSLSPPSFHGRKKAWTNWLPTNNRTQENVSNIVVQVTQHV SCTL JR316_0003976 MSYWIFVDDANPAINYVGPWIPDHGSQDTVGTFGLPVMNTLHGV ATDASLSYAFKGTSVQIIGTLQYSNVSGPVTNPSWECFVDSIAIPVQTYAGAESRLVL CHQDVLLDEVEHTITLNVHVSNERMFWFDAISYLPSSSVSLDGALLTMDVPGPNMQFS PGWSKSEFGYVTSTPGLTFQFNFSGITLLWIGYYDQRLPNATSSGSYTIDDGDEIMFL LNGSLASFTGNLYNQIFFQTPLLSSGIHNLQVTFNGNSQSTPLTLNGIYIQNGTSSTT NSTSTPPSFTTPISNSIFGISTSTISTVLVNFTSTMSTITSNMPREAESPSLHPNSPN QAHYIAPIVGGIIGGLAILTACILGAIYIRKRRSRLSFRTISPLHSIEPI JR316_0003977 MSAEVATQSRPATTESGRGTGKRANRSRGGNNNNRESNRNSRPA TNTKGKEVVVAEGENSQVPVKQLAAVALSEDGDVEICFICAEPVKYYAVSECNHRTCH ICAIRLRALYKKRDCTFCKEPQNHVIFTKSREDPYSSFTPESIPFKDAKLSVSFETQE MMEETLVLLRFTCADDECDYVGTGWGDLKLHARAMHGKLMCFLNYETLERHFNTAHFA CTQTDCLARKFVVFNTALDLKAHMVEAHGGEMSARDKKDARRIQAEFAFEEVGYGGRH GRRDRDRDREPPPQQQQPQPSTSVPPRPAAGGNRRRDGFGGALTTEAGPTPAQSRQPP PRPETRPSTPQPTPESLDPANLERHGAFLTRLNTLAPNPTTAIPAVRAATRGYRFSET SARDLILTVWNVLDRNLEYTASIINAFVDLLDEEEKKRDLLESWNGFAIEQRRQFPDL VPVSFGTGYAGITSGRVLNAKHSTAARSSQSQQVWNRVALAANNAAPGSSTGAPRPKL LTPQTSQERFPALGGGASTSGGAGAASSSQPLAPSFRQAQRTTPWSGSSVQPSTLRSQ SNPGPTSVNMRVSKSAGSKQPPPPKLSSALFPELPSSANSRSKPQVSGNVSLKNILGS SGVPAVAAWSSGSGGGAGPTTQTEGEGSAAPGTNSAGPGDAATTGGGAGKGKKSKGKQ KQTLFTLGSFPT JR316_0003978 MHFKIVVASFTFLATLFASAQAATVVAYGGSDCTGSVGNTVPCD GSCRAFGGRHSLKISGGGTHCVTLYENSGCTSSAKVSFAKVASGTCFRVNTGGPVVSF RCSDSTSCVT JR316_0003979 MTTQASWAPVNETSADLWHERSILVGLFLGAIGYGIHLTLFVWT SHILYTKRMNSKGTVGQFLLLYVVVVFVLGNIGNATNIRFGEMTFIDNRNYPGGPNAF FVQQSTNKVAVLCNSAYIVNSWFQDGLLLYRLWIFSQRSLYTVAFPSIMFLSAVGLSF LLIIELSQPGITRWSKISVNLAIPYWSISIALNVIITLYIATRLLYMRRRLRRVVADC AVEYVSLTAMLVESAALYTINGLVFLVSFSIHSPIQYLALPLLGQTQSISPLLIILRV AQGRAWSDDTMSRLNTMPTQFQVSSRIDTDFSDPNLRGSSTILDSLSFRDISSHDLEA EKGRDSKISS JR316_0003980 MAYPQQGPGPSTYYQQSPPPQPQQSQPPQHSPQAQAQLQSPPPQ HSPQGQHHHIYGTHSPGPRPIQNYYQYPPPGPPPHQYMGSYPPQGSPSRGRGGRDYGG RGGAHHYHHHQQQHHAQHQHPPPHHQPHYSPYSPHHVTLPHPQHPHALPPHHAQQHAQ HVQSYSPQPQKYSSGPTFYPSPSAPVFTPSWQTQQAMMSPLPKQLSMPPPQPPALVAG YSNYYEPPQPLSSGVPSPVPVVQSVEQPAIPIPIPPVCEDLKTDPTLPTPEPSTLPTS VSGTETLPSLSLAVPSTSTATSSPALSPSAPSFFPSGSSPLSVSVSATPAPPVDVKLP ESEPPLEVQHAAASTTPTPQEPQEQKIVAPATSTSSYARMGSIATEPTANTPTSTSVV FIAQAFSSSSPRTSPALTFSALPNGVSSTSPTYDTITIPIPASSSSISSFPSSSSIST STLPTSQSSSTSASTTLPDVPLPPSLPHQPNPILQWAIWARRPYDPANAPGIIISPRA RPPMEVVQAAMGGKGPGVGEGVGVGGPKVKDREGAVDGEEKDKAEKKPEEKVAVSADA SFSTSTSTSTSSAASVADAETTVPCSPASSHTSVDASVVAAVVDDASKPTSGEKESAK AKDLKEKSESSTPKASSNTDGPTPAAPAGSATLDAKSSLSTSATTEKESASEQSKEGN TLIPAAATSTITPATMTKAATTETATPATTTPGTPAQSDALQLPSTPTTSTTAAVPAA ATPPVKKSWASLLRPATSSPSTPGASGSGSPSGAETAKRSALPVSNVVGFSIPATALA GTVPNASPAPAVPVAGAAIGITGARRADLITLLTTGPPSPVPPPHSASTGGPINFAAA AASANAAQTYAKQIEAAMGATLKVRPRGLVNSGNMCFANSVLQVMVYCPPFHRLFGEL GRVFRGLDGEKGNGKEKGRETPLVDATIEFLREFVEDKKVKGVNGNGNANGSANGNTL ASAFAFASASGSGRGKGKEKDISMGEPERNEDDWDGESFLPTGIYDAMKAKKRFDGMR GGHQEDAEEFFGFYLDTLEEELLGLLHAINPPPQPTTATRQVNGVEEKEEAAPPEEDG WLEVGKRNRMVHTRTIKVTESPITRIFGGKFRSTLRAPGQKDSVVVEDWRSLRLDIQR DQIHTIQDALSYISYPQPVQVTQGSRTIEAQQQVLIEALPPILVLHIKRFCYDTAVGG VVKVGKQIAFGPELEIGADVMVPAAKKSQPVKYKLFGALYHHGLSASGGHYTLDVLHP NRYPTTSSNASAPGKQQLREGWVRIDDNLVSDVRPDDVFGSLEKDESKCAYLLFYRRI JR316_0003981 MPDYISRWKGEHLASSKAYKACDLRDGNPCPPCLEAIELEKEVE AARQALNNLLEKKNDMLININRYHDPLCSRLPPEITSKIFECYRDLLEDERENTSTVY RRREPLILRLGAVCKAWRDIAWSSPFVWNHLRIYPTKSATQLYNDGVEQWLKRAGGLP LFLSIKLNEFRTKHPINDRLMAIINRYSHQWREFSLECHPDHLVMFSGNSNGAPLLSR LSIDLCVTNPPPTAQFCLPSMEPRPIYVKLSSVSLTRLVMKWDNVTHVHFSSIALHEL RTPFQQATRLIKCYLGSTGRVDQASLESRISSASRQSRITSPLKSLFLQHYSGQEVLL LFQMFSFPKLSILELVAIRKVDINSLFSTLNPTSIHLKQLTLNLLNSTVQTQILLGAL QRFPSLENLTLNSKTPKDLLHTIGNNFVANKSGDFYPTILPNLKDFTLHITSEWDIDL WRLIPRIFGPWTEGDNSSNRSNLKRVSIISSDNQPQICVEKDVLERLLELGRSRFHLT AWKYGDLIEASIIYHGL JR316_0003982 MTICNHRNAEDTLPIPLFSDKSQQGHNALTLPAEIIDVVVDHLA SDTDQNSSRRALLSMSQASRGLCMRAYRHIFSTLQLTLVREHEPKRSEAMDKMLERLI ETLLCGINFPGLGLVYYLQCVSITLKFNRRENIPLLDSFPLLADILKAFHGRDHGIVM LRLQVNTRTRMGTVVIPPIYLSERLNPNFSKAFFDLCHSPRLKTLHLSQVGLFSRTLG STCIENIHLREITLEDENPGRVVEAENVKTFQPVSMTLISTRRFRHLPDPTIYSPVAV YPQNDAGLEVSNLVEYFLPLDHYQAQTFESLTNLTLLDRDRSKIQLELLPNLRQLKVI QRLTFHAVSRFGYSNLHSFFQSNQGVSRNIGSTKIIVVETDIHFLGMFMNDNRHDEKG FSFSRLKPTKECWEEVDVHLASAYTSLEQINFTFRFLVKFDEGLPSGHTLHSFVEENT RLISAYFPRLSKSPIFALNVQVDNQEYPLVVPGIDFRPLSEAVKV JR316_0003983 MLAHCRDTRPAAVSAAAADISRILDPSYLPSSSTRPSPTFTRAY VDKNGDLHDPDYRHFPSPAHKLPQRQKQHSLSSSYSHSSASSASRVSPSTTSSNTRRP QFDWEIDLDESALDDESEEWQNHHQRNRYSAPPTRSWSTSSHTTNNNAYTTRPRESSF STMSMYTPTSTYYSPTGTSSTLPTSYEDDTDAVLSESPFEEKVHALQREREREKKHRR RLSKGDREKERMRLREEKERAQRQEDEEREVREMREKMECQEEETTRQRRMSVERPLS AGAGRRASRQVYDLDEDDDAFVTAREESTILDDADDDSAHLRAPTLVSRVRSRSRSRS AAKNTATSTLAPTAQESEYVPSCTQSLKRQWQAFALGFRFGVFRAQRRVMRRVASLM JR316_0003984 MHLPLVCKFNSAKLVVSYSSNNQSRRSLASYTSTLQHWAMRSVT LLSQRDTNQVANLYDTPDRADMEMSWKVFSKIAIFPFIPPIVRTLIHCVEELVSYRES ALSGHFLYNTTGKVCIMMCRISSMYYHSRKVIRFLAVGCILETVSSIIIKVYLDKSAQ YRPDPVKGIHVCTKLPNQYWVFAYAIPPILFESMLLAMALSKGIGYYRSRRNISGLSW GQPTLTQVLFRDSITFPFVTLMVIVSYMLTLAHLPIVDNQVSFIFFSFWPSIAGPRLI LNLRDAYYKPFEEECSDLYIYGDHETISGTAA JR316_0003985 MGDDRAKWLLEDRVHSYVTVATATCLVFDHLTTFADEVSGIDLE TPRPLLCQSSLSNTKTLLRKAIPPTIGPDNPVYLVLGYLVMVPLFAMQGIMICRISSM WNHNQRVIILLSASFSAQVIAAVVMKIAVDRMSLPIPDPVPGIHICLKAPQAKWLYLI VVPFAIFELLLLITSISRGIQHYYRSQTQIKWSFFGHRSLIKILFRDSITFPFIELIF SKVLLVFNASQFILGQLTLDISIFWPGVAGPRLILNLREAYYQPFEQECNVVHNDIGQ FGNIRSVELFQ JR316_0003986 MSSNLGLLAEKAAKLAGTPTTTAAPAWRQFPFFDAVTVKDVHDL SSTPDIFKSTPEISTAIASSAGTLVADIHGSIHLLNRDFESTNSWLAHVGGRVTHMAE RRGILVTLGEEDAVRSPLLKVWDLQNKDKKTGVPNLLRSTKVQLNNRPHPVTTVALSA TVAHLAIGLGDGTVILFRHLDQSLATSTSLTALPKARTVHESPTEPITGLGFREPVQD DESPNSYLFIVTTNRVLCYQASGKGSGGNAVVVDEIGSGLGCATMDRDGKSMIVARDE AIYMCGVDGRGTCYAYEGHKSSIHTHQNYLVIVSPPFFPTASSASATVRNLVARSPNA TETDVTKVTVFDLPNKMVAYSDTFKQGVREVFSQWGHIYVLSTEGNLVCLEEKPTSAK LDMLYRKSLYAQALNMAYTQNLDKSTVADIQRQYGDHLYGKGDYDGAMQQYVQTIGYL QPSYVIRKYLDAQRIHNLVTYLQELHSLGLANADHTTLLLNTYTKLKDVSRLDSFIKT ESRRNANDSDELPFDLTTAIRVCRQAGYFEHASYLAKKYDRHEDYLKIQIEDAGNFSE ALAYLRKLGPEAAESNLARYGRAMLDSLPEETTQLLIDLCTTTGPLTPTEPTEASISA PKPAAPVPSYLSYLALNRGATATTVVSSETATPPSPSIKTARADTASRRDSVHDVSSP TTPPPPTTSSVITSRQPPQSAVPAPPPVKPLSPRIYFPHFVDHMSQFVVFLETVAKRR WGQSVDDQSPGSIELYGSQKDDAETPEVDDELVDKMDQVAVWNTLLELYLTLPNNKGG PANKSAQLFEESTMRDKALRVLRSSSIPYDATHALILCSTYRFTDGLVLLWEKMGMYE DVLRFWMDKDKEGNTPNASQKVIEHLMYYGKDHPHLYPLVLRFLTSTPELLNRHQTDL KDILEHIDDAQLIPPLGVIQVLSRNGVASVGLVKEWLIKRIKESRSEIQNDQALTKSY RLETADRLKQVAELTNTEEPRVFHVTRCSSCLGQLDLPSVHFMCNHSYHQRCIADNEA ECPACAREHGVIREIKLSNDKLADRHDLFLAEVEENGFEAIASAFGRGVLNVARQEEV S JR316_0003987 MKGSRRKYKIRRRCFVHDDEWDDLTPSYHPDGPVNRSPPRYRKR WSSRSRSRSRSRSQTPRAWDGSEERTAGPSSSSQVPTLSSRITDHPAPSSSRAPPTQP PPIRTASLGGMSSAYSPTSAQSGSSRILSGNIVTSPNSAPTEPKAFRAFQSAHKSTNP QSNSPATPIQMHHGEASSSLQPAPPPPPNHSPPMQPPQPLPDVPDVMMVPAESQPADR KTEDKKEFWEKRTASIAKSTRLLEKVKELDKSCKDADHVLQSSFFAGLPEPERARLRE QYQSLLTARKDAEKEYHAIRQELANADAWPTGPSATFQAEEDVLAKQKEISTYLKELA TMMQEVQKVFGELPKYNPPALLSQGAGADEDGTAMDVDVKPESSQAGRKRRRMDDAGR ADADGPSAEEMQEFITTLTDLEEKISTLRNDMTARDAETREDLENLISTRIDENNFKN AEIAREREEKLQEEEKTRNNLLGALTTEVQKAGDDIGEIATAVGGLVLRVADLEVELS KEKEAHETALSRLAVVEERLSQYGKSQAEYTRNIDTLTAALEAYKTSPPTPPVTPRNP SAAYVISVIEERILAGLHSTMVPFVEELRRDMENLLSEKNREVYRVVWDKLGKTMKLI EKIKARVDAGVSGEGVARGPNQA JR316_0003988 MILKTLKERYMTTFPKADSTLINGKGRYPKGKPAALSVVNVEYG KRYRLRLISITCDGSYTIFIDKHPFTVIEADGQSVVPVRAIDALTIFAGQRYSVVIVA NQPIGNYWIRAQRGVVQGNVDPFEGGLNSAILRYKGAEEVEPVPIPYIPPNRVLRETE LHALIDPEAPGKPEQDGGDVNLHFSITYDEKTKMFLTNGKYFQPPKVPVLLQLLSGTP PEELLPEGSIFTLPRNKTISISMLPGEFDTPHPFHLHGHTFSVVRSANTTDDPAPKYN YRDPVRRDTVNLGKVDSGSNVTIRFRTDNPGPWIFHCHVDWHLERGMAIVFAEAPEEA RKEIHPPEEWHYLCPVFDNLPESLTSISTVAIPPPTATTIEPTPFINLL JR316_0003989 MSELDILNFTSVSFGASTLSQGLRLKARKLKINNPWSALLEEYD PTESTGILDIFSGEQLEHLTLLSTTYCPEILRSLTDQSNCTRLRFLCLDIQVKDTSVL IPFLVSCPEIETIDFTLRIPPSDSYGVPVPDTIPSYLQLPDSALGNLRSISGPADMIV AFVPGRPIQDVTIKCERGHCGLYVGRYAPLGPALACLSQSTLPIKNLVVEADASEKNL INVITSNLPDLSLLQLHLQNRQVPKQRLSDSITGRLLQIANEMDDDVEPIGYYSKFMW DFVRDIKYMLYPSLREVTLMAGEDEPGSEAFSWYKPPGGKWCLRQSRRR JR316_0003990 MSVSVVLGSQWGDEGKGKLVDILAADIDVCARCAGGNNAGHTIV VPVNGVKKTFAFHLLPSGLVNPACTGLIGSGVVVHVPSFFKELDDLESQGLNCTGRLF ISDRAHLVFDFHQIVDGLKEVELGGSSIGTTRKGIGPAYSGKASRSGLRVHHLFDEET FAKKFRTLVEGRFKRYGHFEYDTEGEIARYRELAARLRPYVVDSVVYLHNAITAGKRV LVEGANALMLDLDYGTYPFVTSSSTAIGGVCTGLGIPPKMIGHTIGVVKAYTTRVGGG PFPTEQLNDVGVHLQEVGREYGTTTGRRRRCGWLDLVVLKHSHLINGYDSFNLTKLDV LDKLPEIKVAVKYLVDGKELAGFPADLELLAKVEVEYVTLPGWETSIEEITTFDALPE NCKKYIKFIEDFMKVPVKWIGVGPGRESMVTKP JR316_0003991 MAVFTSRTTRPPSSLNRLVRKHPSLFGVPFVLIMVAASFGLTTF TQTRYDLHDKKVKNVSKEQELKLDQNRKKFDIREEYYRLSMATDDSWEQKRIARPKGL PEWGVPPTEPPPKSG JR316_0003992 MSHLQHQTHYVHYSPPHLQHQHQHQHQQLQQQQPLQQHAVTHVA PQDTQHQQNHHQQQPQNSVASTSTATEQTQQPAASNATPAAPLIAKGDWTKDLVQLAK TAELKKHALTLQLHTAHILSAHATLEQKGKAIQDLREQKNKLESERARLLASLAEVNA DRDKVDLMEASLEKECRETRTKITQLTETDYAVAKADVDRLRQELGQPPLPSLQSTLD EKTSQYLTERRISGNDTQTTQASSTAPARSASALPGSSAASTPGSGAAGPSASTSNPN NKRTASAMSGQQNDIQSKRPRGRPKGSKNKNKISGNDGD JR316_0003993 MVQMKVTAAALIAAAAIAPAVATYSYNDDSLVARDFEEYEDFAA RAYDMELEERDYYDELEELATRELEDFDLEARDPILGFNHAKKWFKNKFRGKKQQQYD ESQSQYERDFDEELEAREFDDFELEARDFGEEGHHGQGGHRGQGSHRGQGSHRAQGGH RGQGFRGQGALRTQGHRGQGHRGQGVFRHPHPHQEQQSEQSSQEAREYDELMTRHYDE LLERELGLLSDEEILERDGLLSDEEILARDGLLSDDEIYSRDDEYELMQREYDELIAR EPGVIDFFKNLFKSKKQREEEKKKKEAQKKAEEEKKKAAEAPKADDASAAPEAREYYD IYDELD JR316_0003994 MFKSALLLILAAASVHAAPTAAEACADVTVIFARGTGETPPIGT IVGPPFEGALQAALGSRSLSFQGVNYPANVAGFLEGGDPNGAATMAQDISSTASSCPN TKIVVSGYSQGGQLVHLAAKQLSSAVQSRVNAIVIFGDPDNGQPFPGNLNAVEKTFCA VGDDICAGGDVILPAHLSYGADAGAAASFVVSKL JR316_0003995 MSSSSSAYSSSTLQSILQIQASIAKYLDFEGCLKFIELIQLLKP TICLSQQPGLAESDAGEDKCPARLRLNVHTFLAQSLSVDHEAMKIIWRAMANIAWNFR VDESCVHSFGQRHIQCFLDYGRQNGIAFYHLMPPVRHCLDPRCVIKPKTSKKDELHRR PLKEAHSRSVTVFTQSFGPIPGISTSMFCSGCQTRYYPNYWVDRAQSTRTFYRIHRTF LHVTEGIFMDIATLELFTTMMLTSWTSASNCARIYNEAIASKSLSSSLPAAYSKSMVL EHNDVWNGLSLFWLLEDSEEEDEVLQIDHVAPSQAIRLRKALKRRNLRMAGTGQEAWN HVCDLCCWYNDLPDGTQTFLRSVVTDGITIGRPTCSIHDCDIPLDSVKHRFCPTHKDQ NLICAVTSCSAPIDEGYQTCSLKDHRALEAYNDIHNKAMFQLKLRLARLKTSQPTDAF STDDGQSTIFGDEEVLIDANGVCDEKSEKGNQTLRARFGRRRTHNEELCVASCGVILG RATFYGSEAPNGVRTFWKTLFPTQKSLPGVLWHDNNCRIMLMLEKEKDTYFSHSALPV DVFHFKCKHKAQDDKCNANCNPAKWPELMTPDGKWRFNSSAAEQANAWLGGYQAIVRE MQADRYEFFLDELIKRRNRNIIKDLEKKGKNPHEIPRDFLLKPDTPRVD JR316_0003996 MTFDDVRRLRVAVFGAGMGGLTCALSLAHEGFLYIDVYETAPNL GFVGAGIQLAPNMARILDKLGVWKKIESEAVLVKSTSIRQGTTDKELGFVEFDSVKDK YGYAHMVGHRASLAGSLYEGCKAQSAITFHFSTAVSEVNFGGDDRKPSFLATPLVGPA VRVEADIILAADGIKSLTRAAMLKELGSTDHVVDSGQAAYRIMLTREQMKDDPELLEL IDADRVTRWIGEKRLLINELADVMGALTRTPTDSELSAILFSVQLKSGIQNRSLPFCD SRSILSPQCCPQPHNLISLINRTNVSQLDLSVPE JR316_0003997 MNPDNLPPDPTISPIGLCPGRSNRPCGRPMPPIAIYKATGSPSK RHLKGSLCQTCSDYRCLYTYHHTPAYIYEDAQRLLHRINFPDDPQGYPRTLRPYISIS NTANHSSSQPNQTQQRRAQSNSTGVIPIPISDKLLCTVSTCFTKSGTRTQGSRTCIEN KCKTCCTKACKDAISKQAFRKACHAHRQPENVPYAPDISTPASPERISQVHSPPLPPL STQSVTQNISISPPPSPFNISASQVSPNQSPNQDMVISTPPATQFGLGQVIPRGLAQP VGGTWAQKRTGLLSHTRNLKSLKVKQHEMDEQRKRTCILVVYHTNGQPPIRLEYYIQS FPHFRLSDSAELMKNLELQPTTKLDYWKGEWVTLTMESVLTVEKGQRLILKIRPSLRE TLEHCPGIEDELKLQPKTSQALLAQQHTTLVSPIRQSAIQEASSLTITHSTSRNRSAS PILSNHIHIPRKKRPHGYRTPNSSDSDLPPPPKVLKKSHNLPPPPKVLKKSHNSTKQW PFDFKVYQIHNGFLQMQETLSKSQTSRSNPYMKSSKKSALSIRPRSRISVKDAFYAAF PDASFHKSTFYEHKSYWKTYDKDIVGYFVDMGNSKQATYRSLQAALKNPQDIPDTFSE SSSSDSLDDSSSSENISPSARVSPLSHISLPHIAQSNVNGGLLTKEKISSLRHQIQGD KELLCYVLMDPEESMFFCNSRKTFEASCSQTPDKSKNQLLLDCIAYYGPEIQALIISE LREMFPEDSEDIDMSILQPLTYDSVIEEVLFPETVTLLIQEDLRISMLEVMQLLQDKH AITKDAAISTPTIKEEKSDSITLTGSAKGKERAVISGDIIDLTVDSPGQKPIKQDVSA HPGIAFGTWEIIDLTLEPDSL JR316_0003998 MSGPQSQFSTRKGSIEESFRPFEHTKRVQRLYVPENPNDPDRVF SEYDWKNQTYTTTLRSVPQCPPAPRLPHIEAFESINDANSSGSRSILEETVALTSRSK NIPRQNPRSIPDEALISSMLLSTSPLSISCNATSSYDYPSSPIARTSSLPSPLEQSII ANAELDRDVHFPSSPSVRSSKRLPENRPRRVSFARRSRSDSRKTMVDEELSGHSNPHN STAYRRNRSCTWSSDVTAVEFSEDSVKLLDDECVWDECDDGDDDELPEVQTPEPWEPR GEAFRRRTSLRRRRPSMQSYLEESAEEDIGESLSAEDFTEEVEPRKETRVEHILSAIH YRYVSMGLRVQLAMHRTEKKVVRKLSSRRKN JR316_0003999 MSATTSTSCHISPHSFAVIPISTHSIPIPNPFSNPHSNQSPVEP SKATRTYPARQKFSRSLSFSTVTTIQNSRPPLMHAFGANALASNTSFDVYGGDQNSSF LEFDAFAADHSSHFQYLRDVPTEAGLKSGSITTPLVQTNSPTRVRQRARSTRQRVQEV TSTSGTRSIAKGSRAVDGASERLKRTESGGDISGYHQGPRQENNKPANLRDAEAAAQR ELEEDDYAWYYRNPHRYVWRPRNARKRMHRRRRIIHGVAAGEEGAPTNMSLFIAYLQS QQYSPTLIRPLLVSEARNKSTSVTEDEGPHSMALVDHDDEDASMLSMPDAQEDLFSPN ECHFTDGPEHEYTSYSSSLESSDSSCVTISSAASSPQLGYDTRNDKNYNEMVYHWTRR V JR316_0004000 MSFLQSIRRTCSKRTSWVWARSYSAANVTPPSSEGSKNPAASST TSQSAPLSISSCAPDTVLKGVNYLKGQAPVVARPDEEYPPWLWNVLKPRVYEDDGPGG RKERMERRKANRQAIKDRNFMQTQ JR316_0004001 MPAVTKPDGTQDPIVVPDDAFRVLLTGFGPFLNYEENPSWLSVK PLQNAILYTDLLPDALLTKPQSTAGSSYPTERSQDPALDASQPKPIHITTLKVPVIYD SVLSIVPGLHARPPVLPDDAPPECAEPPDSNYDFIFHIGVAGRGPLRMERIAHKYGYH MKDASGKLAPAVKLSTKDFRRGDGGVMRVGEGTSESSSSFGGVGGNTLSPAESMERER LGLDMVEAGGDTIARPTRGFGVGYESFPEELPTDVDVTRLVHDLKQSGVEVKRSSKPY EKRRNTQVLFLHCPPVNQPLSTEEVTDAIKRIIVWVCGQQQLHDAKDDTAIATGVSSS G JR316_0004002 MPTDMSTPAGAIINDSDSSIRYSDGWVANMSVSVGPNGQIPLYN SLHITQRNADFSFSFNGSFISVMGLMLPSGQLPKWECYIDGVKLLSLPLTDGQEHLPL CSQSLGDGHHTLTVAVNATQDNPLCFDYIQYHPSSSGYTDDMDKVFNPETAVVKAGDW PSIPSISSGIMTREKDSKLQIQFNGVSMTWLGLYDNNLSGPPTTATYTINGSNPIPFP VNNFASNFTPTIFDQIILQTNDYPHGLHNLEITYNGDSNNAPLTLTSFIVQNVTQPLS TLSNMNTTSSSTMSPNPMTTSSSTAPNHHRPRSTGSIIGAVFGALLLIVIFLLCLLFW RKRNSTKRVGLPESSGAIRPFNFTYYPTVSRNASSPPVNSKASRAFPTDGSVRGALPS EKIQRMNNGRTSTSRLQLSSAVTSVPSTQSSPEIRVHHDSGLRISQPEDAHVIDVPPI YSPV JR316_0004003 MSARRAIIVDDTDSRIQYIGSSWFQDQGSQDNAGNFGPAYQSTL HGTRSDASLSFSFNGTKVSVYGSNNLRNDSGVLDPTWECFIDNISIGKTAPFQFAENN WLFCDQDGLLDGPHILTVNATVKKQQPFWFDNIQYIPSSSLSLDNAAVMVDSLDSELQ YGSGWNALGTTANFTQSPNAIFTYSFIGVSLSWYSFIPAEFPHTATFASYSVDNQVPV QFSLKGLPAGTTTTIYNQKFFQTSDYPMGSHKIVVTYLGSSSTTPLTLDYLVVQNGTS PSPSGASSSPTTSGNAGSAGSSHSSNVGAIAGGVVGGIVLIILATLAIIYFRRWDRKQ STKPLYNHKEHDTGDVIDPFQLAPSIQPAPTTYSQYSPLPTAAGGKTQHRTIPSQTTT DLNSIEPPSSASGGIVPPTASSRDIPSSFSRSEDTGHLSTPTNEVHAGNSLHQDSSRL AQPLYQGMSEKERREAEATAAALRPQQHAAQQIVTSPQSASSGTGSSRLIMHEDSGIR LPRPTSEGVVEVPPTYTVD JR316_0004004 MSIPRWVAVDDADSRIQYIGSGWFQDQGSQNDRGNFGASYKSTL HGTKSNASLSFTFSGAQVKVLGFNNIRNDSGVIDPSWECFVDNISIGQSKPSIAVDNY WTMCDHDQLVDGQHVITVNATVMKEQTFWVDQIRYVPSSYVPLDTAAVVVDNTDPAIT FGDGWSNLGNFCNATNAANSIVTFPFNGASVSWYAFIPETPHTPTTGSYSVDGGPPSN FILNGIPINSPVKIEYNQKLFETPQYDPGPHTLVVTYLGNSSATPLTLDWLIVQNATA TRSSNGSSTTQGGSDVPSSKHTKNVGPIVGGVIGTVVLIGIAVCAILYFRRWERNQKK KPILVKEAQLGADDNMAIVPFQLSPSLQTGASGSFYANHRPQGSITTFTTTRHGPKPS QSTVDLKSTTPPSLTSRSRTPIPTPSGSQGRSVSPYEGNLANSTRSDPLLSARNYQSP PPIQQPIGSEKERREAEATVTALRPQRFPVRPPLEPPVHSSDSGSSRMIVHEDSGLRL PRVSQGSVLEVPPRYTVG JR316_0004005 MALDEGNTEIMHMQQDQPPKVGLTIDPAQDFCPPDMVPIHPPPE STDILSVQVPPGTVFSSKGVDVFRRSSTPLDVTFTVKAREAAVLILQNGGLREDLIST VSIRDYVQKHALKWYMFVNQFGPGNIICPNGSLYLVTGCDKANSWASACYPLDYKQVG RVAAICRFQESMSNPWEDSINMECRIAINVSQWAEHLLRNRPPEYISFYNVLIIPVVG IPARFQSFLEKRLKHPESYTSEPTELLFHPLEIILRFLIEEFPQSDVAIIEDYLWTSV TNPEARTRLLLSIVYLSLTLIKADSDTISISRLLIKILELNVVASQNGWVTLAPPPAE TSKKTSSRSIFLAIPTLIQNKYLRYQKRRCIARKISRIFPGPPIPVEQLQNKP JR316_0004006 MGIGSRHAIPLWIPYPNVNLSAHLRPKHCSVGDVGILRHDGSFE VVFNALMSRAENEEMHYKITPSFQPLVLQVERVPLVSQSTSYMTNNLVRCNEEEIGFQ TILVKGKHQTAASILVLPDNAIVERLSDLNIRLIIDEYVAQHCVSWYELLNGTDTGAR RRFPNGTLKMIGACYKAKVWSAASIPLLSEEEAASNLKAKLLKHSSSESENSIYTWEA NHTFNTNRGSSNPKMNYHSEYSVAVELYTIKKRKPKSTSSGTLLLSSFTSSGIQGSME SQE JR316_0004007 MDDVYMDQMDLKTLYRFSWTCKELSDRVSGYMRRAFRPENLFAP IFKPDEHLPFRLLQFKTGLVISGSTVLHFINCANTWIPGDMDMYVEHRYALPVLSWMM SIGFTIMPHPKYPDNATAEQILKMEKIVLQEHPLHRHYNTTGVVVNLWRQGSFVQLIT TCQSVVECILGYHSTGVMNFVTFEKVYSLYPNATFGHQVSLLQPPANLKRAQKFLAKY HSRGLKFVLSIPSQTLKMDRHIRSRIQSIRDNLNVGYSSSRGHVLVELHYDPRPELFS PGIRRVGDRHCWVYSLPLLPEANQTSFIEANSWALLLDEFDCLHFGVRRISGITLDFH YTAADVYQLHERVKKAIKSWERGIRQKDDRVHATVLYLLSRKADIFWLHRPRPQSLLW NGYL JR316_0004008 MDENYDINAATDQDSELKLEEIVNEQRLNPSHVQLCDADIQKYE ITEFLTPKSWRVSDFDVDIGAMDEVIFRLQGIVREKILPPMAKPASRDRMVKQRPYLR TAIAITGLGDIAFDKAMEKLEEVFLRFTNNFPADSVSGYDPVLHKDTGFNVFHAHSRY FTKVSAYQDESDNIGFHPLVDPDNVLASMVGDGFIHAIDNEVQFLRREILPDGTARYY AYNPASIRIGDIVEISVAFVAFPAQENKYKFVVALRGILVLDQEAREKADILRMRSRY TPAKRQVAVLRRTKRQLYEGQIDIEDTQQRMARMRLNEDTVHNSNTMSQD JR316_0004009 MPPVLVDLHFYLSWYDHSHHALAVPPVSAAPPARKVANKRVAAA KVVKKTADMDAQVKRAAPKKKTTKPASNVVAQQPPLSEVPPVQPKKDHVTVSETKFRP GNPMLRQGAPAAATVNAVEADEEYEGASDAEEVVTDGELRDEDDDDDDHDDDDDEDEE EQGGDSEDLDDFIEDDEDALEDEEYEEEEEEDEDDKDVEDDLNNLEEQGGILTDEGED IEEPIKNVPAPPIDDVFTSAPTLRKSRKIKRPRESTPTPEFPTHGSQLPATPATPVAD RSPTKRMRTEPPPTPSPTKRATPKKKASETPATPARRSARKAAAETPSSRMNALSIVD IGTPSSIPESKSPSKNTRKVSTPRSKIAKKSQAPKAGTVSVATDNDTVVVSAPVTASA IAPVVTSAAIPAPSVVPPALGPRSLRNPALLVYNSDLNSVQSAALPFACEVSVGITRD PELEVKGCYKNLPHLRQIETFSNVDTTQVHGLVGFSQLQQWHGANFPSSFLASFLNFS IEECFANLGRVSPLLLKSQSMWGQRYELRNASGEPLQCLTPIVTTSSFLHEMNPRLTW GGHYVQGVPMAYWWDRALAVIGMVTHQPKLEVPSYHNAIQFTTKNKPNDKSADEQMSS TAQTLPKSLFTTAPAVSLKTTPQPKKKATMLAYSEKFPIYDLRTLLKDFPEKTFTKEM LEDRDLYLPLWNEIPYGSLVIMSHMIHIAQPATGGNHFKLFNYAQWGFLLSSPTVD JR316_0004010 MDSSTLQSANNHSPIDNTTSTDVSAKDYIEFVRQSLSNDSPYLI ALRDNPLPTCMGCTNVSKECKGMNPIRCQRCIIFKRVCEKKKSYIVRSSIAHFGMTET VASEMYALHAQSIKTKHNLKLSGTTEDASGSRAAMSPSIFPKGMPPPTDPFFALSDKN LPSSKRTSSPSTSTGLLHQSGLPKTEPVDSVMTAGNENTNMPSKRKRSLADDTQSRVF SPPAQHRGHEHGGYFSDISSVGRFDPRNARPSNGDDEQASKGNDADDDGENHSLRGSD FKDSNDEDSDSTEADEKERAAKKVRLNAAERARSDSRGATPEDFLHPLNFGYHRRAMK DKVARCNKFNKEALDSAQTEIDHLKSLLETTQKHSSFLSGEVNKLRQDVQLLNENNIN LSTALHMSRLEIKAIQRRLSEVVVIEKKIMSDCPEDTLNQERPNMEQSEQSDQDMMSV DRDRSSSPVMENEDQDLLRLCDRSKDFREAVPQSDWVLTMANKLDVYTDRVVNEKSHE FIAMHDFFKLAPGHPMKLPNKSNFVNLTGLMVGYRVFDIYAQVRGLHKEREVVMHKKL KTATGPTRFWNYLDNWQKVLLDAKKSLKSLRLFSSPTSSDNSQGSSPLCSLLLHMSQL QGASRGIIILQNFVLACLSVALLRDPKFETIDLPKSPMEFFEIVNNLVPDNTRYDFTE NVLTSNRNHDVRNPLTLAALCSPLFLLVGGSLVAHHFDKKELFLVSLIPVMTAKSIGN DLDSNLKEVVSGLWEVIFDCAEGKSQPATALISWLEGLHLDDIDDGGWYHEGDSSESL DNVLAFSLAGNTVPASWQQTVFVAEVNKRALLTSGPTNQPSTSNATGGNAADAIPQET APHTQSSQGNDPPATPGVNPTSTEGRNQVENKTMDDDAAGESGLPEMPAQTAGQTDNT SPTTSDPTQGKEPEPPNSTTGQNACDDDADKDSDSDSDSDSDADVDADKDKDEDKGNA ADNNADADIQKAAPTSPPVVGGKRKRPSLKKRLLSKVQVPRVQIRYTTARPAPRASKV AVVNRFLSGCTAEVKVEAIDIEDLKVDTELPITLDNTKQKRVLFKQSECLVVFDGQGT EIQLRPAFHCGIYAKLLKDAVQAASQANIDGKPVHAASPSKSKIVVMDDLFFNNSHPP KRNAALQNGVIVVLGCKLRNMSFDLDSLSRICDIHKVISVQDQSVDPSDNTDNTGMMR TSTLATIYANKSKKTGKILNALDLPEFFFCEQTCFSTDLKAWQYTQGLAFSKDQIPPI SDIRWWLVATADAIHGWHIDCDGLGTIITCEIGMKVIFIALEPSSTSSTPSTSSIDRF ANDNFDPIRMVKDSWDVQAICLQRGDQIVIPPNTMHMVYTAEPSICFGAHFYSLHTMK ESLCGYIHSFLAHSICTNTAHPNTRSLIHRLVSFYHKSVTSDSSDMPADVAAHLPDLN SLKNIISIISLCSLTILLHVLSPETYTFPEPPHGREATPSATHKLQQYLNETYDLNTF HAADRYKYMHMRALAWRILGWLRLGKLRYKPTSTSKSKSPFPSTKEVHKPDANGYFES DIGDDVLTPFMGNLVLSLLSYNELADQHELRPPVPMTIDAFRSQLMGAFVKAESVTEY VQRHLADAPRKDLIMDFDYGFEWKFERANASQQDIKDFLVNLSGITAQDELYLHGQET NWLIYQTEDVMDVDSDSNSGEEQDDANASVESEADDYDPDLDRRPSKRRK JR316_0004011 MDRVYMDQMDLRTLIRFGRSCQELNSRVESYMGHTFTVSRYLEP VLLPSEHSSFRHLQYDTGLVISGSTVLHFISRDTSWRPANLDLYVELRRASPVIAWLL SAGFIYLSRPFDDDYETAQSALNKAQHILNYNKERPGRDNCRGVQLAFWRNCHFITLY ATTHSVMEAILTFHSTGIMNMITHDKVYSLYPNATFGHQLFLLQPGFDFKRIPWIMMK YHAIGYSFACSLPQNTPAQFHAYTYRTSDVKNALRVSYHDETLGKAIRIKVNLDPQSG SVFRSGMRRVGDSQCWVFKLPSLSVAEQDQLIEGNTWSLSTDKLGCLHLSWNRLEYLE LGGFYTSVEVPLFISLLETILRTCDTDSALDNCLLNNVKGLLTDDEVISAANKHPVLS ALWDGLHIDG JR316_0004012 MTKNSDGSALTAGKTLARVFVKDGVTDVYPAGSIDIDAFNKRMR AAVAAANVSDAPGGAPRDDAVDFEARKRAIAVMKGVRAPAAVMRAVYEGSTPFVTPLA IAAARLRK JR316_0004013 MGIEATQISASPLQIKFVVKGREGAALVLPNGTSREDLADPSRI RAYVRMHLLKWYRFMNRSRIGRISVPNGSLYLVTGYDKADAWAIACGPLSYSRTGTDM SIRYQADKTPVWQDFRGMTGNSNTPSDTVQSWAVFLRGMKLAVSNSDWVRYILHEDIP ELPFYNVMKTPVLGRRARLQTYIEHRYNFPAKYLSESTHSPNADVAIVDDWVWCAVAD RSDGSLSRVAHLLLRILETHEIVIQDGLATLKPFSPTQSKELSVQSFRQITVGLSRFR RRSNIKRKLDKIFPGPPVPQEQFEDSD JR316_0004014 MPTMQGTILSTDGINFSLAFNIDDLPMYGSGRFLSSAIPSFLSK NATLTYVNKDDLTGTKSVTGVVGKATLNMLSENVKITGNLEVPFPTEIPMTGQITWSS G JR316_0004015 MGRQLRPRRSKANYAAALAAFDSEDENDNPVASSSAAIDTLEDP DSGSDFNPEKNKNGKDNNDEEEEDEDEDEDADAEGVDDITDEEVEEEAPKPTRSAETK TPPAKGKQKAKAESASVGPPPAKRQMYALPARSVHHRHRAVPLYSREGRVERLTSRPR IFGAPSTSLTNCVTENSKISDRVNKSWGYNIGSGPLWDLAEDRGWYKEAITTADDADT EANRRPRVYSNLKVVQHLEVISIQDAAPYLPTDDVTTTEGNLQPPPALPCYFGPIKKQ TLEIMNMFESIPMSKYFSESKALVFNAGAPVWGMDWCPIHPEDRAARSYKQYLAVAPF PSRSHSPDIGKRVARPSYACIQIWSLSSLNPSKPNDDGQMKCEMVLCLDTGPAYDLKW CPLPSHDLKNDTTQGKKLGLLGGTFEDGSFSLFVVPEPSNFASPEGDDQHPLSNPLLR IELEQACCWSFDWANSEVIAIGTTNGAILVYDIGSALRSYGDFSQPTIVDLLPTYYLL VHQSAVRALSWIRAPPCSSSGTPAIDQDPIVIASAGYDGMECLTDIREGRGSVMNRTR DVINALAFSPFAGGPITMDHENTVKAYSASPSMLGRGHSLFEPLGPIWSVHASDFHPQ LAVGASDGTLSTTNMLRSTRRGGSVPFFVHKIFQMDYNRITKEYRMLDHFLPQESIDR PTATRAAKGKSKKDAEVFPPSTGAWPREVGVHRVVWNNGNGLASSGMIAAGTSSGLCR VDLLWGRWVKDKIPYGSVSGIRMEDGDAMEVDSDEDASGDDSD JR316_0004016 MSKLPTHTKAVVLQESQVPRTPLYYDAPVVKRPLSPPKAGEVVV KMGAVGFNHKDVWIRQGQYPNIQLGAVFGGDGVVIASGTPNDPLLNKRVFLTPSRGWE KDPHAPESRFGILGGGVYPPIGTFAEHVVVERDQVIPTPEHLDDVHIAAWPIGGVTAW RAVAVNAQVEKGQNVLITGIGGGVALLAMQLCLAKGANVYVTSGNEAKIQKAVSLGAK GGANYKESKSYPPSISQTQEHNLKFVKENWAARIGTLLAKEKKGAMLDAIIDSAGGDI FGQAGKILKQGGRVVCYGMTASPKITLTMRQVLANQQLLGSTMGSHQDLLDATAFIAK HRIVPIVSHILDGLESAEEGFELIKRGDQFGKVVIKLRGGEMGNTHAKL JR316_0004017 MSAGGFARPVTIYNSDYDLWDAWLHKICKSLSPTQGILTTNRTH IDEATQQENWFMPLEETVATGVALRVDDGFFRVFPYENPALVPFEAAVRKLNPVVAVK IRNTSVQAAIRKIGPNDDCLYIDSNTRIQILDSIDMLPSAEKDQCGAFIRDERAVVLW SYNLETILPLCKEFEEKLIKHIWRTRGSGVVAHRASAPSVSVSVTSSTGAGTRPVTAN STTTSIAVISPDGSQVALNEKEENEKGGAEVSVKSVPSSDTDLTSSNKEASSTSATSA STSSPSTSATAATAPATNKRSWWSWKLQPKSSAPAKAAGESDPEKGSAGKRKERKLVL IGPIYAGLGAAMAAYFMTAGCAVLLEEYRLDGDATRFALVLTLPVIYCVSIFFCLQLI GNICLILGPVAQYHENSMYYSAVKPDPNPEVDNNLPHITIQLPVYKESLELTIAPSVY SVKKAMQTYARQGGTSAIFICDDGLQLISEADRKERIAFYANHNIGWTARPGHSSAPD GFKRAGKFKKASNMNYGLALSLKLEKFLAQLEAEGADDTADESLEDRAMKMAQEEIYA ESGNRWRPWCANGKSLRVGEIILIIDADTIVPEDCFRDAAREMYESPDCAIIQHESDV MQVAHHYFENGITHFTRRINKCISLGCANGEVAPFVGHNAFLRWSAVQDAAFVDPADG KKKQWSEANVSEDFDLALRLLLKGYNLRWATYSNGGFKEGVSLTIVDELARWQKYAYG CNEIIFNPLIKWWKCGPISPQLRGFVWSAAPVHYKIGMMAYGIAAATVGSILNYLILG LAPQLDRFYLHSFEILLACTVVFPGIGNVGYTLLEYRLGQTNMFAAMFENLRWVPFLT WGSTGKEVELSSFWIEVPRIWQRFKLSFIICFMCIAMMVIFTTDVVPFEWQIAGWNYA LVIPLSLVVGCHILLPIVLNPWLMIFSY JR316_0004018 MSDTTTSSVREKEPTTSSSSSTSSPSHTTTRPVTAHSTSTTTDS TRPNTAASASASSDPFASPHSSRAPSIHHQPPSPSSSKVSFPDSTFSATAAAAAVASR RSILSQSGFATPAFSSAVSSASRLSAIHLANSANHHHNNNNANANSNGTANGNGNGTA NAHTPGAPKPKFPRMKSHMLPEGTEVSKPWAAKKNPRAVFSYWIVYFIIFIGLAGGAV QCYFTWKNVALDTAPLCLVLEENFDSEEGVFGENGTFFREVNMDGFGNGEFEMTTSSR NNSFVQNGNLYIVPTLTSDNIGMDAVMDGTVYNITDCTFNLTRPDNGFVTLDGVRQFD WASYYRSCSAVSNRTAGTVINPVQSARLTTQRSASIKFGRVEIRAKMPNGDWLWPAIW MLPRDNVYGPWPLSGEIDIVESRGNGIRYTAHGSNFVQGALNWGPAQNLNGASKSYSW WTDKRKSFAADFHTYVLEWTPTFLRISVDTRLHTLLDMRFNEPFFKRGQFPDVINNGS SLVALENPWINGTNATPFDQGASVLGLYLGRVKLTLTSLYITEFYLILNVGVGSTNGW FPEGQGNKPWLDRAQNPPHDFAASLAQWYPTWPSNVEDRAMVVYVHISHLYDFCGFFF AY JR316_0004019 MPALRLAGPRVAPTRFLLKNSARNFATELPRPPPPRSQPVVETF SDTSRPRPYYAKHPPFRELPRAQSKWPIALGLLVTGVAGWAAFMTFATNQEKLSSSVF RSIVRALKSDSQLREVLGEAIRPQPEWYLNGDPHVKGRISQLQGNIDVSFRVRGSKGS GTLYFTSIRKEKGVPFTVLRFRVICDDGTIVNISDAAAPALDS JR316_0004020 MAGSTKAGQPSLLARLAAFKLEDLFTRKRPPGPPRTVYVNQPLP QECLDPKGRVKRDHVYTTNQVITSKYTLITFIPRNLFEQFRRVANIFFLGIAVLQFFP KFSTLSAGVVILPLVIIVTVTALKDGYEDFKRHQSDRRVNYSKVFVLSGGNWTNPNRT EDKSKTFIRGILPMRSRPITTGVKSAEYDYEHSDEVDLPYWKETLWEDVRVGDFVKIM DHEAFPADILICSTSEDESVAFVETKNLDGETNLKSRRGVPALNHLNNARACADGRNS FRIQCERPDTDMYRLNGNVTIGNDTSPVDLSTTLLRGTVLKNTAWAIGVVLFTGLDSK IVMNSGGTPSKRSKVERQMNPQVIVNLIILAAMAVVCAIADSLLEVKFYPLGAPWLFE DNRSDDNPRINGLITWAFALLTFQDIVPISLYISIEVVRTCQAAFIYFDSDICYQKTG QATQARSWNLSDDLGQIQYIFSDKTGTLTQNSMVFRMCSIAGKAYRGDSDALAEDEME DKPSSIVNEPTKETVEVDLWAASAQPSTSSHSVNASLSKKNSLMANNDETFKDSVLSS DIEAASRPNANHDSSSDASIINAFFTVLSLCHTVIAANNPETGTIEYKAQSPDESALV QAAADMGFVFLGKDKEVLSLRTPRSAEVEKYELLEILEFTSARKRMSVVLRRLDVEGS ELLLLTKGADNVVFERLRPGEDEMKEETESHLSEFASTGLRTLTLAYKTIPEDEYNAW RLRYQDALNALDNREERVESVANELEQSLHLLGATAIEDRLQDGVPETIADLKKAGIK IWVATGDKLETAVAIGRSTNLISHDSNIIIVRGGSSRPVQTQMLNALAQFFPEQDYET LQQTKNEETLPEIPLRRINTGVSSIVGPENGDRPGGFILVVDGAALLEYLWKAFADDV NKGILLKLSILCDGVICCRVSPLQKALVVKLVKDGLGAMTLAIGDGANDVSMIQAADV GVGISGEEGLQAVNSSDYAIAQFRFLKKLLLVHGHWSYARNGVMILNFFYKNIVPTGI LFWFQIYDGWSANYVFDYTYILFWNSLWTIAPVVGIGIFDRFLDSRILMEVPELYRYG REGTWFNLRSFLIHVLDGIVQSAIIYFITLYAYTSTSSRKDGYDVYLHEFSTTMALSG VLVANIFTGFSGTAWTWWLVFAIFIGIIIQWAFTIIYSLVSPGYAVTMLYGNYFYLFT SAYFYLAILVTFTLALTPRFMYKFWRSSFQPGDLETFQYLQKLYPHRDFSSFSRSNQP PSDLSALQRRPSRMSRRNSRASSVDTLERRFPRPSMDIRSASRTDMSTGLTSVDRGFD FATEENGVEMRRVQTNLSERRMSKQNILPRRSSSNKGKESVSNVLSLSKSILRRKGAS QHRSSE JR316_0004021 MNNFKLSRRLQTEWQLIFGGGDTLQASLDSLEERLDKVEEDRPS QDKSQWPSAYVSVFEEMISTVLKDEPFLLSPQEIDLLQNFSMLSYNARYCLVRLVLRK ADQWHPLSALTNYERELGPGSVEHAISDLCQPIEQIMNQKYEPKMEETAKTEIPPERT ADGHEIIDLTMYSDEEDVKPVVIPSSPEISDESNPREETRVSRELTPEERLHALLQPP EDEGILDRFCEDESCMSTSEVLGRLAIPQLKELGKRFRCKFKPGSKKSDMIYMLQCSA ATQSILPDYRGKGKTIDKHLKQSQLPFVRTRPRKKGKITQEEHLKGMALKELGKCVRV KHEFYRLVRRLHIICYRETEHPTALLLPALLTRFKKRDYTGYQYARSNNIWSSRDELL EYERALELNSILDELIDAIEDKSSRRSTKAPSVRAKDKFSTPINTASGAPCTTPLKTP GSISNIKASKTPFVKKEEESEDEEDESKGVEAEESPLSMVSKEEQIKKYLDEWIYPEW QNCINKRRAEIDNLRPPGLERFESGHVFTRMLHKATKALGPLKEYKQELKIINDLLNQ TFWRRGKRSQWYERRAIILGHLTRQAGTKEDKQELMIETLEGVKEALQDEDTTLVFRP GLVKRLLSLEIKLGVPPSERSRSEGKLEPAPETTLYATRVYPPQFDRQGLQKENAQGG IYAYVGVKSNSTNDSKPPEAQLTKKKLGQKSSWKGRNGEIVNVEQRALEHYEEQGFKG FHCETRILTTIFALLFWDIIFADVPGAFETMYQEAPLDMFEETFKDARKDLIDRRLDE IRNGNWRDIAEKHDNAYREKKTWCIGVNWDLCTREELLDILECFGANSLATICQHFCD DYKGRSSGGPDLFIWKAQEGEHGGICKFVEVKGPGDSPQANQKVWFDCLHRAKVAVEI CWVKDESQPQVGTNAHSSRKRKARTASASVGPSRRKKQTKVESQSDEEDYDLLDPEPE EGADFLSPLAPSEISLKRRRVSPRKYTLGLPQGLEMLPFSNTVTAQSLQAMSPSRLPK ATKVENA JR316_0004022 MSPCGIQPSRLARFALFFSLFWVPRVDADGVNRTIDDTFGDSVT KQRVTYTPASGVWHDATCLTSSGCAIVGDPTQCFSSTYTAATYRDPWSETSIAMQFNG TAIYVFFILANNMGTGIITQTVANFTLDGGQPQLFTHQPDPNTKDLNYGQLVFSLTNL TNSEHTLVISASEVHINSYINFDYAVYTHEDDTQSLTQNSAHKKSAPIGAIVGGVIGG IAVLLALAFLILYWVLRRRRTTEEKKVEPGFDPQMMVEVQSCISFTESTPPKWIGRSL SEAPTFPRQYFTTLF JR316_0004023 MAMLRIGPGALFVLLLLGFGPLLVVAGIVNRTIDDTFGDSQTGL LASYRPTTVGVWKDETCLDCAINPDVSQTFKRTYTAATYAPSLGSISITMKFNGTAIY VFFVLANNQGDGITTLTMANFTLDGNSPQLFQHAPDLTTTSFDFNQLVFSQTNLINAE HTLVISTSGVNTNVYVNFDYAIYTHDDDAPLLPLPPPGSSSTSSSTSSSTSSSSSQTK GATASPTPLQNPSDNSPSAEKTGTSTGVIAGGVAGGLAVLAILATVIFCWIRRKRRGQ QASRLEADGYTPPLMEELGSPVGIQHRSPGFVQPFLDSYNSRSRSELGPSTTAPSVAY THPLSSSSQSDSPYDAYVSIAGSKSSSDAAPSRSGQQYASGSDNSRRMLHFVTAPDLP ASPTTPHPGAVTATTSVRDSGNIEDIRRARQNELDARLRTVQEEMAFLASDMSGEKSG RHRSVRRRRTLGQQSQGAEPGAGVVEVEEEMSVAEMKDQLRFLRDQVEYLREQQRSAW AQGLSDDPPPGYTPAST JR316_0004024 MKLLAFNLLLLFRLGAAGSTSSQTLDRVCKTIAQSLSTTDSVFY PGDPEYDSGIAHWATSSTQLSKCVVEPTNPTDVGKILVILGKTRTPFAIKSGGHATNK GFSSTTGVHISLTRFKGITYNATSATVDVGPGLVWDDVYAALEPFGVSALGARVTGIG IGGFLLGGGYSWQSNEHGLAIDNIIAFELVKPDGRVAIVTKASDPKLFFGLKGGMNNF GIVTKFTLKTFPQGQVWGGFVANLEASVPDLEAAIVNFQATVTDPKASIVMAWNYLPS LQQIAVSQLLFYNGPTPPPGMFDAFLRPPVFESDISTRSFLSLIQASPTDASGGVRTF FNGFPVLNLTANLSHVLVNETKFWGPVLASKSAITISYSMEMFQPSIYSHNPDPTAYP PSRKLFSQPFEMAYAWNSSDFDADMHAAAIASAAHIQQAAIAEGQIQVVNAPIYPNYG LAGTPIEKIYGRNVPALRALKNRVDPHDVMGLAGGWKF JR316_0004025 MSYSESDESDTVPNSLNKRVSSFADLIRAGDGIHSVRGICGFIR SVVLRINDLMTRYSLGFDWIFRDADFQFILQNIFRMSSENPTFDLANTPRVEYLFTLG LRRTWTPSDMVFKSLKNFINSSKLDHLSLYNVQGLQKGILSQSNVKHIVLYQARAASI DSSIVEDLDSITNSEGEEKRLRICPLESLETDMNMTLAEQVSLFHSRPHTSSVGHHLP GEAIFPYLTKLRLHCKRIHTSEFNIAANEILLNAPALEDLFIYNGDKLPTSYWPEHSV LPLRYSHLTRLRSFSLGTYIPIYKQIEILQCLCADDPPPSLSEIIMEAMIDLRYGLLR GIKDAVRYIQWLSLDKLDMFLRRTGFERVKKVVVRLGMAMDIYEPEDLDKEVTEGFVI LWRTTIRDAVTRQLEMKAVEVNVELEL JR316_0004026 MYNQKTFETSEYPMGSHTIVVTYLGDSSKTPLTLEYLVVQNGTF PSGNSSSPTTGGNDANGSAGSSHSSNVGNIAGAVVGGIVFIILATLVIIYFCRWGPKR DRQLLYNHGHDSDNAVEPFQLTPSIFPAPTIYSQYSAVPNTADNQTQHWNVPNQTIKS LNSTLPRTSVSGGTPSPTGHNGITPSSHSRAADIGVLPNPANEVDAGSSYPRREHEAS VAVSRPQLAAQPVLSPEQNASSGVEPSRLVMHEDSGIRLPLSTSNGVVEVPPMYTTIR PSGARELLENRDTKREN JR316_0004027 MSIVPSSVAGESSISGASFFRGATLAELEQKQRCRIQQDTIFNL ESKVRELKEENASLKMRLINKKGAVRPAVSDTSDCAAEIALRKDLIRLAKYHFIFYRI IVPKSLFGNPRPSFSSNNASARYKDENTSKLGNIAELYECFPAKYHSIISENVEMAVN VFVKGLSEGRSTILNKIRTSAPSIFPNIPSELFTSPLSFGLSSHAVVQTLLGASDRVK VMTGTKEIWKLIYPNDTAHTFPPVLFADSDTNNALGLFKSDFLLKTARIILLGPSALK AEIMPRTREDSPSAHIDSRMNCTTPGLIAASATATIYILSDDREFTSSGVGPTTGRLY LAQHDMYKHYLITQQSSLASLFKYWDNILFPHQKPIIPTSITQQPTEILTSSHSRMSD SESSPSPHPVYQMIANFSRQTTITPSSTTSIPGPIVNVEDRDTDSDVEDFAPPLQSTR NLPSNTQDITEDRSDTDEEPTPAAFESALHVTNTTVNAPLPVFLPVPKTQTPTSPPLS SNTVSVPNASTSISPLEAPVIKPKRGRKKGLAPVQPDATVVAKTRSTRSSKRANITPL JR316_0004028 MANRRQPKDQLCPICNKMFSIQGIAQHIRSCSGKTPSLDAQNAF GDFANRVYENNSLHYTSILNAAISHSPAIPNEDSTTASYSAIDMAQMETQDSLNGHHI SLSMEPEDSIEPVTNQSSILQMLDEISNNHLPPPLPHQICIEFHPSANMPPKFIPTHQ YNPNSYQTSPTPHSTSTNPWHPFRTRLDFEVAELALSSHMNRQQKQILLTLIDRIKEK PEQFTIDSVSELEKTWELARSYRSTGFQKKEYLVPYQNDEIGYEVYIRPLIEWCNSLL QNPSLLSQFHWIAERHYKQNDGKRDRLIGEPWTANEWWSIQNLLPNGALPFFIILYAD KTRLSTFGTAKAYPVLARCANLPANLRNSDGIGGGILVGWLPIVDEDAGESGKKIFVN FKRIVWHKGFHEILKSVQEYATTGYYAQCADNIRIMKSTKDKENYLKEYGLRNVENVF WMMNGSDVYKALSWDRLHAYHGGLFSDHLWSEFKAIIDSSGRKDAEIIDNQFDQIPRW SGLNHFASIIKTGEFADGTKYEDIAKIICYASHNVLEKSDRGYCLLKLMRSFVELDMY SSLIIHSSTTLHGLQKELAKFCSILEEYIQLHPDKNWNFPKIHSHVHMIQDIVAKGAT RNSNTKPNEKAHGLLKLWYRFHTNFKDILKMNHDDLIAMIIRMDINAIDDLDMPKEDG EESLISTPQVSNLGNSLGNGSSNEKRAQSHISIGSLQAIITFADVEKQFKENMAFERF RIKTGKYLAAASGSTIRLKANHKITPFELARIHYQSEIDWSAQRDMVRVSKSFHKRPR NDYVLLALDHQKYCFAQLLFMFIVSLDDKTEWPLALILPLDEPVDQRTNLARRRDKDL EFLRVRARRRTNSAIIDVRSIVRGGLLIPDFGNDANEFIVMDSLDPDMWWRLKSIKLT THVLL JR316_0004029 MGILDQRTRAPCMEQGQMEVFPSLSMYHTGSQVTVYGLSNVHND SGVLDPTWECFVDNKSIGNTSSFLGTENHWVFCDQDALLDGPHILTVNVTVKNQQTFW FDNIQYVPSSSVSLENAAIKLDHRDPELQYGSGWNPYLDGTTATFTQTPNSIFTYSFI GVSLSWFSQIPPDLPHAATVGSYYVDNQAPIQFSLNGLLSEISG JR316_0004030 MSSRRAIAVDDTDSRIQYTGSGWFQDPGPHDNVGNYGPAYKSTL HGTISDGSLSFNFAGTQIIVYGLINVHNNSGVLDPGWQCFVDNINIGNTSTFMGMDNH WQLCSQDGLLDAPHILTVNITVTNQQTFWFDNIQYVPSSSVPLDNEAIVLDHRDPELN YGSGWTPYADGTAATLTQSPTTTFTYSFIGVSLSWFSLIPTDYPRTATLARYTVDNYA PVQFSLNGLPTDSNSIQYNQKFFETPEFPMGTHQLIVTYLGNSSTTPLSLEYIVVQNG SFPSSSPSSASLAPTTTGNHTNGPKPAVLGRSNNIAKEVVGGVVGGIVLIILAIIAVS YFCRRDRKQSARHLYNHVGHDSENAVEPFQLVPSILPAPTIHPQNPLGIPNTASGKTK HRPIQHRLIPNQTTKKLKSIFPPRLIPGGNPPPTGSSQQEVSTLRQQNVTQQVMSPQQ DNTRTRLPLRTNNGVVEILPTYTTT JR316_0004031 MVVPQADMVSNGADSASKSKVDGKAIKSKNQLRRLKQKQKKQAE QNKERSRSESVTESEREEERPSNVEYVSEQLDLSDAGLEAFSDVFARFQLPPDESSGK ASDGNNKGEVIYSDDDMASEGDSDAEAKPLSKKKARKMARLTVAELKQLVKKPEVVEW TDVTAADPRLLLHLKSYRNTVPIPIHWSAKRDYLQGKRGIEKPPFQLPAYIADTGIAT MRDAVKEKEANMSLKAKTRERVQPKMGKVDIDYQKLHDAFFKFQTKPPVTGFGEMYYE GKEFETSLKEKRPGDLSPELVEALSIPPLAPPPWLISMQRFGPPPSYPTLRIPGLNAP IPEGAQWGFHPGGWGKPPLDEYNRPLYGDVFGVLPKAGDSGIGEPISKELWGELEPEE GSSQDEESEESEEESDEEETNEPTPADGMQTPSGLETPSGMTSVVSTVAGGLETPDFL ELRKGRSVSEAMEASSGPRSLYQVVPEKQTSVRGLMGSERGYDVSAVAGAPIPVLGDE RGTKRKANGVDVSIDASELEGLSEEELRRKYDAHARGNAGVPGSGRSEDFSDMVAKEM AKKKQKMDREREGKKSGKEFKF JR316_0004032 MRRAEPENAADNATECLTQTTTLPPISRLNHDVLRYIFSLNGHA DSCFTDVVSGRDLDDVEHPPLSEASLTVTRYSSQVCQEWRELLLNSPTIWATAFDLQC LDQVTDDWRDEVLRRTADSLLTIIGNLSRGRPSTYFFSELLEIHWSRIRRLHVSDHRS GLDDVVWNALQQPSPNLEILRIDMRFVVSDFAQVSLFANCAPQLQSLQAPSLSMKPDM PWLSNIRHLWNFDMDICTVQSGFLRVLDKMPHLESISLNAGQCFYQSIANRDSDANAV ADDPLIHVSCPRLMRLELNGDIFLFSPILQYVTPSENCVLLLNGEAGFTKTFGLPEAT EFGDALSTFFRNSPRRRILHPPKNLPLLYYTLTKTELCIIFTHSCFSNDTIFVVKSDA NSSWQDLPGQILRALPICTLEGTKDLYITLDHLPVQNDTAQLLKLFRRLGYLEIISLS WRTLSIVNRVLSSEQPPTSKPNIIFPHVNTISLYMNGFRSDPLGNVLREFLVRRIEIG IPIKDIYLRNWGDHDEAFPLLFDIVDNFNCKLHFMKNSRDTGEMANQ JR316_0004033 MTEKVEKDNIGEVERPGPAEEAPSEVTLSDRSSVEHPYRSFLEG ASFARCHTTAFTSCGTETYARSLLMAGNGFPIWDPVGDTGRPSAHLKSGLMIGDVGYL SDEGDFQYHFNIFLPPSSEVHFNAPANLEPLFPALQSKEIKTETNYFAPGTVIASTGV EVTRVQDALNAIIKGRECGILVLPNGAYREDVISTTRIRDYAQKHALDWYRFLNGTRG HVICPNGSLCLVTGYDKATSWATCTSNPQGLAESGSVITVTYENGEFLRNPDAYRKCS QSGDGSETCAVFVRAIRLAVSLRDWTKKILSYQPPTRILYYNMLSGPVVGLRARIHTL RLKQFLPKRKSVNATLCIFHPLDVLLHVLLRESQIADVATLDDSVWCHLTDKSNTDPL VQTWNLWIKVLETHEIHNADGLVTLVPAENDHSQAKPSFSPSSLLFNLPSRIQREYNR IRRRREIVRKISAVFPGPPLPKDVLIVS JR316_0004034 MSNGYNRSHKLDNFQFTGLDNDSVLEEDETDEGQPMSADEDTES TEPNISGLIINVQSRRAKYIEQNSSNLIESGPTANLNINETSQATVLGGFYIEQNSSN LIESGPTANLNINETSQATVLGGFNDENPPQPTRKLHVRLDLVTCSGLKLPDHTDEAV RAYMTASIGGTGPSSNHVIRLWEPFPLDIDMDKKLQERHCSLGDVGMFTSGGGFEVMF NIFLSLEENNIMNYHPPSTFKPFPLRRRVGDRGFKLHDQTKYATSNFQATYSQEKGLK RPSSYFINSTSKPTAPGGAILILPDGCTKHSLHKHLETDIANYMEDHVASWYKLEFKP SDKWRGRPNGSLMLILSCFRSHVWAGASLCPKDYLADGCKAVLRDQVEPDWYLWDKEK SPSIKTNNNSYSEVGGVVRSVAIEVASIVYGYDNNGAFETGSYQSPSRLSFRKKMSRS ISFKLSQLGLSKTSSVA JR316_0004035 MYSDSTSVQVENEDRAYRAADSDSAHKADSEDTLSDRSISVSFQ KQLDCIPELCILGFISHPYRAYLEDTSFARCHQTSITTCGAETYTRSLLMAGHGTPIW DPIGDTNRPKHHLKSGVMIGDVGHVTVHGDFESYFNIFLPPDKELQLYCPENLEPLSP PLKPEEVKVQTNYFHPGTVLSSTGAEVTHMQESPLDLKFTIKARESGILILPDGASRT DLLPSSTTRIRDYVHKHAFEWYKFINGTKGLVICPNGSLCVVTGHDKAASWASCTSNP IDHLKAAESGSVIVDGEHA JR316_0004036 MARLRLQRSERLQHLHQPAIKELPVGPWTLPHAVPSRLLLSYTY LFHPRPPPPNVDFVTGYPGIPPGQDRPQASVKGAIEVRVPTQGVKAKWVRIELRKVEM LPGGGPANTFYDFVGPSPVNLWSTSDEYGLLRSQDFPFSIRIPESIPPSISLDGKAGI GYELVASVCTKGKKGFLRKAKSVVSSTIAQIIIDKHELHSTWPVYQQPETRHLAQEGV NLIVERRSTCFGPGDPIVVLATVKSDSLHTVILRGFEMSLREATVFRAGAHAAGKARN AAPQVRQVNLAEVKVPVSATLYGGTAHTSELRVMVPPYHTTTTLNAARHIDITYTLCV RALMGTGTHLVMDLPVVISNWQKSVSEEAIQRIGPAPSLSLSPPAIAAPITRIDPIRP GPSSSTLPINRSTNDGAYTHTRTSSLQAYNTLPAASSDGSAGAFAHSRVDEFGASASA SASAGAGARPVISVATPSMSSASASASRFVVKNAEVPQDSIGRQRSAQGSGSGSGSGS GAGAGANRQQQQQQQQWLTAEDEKKLYEQARAKVAHTQGPAAAPPPASISATITIPNA SGSLPRGAASSSSSSGAKQGAWPSAEEEKRLFQEAQAAVARNQGMQPVNAVSGGSGHA RNTSDPKSSSGSGGVGSKQSAAELYASARAAAAAASVGAQPYLTAAQEKERYAAAQRA VERMQMTASDDPPPPPPPPPANSAPIAYESLFPASRGQASGGAPPADDPPPFAPAAGG SNIMAHLSEKERLRRQYEAQDAARMANPSPPPPAPYTAPPPAPAPAPVQQQQQRAGPP PGQYANAFEEKEALRKKFEARDNAYAQAQAQARAQAQQQQQQQPQPPARAAAPPPSPP PASGGSHAPLPRSPGATASGFRPAPVPPGGAGAGAGVPVAPGAASPSKVLTAAEEKAL LRAKYEARDAASTTPAPAPAPAPAPAPVAAPAKVLTAAEEKALLKAKYDARDASSGGG GGGGGRPQPLPPVNTNANGNGAPTGLPHPHPPPKTPPPLMPRPPADYIKETQEEDARV ARMSGVGGGVGGGGGVEGVNGNGNGNGNGNGMGVSLDMKPFTPFRAGFDTNSIGPSGS PSGVPPLPLPKAE JR316_0004037 MQGIMIYRVSSMYSHNRKIIFLFALAAFIEAASMIAIQTISTQV DEPVPNPAPGVFLCTQRTFPSWMYITWIPIMIFEILVLGLSVSLGLRYYKTVRTLATI RVDPSHKPDSLAYILLRDSITFPFLCLAACILNLIVWIHLPHAYIQLAFGIASFVPCV LGPRLILNLREAYYEPFNRECDDSDLQESGPHEYDHRGSARHPRRRLGRYTRGSTLDM DSETVLEDEDGDEDVRAQYRSDSEVGRSKAARQAKQT JR316_0004038 MHPPLDPSEVVVNPAHFPSDTALCSEGTTVSVVSTSPLILDFTV TARESGILVLPEGASREDLASTTRIKEYVRQNALQWYQYLNAESTATPFPNGSLYVIT GHDKARRWAVCTSGPRRHRLKEHPAEIQYNDHRWTFHKHRFANNYSNSAVHNGRCAMF LRGIRFAVCAQDWTRHVLFDKPPAAISFYYILTVRNTGFWAKLVGLIERSSWSRESFA VTDVQEVWSLFFHALWAINDNVTASLPPAGTISSDPASRKMATFVPRPHSAEESSPNE RMALSRPLQSVIERFQAWYRQRTLMRRVSKVFPGPSVPRSAAMAWAPRQVPQFSEDIW FEIAKQCDRQTLLVLCSPHVNRYVRKGALPQLFYKVELAVHRLFPQQDHILNFRGYAY KSLIIARINKARRRLIDFSKSHLAPLVRVWHFDGYVNDGETMNATLVAQRVYDRVALR VFWATISRYTGLTKLLITATTLDDRGVTAINTLYNLRSLHFELVVVDSNLLLGTPQHR LTSLGYLINEVHPNIIEGFSAKLTSLSLRTREASYREIFAVLESCAMLKYLYTKITWE RQVGFERPPENLAPTACPLLSEYHGRPFYAPAIIPGRPLRSITITHFPYMHEDTTEAD VKTWFDFIGAGTATVETFRIEHWPPARIKQLCSLICRHFPEVKTLTINVLPYRYDYHT YQEQIINKKRFYYLAIVKDIATGVYEIPRTVEHFKLVVVTSYRKHPTIGSLAEAAQDF FTSDRFVNLKSLRLGSDYETLIWTKSDTGTWATRTSIYEYKKWGEEEILVDDDGEYTL QSSQYSSREGEEDGEGDTSSEDLELEEICQCNHQQDDDEAEEQQDSEESQDPGDSEED AEQFDNEYQDDEQSEQQENNGEGVQGGNDEHVNAEVVEEADPVPKPEEHPELYSLEDE FPSDEELEY JR316_0004039 MFNIFLSKEENRKLHYYPPKNFVQLDIQPDLNLMELPYMTGGCH RSVNFSNKADIGFFRLFFNRNIESNIAYRNSTFTFESISTPKHPGGGILVLPKGCSKH SLSDRLMNVGSNLRNGYLATFVDSWYEPDNYEDGAPVYENGSLALIHTSYYQTNVWSA AVLRQKKHRPGQSYAKLTCIDPGAQIYSWEWDSHSMETNIGSSSKNVGDIKCTVAVQV LSLHRQGRLSRFKDSFARLLRTE JR316_0004040 MVRTDEDDATTSREVSEDEGDYPAATTNPYKSLLDDTSFARCHK TTIPRGKAETFTRAMLMLGHGTPIWENPKVGDKIGISIGDVGMLSSNGYFEYCFNIFQ PPTHPLQLNCPKNLEQLSPYPEASDIATTSMYFPPGTALTSKGVEMERHSNLPLDITF TVKAREGAIMVLPNGASRQDLISTTHIRDYIRKHALEWYSFFNDPSSILCPNGSLYVI TGVDTSDAWGVACWPHLHTDTGNVAKIRYKPTEKRSWQNVDQMACRSSGGTDSKMAGS THFIRGIKIAVNESAWIRHVLCDTPPSAIPFYHVLTVPTTGLRAQVQTYLDKKLRDPE KRKAMPTHVLFHPLDIILRYILAEVPTTEVALVDEGLWSSVADQSDGTVANITRLLIK IIEQHEIELGDGWTSLRPRAPSNDSKDTRKATWPSILMGAPARMKDAWCDKARSRIIS RKVRMLFPVYNCYQAREDGKMDASYVCVKSGRVVFHGAWIQSSGPYRVYGTQEDDDAS GSRSTLEDGQHRIPDSTTNPYKSLMKDTSFARCHTTSIPKGKGQSFVRAFHMLGYGTP IWNNPQRGEKFGLSIGDVGVLNSEGYFEYCFNIFKPATDPMQMTCPPDMDPLCPCPED TDITTIPMYFDPGTTLISKGVEMTRHSTSPLDISFTVKSREGAIMVLPNGASRQDLIS TGHIRDYVRKHALAWYSFLNDPSCIVCPNGTLYVITGVDTSDAWGVACSSESYTDKGT VAQIRYKPTEDRSWQDIVRMACLSSGGSSTRKAGGALFIRGIKVAVNETAWNNHVLFN RIPSAIPFYDVLMAPATGFRAKLQSYIAHKLTGPRKQEDPHTQVPTVDAALIDESVWS SVADQSDGTVGPVTRLLIKIIESHELELGDGYATLKPRSPSDDTKKPREGAHYDILAH IKKTFTHKARSTEVSRKIRKLFPGTPLTYEQLHVNSLTSPLIWRTLELEGLQSYANVI KSGDTENVEENLLQPVFL JR316_0004041 MVATSTEQLTSLAATTVIVHPLVLLSVTDHHARSVSRNTEKRVV GVLLGQDNGKTINVANSFGIPFEEDEKDSKTWFLDHNYIEGMYEMFKKVNARERMIGW YHTGPKLRASDQEINDLFKRFIPRPVMVIVDVRPQAVGMPTDSYFAVEEIKDDGTETR KTFLHVPSAIEAEEAEEIGVEHLLRDIKDSTTTTLSTRVTEQLASLNGLSARLADIKR YLDDVAAGKMPVNHQIVYNLQDMLNLLPDLSEPETEASFAASTNDEMLVVYLSSLLRA VIALHALVDNKATIGRAELEEGEKREKEGKKESEPAGKAEAKKADK JR316_0004042 MNALRLARTATPALKSALNNTASRRTLATATLEHAASASSPATQ GRAASAPIPLSNIEAQWARLSAEEKVSVHEQLEVLQQKDWKELSLDEKKAAYYVAFGP HGPRTPSSQPGDNVKILAATAGLVGAAGVLYFVLKQFAQPLPKTMTKEWQEASNERAI EAKINPITGISSEGYTGKGFVQSK JR316_0004043 MPLSLRRYTSAPASTAQSDVVRDTTGDVLANSRVAMDVLKAVCG CVPVPALRNVAGVVYSLLEIAEDVRNTSAELRDLVETAGRVVRSINACCIRIIESGKQ LSPDSEQCIDELCLTLRQVQATCEYIRSRRWYKKVFHHATDRKSCDKLKRQLNEASST FQTQMTIIIEQKVDEIKEAINNHMEDKAPSGTPNVRVKTETIDVDPHAVAKNIANVET TTKYTMGTKLTEFVKELNFGPSVSVTTAGIRVAKGAVASNIGNVRTTTTYAKGRPTEY SHAGDSYERFSAPRVQQTEDYTPSPKVKALLQLAASASHQVPQRRVPRARVKVIPTKV FA JR316_0004044 MDQKLQESHCTLGDVGVFNSDGGFDVFFNIFMTMEENLAMDYDP PSTFVPLELTSTSSLNAQRPTPQGEGFYFSNNFTLDKETGESVCTFESIKAPEKPGGA ILVLPEGCTKQFMTRFVKDKIEAYLGIYAESWYDPENYSNKLRALPNGSLQLIRTCYR SNSWVAAVLPTRRYMPGMSYARLRRSATNPRCYEWDDNYRKSIIINTGSSKMDAQDLQ RTIAVEVYSIYHYGPQIKLISSRTSTSSRRSRIAQSFSTITQLIRRTGS JR316_0004045 MTGAADNEAPQSDPVMEDDGTSAGGMSSRSNSDGAGTETYSRSL FMLGHGTPVWDPIGDLERPQSSLESGVMIGDVGFMTDEGDFLCYFNVFLPPQDPIQRR CPPGFVPLHPPPKEVDIAKDLMYFPPGTALVSNAVSSSVHSSSPLDITFTVEARESAI LVLPDGASREDLISTSRMSEYVQQHALDWYIYLNEEISRLPFPNGSLYIITGYDKATK WAVGTSGSKSYSRRNGPMTARYKDHKWSTMGIGAKASSPNGGYGKCAVFIRGMHVAIS VPKWTASILYHQPPAIIHYFNVLSVPVTGLRAKLQSALEARSSYKKVYVKGHDMERMF HPTEVILRILLSEVDFHCRF JR316_0004046 MVISTLTSLILIFSLAEKGIAVAPTVQLDNGVFTGTLSGSTHRF LGIPFAKPPVGDLRYRLPQTIPPYAGTYDATNYGPNCIQPAANIPNVTVIAGNDAQNI VNALFGALSQPESEDCLTLNVIRPSTATPSSNLPVLLWIYGGAFQSGSTSVTDGGSVV ERSIALGTPVIYISINYRLNGFGFLGGSEVKAAGVGNLGFHDQREAMRWVQTYITQFG GDPTKVTLWGQSAGAISISLQMLVNGGNPSGLFRGAFIQSGGPIPLNDVAASQGSYDA LIAATGCSNASDTLACLRTIPLATLKTAINSAGPSSTVVNTFGPGIDGTLITDNPQIL VQQGAIANIPFVTGNCDDEGTIFALPVASTVITDANFKAYVKSSYPFLTDAQVDQIAT FYTSDITQGSPFNTGILNAITPEYKRLAALSGDAILQAPRRWMLQNTASTNHNIWVYL SKRFKLLPVVGSMHSSDLLNSYGGGEMQNQVIRFANTLNPNGQGLLDFQWPRYDLQSR QMLTYLDGIVPLTISHDTYRQDAMNFLTSLTA JR316_0004047 MVLSKRKRDGEFAPWKPGFQDSEARPSEAKKTKTTETTSTTKDV VATPTLAPRFSPYVSQSGSSQSSVGINEMKPLPYGSHKASMYNESTPISRPAPSQPTA SGSGAVKKPRGKKAKVDDAVPVEKRAAKYKPRCPQNIMERLERVREQRMYMVDRRRNG NELREEFSVLGSTGNVYTVTVDRLPRCNCPDATKGNHCKHILFVFVKVLQVSQESGYW YQKALLTSELEQIFAEAPLAPNSEANPQVREAYARVTGRLPAGASSSKSGSGKHKRVP GPGDDCPICYDGMHGVAETSLTYCEQCGNAVHKECFQQWMATSQRTGTGLTCVWCRAK WTSAPGVGGANVARPSSDGRYINLANVSGQSPVRDTSSYYHGPRRGRRYHGYQIYDDF JR316_0004048 MHLTERATPCSLFSLYIFSSFQKDSTINMVHEVIIKKHPIYWFE DGSLILDVANHRYKVHHTLVARHSKFFSAATTLAKEEGGRDTKQDGKEHDGATQNILV GSSPLIHTHVILEPERQVHPEDIEALLQHLYHDV JR316_0004049 MFYGQMLTIPQRRLDTETPFPHLLSLLRVCSPRQLDFPNIHRAA RRIFEDAFPSNPEAFTHNHPLHEALPMAKSLNIPHVTKAIFYSLVSTTDFDVSGPPSH SGQDGSNTAQPRQGLSLDEQGSKRAPQSKIVPSKTLSPADSRTCLNLMNRLIDHFTPI LFTPPATSHMECTDVFAETWMPLVIQPAIENDGVYKPLETLQRNKEIDWVKRGMCASC VAEKVEEWSEEQRSIWKMMDEWLELPSNSAAYS JR316_0004050 MDLQVASVDSPDPVTASHDDKASSNGDQCREAAQNKRNAVKGNK YLRTESSEDACYLKHLDPNVRFTTWGIFVLIYEVSSGLLPTSFSGYKGPFRSYLRLIV DLWSLCKWHCVKYYVSNIWLAIGPSVSFCLASSVLFNIERNLRLNGLDGLFKDGFVDF FRYQIICWFLCGMLTFYAERSLAETRIMIGSHLRAYFLPKLVKASLEIDRKRLTERDG PFPYPHDFPNYVPGLEPFEQVLIRIRCIAAVTLQILAMAVFIHSLEETSDKFVMSSLA ISFFIAALLAPQNGAGGKNFGFVTCNKNFKRMHVLFSIAFDFQFCRTLSRDGLTDWLV DEYKRSASALGIVKDIIGILTWGPAPPWYWELPVTIITRYPMYAVSTLRGNITSLQGS GQRGSIMLNMMVTVQSLYRLLDTTPDNGGSIDYLIATSDKGASIELRNVSCAYSQMGP PAIDEVNLSIKAGQLIVITGMNGSGKSTLVNLISGLIRPTSGDVIIDGHEIQRYNRGQ LRKAITMVSQTEYIYHTSLRENLLLGTPDGPSRLANDHELDEAATLGGCSDLIKKYGY DTVFTPSNLPNTSIRGYPERPVLDVLKKMDCQPQPIMLSPGEKQRIIASRAFFRLKHE NIKLVILDEIANALDTAAEAKVFANFRDIAKVNGQTMVVVTHRLMGIAKQADLIVCMS NGKLVEKGTHQELVASGGEYASLYSAQALN JR316_0004051 MLDSPSHSSSSRLLDVNKESHITRESHLKGNHTLHDGSKSQTSR HHSRRKVIIGLVAVAVIAIFLVVFLPVFFIVIRKNDSRRTGGSGVPNPNSPSGAITGG DGSIIKLDNGTTFTYRNPFGGFWVQDPGDPFNNNARPNSWTPPLNSRWQWGKDRVYGV NLGGLFVLEPFITPSLFQQYPDAKDEYTLSQAMAADQTNGGLNQLENHYNTFITEQDI AEIAGAGLNFLRIPLAFWAIETWEDEPYLAKTSWKYFLRVLGWARKYGLRVCLDLHAV PGSQNGFNHSGKLSPVNFLAGNMGLANAQRTLYYLRVITEFISQPEYQELIPIFGIVN EALVGTIGVDSITSFYLEAHNLIRNITGSGEGNGPYIAIHDGFQPQTMWYNFLQGSDR IILDQHPYFSFGGPQTAPIGVVGDQGIPGGQWPLTACNVWGPTTNTTRANFGVMIAGE FSASPNDCGFWLLGVGSQSSNPVCPEFDAWETYNDTMKQGIQNFVSASMDAFGDWFFW TWKIGPTEAGRIETPLWSYQLGLKNGWISKDPRSASGLCASIGSQTENFNNSYQPWQT GTPSSIPASSTSSFPWPPTTISGAGVSINLLPTYTDTAPIITLPPATFTAAPSSITAP ANGWFNMQDTEGGITTVDGCPYPSEYDGIFSVVPTAPCTGPVSAPSSVI JR316_0004052 MASSSFPPKIGTPSSSTQSQVDRKASWTPQTRNPVSARLYKVLS TNFDDEDTRQALRTLSDLYATPKGKEIQPSIEEADDELLQDTSGTSVSNDSALIELVP GELAARARRNLRRDMENQLAEGSKKFLEALGEVDAKLQELQKHVAAMRASCDEAETQL ALTNESSKTLLERAGNLRDERQEVEEKKTIITLFLGRFTLNESEVEAISSRDVPIGQR FFDAMDKTERIREDCRVLMSGEDGSTKAGLEIMASTSSNLEQGYEKILRYCSNEFRQV GRDSQLEVNSEMREAVNRLRKRPELLTEALSFLSETRQTTLLSSFIAALTRGGPSGYP RPIELHAHDPLRYLGDMLAWVHQAIAAEREFLESLFGLKQDGRMVGSVRKFDTKDEEE EWIRELMDLAVAKLCVPLKVRVQQTIRSQESSIVSYKIANLLQFYLMTMRRTIGEQAL LSTTLKEITDTSYKVFYDSIENHSRTISRVRLDHDDPSLAPPIVVLDHTQVLREVMTV YQSSLLGDEDEDEINSGFNQVLDIMVDPIIGMCLTSGEEKKNARPRWDEAVFVLNCLC YLQSVLSAFEFTVKKQADIQAIIDERIALLTAEHSTNVLSDAGLLQVAEACRNHKSNS TNAILIVVEQEPLSRMPATQPSDLQQALHHFSLWLSGPEVVQSPRLAQLTVQRMHTKI HQDALARLAKTYKMICEEIKKPENRYEAASTLLGRERPFGQVHLLWQVFGLEEEDYED KENESDEADSDESDEQGESTDSGDIAKASKPDKDQEVTDEEESDDESECEQFDS JR316_0004053 MAGITWHYVFKFIITGDPAVGKSSLLVRLTDQRFLANPDPTLGV EFGSKLITIPEDNKVVKLQCWDTAGQETFRAITRSYYRGAAGCLLVYDVTSRDSFEHL RTWLADVRKHADPHVSCILVGNKVDLCDEPTPSGASAPPTSSNSPNTSSSASSIIKSS KSSASPSKVKRRSREVSYEEAELWAKQEGLLFVEASAKSGLNVEQAFVDASRDILEKI KKGVFDDDRSPGVKQSLPNSALVDGSAVKSTCCA JR316_0004054 MTAWRSLFTYNKYAQITARALRSSLKEEERVIAEKRGITNTRFQ KWENGVGGQQVVLNEVAEKK JR316_0004055 MSFHRGGSGHNTHHSQYPQPWTLAPTNPTVSPPSASPFSPSYHA RPARNVSEIIPRLYISDLAFAENPALLTSYRITHILSTLSDTIFRPPPTLLPVQPIRM QVRIEDLPFAELAGHLPSTTAFIRDALNSSPNAHVLVHCAEGVSRSVSVVAAYLMAAY GWTPTEAVHFIKSKRRVANPNFGFIQQLHEYSRDSLGRMIPNPTPPFSTPH JR316_0004056 MSTEELQDVAENEIESNWDQVVDNFDNMELKPELLRGIYAYGFE RPSAIQQRAIVPVVKGHDVIAQAQSGTGKTATFSISILQQPATTQLDMNVKGTQALIL APTRELAQQIQKVVIALGDYMNIECHACVGGTNVREDMAKLQEGVQVVVGTPGRVYDM INRRALRTDNIKIFCLDEADEMLSRGFKDQIYEVFQLLPQDTQVVLLSATMPADVLEV TKKFMRDPVRILVKRDELTLEGIKQFYIAVEKEEWKLDTLCDLYETVTITQAVIFCNT RRKVDWLTEKMHSREFTVSAMHGDMEQKQREVLMKEFRSGSSRVLITTDLLARGIDVQ QVSLVINYDLPTNRENYIHRIGRGGRFGRKGVAINFVTTDDVRMLRDIEQFYNTQIDE MPLNVA JR316_0004057 MPTDGLKKRRHSMEINISGVLLTDTPINKLSTEILVNIFSLNGH ADACYIDLINTAIDDIVPTPEHEAALTVTRSSSQVCHHWREILLDSPKIWATSLDLHC LDQASDEWRNEVLRRTGNRLLTVVGTLTGEKHYLDFFKEILANHWTRIRRLFLCGSAL KSELWAALQRPSPNMELLALGMIFHGVKADENDVFLGDYAPRLVGFRARRFIDPDLSW YRNIREVWDLPIDVFNVPELLHLLSNMKYLELLKLSGHVFLEESDDEDGNISSVGPVS IPSLKFLSLFGTAPFSFIPILLLLVPAPNCALQLEGNCEENVSADELEGLAAALSTFF HNSPQKWSHLGYGLQESMCHLNLDPTRSDFRPFVSIHNIDNDWDESHFPCLILKALPP KQVSMVKEVSLSFSYLPSVTSVLEEFLDRLLKMELLSIDMGTLGVLNELLEDRKEVGC HPFPCLGTVSIRWEENDSLARDGPLHQFLSRRRDVGSRIRILQVHNYQGNPELLVTLA KMFETKLELGDGDIWSEQVLFPSEIDYESAMAGIVNELDLL JR316_0004058 MFSRVYSVLAFIFFFAGLAAATTTTVTVTTFAPGATPTTPASQC NTDSLFCCNLAQQANVLGGVIQFLLGIAQIAVSPVTALVGADCTSLIAGNSCSASAQP VCCQNNNFAGAIVIGCTPVNVNL JR316_0004059 MFARVYSVLAFVLFFAGLAAATTTTVTVTTFAPGATPTTPASQC NTDSLFCCNFASQANALGGVISFLLSFAQIAVSPVTALVGADCTSLLVGNSCSASAQP VCCQNNNFAGALVIGCTPVNVNL JR316_0004060 MTSLHDEHLNDSRYSHDITIENVRSSRADSMSSTSKEKYTVHST IEAASTKDKEEGEVEDDYPDGGTRAWLILAGAMCNTFSTFGYVNSWGIFQKYYEETLL SSSSPSTIAWIGSIQYSLVFLPGLIVGRLFDLGYFRSIFLISSAILVGATFLVAQCTQ YWHFLLCQGFVVGFGCGGIFGPTTAVIAHWFKKRRGFAMGLVAVGSSLGGTILPITAN NLIPRVGFKWTMRIFGFILLTTLGMSNLLLKRRLPPKKVAGGLLNLAAFKSAPYSVYC ASAFVTFLGIYTGEFNRSLLCSRLILIPTVLTYVGVSATDIGISSDFAFYFIAIANAS SLFGRYVAGNLCDKLVVLGAMNVMIPFTVSAGIVTYAWPFAQTKSSLIAITVIYGFAS GSYVSLLSNPIMEMGETGDVGRRIGMFMSILAIGALAGPPISGAIGTATGDFKAVGYY AGTAVLVGVGLMSITRHLILKRMFGKI JR316_0004061 MAFGASGGRGGFGGRGGGDRGGRGGGRGGGRGGSFGGRGGDRGG RGGGRGGGRGAPGGRGAPRGGRGGRGGARGGAKGGAKGGANTVLEPHRHPGVFIAKGK DHMLVTKNLVPGDSVYGEKRITIDGGVEGTKTEYRVWNPFRSKLAAGVLGGLDHIFIQ PGAKVLYLGAASGTSVSHVADIVGPEGNVYAVEFSPRSGRDLINMAKKRTNVIPIVED ARLPNKYRMLLPIVDVIFADVAQPDQARIVTHNAESFLKDGGHVIISIKASCIDSTVP AETVFASEVQYLKEHNFKPLEQVTLEPYERDHAMVTALYYRHTKPV JR316_0004062 MKPGAVAVDFGTNDPDPAFDFEQVPYPNSNNWTSIDREEDLPLH YMSEEKARRRVVKGPGAPSGGEYEYNEKERYVDYYEDESKDIYNKPRASSARIGSGRL PQPPLPPPTSLREFFMQNLEHLPPIIYTLLSCWTRFHKIGASNIVVWDEAHFGKFGSH YLKREFYFDVHPPLGKMLVGLAGLLAGYDGSFEFKSGEAYPENVPYVAMRVMLATFGV GMVPLAWYTAVELGMSQWACHLTAMMVLLDVGWLCISRFILLDSMLLFFTVLTVFCLT KFHNQQYQSFSIDWWLWLFLTGASIGAVTSVKMIGLFVTALVGVYTVEDLWEKFGDTK MSWRDQAKHWGARIFCLIIVPILVFMASFKIHFMVLNHSGPGDAQMSSLFQANLEGND FAQNPLEIAFGSKITLKNMGWGGGLLHSHVQTYPVGSNQQQVTCYHYKDSNNEWLVLP RWDEPAYNPQGEIRYLKHGDVIILRHVPTTRNLHSHNIVAPVSKLNNEVSCYGNETIG DSSDYWQVEVVDDIKQGNHVDRIHSLTTRLRFKHKTLGCYLRAANAILPQWGFKQVEV SCDKENNPKDIHTYWNVESHWNERLPSGNTKFYKSPFLRDFWHLNVAMMTSNNALIPD PDKEDILASKPFDWPFIHLGLRMCGWGDNQLKYYLMGTPVIWWGSTISLGVALVALLI YLLRWQRKYKDMDAREWDHFLYVGKIAFFGWFFHFVPFLIMGRVTYLHHYLPTLYFAV LMFSHVLDHFIFSSRNLTTKTKSIIFGVLSFTLVFTFWWFKGVAFGILGPINEHKGLQ WRKSWNIYEN JR316_0004063 MSFKGFIRLSTPGRYIVESWPILLYLPRFMQWFRHEAEERRKED TELYMSLVSEVRKRIDLGVAAPSTARRALEKQSDFGLNDVETAFALSAPFAAGVGTTL SALNVFFLAMLHFPGVMHNAQQEIDDVVGSSRIPDFDYINSLPYIKAVILETLRWRPI APIGVPHSVIQDDIYQAGDDELFIPSGSTVYANIHSMSKDTEAFPSPDEFRPERYLEA ESSSSNSPHTTFFFGFGRRICPGMHVAQNSLYAVIVRYVWIYGHVEVANEFIAID JR316_0004064 MASLLSVSTSLALAILSFNRYDGVVKPCDHYLVPKQPRFKQREL QLNDTHIPLYLPLDPSRNSIRLLVLHPGQGSGDIHCSLAVASLEREPSYTALSYTWGT PFRRSASMWSYRGVVQYVREWTENHRIHVPTIIIDKHRIPVTPNLRSALQHLRHPNLP LVIWVDAVCINQEDNEEKEHQVNLMRKIYSQAKTTIVWLGPAADESEKAFQFIASTDN LKVDDPDLRIEDIQPPWGPLQALFARSWWSRVWVIQEVLLSKNIIAKCGQHEIPFETF SRLAFKEHLLRRRMRNDPTFEDKYKTSSRWTFIPPTIPFYRLLANWPFTRKELQAEKG MGIWEAIIATLSFSSTMPRDKVYGILGLCTSSDREAIKVDYTQRKTDGQVYKEAVEYV IKSQNNLQPLQFVQARSRKSMDIPSWVPDLSSYGFSQLSGGFTASFFHASDKPATWER LIHPILSRMPSPISVVSKQLVSLFLRAEELPNVGMCATVSDNSDILTVRGLLFDTVSL ADPAPQSNIYQQSDSIYLDHLSRSTKEDELSLLEATIRWQRYVAAHKENPYGTPAARY RAFWKTLIGNRFRGEHGLVLPPKEANISYEVLTKRRPVPAGLSYHSPLFLEMGLFQAR MAIVSSERSFIITERGFLGMAPDNSKPGDVVCIFQGGEVPFVLRPRENNQWELIGECY LHGIMEGTAVKRAHPKDVRLFHIG JR316_0004065 MVNDPNNAELIRWSDAGDSFFVLDHERFAHEVLGRWFKHRNFSS FVRQLNMYGFHKIPHLQQGVLKSDTETEFWNFAHANFHRGQPDLLCLIQRKKQPQQGE DGAIDLRDASANAGLLGAPVQSAAGTASTNPPQANATLSSGQVLDVNSIVNGIAAIKR HQTTISAELNELKRSNQMLWQDAIAARERHQKQQDVINRILKFLAGVFGNHGASGGSG GGVATASPSPGTRGEGDGQNLGMARRRMRLMIEDAKRDGPKKSMVEELTSMDEFDSSY PTIETPISIASPAPSVAMSDRITVTDASAAPSVDSSSSSASETTLPSQQPEHENQISR SVTPVRHNNPSFEFDPRLHGVLNQFTPAQLQQLLASLASQPPLSDPTPSSSNTSTSTI NQSNNMAGLVTPYLQPSLFDFSINPSSTTNNQPTFANVSQPTGSVDGLIPFDQFAPGP STTSQNTNNSVSNPHQIHEERMERQWQVAEDIDKDVNDLNTSINSLIQTFGLDPSLLE NPEMVTPGQDMDDNMQGTGANGSTAPSDFDFDSFFNHLSSGSGTNGMDMTPDNPMTGG VDYNDMASTAFLDEVQTPSSDMTASPIQPLRQVSPGINLDIPANDVNVSSTGLHPSTN TSSKQFNLNLNANTAAVGRKRKSEIDFDALDDAMDGQQAAAAATTTTTSKVAAVTGGP AKSKRRKDK JR316_0004066 MIPRVLSCFTTLCLVSCIAALNVQINRTEASVDISNFADEQEYI AFNADEGYWGDGTQSLRRQVGGFNHQRPCRKLSVRKEWRDLSATHKREYIKAVKCLLT KRALDRSLPRKFHRYDEFTYTHSNVAEGIHGVGQFLPWHRHFGHLYEKALRDCSYRGP FPYWDWLRDTNGTAPIAESPLFDPVTGFGGDGVPGTYTQPPDDDGALIPLVPDAMKGC VGTGPLVGAIFHVGPNRRLTDHCLNRNFGEFARPVLSQPFIDNIESQTTYDDFWNALD GIPFKPDLRTHDGGHAFVGGDMASFYTSSNDPVFFLHHAGLDRLWWKWQTQDLPNRLY QMGGRVNIAPPYGQVTLDYQLPFDIISSSVKIRSTMDPRLEPYCYTY JR316_0004067 MRLQTWTFTLILGIKHSSALQVPFFTQPESNGPPKLISSDTDQY VESLISKWNSSGLSVAVVQRDETSTTGWNFDFGGYGMAKADGSPVTPDSLFAIASNSK LFLAFSVGLLISNKTLTEERGQELKWSTKIRDLIPEWGLMDDDMDRGVSLQDMLSHRT GMPRHDLSGVQRNGGVAEMISTLRYLRPSAEFRETFQYNNLMYETLSYLPQVLLNQTY ESYISQHLFNPLNMTSSTYSVEEAEAWGTLADGFQWDMQDTIRGINGTRIATVPYFQR PGEEKTWAGAGGVLTSARDLAIWVAMLLNGGRHPFTNETVIPQEVVEHVAHGRSVSHG KPQYPELSPKVYGAGQWRYSYRGHDIIEHGGSNPGYKTQVARFPDNNFAIITLSNDER GGNAISESVKFRIANELLGLPHLEKDWNDRLEDKYNEAVNKSQQVTPRPSPPVLPNLP FTSLAEKTFHHPTYGSLKPCLAPQTVSSIAGQTEPHRPHSHCGDFLQSTAVQRILGAS DLSIPTYVIPWKRSFSTHLRLAHFTGNIFNLTNLWSNADVREREGYKSGADLLTGFEE AFEVEWVTGDDEGLAFRGGFWGREGPDSRSPEGSGKASAEVWFEAQ JR316_0004068 MINNSLFDYITIRGSIIALRLIAPLSIVYLPCGFLNTFGLSWIY NAPLTLYTSAEAVFYLLVYLPRRRKLQEPPIHRPPYLTQAQRQRIFEKCLEADQVHRT LGYTHGRPYPTGWFLPAESIPTRKDAIDWLLWALFSTSRGTGTVDLEEEGVKQELNGY IERIEQAMGTRLDDDHFDENEGHEDRTPRVDEETGRSESERSKDTVRSMRITLDPVQM LHRPLLWYFIVCLVDTYATIYLLFLGFKHYSPRTREHRLCFPPRPILYFVSRSKMPVI FFHGIGIGLYPYIPFIKKVFPGDNLMEGDVGVLLPELLPISMHMTPLSVPPRSEMLQS LDLILDHLKASDIGSPHDVQHAHYTDETTGESIEPISFLRSSSPTTSFVSTSSTGASL PSYATITPSQSQQSLSIDTALDTNNHRAPISDTDWSRVVLVAHSYGTFVAGWMMRMCV DADLFDRDDVIAPSLSPALEVEQSPLRLAHKIAHVVLVDPIPILLSDPTVAHNFLYRH PGTVCPIMLGCANENIEVENIGVTGINEGEFLVQNSVQAIPPPNVSNMMMPAVNSARN VSKRLKHTFFSRYYSSAAAWQLWYFASRDADVARTLCRTFFWAEGGMWREEVGKFVCG SGNIKYTCSDTSYAHAGIDTHRRTPTLSVPNHSDLEVGRGKETFRGRNVAVFLGGMDQ IVPAEAIRIHLTRERRCTRWWSAKGSDLDSNGYINTAPRTPAGIDSDLGVDQQEVEEE QAFHALNTSSSAVETLSSSALPAGKPCVVPSVPCSACQGDHSGMLEVLFNPDLDHAVI FDHKEYTEPLVEVVRRYTGDR JR316_0004069 MAMTLPLSPASDAASPVIGNNNSNNSNNNNDAGSPSALGDALSG GPASPTAASVASTAVGSNSSSASTPSASASASASASAANNNNGGNGAPAPGSSNNTAH NTAAAHTASALKRKPSRRANTAERRATHNAVERQRRETLNGRFLDLAALLPNLSQIRR PSKSSIVNSSIAHIHASRRHRGMASQVVRGLKAECEALRREVNEWRDRAGIPRCEEPV RGDAVGMVMSGELELVGVVGEEEGEEEDEDMVGVQGQERGGGGLERERGGGGFMDVEE EMGMGGMGGMGYAASPVSAHPHPHHALAHHGHPAHSAHHLQAHHAMHPAHTAHHGHGH GHHASVSVHHPAHGMHPSHAGHPSHPSHPGHPSHHPAHPGHPAYHHQHQQQMTLAQQQ QLMQQLHIQAQLQAQAQAQGGVFAHNPPSASSAGERGAGERGAGERGGERERERERGN GGGGERERGGGGGERGGGGGERGNGGNGGSRPGTAEFGYHSRSSAGFGPNNNNNSSSS SGPAGSNSSASASASASASASGMFTPPATAHGLPSSSSASGSGSGSGSGSNVNSGSGS GSGSGSNGGPQLSVNTNVHRPSTAQSQSQSANGGSSSGSPVGGVSSNYHYSQQQSQSQ SQSQHYSHQHQHQHQHHGHGHGHGHGSPVHGSPVSTSSAHSHSHSHDVPPNTAVSPSG FDTAGFGLALGLGHGDSSPSLGMNGVANAVGRKVGRARSGSGASAGSSVGSVGSASAS SVSGGASPAYELAHSHHHSQAQAQQGSSQSQSQSQSQQSQGQMGYDGGNGVPRGGGYG GAGAGFGGMNVNMGSMGSMGMNMGSMGMGAMGMGSMGGMSPMSPMSSMGMGGMGVGVG GLGAMGVGGLGAMGMGGMGGLGGMGVGGIGMVGGMGMGGMGF JR316_0004070 MPPGPPRQFKPVRGRSSASLPLWKRFALLQKEYGSVVSLFQGNT PVIVLGTIKAATDLLEKKGGIYSSRPRSIMVGELLSDGMRGVMMPYGTRWRNWRSVMH AGMSVEASNAYKSFQSMESKILIHDLLLASNSRQYSAFLRRFAISVVLRVSYGRRIQS LNDPIVVANTKIEECKTPGKYIVESWPILLKLPRFLQWFRREPEEQLAHDTELYLSFA NEVRRQMSLGIALPSTGTRALEKQEDFGLNDVETAFALSSPFSAGVGTTLSTLDVFFL AMLHYPDTMKKAQSEIDIVVGRSRLPEFDDVESLPYIRALILETMRWRPIVPVCVPHS VISDDTYEGMHIPRGSSVYPNISYMSKNEEMFPSADDFKPERYLKSANLPTPHTTFFF GFGRRICPGIHIANNSLYIVISRILWAFNIVPNLDEHGKAVIPSKDDFTTGLSIRPKP FTYHLETRNGKDTVTLIEEEWKTAEQEATAYPQ JR316_0004071 MAYPNALFSTFTFIGFLMCMVTFPLQLEAWNSGTCVFMVWAALG CLNQFINSIVWNHNYVNRAPIWCDISTKFMIGLNVAMPAAALCIARRLYHISTLQSIT VTRAAKRRHVIVDLLIGLGLPVVEMVLHYIVQGHRFDILEDVGCVTSTYLSWPSFVIV SLPPVIIGLISSIYAVLNIIQFRKLRNQINDFAGYKNLTTTRYFHFICLSSVDIIFTV PLSAYNLSNDVRHIRPWVSWADTKWGFSNVYTVPSVIWRSNPTTLRVIEINRWVVVLC AFVFFGFFGFTAQSREGYLLCIKVITGRANALTSKVIRQGNLTPILFQSARNRHNVPG IPSNDTSISLSTSGHHSTGEAKYFESDSIAAKDEEKNGITGLG JR316_0004072 MASLARVRLAPRLPAGLRVQVRSVQTTADLTQLRDKPSDPSQPI TVKLHEDSFQSYLCDKPDLEVQVTGEELLTMYKEMQTMRRMEMAADALYKAKLIRGFC HLAIGQEAVSVGLEHGITKDDRVITAYRCHPFAVLRGGTIKGVIGELLGRQAGMSHGK GGSMHIFTPSFFGGNGIVGAQVPIGAGVSFAQKYMGEKTCTFALYGDGASNQGQVFEA YNMAKLWNLPTVFVCENNKYGMGTSAARSSSNTEYFKRGDKIPGLQVNGMDILATKHA VEYARKWTVDDQNGPLLLEFVTYRYGGHSMSDPGTTYRTREEVQRMRSTQDPIRGLQK YIEEWGVANEQELKQLDKEAKAEVDAAVEEAKASPEPLIKDLWTDIYYKNTEPPYMRG REREEVHHY JR316_0004073 MSVTVTIPEDFKYVGASLFLTAVLLQGLASTVGRYRKLAKIEYP QMYAEKKEMEESREALLFNCAQRAHHNAIEYVHIAYVTTIISGLRFPIPSAIACAFWC VSRVSYARGYLTGDPKKVCGGTTFEDVLTNLFVSLSAVPSSTT JR316_0004074 MNTALTNQPPYNIARFSGFFIESVLTGIYWVHFYSYIENIRKRS RECGENMFISPIFAVGMTLFIGATGHFLVLVISSFIALSNISNTDTPMDQRGYLSLSP NWSVAYNAFYIMVPVVADAFLIYRLFIVWQRNYIIAIPAACICVSLLATASVAAHLFT TSIDSIFDRSRRWIVAAFALTFACNIYCTFFISLRVWLAQRSVRGAKVTSQTKLQRYI EILVQSAALYCLLVCLSLIFSIVESNALYMSVAAGICFCMIVTRPYNAETGQTFAAVT SVPHHWQGVATNDEGQTMMIDEPPLTPDTPGSAGTEVPTSRDESDAEKGRPRSDTGDT EKRELENVIGHHSFQSTINMPPASESSDGVVSEANFGAEKDTMTETPDVV JR316_0004075 MSAWKNKPPHNIARFAGFFIQTLLNGIYWVNFFYYVEGARKRSK LRQESLWKTPMFVVGCILFLLDTGEYLVLTMSSFIAFINCATTDTPFDERGYLSLSTN WNLAYNSFYIAVPVVADSFLIYRLFIVWSRNWWICILPASFCVALIVTAAITAKVFIA SVDSIFSTTQNLLLACFSLTFACNVYCTFLIGFRIGMATQHAGQVTPSRLQKSVNILI QSAALYCLLVSLSLVFSILESNALYFSVGATSAIVGICFCMIVTRVNNDSDNRAVQNG SWPQTNNVPLHTQAHPIPPPPPPPPPPPPPPQSPPPSIIQSSATVVPQPTVQRPPLQT FLSKCPVIGKIVLGRSGTPLPAAYQPEANTVTCLVEQVSTVDHHSDSDISGS JR316_0004076 MAKKKNKQIIRPWCWYCEREFEDEKVLMQHQKAKHFKCNMCPRR LNTAGGLAVHIQQVHKLEPENLPRIENALPGRDGYDVEIFGMEGIPAPDVADYKRRKE IELGLSAGSISQPPPKRAKVENRPLSEEELRRQLAEHKALMGLSTQETTTPVETSSGP AVYGAPQTYATPPIPPPLAGSPPVPPPGFFPGGPSPPPGFGAPPPFPPFMPGFPPGPP PGFPVPPGFPPAAPPFPPGLARPPFPGQPPFIPPPGIPGAPPALPGAPSFSPLPPPQF VPAQISQNASTAGVPPQGTPLPPSSSQTPQTASEPPRVEERTRQPVLSLPNGAILQTN PEFKKPTDLKFKDANFSPDEHRAIHPKYFCAKLAENPSPQADESRGKKRARAEDFL JR316_0004077 MNPDEKKPADLNEYSNVRIDEFQLGVWRFKIATETGFNPQKYWE DVKVSLPLFFRLAVDVFTISPRLFTIYIVCQIWQGIEDTILLQLSNNLLKTVEAGLVA GKPDSRAIASAMLTRVLCTVFVSYIQWYGAKTLEILENQVTRHYELILMQSNLRLDLP TSQAPGNKQEATASQAWDCLQNIINFATMMLKSFSQLLLIFHISRSNGGPFFAILCAS EPISSVLFSRSAWNQVCFGFINNTYYQRMISLKKMVNDKYRQDIISGGLGQWISKEFK LAHTQVGNLSETHPFIQFSRVTTTPFNSLWHRFLGDLPMLYCASEVMLRPTRLSLSSI AILQQSSSTVRNSISFALHSGSRFQKNLTLIKTIYNATIVKNTMKVGSVSYPHIPENP IANVNPSKGMSFQLKNVTFSYSGAQKETPALKDINLTIKPGSLVVIVGANGSGKSTLI RILSRLYDPSSGEVLIDGLPSSDYKIDDLHQATALLSQDSTLYPLSLAENIGLGCEEY VHDMDMITEAAKQGGALQFTEKFKEGMQTMLDPCIQSVQRGLYGNHNHPLYKEMQTMK KQIDISGGEKQRVVASRSFMRFKSGKVSFVAVDEPSSALDAEGELDLFKNLLAVRDGK TMVFVTHRFGHLTKHANLILCMKDGSVVESGTHEDLMKLDGEYAKLYNIQANAFSDKW EI JR316_0004078 MVLQRGWSWIATKARNVLLKILAAGPIPRHVAFVMDGNRRYARK NRKAVPEGHSDGFVTLRRMLEVCMRLNIKCVSAYAFSIENFKRSEEEVSALMTLAEAK LLELCSHGELLDQYGVRLNVIGNTKLLPESVQQAARKAEDMTRHNKRAIFNLCMPYTS RDEMTTAVESCVRDSILAGSKEIIITEQDIDRQMMTSLGDSPPLDILIRTSGVKRLSD YLLWQCCEDTQLQFSSVYWPDFGLFDFIPIILDFQRKVWSTSNL JR316_0004079 MDQIQNNQHHLNHSTNSNENTTSTSSNSPSARQRQLQFQLQQQR LLVQQQQQQLYSLLPPQISQGQLHNHSATAAPSSSSYSPHSLLPPIAGPSGSYYTDDL NSPTHTTPPTSASASSAVPTGWPNHHRTSNSRDELHPSRQQQQPRYEQEQYQFQQQQH EQHHNLQRDQQQQQQQQQQQQRQFKREREEPMAYNKDDKSSVGASGSSGPQQPPARGS EDAMPSTSDFVKKLYKMLEDPNFQSVVCWGPLGDCFVVKDMNEFTKSILPRMFKHSNF ASFVRQLNKYDFHKVKNTDDNQFGEHSWTFRHPDFHADRRDALENIKRKVPAQRKPAA QQNSISATGALPSQSSAYGAQPLSSSSLPYPLSSEHHHHRSESPSSLASQAQLKAEIQ RLKDEGEDLRGRIRNLERNYENVLVEMVGFQRGMAQQDGLMQSLIAYFLGSESGKLKA STSSSSQNAHNQSPMAGGATSPSGAMYSPSQGPPAGSSSSSMKATAHQLLKQQIQAHL QNQQQNQNPSGLLSSRAVPSQVPPPPPPTAQALQAQLRESQRRYASGSSSSETLQSTS GEQLQQYAIQQEDGGRQRQASGGYNFQPQNVAEDTSRSWNSAEYGRAQQQPHPPPPLP QTQEPQQQPQQQAQAQQTSPQQKFNRADALAQIREMHRERLSQGGWAGGVNVLDRMDR GGYGDGQDQQQQQRQDFEKGLIGSGGSHMEAADQRGFVGAGGEDISGTESGQRSRSDS SAFLDDEGRDSRDLSPEEYVMPSANTQPLRPSDLLPDGRTNVQDQRQQASSSSSSSTS WDKMMPSSFNLGPVAGFSGQNMEATEAELAREGLQVYTVGHLLPRNAYSEDAQGNWSF DPSTLSMGGMLGGLGLPEGSSTDSGYANGDNEETVQPTVPSSIDAGSSGQGSSSSSTS AESQKLRVRRSTFVPGWAVPPRVLLVDDDAVSRKLSSKFLKVFGCTIDVAVDGIGAVN KMNLEKYDLVLMDIVMPKLDGVSATNLIRKFDKGTPIISMTSNSKPNEIMTYYSSGMN DILPKPFTKKGLLDMLEKHLMHLKVIQQMAKIPRSVGLPPLSDANFEQAITASANNLF MLQQQGGSPSNALTPAFSSNPSTSDTAGPLSAPSPFNFQFFGDGTDDDVRINPLAGMG LSDEQYGIILQNIVNGDGFMGMMESMGGTNPNQMSLSGEKRPLDDPEDERDGKRSRFE VIE JR316_0004080 MVLTRQSTRASSMASQLQAITVETSTGSTCKPRKKRTKVSEDES QETTSSSKPKRARKLKPEVDKSEFLPRAQSQWKVGAHVSAAGGVENAVLNAASIGANS FALFLKSQRKWESPPLSNESITEFKRLMKELGYESRMVLPHGSYLINLGNPDAAKREK SFACFLDDLKRCEALGLELYNFHPGSTVGETSVETSLSLIADCINRAHVETSRVTVVL ENMAGAGNIIGSDFGHLAGIIKLVKDKLRVGVCLDTWSQVMHSLRVMIFERKMAGITK FDEQIGLKYLCGMHLNDSKTEYNSKKDRHENIGLGHLGLLSFVHILNDPRTQNIPLIL ETPSFEQAKEIWGTEISVLQRVSGEGNVCHHNGTTKEGEHLVDIDALVNQLKKSVLNG AKRN JR316_0004081 MEKLSSDYQHPVPRKRGSKGPRRLLGFVIVACITQGIYVLSQGL FGTSVSHSTPSPEVQAHRASLVAKCKDIHTPAGPPSTFSTAERVKSGSDRWVPGTPPT LLKNAKIWTGARNGTEVVFGDVLLDKGVIVAVGYIPPNLLETTKIQNVGEDIRVLDVG GKWITPGLVDLHSHIGVYSSPELSGASDGNSLKAPILPWLRSIDGLNTHDESYQLAMA GGVTTAQILPGSANNIGGQAFVIKLRPTEERSATSKVLEPPLSLTHSPNGTDYIRWRH MKHACGENPNRLYGQTRMDGQWNFRHAYDEARKIRDAQDAFCAKVEARDWASLSEQED FPEDLQWESLVDVLRGRVKLSIHCYEAVDLDGIVRLSNEFKFPVASFHHAGETYLVPD LLKKTWGGAPSIALFASNFRKKREAYRGSEFAPRVLAGHGIPVVMKSDHPVVNSRYLL FEAQQAHYYGLNPALALASVTSVPAQAAGLGHRVGTIAEGMLDLFMSPHFAGVNNVFP QDIVVWDSHPLSLGATPEQVYVDGIPQISDPQPLYKPAAFQDLPVVPNWDIEANEAVK WEGLPPLRASQLTGKVVISGINSLVGFNDGGMEDGEQIETVFEDEEGSGRTIVVDGGK IVCIQHSADDCTAEINDDAAKYIDLEGGTIAPGLTTYGSPLGLVEIQLEPSTNDGNVR DPLVDGDPPSLLAGKAGVIRAVDGLAFEGRNLLLAHRSGVSTSVTPPSGSGFLKGLSA TFRTGAQHALSRGAIIQSETALHITISYALPVSVSTQISALRTLLFGSARSYVGQDSE QAEQWMQVRKGQIPLVISVENADIISTLLSLKRDYEDFSGHQLKLTISGGSEAHLLAK EIASADVSVILTSSRPYPGTWESRRILPGPPLSRKSSITTLLDAGVNVAIGTVNESAA RNTRFEIAWAALESNGTISKSQAIALASTNLHKALGVRHHKLSIPDLILYSGGSPFDL ESKVTGVLSAERGIVETF JR316_0004082 MGHAAMASGNKFGKALSRCVIVIVIDWISDTVSERGWTKTHGHF LVMGGFMLYRNGVPVQTLSIEKFHRHLEAGSIDFPNITEEDILDRSKSDFLQRTIVIV QVVWFALQCIARRALGLTITELEVVTLAFTTLNGAMFAFWWNKPLDVRRPFRIDFKPV PVSAPPEPPIEITTVAKAESDSPPTLEPAAPVNRYARIADEEDWLYMTLLRKSEAKRM QSYSDSGGIPLRSRLRDQASKMVSSSSNVVVQFFKSIVDYVQKEGLVSAVFHVFVVWP IERVLNGLGDIVESDDSKKVRKGALRVPTFYAPMMVPDAVAWVRAGAAALGVVFGSIH MAAWSGTFPSHGEQTTWRVASVIITVLPGVILLANVVNFWLERDEDRCCGCFEDCVAY IEYVVGAGGILVSRIFMSDVSCAVIHGEISAGGHWTRIFVVL JR316_0004083 MSTTSAPPPYYKTIYDETFQYVRYEDPSISSMGNRPNLAGQLEY HAPTTNGSFSICLAEGMGVFISKTCTRYNPCWRARRDIVWRPQLEIHWNNTISYYSQE RVNIRERMFSRTNHHHQHGQRASSLAPLLGTRRQRSPDSTPGPTESESDGRKLLQTKR SPHTKHLIKKGRTHPRKSVDASPDPSPQHSVNTPIPLADPHSVPNTNAAPPSTLPPPA VSSDIVAG JR316_0004084 MHAALLLHSTLFPADPEYHPQNPERITKPCSYATVAKTTPVAQP AHTPQRTSPAPTAGGPIKTRPHVTRPTRHYSSNNRLIASWDDFPLTQPSGPLTDFVER LNSKLLPMSRDPPHHVLGANVSKSGRLIIHTANDTGTARIKACPMTILQAAQASDCFP NFDSTIGDPPTVHSDVPWHSIVVHDLPANSLRDSFDSATPKNSIWSLLENEAGVSRQD IRGQIRALCRDGEEFQKDRLSILIRFEDKNITERLYHSGIFLFGSWCRVSRYWERKRK PQPSTPTPAPHAENTTPQ JR316_0004085 MAKIPAAVVPLIVVAVVSMLGIVLWVMEVRRMRIAAHRRQNDVA SPLLHTQSYLVPPEENSMALSPIEVIASVEPTSLMDRMREVQRLMLQIHDMERQPTSS DNQAKIQELHGRITELSDVSDASRVPPLLTPQAASKNSFGQPAPHSLDGRDD JR316_0004086 MSKTKPQRQAQNSSKQSHYNTIYDETFRSVHYDNPAIASMANHP NLVGKLEHHAPTTDGSFSICIANGRGVFISRALLESIPSEHRPTLDTTFAGQEVESFA GVLRSLGTTLFPLILKNAKTGESFRVVLHAIVLPKLYIHMFIGGQGSPILRTTAYTNG VPVHGFSFDGSENAKDFTMVQGV JR316_0004087 MALGMRLQEGPSQPDSGKDPFIPPVKMARSRVVIYCTVPPGSGT NAIGIITLDGQATTVTRQTGPSAVYADMWFDSGQIANIQHTITIANGGSSSDSPLMFD RFHVEGDTILNSTPVAPAPPPSPTVAPQTLRLTSLSVSVSVVTTTVRHSSSSISSIAS SRKSSSTSSSSSVSTNLTGTSTSQSSSTTGIGSSADKTVTSIQFVTTAADGNVATQSV NPAQEDATGSSSTNVGAIAGGVIAGIVLIIAFMLFLLCLRRRRRSCGAPFMQLNRSNT SVVPRRMTDVTPFQRASQLEQPPEQQDPEMSEVAPASSTSDHHDNGTVVRRFPEKNPA YYGSNTVQSPVSLDTYARNRLQAQYGDILDHQSSSSMYATTIYAPPESSNRPPSYQSA RPPGSATRRSFPHRDEKNTPPIPNGS JR316_0004088 MSESSRSPTSIYVGAIIAVVALSTVGIILRVMAVRRMRMAALQP QNALASPLVYPQSSQGPSTLGRFSYYNPPTQPPRSYTGNSTQGWNNFPEPAPPYQPPS SPPLSPRINSSGSYPRTSTVFSPPEGPPPSQPSVPIIPPSFPVPNTSPPRTPQVPRAP QTPRTPQTPREPQAPSTHSYPQASSHPPSQEPTVPDPPQESSVPLTPADTGTSVHAMS LMDRMREVQSLMLQIHNLEKEPANSDNRAKIQALQSRVTELSDVSEAPPVPTSTTHVA SDNPPRQPPPYALDGRDD JR316_0004089 MDPEHQHPNAELLQSATKGFDYLFSNDIVSARQHFERRDDPFHL MGLGVCAFLEAALGMETGLMTEASRCLSLSEAGARKQMRVPKPRDMSYESKFSYGLEW EILNADAIVLLGLTHALRLYKTVFPVGLPHIPSSRGDISISPSLPAVLKHKPSMASLA SESSTTSASSAATIPTPAPAIKSSFFSKWVGASSTQSLPITSGTGHTVPDGPVEDLII AGTAFGFGLFNLVFSLLPKKIQGVVGFLGFKHDRKLALQALSLAASKYDVHGVFAGLV LMTYHGAVLLFSGYQANENKILAEYKDIVDRIESRYPEGALWVLNRAKILRMSYDPQS AIKVLQDGLKPDRTHSFVQADMLLIFELAWTLLGQRRYQEASDAFMKITELNSWSHGT YYFIAAGCQLALGNKEKAQSMLDAILDLIEKKKISGKDLPTEVFIKKKLAFYKEKQAR RGGAPEHYVDSVKINPAEVWNNHSRVGESIARAHINALKELSPHVHISKITLEASSPT TNSLRTPRSAQSSGSKVSLVSPADVDLDTSDELAIRALMLGINYRTIKEFEISRGFLN EAYGYQNSIKVSTWVGGVAAFELAVLDLKEAEDRDSASPVVSSSEPPTPASGNGDVPA VVADAGAVAEKIDNLRLDSSAEGRPSVLSPEARKKMWIETLKSASTKLDLALNLAGSS IDLSSRLDSRIAMLRDEIAAKTAIIGVSI JR316_0004090 MPSSATMAASPANVAMTELITHPNEKGERDSVSTRSSSSKDVVF EEYLYYAAIQRLEEEGDRPSRSEGEKGWFYKLSDYKGRNVNVDVSSASPPPMTPEQEE TARASRALRLASWLSIFYLITTDILGPFNAPFAISQVGWVPGLILYFVMGAMALYTGL ILWRLFVRLDSVRYPLKTYADIAERIFGRTARHICNVLQSVQLLVNVATICLSNGQAL SQVANFKLCFSVCIVIWIIVGIVIGQIRTLKNYGWLANSAVWINLLIIFTSMGFVAHS PPNVGAAATSLGVDPTLPVQTAKFTSIPLFDKVFAYGGAMIFPEMMAEMRRPMDFWKG MVLAQSLIFVAYVMYGAYVYAFQGQFSLPLAFQGVSKHSWQTLGNVLALISGIIAAGL YGNIGIKVVYINIIEDWFKGPRLMTPKGRVIWTILVCIYWVLAFIVGSAIPQVQTITG LIAAIAIMQFTYSFPPLLRLGYDVITDAMVEDKAFVPGNGAAGRKDTWKDWSRWKRGL FSGHVLFKLFNLIIALGGLAMACLGMWGAGKAIKATFAQAGAATSFGCRSPVQT JR316_0004091 MSTHPAFFFDSTVPHFATDSKYRQMFDTVPLTFDRVHQGHIASS AFPLFKLPVELFGHVTKYLSIKDLKALALVDRDCYKLAALSQYRTLQIEARIQAPGAY AFSHGQCVRRLNVIPPPWGKDSAVPSLGRPPCHTGTSLFLPNLSILNWDGPSFTADTI LECMRTSSVQHLRIRGAVVKSLSTTLPLISTPCPLETLSLDIDWSDGDSSQGNVLLTE HLLRAFSPHLRQLIWKGRQTRYNDTFTRHLPSFPRLRSLVLDSVLLHSEDALYHLFGN KTCIDSLSVDTASPANRAFLRTCGSLPTLQHFSAIHDAWSDCLYQDLLTFLEHNDHLE TVHIAHPIPPTDMDLRLLPILNQYFGALTSLRLVFDDIDISQQSLQTISSITTLKQLW LSAGNQGFRSTWVIRHHDILKYLKPLTGIETLAFSQDTYITPNPHVLSPRIDYYASKA LPVGVDIAAYLTSPENLLYEGHNVDYETGISQQAQMREQAWERWHADCMLTFANQYSQ AFLSLRWCFLGQLAFSGDNQTGFAVVDTKLRREPCLVSLRKKMSIDIWRPE JR316_0004092 MMETPRSYTIAVLPGDGIGTEVMPPALRCLESAAKVFGFKLIFQ HYTWASCTYYLQHGKMLPDDWKELLQACDAIYFGAVGDPSTVPDHISLWGSLLLFRRE FDQYINLRPCRLIPGVKSPLANRDNKPIDFVTVRENTEGEYSSIGGRIFEGTDRETVI QNTVFTRTGVDRVVRYAFELARSRPAKKLTSATKSNGISITMPYWDERVEAIGKEYPD VTVEKYHIDILCAHFVQRPHTFDVVVGSNLFGDILSDLGPACTGTIGIAPSANLNPAA KRGDKNWMPSLFEPVHGSAPDIAGKGIANPIGMIWAGQMMLEHLGEKDAANAVMRAIE VVLSGAKEAQLRGEQDVLTPDMHGKGTTEGLGSAIEREILKSAKN JR316_0004093 MPPNNMSPESEPPIENDGHESRTDDFIVYDINVPYIGLTEETNR TTNYPQYMPSWDPIWFDPLPPFEFHDPALRAKNPSMPNLLSPSAQITHIQPGFGSIVH GVQLNKLSDAGKDELALLISQRKVVAFPDQDMIDDGPAFQQEFMKYFGKPNYQPVSGT IPGFPGFHIIHRSGNVEEITNFLERKSTTTLWHQDVSYERQPPGYVMLGLLQGPEVGG DTVFADTAAAYKLISPVLRSFLDGLFATHSSSNMIAHTRRAGGLVRKDPVDNVHPLVR IHPVTGEKCLFVNGEFITRIHGLKDAEAKVLLDFLLQHIITGHDFQARVKWKPKTIVL FDNRSTLHTAVVDYVSEDSGAAARHIFRLCAMAEKPIPVQKSK JR316_0004094 MTLYAISLTIGQLQRRGVLTTEVDFIDEASINNASKIFDNEDVD ILINSAGIYNMWDDKAFNELSADDILNHFKVNTLGPFLSSKYFLPYLSRSAQGKIINI SSDFASIADNIGGNACYRISKCALNQLTKNIAMDCQKTAPNVIALAIHPGYVPTKMTN YVGEDDMDVCMSSLVNIIETFGTPEASLNLPNGGYVRWNGDIMDY JR316_0004095 MTIPSLTVTNLKKAKNVVIGNPLAKVQLVRDTHFISTLIDCLNA PYSSEKSTNDALRIEAAHIIASLSYGSEEALGTLLRANAHHAFVYAISYFTPSEPLSV RAAFSRALRTLAASIADVVGPSMWGLRPDRSMIRNEARHALEYLFQTETLDIYLPLLL PPSPSIPAAYAVSTSIAQMLSTTIRSDVNRRAVTEWLPPAERQREVKSRRGWEKTALS ASHTSPWVARQLIALLGEYRREYDCKLVEACLSALAALAKENPIIATFLTKPLVDAPP LETILKFTKSRTVDVQLAACICITHILRALPPSPSSIPPPHSMTSPTSATTSHSLAHT PAPHKPSLEEDCKRTVINVVNGMISSPPSTDSHKSQTKACYILHHLVSDNDTFCHDAS KRGCLDNLGELVRSINPTESDPNEWEEGEPESLAALREAALIALASLALSSDDIRRRI TDELSLLPCISRALRAKRHTGTRYAACQCVRAISRAVSVLRTSIVDSGLGMDVLRIVL GQDLGDRYGGSSSNPGTVGNATGKAKEGGTKKSKDESSSMDTSVTGTRDGLGEDRRVL GAALSAVCNIVNDFSPLRPIYLEEKLMPRLVYILRESGDPPLRLNALWAVKNLVKKTS TETKRDIMSHIGWTQLSDFLSDADEDIQEQGFYTLRNLAENEEGIAMIFRELGPQVLE KIVAGLRSSCTDVVLQAAFAIANLANGSHDQQDMILRFPGMLTSLQSCLAESTSDIRR PAVSCILTLAQSNPRRRKEMMDAGIISTLRRLCEWSGHSTHGLGHGHNHPPAIGVSLS PTSGGAGHWGGRSPLRSPPSHAPVPVTGVYGSWGGSGSMHHHSHSLAHHVPASGRIQP YGHTSHHSWSSPMALEDDRDVIQRARTALEWLERGETYLT JR316_0004096 MSPLKHDVHQGRSATQPHVYTLPIETVGAPEALLVNDLQGNGLG EAVQLVPGGQQFFDVGTFDNFVTGFNYCAQPNPFTASTYIGPPVLAVNLRSDVWAFCA AGLTYLKNATSEPCSDVLLAMTPPIN JR316_0004097 MEQEEEPWHLTIVLLEGLRLMRPEKGWRPIVTVEIDKHDVHETT LGVDGQNVNQKDVFKFHGAGHLSKVDVNVWHRSQSKKKKKKILVASASHSLGELLKKQ ELEHTFAELDLSLQCRTSTRHAGGSSKGRSQKKAFLRLKIKPPPTQRHFKYSSDIDSE PSICSSRQWRGSASSTDDSCSIATDDCLDEIPKPSTSAQQTLRRRIRGYIIDTDDENF DNSDGDYLPDVPSYSDDQNGRIIGDRDEYAKATDLHPTLVSNGEIISFESTEQTFVAF SDIPLPLYTEKVVFTPERNLVQRLLASLTMYGDLSTAANEDQFAAVFVRLQHEWTFIG GLLVALAAVDTAVFSISPDSMFNVDSYARAAIATSSVASGLGIACDAWFLLRYNWADL RTFITRAKDLYDSYFFFSLSARVPAFCMFISAVSLMGFLALVAFDAWPQGVIGVSFLV GIVMSMQFLVYGAHWFANRVVDGGRAGSRSVVTVVRVVKRSMTRST JR316_0004098 MFSSSLLFAVALAGVHSFSFVAAAEDPVITPKPTPTLAKRQQAG APGTPILSTLSFAFTDLPEQVYPFPVLRGPQSGFNICNSTTLGPNSLCQTLVFNDPTD FCLWGSPDTAGEIGNVEARVVAYCTKPYHGTRLIPPDAITGLQWVKTSAYIQVTGFIK NSGLGLDDNPGNGGELDPHGADLQGNPLGGVVFSNGTADSDGHTLTQVLNWNNFVGNG QFCFKACFNSVKSPDYCENRYDLVGCQYNMPSNVKDGEFTECDSDLQDVVGTYTGADG KTSVWSMPDPLTTTPPYTPRVPASRNCKTFAATDLFLPVTQSSSSGAAGTTPTGQSGS GSGSGAPGATNKASSGSGSSSGAPPKNSSPAARGVSVGVPSALFAMVLAAAGGAAMVL JR316_0004099 MDASSKADNAEPVRGRRERESGFNNEPKPVDSDRPYSTSSSSSS SSSNSNLPPTKPLHPWIKFVSTYLRTHLAWVFQNTAWSKLKPVIRCALVAWLSLVLFV IPAVELVLGQASFLILIGVVLSPPSDPFLSVLEREILILVFVCLTWAWSCLGLFLADL ARTVKVPTATIFDVADGKYIEAGPSVIIGVFIFIGCSTILAFRAKQGPGPFVFPCILA CLCINICLTTGALFPFPFYLIGRTIVVPLAIHSGLSLLASVLVFPCTISAQFTTLLSD TLTPAISMLSTHITLLQAAPMTSPTFPPLLAAMRGETKKVEASLVPLAAARRLLPNDI IYGRFAPADFTAFHGMLRRFAGRADGLGVFFSLVDPARERFPGTGGVTPVTVPGTPRV GLSRVTTRAPSLERDTNRDGVVEVTTSHDGLTTLGAPLSPVATSRRSIKSQPLPSSHT HPTISSPSSNALVSPTIKHSHSYAASAHSHLHAHSHSHSHSHSHSHSHTHHHHALLHS SLLSLAKARAKRPEHAVGTFESQRYLNLEATTLWDPNEEEWNAKIGVLLKESCTPLLE SCGTGLSMVKEWLSTVRDSRIKQLLHSWRGHTENGKVEKEGISVNDKRPGTQKDFEKR REERIEKIRKIRREVEKVLQEFRQVHRHKVLEPYRKAFEHQQSDSHRNSETLPTSPLQ HDSSDSTDIGNGNIFAYSSYDESPPVKGDHEKERDKDHESDHHHHHHGGHKTPAHRYL FNCFVYQYNLLQIASIVLEMLDEILRLEAERTECTLWTPAKRVFSWKPWNIANTVEHL SEDDDPAFPLDSDQDLGLPRRRDPDALPPRNLAESLMYLSYKLFAALGTDGVLFGIKA GLLSVALSLPSLFKNSAGFAYDNRFVWGIFMGQLTIARFRGDTIFGISARIISTFFGA IVGMVIWYISCGSGNGNPYGLAAVCAVVFPFFFFGRIYWPIPPMRNIVFFVTIILVIG YSYQDEHINVPGSPGVGWPVAWKRFTLVTAGVVAAFVASFFPPSTTIRLYQRRLLSTT SSELGTIYCAIISFANTKHEPEIQEIVSSLLAVRNKLAKSAGLRGNVVYEFSLKGRWP ADRYQKIADLQVGISYCLSHLMSVLEHLEPAWSRAFLRRTRFMDPGFQGDVLAVISMI SSSLRTGNPLPQITPCPLIDRFLLKYHGLDVIHKDAEEDYGLPRSLSIETLKDEQYLM FSVGISTAFGIINRLDRLMIAVKEIVGEQYHIHGVGFVRAPMPMSVGRAGGGGGVEMG SRTNTVHFDEPVQLQN JR316_0004100 MSDSFADLWSSSAPLSTKPKPQTLSSAASRQTSSANANYGANTG SKPDLFAMLSSSGSGTATPRYGGGMMGNTSSNGLSNGSRASNPPSRTMTPSSSAVLGA GAGAGVARTTSAAGTSISRTGSAAGATPGGVDAFSDLFSPSSSTISTSTAANKNMTLA ARLAMEAEQKRVGAGSLGGGAGIGRSSSSAGAGSHAGASGSDAASTWAGLDSLAGGGS ILKADPPASSSSTTARSKPNIDDADDWGLGDFGMAPPISAPVPPTTRRTTRTQTTAQS IAAAAAAAETSRESEKKQTTGVSLWDLDDFKDNTNDDSGFRSAQQNNGTSNARTYSPE PSSAAAANPPRSSKPLFFEDENDVGVASPDQDFDFGAREDLDDRDRVRDRGRGLLDFD EGEDDFGFGSSERRGGNANGNDSHRAGDEEDDILGMLSKPVEVVKARVEADGERQRLQ PPRAQAPSNASSNRPRPSSPPPHVLGQIVEMGFSITQAKKALAGTKDGMDVQAALESL LGGGASGSRSGTPPPAPPVAAPAPAAAARARGPPKGQKERERERLEKQRLAAGAASSS SGASGSGISGSALNADNITEQADKLIAQASELGMNMFSKASAFWREGKERVVKAYEER EAGGGIAALAPGAKARGVGKSGVSGAGGRPKWMVDGPEHEGQDEEGFPRRVGKQKETS AFRDGWESDEDTRHDDAQRDRSETKVVAQQEQPEVEVDLFAPDVPSQPTSRGGQRQQG SSSSISTVSVRGPARPSPPAPSAQRARTPVPAPTRNLPTASPSALALALKHKTAGTEQ FKLGQHAAAADSYGLALQALPDGHLLRVPLLTNRAIARLRIGEYKTAVADCERAVEGV VGRTIFASGAEDSEGGFGVDDPLADKPTKGKNKSTPPPVMHPSTIPPSILSAGRAKAN DGGWAHPQGLGVDLLDQYVKAVKRAAEALEGREQWEQAGVWWGVLGRAAEGEAGAWVH ERERKEAVSGGVRCRKMVDGPAAPARSASTMPAPKPQTPQTKRPSPKASAASVSSAPS KALRALQSNNAQAEADDAAKHALKDTVDARLSAWKAGKETNIRALLASLENVLWEGDV MRGLKMGMADLVSAAQVKKGYVKAIARVHPDKLNAGNSTLEQRMLANGVFGGLNEAWI AFQATQK JR316_0004101 MESFINFDYASGLSPPNIPSKPAVFDSRINLTLHNLKNLTLSRP TPHELEQSDTDISKGKHNFTPYHKPRPARTAIVDETYYLPPSESYNEKILRLRLGISE NVDISCAWPNGTTPWHRLSKPEAAMLAIWLTKDKRATISEIFEIIMHQYPALRKTKRH QGWQVGGSFTGIKMVI JR316_0004102 MPEKNSEEWLNIQADRALSRWMQIWRPLFQNFAAISLNLANHPK DRVMTHCMKLLVVRRPGENDTVKQYQVVEATVARFTDIVAELGEDVNTPIDPTDYTRI RFVLILLDNEGYMERMRLVQWNDPNLDFFRNMDKDACARVGGPDSHWDEALISASYDG TPDIRTLGRRSS JR316_0004103 MPENEMAPPLKKPRNGVTVVSHVGPPIQMQPRPTPSVAPWDVQQ VQPSPRQSQGAPPPVQIQRAAQRNQEHSELDHAVQRPTAPIQRPAAPVQQPAHPVPRP VQHAAHPVPRPVQHAANPVQRYAAPAAENSVQYYNAPVQQPVHPVTQHAPVQQPVHPA AYNAHQEASISHQDEEEQQDYEGIEQEEDEEEEEGDSDGERRSEEASGDECMQGIDEE NIGDEEALQYVDGDEGYDNAGQDDEEPAAQLVDEVSDDEEERRARALLRQPSPHVVEV EDVLQEHRRRNRANKPPRPEALRKVAVSQGAVSQGLTRENNEASDDDEVLGEAYIAHK KSSTSSAREVSKHSVASFTGYWKDVLKIARKLMCLYVVEEVPFPTRENHLLVADGCVK MAVTVFERMNTDKVLPDKKKTLLDRNTAVTAFVYASTFRGRLKTMIRPLVKNAYGLEV PSEVIAANPNMFENQMGEIEYIKDRVAYWLLNGKYHRSVAKTRYHDVPFGHPFVKKIC LDFFYHPTKGVAVPIKGLETKTDFFKTSLPHKAFALVASCIHNCLEEWRDGIDPARGG PCSGIEFKGEEYSLRYDACMLVAAEAEKDTLNQGPRLACLCREVAEEGCAIMRPTKNP PNPYRMTLHSIPQEELDYGPEG JR316_0004104 MPPKGSKKKKAVVNATVEGQEVEQGPSSVAENEPPQAPEADHNG RPIRSTRGLGGVNAR JR316_0004105 MDGCQTLMEDLFVLSPQSTNANNREHINSAQDPGPSGGATPMPS TVASFLSPAWNPYSAIPVHSAVEIAELPSTVAHWLDTKYDKLKGLRLKVVDKSKGDHQ VAMELLSLTDDTAHLALLGRTLTLPKSVLFPIHPVKKDDFVTPLEGDSMGIIFRIRSI DKDICVVHKYPVTRMKRGDTFPTFPTTSLIQIFPPSRGVKVVNM JR316_0004106 MSSYKRRRLDSLGNHTDINPFIDIEAAVSDDDESSEELDYEGGQ LLNDNDEYSEDEERVAHSRLYHAMQNTDNADEWSDLLPMLLPSRMKICPDNDIEPSSS RELIQKYGNPQPGGLGDNNYMPSATDIMYEIGCKVGREEAVAFKIMQMSTNPTFPIIL ARSVFAQSSIPGRIYVEAPSMQHAHTLACLVRELNPTHLVRLSSERCMEILSHPPPSR PEDQLWVKVAGKRKAWTTYANATGLVFTFQGRKSVVLIPRPPDNIKKSHLDRIFQDGF IITDFDAIDLKYLSNVLPTSSELEQFRECPFVTTETLAQASKAISMTRLKQYD JR316_0004107 MRAAIKYRQSLAALQNSIVETPCVTSATWEQAGSLFAAYRLLDL VALWPAAFGPSLPVTVPLNEIQASTGTKEIFSRFKLVDDLKDWPAMLCSARKRILRAD SKSHRNINTPSISDTPSSAFTQGGPSESTLLLCLARNHFKGVVGKIIGNVYCASLHYQ ILSGMRGMKDDEHILPDIPTDMATLANL JR316_0004108 MVSMGQRENGDNTVPTSNIQPPEDTSSVAGKDVSMGQQENGDNT VPTSDIQPPEDTSSVAGKDVPTDEQPGLPRSDDIGSGLLQTENTGPSTAGDCEMNVDP EQADVDHQMEDGPHTNGPENLGDGGAGQGAEGSSDEANRMSEVHEGEKQGQDGERPDE ENRMSEDGEEERPDDSSSDNETEKQGQGDVPPVQEDRMSVDGEGVGPEQNNDSSDMER NTLEDSQGEKEGENAPPAPLILRIPPVSQINRSKNTTADSSQKGKGKGRQGLSKRPPK RKPTQATDTFDSDDDLCIDVDLYDSNTTLDVVSTPEKVYGTKVWSTYNGRGQLKSFCV VAHSQADVDRVERVLELVKSDYVDGVPLHIAHPEESCFAVFDRSTTKSMYLMESFSER NVVLMSPSQEESISTCSDEFYSQVRRHMGDMTSTRSIQDMSTMSSNPIERIKRGSLSQ VMEAARMKGKRGKILNALDIPLPHAGAHSFDLSTEAAALRATSGSWKYSTPVPFGDMS WGLVATEGAFLDIHIDANGFCSFIQPLHGLKLWIIMYPRRLDVDLSSDRRVFLGDKLD YGKRTTKTGFTRRLFSITSQNYFYEMYHVPFYSTQSGYSSLIALCVCMILANALDYET YRNPDQMFSTKTSAQLDAWMRWDTNSLSDEERKACIFARGEALAILEWLCHRTKSIHY MIETDKNKDAQNIMATEKFHEMILCRYARMILAYDAEAKHNNIGGAPCCTDTSLLFVQ LQGVCSGELNKVICRSIDQLPRSSVPKMLNLPVITLIDCDACADSSTQLRTPKEILEL GTSVRDKLYLDGMKVKLESTNPKSESVPPSKRRRL JR316_0004109 MGKGKGKRTQEICVRCPRCNKPFAKHADVQNHINQPKSACRFQY ENVMHFQSNRSSSTSRSATPVSRDPSPEIGNFMEVDYIDNFLGASSEMEDDPPPKASK YNYPYVKEDYNGAGRAFGTGLTFMDQLKQDQFEPQRAENLYYPFASKDEWELSLFLLR SDMSIKKLNLSYKSAKDLCNRAEILPSGPQWKSQTIIPEIPSKNQLTLFYRDGLECIK ALLISPLLQDSMHFSPFKLFDKCNEMMRVYTEWFSGDIAHFMQYNKVPKDQLPKGATL VPPIISTDKTNISNMTGGRVAYPGLISIANIMMNFLHRSKAVNGMMAARLYHQCMDIA LESVKQTARVGTTMADALGNNRFCFTPLAALIVDTPESALAACVAGSTSSVTLAQYET FGDSFRHPSRTADHTINTIMAINNVKPPNHLEPYLKESKKHRLNGVHLPFWRDWPLSD PSAFLTPEPLHHWHKMFWDHDAKWCIAAVGGSELDFRFSILQHRTGFRHFKEGISSLK QVTGREHRDVQRYIVALIADTVSTPFILAIRSLMDFRYLAQSQTISEAMCLRIEQALQ DFHANKQAILDAGARRGKKNNPIDNFYIPKLEFLQSVVHAIRLNGCAIQWSADTTEHA HIEVVKAPSSSSNNQRYEPQVCRYLDRRDKLRNFDLFTAIREMRIDFRAIHSATITDE EEQEEGDEGEENGEVVMDTTSELLSTIMPMTTFQSAKSNRIVDYFYKASLYERGVLEG PVPYRTFSCSKNVVAHLSRDASSKRLHIDEVASIFKIPDLRPAIADYVSLINKESNPR QTNSRGYHIKGITGRRVSPPGCLLPYSKLEVWHKVRIQSTAYQYPHEILEAVTLNAYP PSNKHPFGYFDSAIINVDESEEWPRSGLQGSTSL JR316_0004110 MHIATQIITQHAGLVVHKDDSDQYQQPLLTSPAFSHCIIVTYCI SLHPSHLCRIKSFSLIFRSPPPKLQRILPRVPLPLNKNSPPVLSIKLPLRIEDIWKHT ADSTMLSRSHSSQGSQNLQEESIGLSPTIHTCHASVSLPTEYPSIRLISAESSRSHSS IPPTTAQIPKDSSQSASSSKQKFFSRLADQAVSTLSEIWHPQDIPSVFLPPAKVGGSS FPPTHSRPTSKQISSDLQSIASHTHPIHPHNSHPSVSGHNPSPTLLLASGTKSDQILP LKSFVYKVLRHSRTSKNVLQIALCYLESIRPKVPQILQEENIGICSYAQPKSSIQKAT PEELAMDAELTALENSGKINIINNFIDNSMQTFRVADSGSQDLAESCIYPQDSLSSVD VQVSTAPLSTTLSLPSPLLCPRRAFLASLILASKFSQEKCYSNRAWARLSGLPPREIG RCERALAQALQWRLWVGKCAFGESAATAT JR316_0004111 MQIISYEEILQIEAEVLAPTFPDLIHPTTFPEAASLASQRQQYD LEMAQLVEQTQKMVLLTENRLILAILALFNEINWTTLDPRLLSLAKAKITEGDQWLKA RAEETLRDADSGSPEHILTQGMSIIANGQIHIRTVEDLIRECQDH JR316_0004112 MSSRTRKKFRAATFHCSYSGCLKTSTTSWGIQQHYDRKHVTPAA LDVQSALLHAQSFLPQLPSSRSPSPSPQPWSNNFSPRRSPRQTPSGSPKSNPSGPNPV PTSHIDTHPTIDGRPCDSAGHYLPPNTKPQPAAPHNKEDFTPFATRTEFEMAEFLFVE EEMSAGRIDRLSQILGANYPDQDPPFANHSDMYACIDSIKQGNIPWTSFSVTYNGKLP KDGPIPEWMTQKYEVWFRNPLDVLEQQIGNPTYVGNIDYAPKRYYWNGKRRYRNLMSG QWAWLQANKIAQDIKCHGSMFCPVIIGSDKTTVSVATGQNDFYPLYMSLGNIHNKMRR AHKNAVSLVGFLAIPKTSQEYSDRADFRKFRRQLFHTSLEHILSSLRPYMSTPRVTMC GDGHYRRIIYGIGPYIADYPEQALLACIVQGWCPRQPFTSSFPRADIHELLAPDLLHQ IIKGTFKDHLVDWVTQYINQHHDPPIAKKILADIDRRIVLAPSFPGLQHFHQGRGFKQ WTGDDSKGLMKVYLPAIAGHVPDQMVQAVAAFLDFCYLVRRNAIDDDTLDQIEVALSR FHCHREIFRDLNVRPEGFSLPRQHSLAHYPMLIRLFGAPNGLCSSITENKHIKAVKKP YRRSSRYKALGQMLVTNQRVEKLLAARVFFTEHNMLNGISGPHIPVTILKDTPPEPPR RASTEECGEVFEPESQSEITLAKSHVRGVPLAIEAHQ JR316_0004113 MPPYNDWNTLDGYVSNSEEDRSENGSLDWHYNYQQEHEEDNYYM PFRPMIPPLSPHPKMKASMDAIEVSDYKDGIATMAEQAFKGEFERVVQLSANPKYSKK NPPVFKRQHPDEPGSYAQLRAGMQATQIEQTICLYRAILNQKRKRLIKYTKSPRLFEC VMLNHKAGNGSKLRIALSPIRAGAAEKLMTPKYDESTVLV JR316_0004114 MPPKKDAVVLSGPTRRSSSRVDDTPAITLDEPSHSTAEQDTDRR LTLRAVRNSNPRIADAPRPKRTSEEVAKEKKNQQTKQKRVVLLRKALIQKAAALEDQL LQEEANLKDDTFNNMQSLPASDLLDHGGNDGVPSMSGDISSSGGTEDEYVDKDNQDVE SEDSDINDGGGLDDDAELSQAKSKGSRKEKRGKLREQVTAYRSSTNKNQEPSVGNKRK GRASQSRKPAKMKKSNVCGIRDDWNEETYQEHFDLLPGANQNLPPSSNASGTSGTSDM EVNDDDDDVEAIDGISDDEREVVERRGILVANTLANPRGKSSVPLANPAYTGRSLAKV EATTSVPTYIPSALLDGRLKRKQEIRAKVDLPSELRLSYDEKFSPMLRELIGIISPWE RPSEQQILDVWHKVFPDVPLEGWLKTVVTKLVENNIYNWRTKLAQTASTCFERSIIPA MEDWSKSGIAEWCKWALSGDYKCRPFYYLSYEEGDDQINATGIFQHPLILSTLAYHYS SISAIHSSARRDDKPVGALIMAIQASHRAISHWLTGEYQKIQRPLGDFSKDNWGDNLT RDPKYYPTLSPGLVQESKATSNLLRVVNKLTDKRWEKIIQGAMQATRRHKSITIQTIA PAAPDAVEEPLSDFSILDNNNDSD JR316_0004115 MAQPHIRPFKIPNESPHNYRFKLQEIVFYGESRLRKALGEIFKK PAKMQGWMQFALQKELKFFISYVGLATSTGQVIDVPIILRSLADSLALIPPENLPIPF DVRFLEVMECAPRENAEFRVVAAYKNAWWTGTHITSRNGIITTKWLDICLRFHREQLR KDGRGVPQPSNFHTDPIFRQEDLIKPNAGEFLYNQLQQTHGVIRALVTENEEEYRLHC RRTSGYWMVYNAALLKELHSPGDPHVDEVMKARWKSGEAAWDAQKAFNNATANTKHHS QWISGVSLTPDEVLSLQNREAPPDRETHSSAMDGNSSKHGMKVGNVKSADNTNGSTHI FDAKHNIWEHADIADLLAELPHSPPPTVSPMTPPKRSTNISPRTSPNPSSNPLPNPSP NPSPNPSPNPSPNPSPNPSPNPSPESSPKVSPESTPKSSSKLVDKRSENNHRNDFPWR LSLSSAENETYLGMLYNNLPQSPHPEPPTLTSPHASGDMDTSLDKLSHSTQMDSPSRS PASKDLIWLLDNFPQSPIGDAEKQADLAMLFNNLPPSPHPEPSLLSSPHSPSNMDTSL DKPTQSMQMDIPSRSPASTDLSMLLDNFPQSPISSTHNAIQNTPAESMADKPSTPPHS APESSNELHLLFNSFQASPNVESHVKGDVEELEQLFINLSPSPSTREQGN JR316_0004116 MARKSRKKGTGIVEGNIDAARYVTVHKHRKLDEYGHTIGVERRL EALPEEPELQIQTYEDLRHRGQSVEQHQIDEWGHNDNPGDTAFTHDDLVTTTGKKRQR DYILQFVNRVDEFLGVLLTREARPSDETTCNHCKTGSIAIWRCDDCSLGHTMCRKCMR ITHSDDPFHKIRRWNGKHFASAELWQVGVHIFVPHYSGTRICEILNLQKVQLEREETV KDNAEQQELRRHVANTTRPNNGEIDEHGDVDGGEVDGNGEVQGEDFSEPDQPRINAQQ SVESDAIADQRFFAYLDNIRSNSDIEPPEEPDDDAEVGDAEGEGDVEILPGTTGMSDQ DDSSFYALQNSTRVVHSNGIHNLPLVTCSCRGMEQIPADLIACRLLPTSFVRIRTVFT AQVLDKFRLCNLELQSSAYQFYQLVRRLTKPMDPSSVVNLYNEFRRMTRLWRWMKKLK WAGFAGHNGKAVKDVCKGELANFCPACPQPGINLPDGWENDPNKFVYRRVLVADGNFK ADHVQPKKQSNDIWLSEGGGMIPKREEYHSFLKTAIEKLTGAPCENTFRAITGAMLAS KSCDVTGVVGVACARHGCYAPNALVDLFKGEQQKNVDFALLTALKSTGVDPRQGAMII YDVICQFIIHLKTRIGHLLPEGLDIDRAIGLFHVHAHKDDCLFRYSPAFIPGAARVIG EILESLWGKMNHVSPASRTATLAHRAEMLDDHASDSNHSKALALPKDLCRRYVEAVET RDCAEKYFAEVSRVAPQDVVELWTRQIVDAEARRLISPKVMDIYAAKGRGQEVTDTTE TTETTSNDPIEAYIQFALMVEEKQIEIRLCVRQLTKSPRHADPQKLQSLREKLQPLIS ELERLQECAGVLEHSQTQPDTSVEMLDWADEITDDDVVIPPPQPTQPLQPIEEHKIFL PSHYNVDQRWAPVELKARISQANSHLNQIRNLIAEKSFQYSDVIRNAPRKGVRTRSRA RIDEMNHRLTFYSQLYTECRTRLINLGADSSILAKFQKLSKDDVKTSTAILDPNKPGS TRIRLSWIWHNSSNQRLGPNIIAENGEIRPADPEGSHINVEETDPETLTEFKRVHWLR ARAQFHRWREEAIMLDYEMQWTVRYFSKKEEWWKNSAVVALADDPAGDNIVNAGRAAY ADRQSSIWGEMARRADYLFHMTNPSYKKI JR316_0004117 MSRIPPGPSNKNTSKYTPAERALIDVFKAEYLATLTPSLRTTLL TTKVYSAFASHWDAHGKKYNPDHERAELCKWARNLWRKPQVEGAVKPQSSVINIKKSD VVSRYRKDEVEKEIDTILGPVDARDRQKRFNVRATAIKNIIARLSPEELAKLEDQVAK IAEEGHPVEVRRQLAEKNFEKRLNDSATANWRELGMLSITFATYEDSSGRLHVEVHDQ IAELLNLKDVADVKAFEEIHAASARGMQRLVMEYVRDMLNLSRGKKEASVPGANIFTT GQHGFPVIGPTYDRDKLDKDDAERLYRKYLSKHYELASNGRTDQVPWGDIVDNVSIFI APEYLPADEYEFTDPHNTKIKHIKSFLDHIVEREKSLPPSQVFRFKNVTSRRRQGLIV PSCYPDEMDGENRSVNPTARKRKRKEKGNNVPTVTVHQRGGEGESSANDGDIGEDGED GITSPELSANDASLTDVNMFEDALHLTEPDFTMVSVSRDISMPSSPDKIENAEGNGEH QGESTTIQGEHGKLDQLDQGEHGELDQLDQGEHGELDQHNQATSTSSNMPDLYLQGDK DMEDFTITETDALLLEHYANSKAFELSLAQGNWDSNGLGEAMANRLDERGMANSSNIS STWRGLDNADPGNYPKDLTFTELLFGPTEVGVTNTIGESTYTAPAAPAISIAENSKSN WPSAEDPAVEAHIANRGNMETFVGNSMLGSFHTWSSANNATNSNMPDDPNVAIPISNE MRAEPMNLAINKTMGQTGPTSPVTNQTPELAVPSSSNNTDQSNLVWRTFNPDNTRVRP RPRPRPKHNEEGSPSKIVRDILTSVPLVPRISGASTSSVPLVSNERPRPEPSAISKDS KSGKGKNKAGKASQKRETSQSDDVKLAGGSRKHLTADDLAAKEAEEMKVEGKRVRKKR LLE JR316_0004118 MSTTTTNSQPPHVFMSPAPEGYIVMLAPDEQKYMVPLHLVPDLQ HAFESYRHKMRLGVPQASSSVQQADPNVVEYTLDEGHSSHFPNPPLSEQECLDVHSEI LALQQCLGISYKDAAHRLYMTELAKAKLAYKSMKSLKHISEGIDNSLLDLHARLGAGS JR316_0004119 MHQCRNLDKIISWGVSNAAKIPNYRAIRLEGQIDLPQDAYAILL LVNHDLFEDDDDEFVCGRAYGASRISVIATARYNPALDGIQEVEREHAWPASHCQSYI MKCIDASDSTKHPKKKSKVDSDLNVQDDQNATSPMRAALSAYVNTPPLTNSSPQDIIT GTWLARVCRTSSHELGHCFGMDHCVYYACIMQGSGTVIEDVRQPPYLCPVDLSKLLKA CGTTEEAQLEALLVFCERFPKVQLFMAFAAWIRAKRLIDGGA JR316_0004120 MADRTRTRVGIMVVGPEIAENPGFPDISSCTNTTHNVWAMSVDM PDSTMIEISGRSLYEPIVGGPSRDWERWRRTIFNTSSDVTAALTQREMEKDARASLNH HEGTRAPVSLGPKTRYWIVVVIARTDK JR316_0004121 MQRAAAASVTLTGNRKNGLSSHAIDDEIAPSTFPAPLILPNDDL SNDPRCPPQSFRSWTREKNRNKVTPQRNVLYVAYPPEVDSDVGYIQTWCNPNPNKTSM SGTCSAPKIDDVVLYLGAFYHGMQVKSLPTKLRFTSWEDDPPKLKRASSKMKTKIPTR IGLACQTECIGIRARPSPDDVYPAQLNLDDILEAAIEILPEDAYAILLLVNHDLYEDD DDEFVCGRAYGASRISVISTARYNPALDGIQEVEREHAWPASHCQSYIMKCIDASDST KRPKKKSKVDSDLNVQDDQNATSPMRAALLAYVNTPPLTNSSPQDIITGTWLARVCRT SSHELGHCFGMDHCVYYACIMQGSGTVIEDVRQPPYLCPVDLAKLLKACGTTEEAQLG ALLVFCERFPKVQLFMAFAAWIRAKRLIDGGP JR316_0004122 MAGCPDLSPTQIRDILESCDKSAGKPLSPFPRLVIDDSSKMTEN SIKLYPAAAGLPWHSTILALRQTKHWKAAISMVTKLLNLFAEDDISNTTLYRGSKSYA NIAKREIHDINHNWSRFLLFAWPGADEKRIELISATLTFVFLFDGKSNCLQDVWEMQD EETVRIIQAEFISCLTLPDSEISLFKRERSLTPLQAMITQVIQGIYDEDQKGGNGGKD VVKCLIDFINHPPPAKEYHTLKEFLDYRIVDAAAEYVFACAKFSIHSSVQMDSPSIKR FIRLASEHICYSNDLGSYDKEKEAYDEGHVLYLINAVDMVKKVFKLPDDATAKSATLA LQKQTEMELGRELEHLRASGEATAQELEYCEAVIYAMMGNTFASVVMSRYGGDVTRLK S JR316_0004123 MHISGSFIFSKILEDLVAPEDAEFVSPIHYIYDYMSGCPDLSPT QTSDIMGGLETDSGKKQRPVPRLLVDDKPVIQQNSVALYPAPAGIPWHTTIPLLRHNK HWASAVSMARKLLTLFSEDDTANTTLRRGSKSYADIAKIELNALDRNWCLFLFYLWPA ADERRLELITAAIVFVFIFDDVWEMKNEETIRIIQKEFVACLKLPDPGDVLAQDGENV TPLQEMISTIIRGFEEEDSECGNGGKDVIKWLIEFINHPPPTKEFETLREFLDYRIDD AAAQFVFACVKFSLRSSVQMDSPRIEKFMKIASDHVCYANDLGSHDKEKKAYIRGDVL YFINAVDMVKKVFHLPDDDAAKSATLVLQMQTEIELGRELERLRASSDVTVEELEYVE SVVYALTGNIFCSVVMSRYGGEDTKLEI JR316_0004124 MACVDTNNLADERSKSFVWPISYGFDYMSDCVESLPLSKDNSDA VTVPFPPLLMESDPKVNDASISLHPADAGLPWHTSIPFLRQTKHWRSVLSITRRLLEL FADAGSDDTASKICLDIDAAFAQGMVNTWAEVAKAEIPIIFDSWSRFNAYFWVAADER RVELMTAANGLIIIFDDVWETKDSEAIHKIQAEFLSRFKVRDSGRGRGFPNVREEDTT PLQAFITDVIQGFYEEDTKGGNGGKEVVDRMVEFINHRPPAREFTTLREFLDYRIKDA AVEFTFTGVKFSLRSNVNMESPRIARFMSLASDHVCYVNDLGSYDKEKAAYERGEIVY MLNAVDFVRRMYNLPDDRSAKRATLQLQMQLEREMASELDRLQRLVRECSKADEAPSM EELEFVEAVVYIVTGNIFTSVVMSRYGGKAARLSL JR316_0004125 MNENSIKLYPAAAGLPWHCTIPVLRQTKHWKAALSMVTKLLNLF AEDDIANTTLYRGSKSYANMAKKELRVIDHSWPRFTFYLWPAADERRLELLSATMTLL FIFDDLRLIYPQDVWEMQDEETIRIIQSEFISCLKLPDSETSLSERERNLTPLQAMIT QVIQGYYDEDLKGGDGGKDVVKYLIDFINHPPPAKTYNTLREYVDYRIVDMAAQYGLA GVKFSLHSSVQIDSPTIKRFIRLSLEHICYSNDLGSYEKEKQAYNQGRVSYIINAVDV IKKLFNLPDDVTAKSVTLALQKQTEIEIGTELEQLRASGKATAEELEYCEAVLHLSMG NTFASVVMSRYGGDVARLIS JR316_0004126 MAGCPDLSETQRREILAPCNTSSGEPLTSFPRLLINNSAKMTEN SIKLHPAAAGFPWHSTIPVLRQNKHWKSVISMTTKLLNLFAEDDFAHAILHRGSRLHA KIAKQEIHGVNHNWSRFSLYMWPAADKRRLELMSATMAFIFIIDGSQSNFLQDVWEMQ DEETNRIIQSECISLLRPPDSEMGSSERKKKVTPLQTMITQVIQGLHEEDSKGGNGGK DIIKWLIEYFNHPPPDKEYSTLSEFLNYRIDDAAAQYVFACAKFSLNSSVQIDSPGIK RFNRLALEQICYANDLGSYEKEKEAYDRGHALYFINTVDVVKRLFKLPDDATAKSATL ALQKQTEMEMGRELNLAIV JR316_0004127 MASTSTSTSVSDLLARADTLAKSNPKEAEALYKQILTSTSSSTA GTNTTPDPTVLRDQETALVKLGELYRDQKYAAGLAEVITASRAFMSSTAKAKTAKLIR TLLDFFSAIPNSQQIQIKTLTDNIAWAKQEKRIFLKHSLETRLVALQLEARQFTPALA LIETLLTELKKLDDKMILTEVHLLESRVYRGIGNMAKAKASLTSSRTAANSIYCPPYL QSQLDMQSGILHAEDKDYSTAYSYFFEAFENLSAAGEEGLSGKPQDKEKEDGAKEPGV GGDSEKGKNAQGQVGQALSALKYMLLCKVMLNLPEDVNALLTLKLANSYAQTRDVQSM RAVARAHQRRDLKEFGEVLREYREELQSDPTIRTHLSELYDTLFEGNLKRVVEPYSVV EIGYVAEQVGQERQSVESKLSKMILDKTLFGVLDQGRDCLIIYDEPEADNTYGAAIDT IEQISKVVESLYAKSRSLNHESGNVGMEVYVVVVVVVVIVGVANDTNTNSTKKNTSML ME JR316_0004128 MADEVVLPIPNLELPEKLFILSNPALKHLHDEARRVLLEGLKAD QMGPYYRTLTSSPSSPLPLDAALLESMEAENKAELEKLDERLAEAEKTEGESEISDAL KARANYLTRIGDKEKSLAAQRLALEKTPGLGSRIDITLTIVRIGFFFNDNAVITTNMA DAEKLIDEGGDWDRRNRLKVYRGLHLLSTRQFKRAADLLLDALSTFTASELLSYTRFV EMTVIAAALVLGRVDLRKRVMMAPEVVQVLPDVPILGELVGSFWECKYDKFFIALATL EQTHLLPSRTLSPHARFYTREMRIRAYTQLLESYRSLTLESLSAAFGVSVEFVDSELS HLIALSRLPAKIDKVHRIVSTTRPSLKNAQYEVVVKKGDVLLNQVQRLSKGYQVDSEG NVCSERIPIIILGLPNKPPRDDQIELVLELLQMKKEDLIKIRLP JR316_0004129 MFNDSESHNHVQIEDLIENAFEEIDPAPSLIRIINNHPGYEDIE FLIVDAYIRAIERMPSRGYALPKALKKLEAISADIDNDLASTVYFNADFSNVEASEYG PKNKFLMHSFISGLSIKHNLAQTTTMYALIEKGLDPDGARQKCESFSGITNEIFVVGA CIQVLLAGSVLISESAGPNYRTTADIVARQLKSRMEEGVVKEKHAHAVLELAIAHADS GLLANNDRDDVWTLLFPPPSTH JR316_0004130 MSRYKQPNRPSRTVGNGKNESNTHSQIPRTTVSKKTDNGNKNTR RPKRHVVSEDSKMVALYKAARESQNVREKWIKTPPVLFQQVV JR316_0004131 MEASAGEEKKLLMYARVLGYMILEAPSKSGSLFIAREIIEWRKN KWDLNLLAEFYVHYIFGAFTRRRNEFTDERVYYSFEEEDLYGTPDPYPRDENSARRTT ECSKTLGDLLYGFSGIPVATDLSGDMVYDMSNSIALAYMTREHFNVLALWLEEVPDKP NTYLVQAYREGIVDDDPPVITFTTPSNINIPLPNSEYLRLHASLARIAHLSGVYQYLD NLPMFVETLDSEGNSGDILMARLSRAVLSGCKCVTWIDVDDDDSK JR316_0004132 MELGLSMGRRYVQRTIASIPEEALTEMRKHAFSPKRDKIHKNLG LAVSERDWILRNELNLLEDERNYEELEFEVAQEVMKSKMIQMQTLARTVEDEHLFLFE LGLSRFFLSNVPEDGSSFKYPQFHGIPKIHKKPTGFRPIIPCHSVVFNPAAKFVSKEL KPIIKSTPSIIHGTKDLFTRLSQLRIDSKRQWYFVTGDVVAFYPNIPLDLCIEIVCSM YEEWLLNASEENTALAHINPNSLENNLVKLGIFKRAIEIGNTQLITQHGSRYFRQKNG LAMGVADSPDLANLFGAHFEIKSKILDHPNVAFYGRYIDDCFAIVYAESEALALNLIK ETIMFDGCVIEWAVSSSGCQFLDAFIFKESGKLHWKPFVKTGNNRERIPWVSHHPLDV KRGVYIGELSRLAVLCSTKEIYIGAIRDLNALYLMRGYPENLVMSWCKKNIQERWEKR FALRVAEHDESILVLKTRFDQVWNWFSAAELGKTITEYWSAWYEHAEKGLYSADSSRP LIKPDPNYVHDLDDVRPELFTQILVDGETEFVPDLRKIGLLGSRWIVSRKRNTNLFDL ANVWKKTVFRKLDEDIAEKGGVVPETQNVNETYHSALVEAAEQISIESDNEIILHRRS ISQEREHPEFGRISKSYNR JR316_0004133 MSSQYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSVDQVIDCVFFDYRAALREFLLNLASWCDKRETVKASLERLESA VSAGNTPNRLKVKAPEFQLTKEFADAGSAEALRVSTTFSTARDAFQKAINDGAVQAKK DELAFWDDKCALNSCYEAAALIVKATYDDRKSSYKLPVFSTDNKGVRRIAEWVVSPQK KAECSALQTILPAIFSHIKQIVNMRHRALAIKIEKKRMTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQARKAGPSKPKSSSTPSQRKPQTKASN KVDNKKKGKGRAPVKNNDPKGKGKARA JR316_0004134 MSRTFLLRIATEESIKTKGLVTLSGQNSSKAPQPQAKKAGPSKP KSSSTPSQRKPQTKASNKVDNKKKGKGRAPVKNDPKGKGKARA JR316_0004135 MNKPFDPDYGVSSKKKEKPPVLPQYMELGLSMGRRYVQRTIASI PEEALTEMRKHAFSPKRDKIHKNLGLAVSERDWILRNELNLLEDERNYEELEFEVAQE VMKSKMIQMQTLARTVEDEHLFLFELGLSRFFLSNVPEDGSSFKYPQFHGIPKIHKKP TGFRPIIPCHSVVFNPAAKFVSKELKPIIKSTPSIIHGTKDLFTRLSQLRIDPKRQWY FVTGDVVAFYPNIPLDLCIEIVCSMYEEWLLNASEENTALAHINPNSLENNLVKLGIF KRAIEIGNTQLITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKILDHPNVAF YGRYIDDCFAIVYAESEALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFIFKESGKL HWKPFVKTGNNRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAIRDLNALY LMRGYPENLVMSWCKKNIQERWEKRFALRIAEHDESILVLKTRFDQVWNWFSAAELGK TVTEYWSAWYEHAEKGLYSVDSSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVP DLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQNVNETY HSALVEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0004136 MSSTYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSIDQIVDCVFFDYRAALREFLLNLASWCDKRETVKASLERLELA VSAGNTPNRLRVKAPEFQLTKEFADAGSAEALRVSSTFSTARDVFQKAINDGAIQAKK DELAFWDDKCALNNCYEAAALIVKTTYDDRKSSYKLPVFSTDNKGVRRITDWVVSPQK KAECSALQTILPAIFSHIKQIVNLRHRALAIKIEKKRSTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQAKKAGPSKFKPSSTPSQKKPQTKASN KVDNKKKGKGRAPVKNDPKGKGKARA JR316_0004137 MSSQYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSVDQVIDCVFFDYRAALREFLLNLASWCDKRETVKASLERLESA VSAGNTPNRLKVKAPEFQLTKEFADAGSAEALRVSTTFSSARDAFQKAINDGAVQAKK DELAFWDDKCALNSCYEAAALIVKATYDDRKSSYKLPVFSTDNKGVRRIAEWVVSPQK KAECSALQTILPAIFSHIKQIVNMRHRALAIKIEKKRTTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQARKAGPSKPKSSSTPSQRKPQTKASN KVDNKKKGKGRAPVKNNDPKGKGKARA JR316_0004138 MSSTYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSIDQIVDCVFFDYRAALREFLLNLASWCDKRETVKASLERLELA VSAGNTPNRLRVKAPEFQLTKEFADAGSAEALRVSSTFSTARDVFQKAINDGAIQAKK DELAFWDDKCALNNCYEAAALIVKTTYDDRKSSYKLPVFSTDNKGVRRITDWVVSPQK KAECSALQTILPAIFSHIKQIVNLRHRALAIKIEKKRSTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQAKKAGPSKFKPSSTPSQKKPQTKASN KVDNKKKGKGRAPVKNDPKGKGKARA JR316_0004139 MSSTYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSIDQIVDCVFFDYRAALREFLLNLASWCDKRETVKASLERLELA VSAGNTPNRLRVKAPEFQLTKEFADAGSAEALRVSSTFSTARDVFQKAINDGAIQAKK DELAFWDDKCALNNCYEAAALIVKTTYDDRKSSYKLPVFSTDNKGVRRITDWVVSPQK KAECSALQTILPAIFSHIKQIVNLRHRALAIKIEKKRSTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQAKKAGPSKFKPSSTPSQKKPQTKASN KVDNKKKGKGRAPVKNDPKGKGKARA JR316_0004140 MSSQYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSVDQVIDCVFFDYRAALREFLLNLASWCDKRETVKASLERLESA VSAGNTPNRLKVKAPEFQLTKEFADAGSAEALRVSTTFSSARDAFQKAINDGAVQAKK DELAFWDDKCALNSCYEAAALIVKATYDDRKSSYKLPVFSTDNKGVRRIAEWVVSPQK KAECSALQTILPAIFSHIKQIVNMRHRALAIKIEKKRTTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQARKAGPSKPKSSSTPSQRKPQTKASN KVDNKKKGKGRAPVKNNDPKGKGKARA JR316_0004141 MSSTYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSIDQIVDCVFFDYRAALREFLLNLASWCDKRETVKASLERLELA VSAGNTPNRLRVKAPEFQLTKEFADAGSAEALRVSSTFSTARDVFQKAINDGAIQAKK DELAFWDDKCALNNCYEAAALIVKTTYDDRKSSYKLPVFSTDNKGVRRITDWVVSPQK KAECSALQTILPAIFSHIKQIVNLRHRALAIKIEKKRSTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSVGKKASSRNPSPIILDTNYYSNYPDFVWPEFVQGSSTSGQ ESWPFEIQTLVDAFAEEAPNQGFQQGRQQEEREGESSRQERSKGKGKGKSLDARSNVV PFTSEGMNKPFDPDYGVSSKKKEKPPVLPQYMELGLSMGRRYVQRTIASIPEEALTEM RKHAFSPKRDKIRKFLIDNNYVITMTDKNLGLAVSERDWILRNELNLLEDERNYEELE FEVAQEVMKSKMIQMQTLARTVEDEHLFLFELGLSRFFLSNVPEDGSSFKYPQFHGIP KIHKKPTGFRPIIPCHSVIFNPAAKFVSKELKPIIKSTPSIIHGTKDLFTRLSQLRID PKRQWYFVTGDVVAFYPNIPLDLCIEIVCSMYEEWLLNASEENTALAHINPNSLENNL VKLGIFKRAIEIGNTQLITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKILD HPNVAFYGRYIDDCFAIVYAESEALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFIF KESGKLHWKPFVKTGNNRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAIR DLNALYLMRGYPENLVISWCKKNIQERWEKRFALRVAEHDESILVLKTRFDQVWNWFS AAELGKTVTEYWSAWYEHAEKGLYSADSSRPLIKPDPNYVHDLDDVRPELFTQILVDG ETEFVPDLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQ NVNETYHSALVEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0004142 MPALAVPMPALAVPMPALAVPTPALAVPTLALAVCPTPALATAC CCAATSGPLLLPPPPLDACRSAVTACHPAVAACRHDVTARRPDVASSRRPPQHALCCV LLCRHTRAAAAAAASPRPLSCRCRLPSPPVIPPSARVVPPSPPVVPPLPHVVQPSPRV IPPSSPVVTTSPRVVPPSRPLAAHHDTHSAACCCTATPGRRCRCRLPRRLSFRCQRLS SRRRRLSSRRHRASSRRRVHSPPTTTRTLLRVVAPPHRAAAAAAASPPRLSFRRRRLS SRRRRLSLPPRCLYSPPVAAILLSCL JR316_0004143 MLFLTPKISLPIPHPPQMSNKGNYVASLSQFTRWLAGVAENKYG VEIYPGFAGTQLLLSDEPDSTNPWGNKFRSVQGVITNEVGLTKNYRMKSSFEPGMAFR AKVTLLAEGAHGSLSKQAIILHNPRKEAEPQTYGIGLKEVWRVDPEKHKPGEVVHMLE WPLDKDTYGGGWVYHMDGGLVSLGLVIGLDYKNPWLSPDCEFQRMKHHPYFRALLTFP KAERLSYAARVLNEGGLQSVPKLNFPGGALVGFSAGFVNIAKIKGTHNAMKSGILAAK AAWNAVHPSEAESSDGTVAAAADMSSSWVQKDLHEVRNLRPSFGTRLWLWGGIIYSGI DSLILKGRVLWTFKHHGPKGKTKNPDSTSSLDSSLTEPAGNHMPIEYPPFEAPWLRIT FKTNLVCVMSDILTSLSSIASELDSELTVIAVFHGKSTHLLLRTTN JR316_0004144 MLRYRKPLKPALSLVRSFKTSVWHRNDSSAAPFNAAAVERAEDE VDVCIVGGGPAGISAAIRLKQIEREKGNEIRVVVLEKGTEIGSHIVSGAVIEPRALDE LLPDWTSMSDHPLTQP JR316_0004145 MAYRCSHPEVELKALDIFNTKSDRDQHNTLLDLLDVYPDWVVWA PKLDHARLVEHFRRMESIPSKRNEVPPLRMLQVSLERFVLQALIDIAVSSKPSLTSAK MMIQNCTPTQRHTGCTSDSGCLPYSSERYSLEISLETPVSSALKEENGFLSVLPLFAA ILDLPQSMS JR316_0004146 MVIVYPTWLFTGHPPPPLHRHPLRQCIAMWPSMYNRKNSPKTHI AHFPPSLINPRHYRQPSLWSLVNDEQPFLFLSAWYSTSIVIKAQALRPQQRSSLYDLA DGQFLIKSESLRLNLAFGLVLDIDCEKDAQLIPRLETLLIQYPQHLLSLDLFRTHTGS IVAHYLLAIVFTNCHIVPDKELTRPLGTNTASRQLLTIETPRTSILLSASYCSTLIAL NTLPIRYP JR316_0004147 MPALAVPMPALAVPMPALAVPTPALAVPTLALAVCPTPALATAC CCAATSGPLLLPPPPLDACRSAVTACHPAVAACRHDVTARRPDVASSRRPPQHALCCV LLCRHTRAAAAAAASPRPLSCRCRLPSPPVIPPSARVVPPSPPVVPPLPHVVQPSPRV IPPSSPVVTTSPRVVPPSRPLAAHHDTHSAACCCTATPGRRCRCRLPRRLSFRCQRLS SRRRRLSSRRHRASSRRRVHSPPTTTRTLLRVVAPPHRAAAAAAASPPRLSFRRRRLS SRRRRLSLPPRCLYSPPVAAILLSCL JR316_0004148 MLFLTPKISLPIPHPPQMSNKGNYVASLSQFTRWLAGVAENKYG VEIYPGFAGTQLLLSDEPDSTNPWGNKFRSVQGVITNEVGLTKNYRMKSSFEPGMAFR AKVTLLAEGAHGSLSKQAIILHNPRKEAEPQTYGIGLKEVWRVDPEKHKPGEVVHMLE WPLDKDTYGGGWVYHMDGGLVSLGLVIGLDYKNPWLSPDCEFQRMKHHPYFRALLTFP KAERLSYAARVLNEGGLQSVPKLNFPGGALVGFSAGFVNIAKIKGTHNAMKSGILAAK AAWNAVHPSEAESSDGTVAAAADMSSSWVQKDLHEVRNLRPSFGTRLWLWGGIIYSGI DSLILKGRVLWTFKHHGPKGKTKNPDSTSSLDSSLTEPAGNHMPIEYPPFEAPWLRIT FKTNLVCVMSDILTSLSSIASELDSELTVIAVFHGKSTHLLLRTTN JR316_0004149 MLRYRKPLKPALSLVRSFKTSVWHRNDSSAAPFNAAAVERAEDE VDVCIVGGGPAGISAAIRLKQIEREKGNEIRVVVLEKGTEIGSHIVSGAVIEPRALDE LLPDWTSMSDHPLTQP JR316_0004150 MAYRCSHPEVELKALDIFNTKSDRDQHNTLLDLLDVYPDWVVWA PKLDHARLVEHFRRMESIPSKRNEVPPLRMLQVSLERFVLQALIDIAVSSKPSLTSAK MMIQNCTPTQRHTGCTSDSGCLPYSSERYSLEISLETPVSSALKEENGFLSVLPLFAA ILDLPQSMS JR316_0004151 MVIVYPTWLFTGHPPPPLHRHPLRQCIAMWPSMYNRKNSPKTHI AHFPPSLINPRHYRQPSLWSLVNDEQPFLFLSAWYSTSIVIKAQALRPQQRSSLYDLA DGQFLIKSESLRLNLAFGLVLDIDCEKDAQLIPRLETLLIQYPQHLLSLDLFRTHTGS IVAHYLLAIVFTNCHIVPDKELTRPLGTNTASRQLLTIETPRTSILLSASYCSTLIAL NTLPIRYP JR316_0004152 MVSRRQREYTNLNVLGDLGYPVWFSTTISLLQDLIFIVSDTLLI WRCFHVWQGSLGIVVIPSLLFLAESALTIVNAVYQSSSPFLTSSTKAALENHITTALS LVSLCTTVTATFLIGYKIHSAAQDIGRQSAVTRYGRIANTVIESSAVYALALFLFALT TVVPLFYPLWSPAVQVKYYVDVVTGVVSGLAPTVMVLRLALPGVSNSPSMPTLSQISG LGTSAQRGRSCNDGTDAPSEQR JR316_0004153 MADTSSTISPTAEDYTLSASLNAAMLLNFLIGIYMMVYGGTVYL YCSRKPSKNHLNRRPVLLTLMSVPFTLAFVDFVLQWYLLNWSFVTQGATRETIFEAIV LSPKAVAAVIEFVQGFLLVLSSMLLIWRCYYLWDEEVRVVTAPLVLLVVQIALAITNT VLVGTTQRTLTQLKSDLQRHMTASLAFVSFGAAAYTSLLIAYKLHKTSSETRTENETR ISEAWAACRRMSDAVVDSSSAVALVLLVKAVMTVIGPFWDLESPLVAAIPYVQAVLDV TTGMAPTIMIARLVVASAEKAKTTGTKTHVSRLDFIAHQATDKSTNITRLTQSQLTGS GGASDIADEEKAAVLDLKKDSASQGDLQT JR316_0004154 MSILSNHVYTIVSRGQVNDNNVALDLLGGNSENGTQCQLWEDST TKDVFNQQWIIQEVPGQPGTYTLRNLRSGTYLDLDNASKQNGAKIQGWANVVGTSYAK DQQWKFIANGPYFKIQNVGSQTFIDIFEKNYTPGTKVHGWQPYPTESQDWVIHRVSRT ADEIKAILYKNPHIPPTCQTYLQDGLYVMVPKGARDEIYAKSGLKTTKWRNQIFDCDD FSFVTKAEVAKWGNSELKADGIAILWGVIFGSKGSIGHAYNFFLNDTMDAVVFFEPQN GEEYSDIGYQGYFSVF JR316_0004155 MSFSDYIKEVRAMYVQAAVQSGLSPLCDVCQNLDLWKTENRPDF KYSLGPWKEIERKATVSGPRCPFCVFMYPIIMREYNLEQNPTVYIEWKKEGGFFMFTR GRNISFLTGHTPGSPYGFARTVEPSIDPGLVKKWITLCETQHGGSCTPRRGIIRTSEE GVGVKVLRLVDTETNCIVEASGDPRYLALSYVWGPGLPAIRLTKNTVKDLSGKGAFDR HRDIIPKTIRDAIDLVHMIGERYLWVDSLCLIQDDEADMLDGINHMDLVYQCALATII ASHGEHCNAGLPGVHPGSRTVSQNITEVLPGISMTETEGVYDSMKGVYRTRGWTHQEL VLSHRALIFTENRIHFRCRANSWCEDTIYDQFPLAVNEVLHSGGGIEFMDDNENSPLS SYVKQLFLYVGRNLTKESDTIHGFTGILRFLSGRCKTGIIEGMFTAAFDVCILCWNNF PRGVNDVGRRAGFPSWSWAGHRGMRDGYGRKCTDPASVNQWLQKQTYIVWYVRSPETY ALDLVWDLESQAKYGIPEASDITYRPTAEDPYGRCASEIQAHGNQTKPRIGNSQRDLI IRAELDKRKYHFIHFFAYTVRAYGFGPPPQSSDWAMVHPLLDPQRRQIGSIKFDIQTK PEMKKNKHELVMLSKMDQYDDFFNDTITFERPFYWVMLIEWVGTKKVLAERRGIGLIF QDCMEYVLSPGKVWKEIVLA JR316_0004156 MSILSGHVYSIVSRGQVNDTNVALDLLGGSSEKGTQCQIWGQST TKDVFNQLWLIKEIQGWSSAAGSPYEKNQQWKFVPNEPYFKIQNVASQTFVDVFQKNY AAGTKVHGWQSYATESQDWVIRRVSRTGDEIKAVLSKNPHITKTCKTYLQDGLYITLP KGVREEIYSKSGLKTLKWRNQIFDCDDFAFITKGEVAKWGAQELLADGFAILWGVMFG EKGTSTHAYNFYLNESLDAVVFFEPQNGQEMADIGYQGYFSVF JR316_0004157 MHFLPNHVYTIASREQYNDTNVLLDLYEPTSENGTPSYIWSQSD QKEALNQRWLVKEVDGHPGIYTLRNIRTGTYLDLNEGSSKNGTKVQGWASLAGTPHAQ NSQWRIIASGNHFKIQNVASKTYLDLAGKKHIPGTQVVGWQIDSTPTQDWVFRRVSRT GTEIKALLASNKSVDNNVESYLKEGIYIVLPKSVRDDILHRSGLGSSLKWRKEIFDSD DFAFVSKSHVAKWGATELLGDGFAILWGVVFGGQKDSAHVYNFFLNDNLDGIVFCDPK TGEQKDTIRHKGYLSLF JR316_0004158 MYGQVLAGLLLASASVQAAQKPMQLALNYSGDAFFDLWNYKVGD DFLNWWGDPSNNGIVNFTDQAFARQQNLLFVNSVGNVIVKTDNFTDGTGNASFVRNSL QLLSKDPITPGTLMIMDAVHMPFGCAVWPAFWMNGGANWPSLGEIDIVENINLATTNA YNLHTANGCKASTDVAITGDLISTDCFNVTNHDQGCKVQDTTLSYGAGFAGNGGGAFA FLWDDEGMRIWFFPRPTIPADLSTASPNPDGWGTPTAFYPSSTCDTTKFFGPQTLILE INVCGNFAVEKFNQTCSAVAAQCTDVVPNPSAFDDAYFEIRYITVFSNSTVPKTNLTA SGTSGSSTGTQSPGAQASTKSSGVSLNIPTSFSVLCGIAFLSLFFM JR316_0004159 MSVPSLTVEIGQEFTVSWTSSNDCSEPQDVDICLNTDTVFIPVG SISRNNTISGTVDITLNSTIPPDTYTLGIRFPGCSFLLAQEFDDFVVVSPTPRVLKGR SHSA JR316_0004160 MFSSKHLFSALFMLVANLSMVLGQYSISAPTLEVPIGRKFTVSW TSGNGVNDPQNLDICLNTDTEFLHLASIARNNAASGSVDVVVDDSILPGTYTLGLRFP GCSFLMAQQFNDFVVTSP JR316_0004161 MWSSLIFTLITISTLLVQCAALPVSEDLISRLTDPASTKHTDGL ALHAAEPNPVLKPQFAYPSQSSGVSTPVYSQNTHRSDESYRSHVGQGIIGGSVGGIRQ LNFLTQTFIIMWYLSLMALLAISTLLSHCAALPVSKERLDDSPSTLTSNIVPPNLSPH QIINPPIHYKRQGVRISVGTPVIVAGTIYQGSPGQGIVGTK JR316_0004162 MWPPTPSLYFLELLILLALTLGQCIQPISATAILHFRKSNGIIN VNPGPLDSLIPPGLLANTNNADGTTSSVLDRGSTLEPLIGNIRTENLGGVLSSALAPG AVSRTNPLIEVHVHVDKNEDEDSSSNSIDSEDVYVYVNLHVIDDEEETVSSSESTTTT KIVHVYVKVDEGDNNNNDDRLPSKDGSGEYEETQVNVYIHLDADDNDDDDHDGNVIQV HVHKNS JR316_0004163 MLIMLSSTLYFHHIVCLIVFALALLHGTRPVYAAFLLHRRTKSV LEDAKSEANDNDDPPFPCKPPCRPPFANAAGEHVIEDLGEVVTPILGSVLTDPLLGER SNTDALPDLLFSSRNNNSQYTDVYLEREVNDLEADVPVENLDMEELTDGLEPAANLEP EKPMNGHQENILKTGNELTTDTLTRHGPKVTDAP JR316_0004164 MATEGDIFPSKTCGIPLRRTSVRLRCRGWNRLLNMSEDFLEADE DEESLEEEDEDEESLDEEDEDEDEDEDDESLEDEESESLYEEDDDDDEDDESLEEEEE DEEAGEEVTKAPRLMGIAGSARAGSSLDLSLELASVSLSISRRFGRVVAETGWVPWKS ASASTIESASRLKDGIKSNIVNEDVLGDDMISNVRLRDKSIIRSYKGNAIGYRWGTFS K JR316_0004165 MLYSTLSFHRLTLLIAFALALYHGTRPVSATVLPEYLTHRDVVE DAKSKAKVDLEPVDPNELPLIDATEDQEISHLMNKVIGSVLMNDPFGKRSNTDALPDI SFPSRENDDTDADVYLKSLREVDDLHEKKAMNDVEEEDPMENDDGDADITVAARVLAL LRALFSALDVQKSSGGERNRSLIV JR316_0004166 MAPSASKQKRLAEKAAKKNAAVAGGASSSTGSTTLVDGDSPSST PAGSVNGASTPLTSMSGLNSKSGSQEDLLSMARLNLATDRSAAGVLVSDVKGRDIKID QYTLSFHGRLLIEGAEIALNYGQRYGLLGENGSGKSTFLQSIAERDIEIPPHIDIYIV RGEAEPSDVNAVDFIVASAKAKVARLEAYIEELSVADDVDDAALDLAYEELEEMDPAT FEAKAGSILHGLGFSQTMMKKPTKDMSGGWRMRVALARALFIKPHLLLLDEPTNHLDL GAVVWLEAYLSTYNHILVITSHSQDFMDSVCTDIMDLTHKKKLLYYKGNYSAYVKTKQ ENEVNQMKAYHKQQEEIAHIKKFIASAGTYANLVRQAKSKQKIIDKMEAAGLIEKIEE RRPLRFNFEDIRKLPPPIIAFDSVAFSYSGKKEDYLYENLSFGIDMDSRIAILGANGT GKSTLLHLITGALQPCAGTISKHAALKLAKYSQHSADQLPYDKSPIEYFQSLFSQKYP EKDVMAWRAQLGRFGLSGSHQTAPIKQLSDGLRNRVVFAQLAMEHPHILLLDEPTNHL DMESIDALAKAIKEFEGGVVIVSHDFRLISQVAEELWEVADKTIKNLTKADISIVDYK KNLVKQSMAAIEKAKLISKTVPKSKT JR316_0004167 MPSDLDKQIEQLTRCEPISEEQVKRLCLKAREILIEEGNVQVVD SPVTICGDIHGQFFDLMELFKVGGFCPETNYLFMGDFVDRGFYSVETFLLLLALKVRY PERITLIRGNHESRQITQVYGFYDECQRKYGSSNVWRWCCEVFDYLALGAIVDGRVFC VHGGLSPNLNSIDQIRAIDRKQEVPHDGPMCDLLWSDPDDIQGWGLSPRGAGFLFGAD TTKIFAHNNAIDLIARAHQLAMEGFKLMFDQTIVTVWSAPNYCYRCGNVASILELDEH LAQEYKVFNHAPVDVKSIPAKRPPADYFL JR316_0004168 MPRVESVKHISAQFYHSQFSNSQYLHDSSSSSASPPLSPVSAHQ THHSHSNSNSSNTSSSAASTTPGAKKKHVCGTCDRAFTTSGHLARHSRVHTGERNHKC PFPGCETRCSRQDNLQQHYRIHLSPGSRRSSTRAGSTGRILKKGSLPPPPSSSATPSS NGSNNHTTASTPLSMEPSLPVTPPLSPPPALEPARLYAHHGSHHHTQNHHGHHDVSPP DSPPPLAHATLPATATLPLPLRTGSHSPLSASAASPQLSSYSSSSPHHSHSQSHSQHH HHQQTHHMAPMSSHSQNQNQSQSQSYSNANTSHHGNNSTTNGSSSSSGYSYRHATTTY QEQSPSQSGNGAGYTYVHTTPIAPAPHLSSSSSSASPGASSSSSHSHSHSHSHSSSVG GYASSSSSSSVQHDGYPPIATIQQEGQQSQSRGHSPHSRHSISHISHPQSQASYSQHP QSSSAGSASPSSYSVFQHQQQQQQVEGSSYHHSMVQQPQQSVEQHHHAHQQTLGYTSS LHGATSASGSVSPTSSTSVVAGNSVSGARFNASPPPILAPIQQQSERYLRRESDVGVM QPQPQQAQHRLPLPFGQAHAQAQAAQAQAQAAQVQASSYIHHTQSQPMGGDSYYHQQQ HHQQQQQQQQYGHHQQQYGHQSQHAHPHAHHSLSHGAWKTESGMRRSVGATLV JR316_0004169 MPRDAADHDGRRHWLAFAQLGSHDTLPVSTMEKSLPKYTDSTET TEAKKQHEPQLFPRPPIRTVVIFLLFCAVYYYVLFSAYLHEEEIRPAACQQPHPAALP SNLSSFTDAPGFAEAAAKRLAGAVMIPTMSFDDMGPVDEDERWKPFNDMHAYLRKTFP LTHSTLELDIVGGYSLVFTWRGSQSTELFQPLMLTGHIDVVPSLTSLDRWTYPPFSGT VDDEWIYGRGSGDCKNNVIGILTAVEHLISVGWTPKRTIILAFGQDEEISGPRGAASI GAHLEKIYGKNGIFMVIDEGGMNLETSYGAEFALPGIAEKGYLNVEIEVDMLGGHSSI PPPHTSIGILSKIVSAIEDSTVFQPHLEHASPIWGYLTCVAEHGDTNLVPSWIKGGVT SKHPNMAAIAKRFADMSVGNQYLVQTSKAATIFNAGIKSNALAESARVLFNSRIDLFS SPAEVQEKYRKLIKPIAEKYSLLFEGNSVSQPPYIGNITVDWTSPHEPSPISPFKVES SRAWYIFSRAVQAAFGEGVITAPSAMTGNTDRRIFIAGALPEQAPNSTFTPSTRK JR316_0004170 MTLQRSSYTVRYPRWMVGKRLLYSSSALASLGDAMFGYSQGIIA AAQVQPSFIRRMYGKDVTLEQVQAGVEGVDEFVQAIVVACLNLTALVASLAAAYICDI LGRRMSIRIGGIIYLIASIIQIFMPNLATLIVGRCIQGLGVGMLSMTVPIYQCEIAPG HGRGLFVSIEYFCLNSGYALSAWVGYGFFFDMPSELSWRGPYIIQAILAVILVIWTFF LPESPRWLIKNDFKKEGFAAIADLHANGDLYDPLVGESYAAIEGAILLEDSMGQATWG QLFTQYTRRTIVGITCQLFAQFNGINAILYFLPENLTRAGFDIGQSLLYSGACALVYC AGTIPTMFFVDTWGRKSFLLFGSAGLVGSLALIGGLQFYVETLPLGNARISTADGIFA GEWGPVPWLLGAEVFPLRARAKGMALSTGVNWISNFVVAFITPPLFATLKAGYYFLLL GFSAISGIFVWLVYRETAGKTLEQLGEVFGDRDVTVKITNKEAARRAVGGEAGDSTGD GGGILGRGVVAEPLEIPGSTSTIELEGTSEVTLADPNDVKGEKQPAKEES JR316_0004171 MPSDELEEISGIKPKVWLPDGQEREVSSQSSNSVYKVKRTFDHY YCVFIKFHQQGGSPVNARTCKHLRALLGDDYELARVKMKNPDGPQPKHTTKRQIKSTS KSNPTATTSSTASSKRKTRAADDDEGLQADEEDDKPPTKRSKVAPSSSKGKGKKTNAD DDAEDAEDQADDDENENAPVGKAVPELLLANKWDLEKGLDPTGWWLSEKLDGVRTFYD GNQLISRLGNPFTPPNWFLDKLPKGVTLDGELYGGRGNFQSTVSIVKTVNSVHWKNIS FQIFDVPSRGHEPFEERYKFLQETFGVGGVHAADQICVVEHELAKSRQHVLDKLKEVE GLGGEGLMLRKPQSVYEGKRSGSLLKIKTFYDAEAIVTGHAPGKGRNKGITGALMCKM ESGKLFNVGSGLTDKIRKEPPKIGSIITYRFQELTRDGVPRFPSYIGLAVDKDRPKDA EIPEHRKAGAAGGSA JR316_0004172 MLSSIIFSSLIIARCSALPQYGNTNSGNAADNTGDSVDDTVNGY QSPPIWNWPALFAAETTAGGANVAADTSGSANNLGSGPSGVIYRPISQASSDGPVAAN SIQADASSSSGSQCLSSREPQFGNGVNGLFDCANGGDNSGNGYGGSSNGNENEGSGTA PVNQSSSDTTIAGNEPVDDTNAASSTTTSSTSSPSNIRNPPFEPVGTVSGAGGSTDTS NPPFEPVDTENGSSGVSSDSSETENPPNENIGTNNNSTSTQGGGGTQDSTNYNDGGCV LGREPQIGNGVSGQTGCGNGGNDSGNGSGGSDSGNGNNAGDSGDSEQDDVVSVE JR316_0004173 MFPSSTLKTLLVTAFIAQALALPQFGNNNNGNTNSGNGGSNSGN GIGGSNNGNSNGGYYYRREALPEPQFGNGNNGNTNSGNGGSNSGNGVGGSNNGNNNGG YWYRREPEPQFGNANNGNTNSGNGGSGSGNGYGGSYNGNYNGGYYDRREAAPEPQFGN ANNGNTNSGNGGSYSGNGSGGSYNGNNNGGYHYRRQFGNANNGNTNSGNGGSFSGNGY GGSNNGNGNGGYYD JR316_0004174 MPNFYPRDIPTLLISNIAPHPSVIPHILSTVKSLDDDLAKLHHT LKYRTQAEKRQILTEREHILEIRRKYASVISPVRRLSDKLLEKIIRYNAYNHQELCTY MLVCWNWNMVASYFIHHWNDIQINLCYDVSLDSIQINDLPLVAHHFERARPLPMDVTI DYNFDGGIFYNKSNRVLNQLGNHQYWRSLASGPSAIFLLMHKSRRRDLSSLEEIVICS KQGQEELRLCGLDIRALVSLTRLTIHVEDRIHNSYPGGILVDWFRLTHLDLDGLYSEA SDYLDILAAALFLEVCTLKLHKSAVPIRCPPVPVGYRLVLPKLREFSLNGKECPTLFL KYIATPRLQMLEITWKEAHDLKCAPGQEISRFIVESKCKLHSFSLQSYNILFSDLEMA MKTMISVECVTLRISNSNSLPIINKPLGENDYSV JR316_0004175 MTPPSVPFLYRAFFLYIEPISALAGAFYAAFRQDDYLKDLTFSS QLTHTVLPTQTNMALLQLANLYLLFALNEHFVLSSTTSIVTWKKLLIGLLVADFGHLI TMAPAGMAVFWKFWEWNAMMWGSVGFVYAGATMRICFLSDVGLDRIPKGKGKRQ JR316_0004176 MSSSNTSPSKTSGQMHSMKGAIKETVGGAIKSANMKQSGREEHQ LGNAEVRDAKETKGQGNNFGTTGNEGFRGHGSGAGPFSGNDLGQYENRNTGVSNIPGT HASAGTGSGPYDTTHTGGLGGHHGVGHGSAGEGMVEGLAATGGGAGYMGLNQGSTGAA QDARQSFGNQDRGFADRQAKQDFKEWERNAF JR316_0004177 MTSLPPEVPRRLRHLAHVVSAYNACLRLEASIQQAINDNSEDVG KKMIYARILGYLIHYVPTDQGLKTIVDEIASCKDDTACLQLGRMYFDHYIRAFKAKKG RTPTPSSHASRPSFDTIQDMIKDTLVEAPQSHRQAKQLALIRDGYRCVVTGGYDASSV RTIAELKRRVTSDPKGVSALTECAHIFAESTNASIGPGSEKREYAASMWAVMTRFGYE KRPEELNGARIHRLENILTLVPNFHGLFDLLQVWFTATEIENKYKLEAVDSLILRPYP EYVTFTSPDPAKYPLPSPVYLAIHAACAKVAHLSGAAAYIDKYNEDLDDSTTLDPSGK SADLLEHALFKGLQALAQV JR316_0004178 MSHGDFSGWMVPNAALQAICLTPHARGTAIAVAPKGHLSTSGTS GSSSSFSTKTPVAQQTCFNFNCGTCKTLPCPTGRLHKCQSCGADDYSKTDCTRSGVST PLVLQSWSFFLRDYPDCTFVSSLLNIVEHGTDIGFVGPNMEHSCSNLRSAFEDSAFMD AAVAKLVDNAQVHGPFPTPPLPDFFCSPLGAISKKHDPGKH JR316_0004179 MSSHLFSYSHSSLAIPARLQDACGRFLPGALAVQSSSSESDTDS VPSSPSVQSTDDKTSLVTALLSISLSSPTPSSPSSLSSLSSSMSGSNSGEGVPSSSGI GLGGIILTNKQFEILLNCGLIGSPCVEVPTPPPPPPVAPVAASMQIPTFISNAASSSA TSESLLDLFPNVPRATILEIIQFTFHPLNLNKLDLSAHEKVHDVRSSIVLENDNITVK ETRNCLRV JR316_0004180 MTSLPPKVPRRLRHLAHVVSAYNACLRLEASIQQAINDNSEDVG KKMIYARILGYLIHYVPTDQGLKTIVDEIASCKDDIACLQLGRMYFEHFIRAFRARKG RTSTPSSSASRPSFDTIQDMIKDTLVEAPQSHKQAKQLALIRDGYRCVVTGGYDRNSV RTIAELKRRVTSDPKGVSALTECAHIFAESTNASIGPGSEKREYAASMWAVMTRFGYE KLPEELNGARVHRLENVMTLVPAFHLDFDGLEVWFAATEVENKYKLEAVDSLTLRGYP EYVTFTSPDPAKYPLPSPVYLAIHAACAKVAHLSGAAACIDKYDEDLDDSTTLDPSGK SADLLEHALFKGLQALAEVQAE JR316_0004181 MQCTLCFDYSGNTLGMRYTPCADARASNVFYCKNFYAAIRESVP RFPVHSRANNSNNSNNTTTTTTTTTTTTTTTTTGNVVTQNPNPNLSTRISFPTDRQEL LRRRQQMWEHLQHLQQQQQLQQQQQQQQQQQQQQQQQQPSPQQAQEQAPIGQFIQRAM ALYPGRDPMYLGLTAAQAQRVIEDVLGRDVALTGGPHVEAARRQLMIRDFGGYYPGWT WESGAYFPDGGPTLPRPPLPLPPSLPAPAPAPPPLLPPQQQQLGASDARTTGSGLGRV TPVELARVMTRLREVREVRGGAALSQEEAEALLREVLGAERVASGGVMVQSLLERMTR GGGAAATATAAGQMGTPTETARQPAPSPPPVSPHIPPPPPPPANRLTVEEITIIRERV EQLHPGITMDLHALPRELAERIVRGVVGDLGMWDRDVGPVEVDWVMGELMRSGPGPEH AHEPEPEHELGPMQAGAGAGAGAGSVGWSGAWPWPVLMYYNGAWIPVPQDPPGLGMGM SAPGEPVSPPTLPFDFGYNAQGRLEPVPRRRSVPPAINTSAAMSVGSARGDESAGSSG GGGSRSVGVGGNAEREHTPRMIATRSVPSHTPVPPRTEHLDLPNNAPGLAPLHVHPPV LGYHGIPIPAPAPIHAHAHAHAHTHAHTHAHPHAHTHAHAHAHTHAHAHAHPTTPSPG HRPFYPDVIIDIDLTTLPPPTPPQLTRHAPPAPGLSLSLSIPFPPHHPPVNMGWGMSG GGGGRGSMTGRDGEDESETRRGRMVRQRIEQRAREAAAAAAAVDSASSAAGDAEPGPN STSTRTAVNEGMAPAHQNHLGSAAQLRIPLLTAPRQHPPHAHAHVHPFRTYRPNRPQA PAPARRQLNQPNVSVRPVQRHRQTVATAVDLGRNRNRNRNRNRNRIRAPEGAAYWLPN PSTTTTYDAHTGAPRYNARVVYTFFAENVSAVGRSSAIGLGRAAAADDAADAVSNSNS NTVVRGVDMRDGARVNSGVNVNASVQTGGGRGVGVGVGVGEDEGEGSIEDSDDEESVH GVDQEVMPINVDEALRELRFLREERERAQRELELAHGRPVGSEHRPTVVPTVLGGSAV VASPEQIPLPMADVVLTPSNANANAGDGGTPTRTNVDTGNRPVPRRQMRVYSESGLTV GDVGERTPASSGPEPPTLLPPESNTTPTNQQTVENPEQYADLNAMLTSLSQDRHLDGA LRDSLRQFVGHLQRQRELRIQSVQLAGLTRQSFDSFIAPGTELRRLLTRVVADLDSAM VAGHFNLPESDTRDIVSMADDPRWNVRMLQTSLREITTSLRGSIRTLPQHQPRIQMLE AEQDRLQREMFTLLNGLTENIRWNGEGPAGPQMVMVRALIASLQRSIEHLRGIAVRQS EFWAIEVAEMMRQASDLERELNIFEQRYLGGVQEADDTTSAGVAGNAGNTLLRSTPGG VAGELRTAVAGREARVAANARRRLRLQSTIQASLLGSPNPTAQNTRPNPVLNRNPDAI RPMHDHGNANDDPDAECLDLDVYYGLTRPSPAVVARTSNPVARSQTRQLQRSSDEDAL RRGEVDPDGNTLDAHSPRDDAWEAGR JR316_0004182 MAVTVTDADDTIVLSDLVRTGEASRLRRRGAVRVGPHEHVHGDE QDMVDGPFTAHTQMEMEILMNAGIMGHPRLDDVSVSLGWDAGAGPGHGHGHGQGRGAG QEEAWARGEDAYTGEFDPDYEAEYEYDAWAWADDGHLDPGDGRGLPSSPPPPSMLPSS SPDPASSSSSAAAAGGGAAAAALMSATLSVSPEFYRSIGFVSEMVPMPSPHPHPLPWP SGTDLDPSSTEGTAVTNPSADLDELQPPLLDPFNGDGDGDGDGDSEIDIDFVVRENGY VEVRGGGGGGEGAGEGEGEGEGGDGDGDDEEMQSALSDVIAHLRYTAENAPIRRLRSR GGRGRRRTGSLIFIPGALGRSMSMSMSRSRSRSRDDLSARDGFVGREQVDEESGDAGE EGEGDGDGALLSRDDRRVAQAAGRLLVELDEWRNSEADDEGEGDGDEGESEGEVQLEH ELDAERTVGMDVRAIVSGASTSSSSTGSFIADVDREIASASALAADAATATATVPTTT TAIAPLPTSTSTTTTAALSGPPPAAHAHAHAHPGPSAIPAPNQGVRALPVPRGAMESL RMWDAVGVMSNRVRRGEGAVGGMVGMGMGVQRDVGEEVREEEGEEEEEEEEEEDGYIL VCGAPIPSSSSSSKTSKTDSRGNGTEKHDGKGKGKGKAKDEGTETEEEEEEEEEKSTG CGAFIHVRGVPRARHAFGFGGVIRPSRAGIEQRVLGVRVDSGVGTGVGVGVGVGVGPG RNVGVDVDSSTSGNASGSGIGIGDRVRDALGDGNDATYAYIADGPAEGVVVPMDASYF LEDVSSSSSSSSAAAASSSRPAPVADPSSSRSSQTHQQRDMHQPAYTREHRAAARRAI RAVCGCIRECVGCAVW JR316_0004183 MSILTDEVASTYSTKDIPYTMKSTASLISSVTMGILILLVQQTT ALPQGITYSGSNNGGFNAGNAGSTFGGGASNFGNNGGSAFNGGFNAGTSNSGSGGFNF GSGGSFTFGSGNNIGSRP JR316_0004184 MGYIILPYDPASYLQVFMLSFNTSDYWVVIIVLVSCRILFRDRR VSMLMEHNLRSIKTYSLYQYAQLDHIPTIGYSTPFLSFISAVKFFLSGREIVEEGYRK ARQYPGGVWKLPMFDEWLVVANGRERVEDIRKANESQLSGVRSNPVFYQWDHTLGVDV SDNPYHINIVRGPLTRNLANQFNDIHDEMVLALNDMIPCKADEWTSVPVFEGLVEVIS RITTRAFLGIDLCRNNEFRKLCIQSTMELVKGRFLHLFPVFLRPTVGRFVTNVDAVLS GIEKHLAPIIAYRLEQDRVHGGEWADKPADLITWLLDSAKATGEEPTPRNMAKRVVVF SFASTYSSAVAFAQALYELCDRPEYVQPLREEALSVMRHIGHGKWTKAAVGEMYKTDS FLRETQRYNGLGLVLLSRRVLKPFTFSDVQGTTLPPGTHLCVNAWGNHRDDTYYHDPP ANVFDGFRFSRITTSVSENGGSEHKDHQQPLMATPTLEYNAFGHGRPACPGRFFAVAE LKLMLGYILTTYNFRFSPEAARQKPQMAWFESKVIPDKSVRLLFQRRPISYAVQNGGV DDP JR316_0004185 MTILATELKPTCDASITHSNDDDYHTGFMASSPLLRLPSPWDEW EAILDAAVQKKLQLGDKPDLTVAEENASKQWRGDVRKMNTLSVAGLEKSEATLQRAHV VLTYILHFYIQTLPVSEPILVPRTISIPLLYVSQLLEVPPVITYADSVLNNWEYIIWP QKTSERPAADNLRSQTTFTGLVDEHEFNLVSARIEFLGSDAVKLIALMMDQLAVGDSD ATKQITEHLRQLAGVIYAMKDALLDVMKRCNPDVFYNQVRPWLRGEDSAATVRKWKFE GIDEHPDLRQPQYLSGPSAGQSCLIHSLDAFFGIDHGASNHGSTSLMTRMQEYMPKKH REFLNNIKMSPRPLRNFVLASVDACILAEFNHAVAALKAFRDAHMIIITFFVLGPARR AEKKDQAGHPSNVGNDPIKGTGGTDLVKFLKETRAHTTAAMIDTNRKMD JR316_0004186 MDFAQDHGSLSISVEFLQSALDTAMLTWREDLEDALPPFIWETV ARERSKSEISDEGDRRDKLGTVSVNAIATTDSYERFFPNEPLGVGKLRDYLDSFPSES SHSFNLLISSETEREIMGLWTSTQYSNLILPFGKGITDQYTGKRVLLRQMSTTKIRND LYFLLQWRLFSDMQIVVPASHSRDVDDEGRVVCVFPCHKSILAARSPYFRDILLSRLE KRESAHQRPQKLFIVPLPSPPFNPVTVDFTLRFIYTGLLQFPLTQFTADYSTTVSLYR ASQYLRIPTLQSAIVSYTIADMLHGCFSAPMSASSYARLIDGKWKQMVALGGCSCRHC ARRAPRILAFAMEPDIQDLILERGTRRALVGMFGPQWCTQELGSMPAAVHSALLESLN EMITPRNALALLFAAETALLQLDESAYWHKSVRMLILSCREQLDHVICQQSRPSFYCD EWTNLITNPMLHAQGVTHTTVEDERRATWILDSLARGVTPQNASTIYQTLTWFTEAQV PLENQNERVVEMMAPFKQHLVQMAVQTHRLSVISSASSILEPIDPAHRSLVALDLSDA SVYSYPSSRTMSTEYGIYYTRLAISQETVERAVAFRRRRSWDTISTYTELQEE JR316_0004187 MGTPNTAQLHYIEVMCESILQGMYCVLVIVILWLLMRPKPMPMI HRVMFLAGILMWALSCVHLGLVIQQVTHVVTPIPNAQAQASIATIQFMIGDMIIIWRV WVVWGRSYLAAAIPFLLMLSSAGVRFAEAAELTTFAHRPRIADVATALLVTNVILSTI LIAGRIWYMQWKMNKLLGRAPSTRSQNKYQGVLLLIIESGAIYALSQIIDLILDDVHS NGIHTVLDLQIPLAGILPTLIVLVVHLDLVPGSTVSETQRSTTVGSKFQAASRPTNTS SGFGASKGTSVSLRMETINDSVDYSENTQYDKSSYQSSNYKTANAV JR316_0004188 MKVSFVLIASCTATAWAAAVTSPTTEATMKFIMSGLHEVKYHFM HLDVAIHKFPETGTNGAKAIHEHEAAIHTLFGDLNTNLATLSRPISHENVKEIVKLYQ SFTPTIVRSFNGIEAKAPSFVTFSAAETMKQDMILSSNQCKPFAQQLIAVTPPILTEA VSAMFDEIDVARNNAMVAFQ JR316_0004189 MKFSLAVVASCIAVASAAAVSTTEATMRLIMKDLSTIGGQFTKI ASDVSKFPQTGAKGASDIHADEAAIHTLFIDVNNNLGLLPTPVSAENIKKVIDTYNSF TPNILDYLNGITAKASDFKALGPAETTTMSTDLLSSAAVCRAFAGTVLSMTPPVMTDA VNTMFNEVDAARESASAALSA JR316_0004190 MRFSLFIAATSFIAAASAAAVSTPAATMRLVMNDLVKIGNQFTK ITSDVNNFPQTGAQGASDIHADEVAIHALFLDVNKNLGLLPQPVSQENIKKVVSTYNS FTPNILAYLNGITSKAADFKALGTAETTTMTNDLALSGDACKAFATTVLSITPPVMSD AVNTMFTSVDAARESAVAAFA JR316_0004191 MSLSQIMLTSTKLLTWSVFAIQLIGTLASPAVPLPLEVVHAEVA SASEAEELVLTPAGKFPKSQVHEVPGGGRVEHDGETVHILSANGTTVSTAEFANLKRS TLERRNLPNGYVVLAYWSEGSIGNPINRFTSTWTVPDIPQSITGQTIFIFNGLEPSVG DAILQPVLQWGPSAAGGGNYWSIASWWVGPAGVFYTTPLGVTVGSSLTGVMTLESITT PNGVTTYNYNSVFSGFPLSSLSISSTRELPLAFEALEIYNTQSATGLPRGRTEMRDID IVRRDGSRPSVSWVPTSNSANGFGVQIVANGVPHGRVDLIYPNQ JR316_0004192 MRFFAISAAFLSLSGIVASATIEKRNCPEAARFGTLSVFPLTAS AGDDISVSLSLNCPTMQFGIVPQFLDYTLEVPEASNNGNEQPIVLTRRTYTFVPGTIQ PMDDFTVQIPHGPFVAGAPYNIVLNMVYPIDGTDGSSVLVETKLSVPITINA JR316_0004193 MSDTYMYQYHLFSALVAFIGLVHYVYVNSKRYKLSHIPTVGYST PLLTYISAWRYFRDPAYVYETIEEGYRKYPNKLFKVPTLTSWEVIVNSTQAVDEMCKA PVDVVSNILAFSELDYTLGHDVTKYPYHVSVVRGPITRNIAAKFGVVREEVVRGYDDA VEGLRKGTGEVGEWVQVPVYDVTIKLVRKIMARMSVGYPLCENEEFKELCGRAVRTLV KGRALRFFPKFMRPLASRLLINVQKDLRRMAVLIEPEVKQRLEQEAECIASGTEWTEK PAKRRLAVVDGRGEADRALTNALRIIACHPPEEYLYPLREELERVLRAGGDEEAEKGR DGGGLEWTKNAVGRMYLLDSLLREVQRCFDIQPLHVSRKTVQPFVFSDGTLVPPGVTM YANSHAMYRDEARFGRAGNFEGFRYVMDGEGVGDEGVHEKGSLNAGKERDLPTGSFMQ PLLAKPALDYHAFGYGKHACPGRFSAVYQLKTMVAHVLMTYDVSMHNPTDKNGSGNHN SKQQGSGNDHRKGYGLENNNDDGADGRVYSVHMRLAGEKVLPDDEDAGQGKKAGMGVA TKLWFRKR JR316_0004194 MTFVRSLIFSLSTAFFLCHLVFAQNYTAGPGPCHPYPGADPHDC LALISENLDDDHTVVSCINNRATITKGACSIVTTCNSTSTGEPNSPEDVVIPYDAVRR ALVAIGSCALKEYGSISGYYITEQGVKTCYLYPGRESYCRL JR316_0004195 VFIGDNRVGKTSLLITYTTQKYPENLYIPAVYESYATIRQVDGR NVCLSLWDTVGGEECDRLRPLVYPQTDVFVLCFSVADPSSYEHVRTKWYPETAHHFPS APILLVGTKIDLRDDAATVALLHDRRITMLQHHQGYAMAKDIGAVCYMECSARAGYGV QDVFEEAMRIAANPSARRVRATHTKCVIT JR316_0004196 MAEKRKSDKVYPMFVKRTKPNAPEASHSNATESTTLDAVTSDKL LREFEQLLDFSSVGGGVEGEDEIATRLYRIARALLHEFRLVVRPPTKSGDEPPPEDVE FQILEAEFYLRLDGCHEDPFTHGSEEQKVSGRWYFHRAPRFSKDSTRSATSTTEYRGG SRKGLDLTFGGALAPQLPSSSGPTSASPSRAPAINNEPQRMGGILLRSIREVKSKKII SGPSLLVDRILAASGVGSIQELVHDSNNWAGNTSAFVFASPTEAKIDGADTPSNTPKS TALFLKPISTTSTAKADNVEADTIYYSPRIGLELSHPGTTNTKIHPLHPRIRFLPKRY RFFSRPHLLTVNGRAQTFLGVLHACVAAHPSGLDKLGLSRDVARLSGIKEATAAKYLA DYMAGRDGGAALLDTFVGPKGKGVASSPASYLKMMGAISTLNL JR316_0004197 MYQPASSNSTANNTQQADAGASESPSSTVIAALFISELLQQNSK ETELYFFTLVRCISNLRSEVKNTIQSLTTNGDDDDVVVYGALLLLLRCANSKSRFHTS MIDGYDLFRTAYTVALKAFADNNNSIAGSYHLLMTLPSFYTDAVLFAQRGSEKKKAKY TEIMKNIRERMESDVTRSSDTIRGMIVEFRGILEDDFATKHRRTRFGYPRKFEAV JR316_0004198 MLLDIQKKLLSRSDRVKGVDFHPTEPWLLTGLYNGSVHIYDHTT GALVKSFEVAEVPVRCVKFIARKNWFVAGSDDFQLRVFNYNTHEKVVGFEAHPDYIRC LAVHPAASVVLTGSDDMTIKAWDWEKGWKNVQVYEGHTHFIMNLVFNPKDPNTFASAC LDRTVKMWSLTSPTPNSTLDAHEKGVNYIDFYPGSDKPYLVTCGDDKTVRVWDYLSKS CVQTMEGHTNNVSFAVFHPALPVVVSGSEDGTVKVWNSGTYRVESTLSYALERAWCVA LRKDANEVAVGFDDGVVVISLGRDEPTFSMDTSGKLIYTRNHDVLSANLQTLPSPPSS SSPSSPDSPSPAAAAAIADGTRLALSPKDLGSTELFASSLTHSPNGRFVAALGDGEYI IYTALAWRNKAFGAAISFAWAADSNTYAVLESRVKIKIFRSFRERPGVGVKGVGGGGG GGAWSVDGLFGGVLLGAKGAGFVMFWDWESGEVVRRIDVDAKNVYWSGTNTLLAITSS DTFYILRFDRAAYDARVDAGGMEEIADEGVEEAFEVVAEVNESTLYLLGYIPAHNRVY LADKELNVYGYALSLAVVEYQTAVLRGDMDAASEILPSVPKEQVNKVARFLEGRDLKE LALEITTDPEHKFDLALALSDLSTALSIARASPPSESAVKFKALGDRALGEWRFEMAR ECFERAGDVGALMLLMAAVGGREGLEGVAREAAEKGQNNLAFAVTLLLGDAAGCVDLL IRTQRAPEAALFARTYAPRLVPKAVAAWKTDLTSKNRGKIAGTIADPAQNPELFVEGW EDALAKEEEALAVWESEREREREREKGGVLVDVEDS JR316_0004199 MASPQTTQAIASGDLVDLVSSSGKATIYPSDDTLLAVLHARFRA DLPYTRIGPTALVAVNPYKSLASTSDASAQEYVERCYRDTTPVGVGVGGPRPLQPHVY EMAATVYMLMRRRGESQRVLARGITGSGKTTSLRLLTTHLLRLSTHTKKEHKLADQIK SLHIVLDAFGNAKTLMSPNASRHGRLLELHFTSTGRIASAKVLTYALDKARLVSLAHE ERTYHVFYQLLAGATPAERDTYQLEDPSEYALLASSGCYRLPAGPFSDDAAGMGELRD ALRVLGFKPKHTSAIFSVLVAVLLLGNLEFADGDAHDTSAHVVNAHVLEHAARLLGVP PDELGQVLTNRTSYVRKELYTVLLNAEQSARQRDGLVRDLYAILFAFIVETCNHRLAP LSTDPPPHAQIAILDQAGFQSRGPSGTSSMSLSGAQPLISAYGPNTFDEFTVNFCDEL VHSYVLRHVFDDSVGANAGMVRDGVGGVLPQVDVMDNGACVEMLRGAGLGERAARKPG GMLGVLGKACAAYKSGKEKNGENRDEEMVAELAAKFSVHSSFSAGGQQERRQFGVNHY AGSASYDARGFVEKDADLLDSACVALLRGSAETFVAKLFSGPSLAAERHSKDETIVVQ AQVSSRPLRAPTSLPQEPDSEQQQQHPRLDPGKTYPVTTQLNMTLSDIFNALDTLNGP SSPTPLFTITCLRPNDSLSPNSFDKRRIKAQVRALLLPDLVARRSAADLPVTYTREAF CERYVPTMRGDTGERVRQCARANGWVEERDYVLGEERVWVGYGAWKGVEDAVRARERE AEGGRGSAEDEFGGEAGYVDDNNTDYTHGTAEGGNGNGLAPPSGYFGDSADNLLVSRT GADGARYHDANAHYGEGGLRTPRTPKGYADADDAGVWGSEYENEHKGSPEILPYGSNA NNGGNSGTKEMVIKDAPNAVEELPTTTSRRWWLRLVWLTTWWIPSPLLRLLGRMKRPD VRLAWREKVTIFWLIFLFNAIVIFYIVEFGRLLCPRFDKVWSIGEVNEHQGDEDFWVA IQGTVYDVSNFVHGDHSNGYFGIKSNSPDVLSQMAGQDLTYYFPPPLSLGCAGLVNNG QVALQRQNWTDFAPLAHHVSGALQTQAPDMENERWYVDTFLKVMKPMAKGPLVIESKT IRAMAADDNVAKVWGVYENQLFDLTDYVFTLSQQISAPEYAFLDSDLVNVFKQRSGQD ITAPLNAVLDSFNSTYRAQHTSCLKNVFLAGEPDFRKSARCQVQNYLLIVFSVIMMAS ILVKFLAALQLGSRRQPELQDKFVLCQVPCYTEGEDSLRRTIDSLAALNYDDKRKLIF IICDGNIIGHGNDRTTPRIVLDILGVDAGVDPEPLLFKSVGEGSKALNYGKVYSGLYE FEGHIVPYMVVVKVGKPTERSKPGNRGKRDSQILVMHYLNRVHFDAPMSPLELEIYHQ MRNVIGIDPAFYEYIFTVDADTTVTPDSLNRLVACSADDSSIIGICGETKLTNEDGSW WTMIQVYEYYISHHLSKAFESLFGSVTCLPGCFSLYRIRTADKGRPIIISNRIIEEYA EPNIFNDAFDETFSDVQDQVYAGCDGAYDGAGELEDFVFAEEEMLPATVVYLIYLVIV VALKKAALPTIALIMLAVTYGLQALIFIIKREFMLVGWMIVYLLSYPVYSFFLPVYSF WCMDEFSWGNTRVVIGEGKEKKVITNEDEKFDESMIPLKKFSEYEAEAWETATRHSDD TGYDSKARSKSHGQGQHAQRSRDASPHANANAYNSASQSGDYYRDTNVMMQMQRTGGS QLSHSNVSMHLAGQQQQQQQQQQQMQQQQQTMSQYGMPQIPPFMPFGQGPGSVAGSDY AHNAGVGVGGMGMLPPLGYQNSGTMSMYGMMPPSLNPMMTGGAMSLFGAGGAIGGGGL GGGAGGGGGGGSVSGSQSGHGHGLGGGAMPPTLPQTLNRPMSTFSMATSVNPFAGPSL NPNPSDDELFSALRNYLSTQDLMSVTKKTAREAIAAKFPKADMASRKEFLNQSIDKIL SES JR316_0004200 MSRCSALPSIRKESESESVFNDITILPDSIATVNDAASTSNPSD SRRMQVDDTRPLALESTPKLIIRQLYPKFPAPMSIEVCKESLLALGCPLGYYNLDLAA ELSYIALRKWRKAHPEQDFCITCVKPSVPEFSPIYSSEKNRS JR316_0004201 MASPEEKTAASSSRSSISKHEPGTDNDNGDLPKPSNHNSRSGTA VSRLWRALRAEKGNETQRGMQSRHLMMIAIGGTIGTGIFLSAGSAIALAGPGSALLSY FVVGLFVYTVVIALGEMSSMYPVSGAFSVFGARFVSPALGFTLGWNYWLQWSLSIPSE LTAAAVILQYWTDALQPWHWAIVIIVPIFAIQLIHVRVYGESEYWFAMIKVVMIIFFI IVGLIFDWGGVKGHPGPGLSNFQNGQAFIGGFAAFAQTFVYAFYSFGGIELVAVAAGE SAKPYKSVPRAIKATFFRIILFYILTILTIGLCINHADDTLLTAAFDSDVAASPITVV FVRAGFGAAAHVVNAVLLTAVLSATNSCFYASSRMLLSLARSGHAPRVFGWVNSRGVP VPALMMALAFACLTFLTTIWGEGVAFTWLLNITGISALLVWTSIGAISIRFRTAYKAQ GRSLKDLPYRQPLYPLLPIGVIILGTLMFVAQGYAAVRQEPFEARNVVATYIGVALYI ILYTGYTLYEKYVLRRPLSSHFVPLQEVDLDTDAVWAPGEGESIRAADRKARKERIQA SDRPGLTRVGVWVRRYVN JR316_0004202 MAAPPYPAEKSGSKELIPVPAYDEKFGDEKVAHGDDISGEFLPG SENVTQHDLDTLRHVSDKFPYAAWLVVIVEFAERWSYYGTTNIFNNYIRAPLPAGSRT GAVIFDRANGVAGALNKKQQTSFAIRTFNTFFVYCTPWLGGILADTVWGRYKTIMIFS IICLIGHIILVGSATPVSLENANTALGLLVLSITIMGLGAGSIKANVSPMIAEQYTGK LRKVTLPSGEQVIVSPAVTIQSIYLWFYAAINLGSCGAISASFLARDHGYWAAYLVPT AIFALVPIVLLVGKNVYVKTPPRGSILLETMRVIRMCLGPVWSFNPVRTYRNAQSSTF WDPAKRSSYEQGKVPANITWDDEFVDEVSRTVKACKVFLFFPFFWLCYSQIDGNLGTV AASMKLDGTPNDLIQNLNPISIIIMIPIFDYVIYPFLRRRGINFTPIKRIFTGFIVAG LAMLYAAVLQHFIYKTSPCHDNLPSECVFKVGDDEFPNPSPLNVWIVSGPYILVGMSE IFASITSLEYAFTKAPVRMRSVVMAFSQFQVALSSALNFSLTAVNVENRFTWLFGSFG VTAWIVGIIFFFTFRQLDREEAILNTIGQGPRAGFVDEQQQSSDAK JR316_0004203 MSSAPSTPAGKSRWGRMGGVMRRASTVLAISRPGTPSEGVGRDS DSVSLRRSTSREIVPQPITLSPPPPGVQQKLPTPIAESPAREAAATTEPEPAVGPSPL AQQTVAPVVTEEPVEMAAPPPAKAPEEEQTSPTGYIPPPVIDSTAGNPGAFTDITESL PQADVVKDPFAPSPPSQPAPVAEVAQVVEELPVAPVAEAREVVDEVPAASTPEVAPVA EVVPAVEPSVPVEVPLPLAESPAPIKEEDAPEPVQPTEASHSYFDKPIVESILDFEVE PSVAEPVADQGEAAQYNSGPGMPVAEPSVEVEPPTDLSRQEPSVPTFVPDHPPEPEPV TPIHDEAAVPVPEVVHEAEDTAQSQPEPERQVVAEILAPTPTVPVYHDSLPSYMTMDD GHAVWGGDVMQSQPVQQAPDFPQPQQHEDAPPARSSVTPIPIPVVHEAESISRQSSIE MPNPHPEPEYTDPFADPIPAIVATDTTLGDAVHEPLIPETHPQMPVPSHEDVKGTIVM PLPPFNEVIPSSERLPLLSRPVSPSKKVHSHNGGAHTHLHTSGAFTPANHASISPLAA PSTSWDLINSSKRNYGACDQLKLHELGWLEYSLPDGTVYYVHPTRKVTTDVNLRSETL LEAVELWLDERKGRDGDNENLAGIEAWLREVKNVGKKKTGGVQVQAPVRKSGASGKGK GGSLTFYFERYWVDHNVRTVVKDDAEDHHLHTVGHVHGKGKKHTSSSSKATHEDQLDL EYRFWSFMEVYPAHCSLPINSKKEAMDILTWAWTDRLLPSAARAIPSPFKQEECQELM NLLKSFGHDHHGDNGIQTRVVARILLRVAQWRQTYFRPNKPLPTDVSKGPQLAPPPRR PFTRALFDFVVSCLCLGIPYLFIERARLSARIDEESGMMRSASPMVLIGACTCLVAAI VLSASVTFLSLPGLDSWARTAGMVAVLFATFTMVATAVAVLRHKADLERPPSVGIEGF MIISRRSVAISLPVVFLAYSIIAFITAIVLYTLRGAAVTDPSSHKHAFEDYTRWTVVG LVGTLAGIVTISMIIFRK JR316_0004204 MALVVLKNFFCCCETSKDDTQDLGYDESSRLIPPTIDESPQVIY SHITQIDYKKLQERLSDVVRAKEGKMVNVASQIPFNLHNQVLPEPQQSVSRSTSRSFD GQHDRRRYADYSDFSPYRDSDRRRQRDEYGTLGNIYGRRTTSRSLSPSNLRAAGSSIY PYRSDVVEHARPTPILNVRLVGYTDTQYRGRTRERGLVPSGIPSSMHHKAQLQDESSM ATPTRATFSESNNRSDNEQVPIGMTSKVFKLIDVSPMTVSWGD JR316_0004205 MMCSYPPSSGYPDNAQISGSENWSINSNQSYGVGDTFVQNEHHR RWLAQQNSEYARRESRGDVRGRPSQTRSLPQQLPVQQNFSSSGYLGSAQAPRPSSFPN PNARDSVVSSNSNWVPWDMDTEPPLLSGTRFNNGPSSWNNQQLNVQIPQFTQPFSDML YSSSDSSSATLFTPPSDQAIPLNGYAFPHRFSPGLSPSPSPVHHGSTTSTSSSSQASH SRRQSEDRSDTGKSCSHCHATSTPLWRRDPSTMKPLCNACGLYLQQRNKLRPQELIDA DDDGSTSDESDVNYVGPECSHCRTHHTSVWRRSKTGEQLCNACGVYLRLRGKPRPLSL KRNKIRPRSKHLPK JR316_0004206 MSFIRRIFSKKSEEDYETILSNLANEVQERQLKLSEIRLRERRS TLVVTLYTLAAWGAYVSLWYLNILPSITDGTYIRNASMERLVKILPVTIGPIIILFIR RVVQIWYKRKGDAEEKYLKELMKKRRDKVEEIKKKTNYYSTRDLIQKYDDATPSATPL RARFPQGQVPPTTPMRPQGPVNGNSVPQTPAPSSGLQAHLSPSTPAAYPIAPPRKQWY DKLADALLGEDDPSTASPSSRYALICEKCFNHNGLVKESMWEDAQYVCPKCGHFNASA RSKKDPHRTPSPPSTTTMLPSSDQSGSGLNLTSNLPKSASKDNEGDSPTVDGTESTAM EVDQQDDSS JR316_0004207 MTHVSEDPELVVGKVAKELKAARLRIEELEAQIKNADKTLKERV EAAEYASDVLRKNYDALSTENKLLRESLEELKDTKKPRIKVVGARLEENVAQQKRDNR NMVDKSISADDQSGAVMEHKLEQARAKYKTKKQQMKDLTQSCKELERQLNLSHAEKEE AVRAERAKAKPLPLPKREEAPKPPIPVNPTWNQLEAYMLNLPKVGNFIRPQNHHLQPI CHRSTDLKSLLASDPHTLQLSKNFLYLSDLLFWCSDMQNALAIGPIYVFDKASQSWFK RSIFQNLYGTTFSLFYQRDSRVVYVGLYKAINLRQWATDGSTLHSESTELGENLRNKY ASALAESMVIDNLNKRQSQVNSAPAMISTLKSFIHAGILKYEPLGLQNVGFDEDLYTV MVKNYRSRQQRAGRSVVLLPEPSRVDSGTPINMPHNKRKRLGD JR316_0004208 MDRLMWKPGWVEAPNDEFQARLRAELDKEPDKGWVADGNYDRRG GLVALEESTDIIWLDPPLVLYLPRLIWRTFLRLFRLREPCSAGCFERPTEVFFSKESI VWWCISNHWKVRARNEGRMRELGIENGTSPRRRMRRLGGWGAELKKWLQEVEQMIHSK QE JR316_0004209 MVASSPYQCMTLALLMLPPLLLTTYFMAAFPSPPAPISVHPSLA SLPSTSKSWSIYPEDFYTGGGYAVFPTGKVRYWLLGPEKGKKIVLIHGLSIPAIIWKD VAPKLVASGHRVLLYDLYGRGYSDAPQTAYDTNLYTTQLAFLMQYLKWQKANIIGVSM GGAIAAAFTAQFPHLVDESVGLIACAGLMESNDISRTAKVMSSPLIQILASSRPVQMY MQRLTNQSVSQDEKPLLEIVRLQSAHLPGYNHALSSSLRDGPVRGQRAAFQSKSFEGK RVLILHGTRDSTVHPKYADKISALLPSAAKQKLIMLEGAGHDLSVSHPEQVTAELLDL LDGRSWKKLP JR316_0004210 MSATDVLSTFTARSNAFSIDPSEWAFLNATLGGRLMQAIPVAQP CFSQGGGSNQTAFSDLQTHNEDHIFRSNHFGAYENTNWETCQSTGDECLLDWTDPTNP TAFATPQKCLLGNIPSYYYMKIDISGPNDVKAAFNFANQTGIRLSIKNTGLTLNETFI PDGCSASESGMTAITIGAGQQFRTIYNFAKSNNITVVGGADPAVGASGGWVMGGGHSA LSVTMGLGVDRVLEFKIVTPDGQYRTANRCQNQDLFFALRGGGGGTFGVVLESTHMAS PRVTIQAQPPAGAAFWANPVLNATEAKHSASGMVDAFASVNGTTTFFTLDSFSDFYDM FIDQQPDPVGRPQVIGTRLIPSDKFDDDILIEAIISGMLDSDFSQITAVTPFSFKKFN GNDTSINPAWRTAIWQTVLSYAWNFNSTLEDRVGSYNKVTQGMSIIRERTLDAAVYFN EADVYEPDYINAFWGEDNYKKLLAIKQK JR316_0004211 MPPTLKLIVVGDSSVGKTSLLIRFETGKFPEHFPLSAYALLEEK RMPRAGVNIMAYAHLVFTTTYSVTHIVDGEPYIVQLWDTPGDAEYDRLRPLAYPQTDV FIMCFSVVDPDSYEDVRTKWSQETAYHNPSSPVLLVGTKTDLRKDSELRDRLREMNAS PIQFPQGLAMRKDIGAAAYIECSALTGYGVQSVFEKAMLLAARPPPTMRMIHQSRKSC VIV JR316_0004212 MKGLFSAFTAGLVARANLMGNDLKGQTPPIANGVLHKRSYFYVG GAYEQTAGTFIAGSEVTVSSGQMYVEHLVPSVVTQKLPIVIIPGNGMTGTNFLNTPDG RTGWADYFMSQGYELYLVDQPSRGRSPWQQNIDGPQMTFDVLTVEQRFTDAQRFQLWP QAHLHTQWPGNGSRGDPTFDNFYMSIVPALASDAEASAKVKNAGSALLDRVGPAIVLT HSQSGQFGWILADARPSLVKAIVAIEPIGPPFINAVFPPLASARPFGLTEIPVEFTPP IESASDLNTMVVSSTTNFTCIQQASPPRKLANVSKVPVLVVTSESSYHAVYDSCSVDF LKAAGVSVDHVNLQDVGILGNGHMMFMEKNGLDIADRVVNKWLKQTV JR316_0004213 MAGGAGGTGGGVSSTSLLWAIIMSAFAGFGGILFGYDTGTIGGV IAMDDWLHLFGKFDPTLNWYIPTNDKSLVVSILSAGTFFGALLASPVGDTLGRKWGLV ASCMVFCLGVGLQLDTSWAVFIVGRVIAGFGVGLVSCLVPMYQSECAPKSIRGLIVGL YQLAITIGALLAAVVLNATKDRPNHSSWRIPIAVQFAWAAILAGGMILLPESPRYLLL KNRQADARVALGRLMTASPDSPEVEAEAIEISTALAVEKEASQGGYLACFRNNEDRNG LRTWTGIMMQGWQQLTGINFIFYYGTTFFQQAGIKNAFVIQIVCDVVNTVMTLFGIQL IDRVGRRRLLLIGAAGMCFCEFIVAIVGVTAGRVNGETAEVNIAAQRVLIAFTCFYIA FFATSWGPVIWVLTGELFPLGVRAKSMSLAVASNWLWNFGIGYATPYLVNASTFGVNG VRAANLGVKVFFIWGGTCVGCFVFTYFFIPETRGLSLEQIDILYRESTILGSNAKRHE ILSQNKTFSNTYHNEKETVDHDSEKAVA JR316_0004214 MSDLILAPLCIAVLVALYKIFLSKDDLDHIPTVGYSNPFLSFLT SIKYIYDAPDLLLEGYKLYPNGIFKFSTFDGWSVVVNGTQLIDDIRRSTGEQLHSMSS VASYLGFRYTLGVRFLDNPFHIDVVRSALTRNIAARFHEIHDEVQRAYAASIPLPTDE KGWSSVVAYKLQVEVITRVSCRYLFGKPLSDDPEFRSLCESATKEIYKGRFIRLFPPL LRPLGARLVTGIHGLRRKMESYIRPVVEFRLEQQKLHGIDWPDKPNDLISWLIDAALA SGEEVTVEDLSTRLLFISFGAIHTSSATFTAALFQLCQNPQDAGEIRREVQHVVKTEG WSKASIGKMYKMDSYLRESQRLHFMSLLNMGRAVVQDFTFSDGTVMPRQVAGISVNVY ARHRDESLYSDAHMFEGFRFVPKPGSGATQPLVATPTLEYHGFGHGRSTCPGRFFAVT ELKTMLAHIVTNYDIKLEHDEYPRKMIVEAHCIPNMSAKILLRKRNSHC JR316_0004215 MTTNAVASSSKQPSAPAAPKPKRPPHNISGKNQYKDRPLLDDPH VAELLNAYHLKGITDNRLISNLLLEEHGVTLGERSVYRRKKMLGLWASKHTTKELSEA VKRQLVAAQMAKDPTGKLGARLVKQRVFEDTGLHLTRDYIRAEMRRVDPVAHAARGPS HILSKRLQRPAVSENASTSTSTSVPSNTITSNLVQSSNATIIPSGAQGQSQGSNAVDA NQQSSTPRVRAPRRSRARATEASSLPTAVAPSDAAASTSSPPQSYTRNEPGIPSGNPL SSTSQTLHTFHSRSAYPSSYNAQVANSSTSDGQQVLHSQQLLQISTTLPQPSSSSLQD ALNATESGYNHWPNSFDSEDGQFSPTGPGLLPNESSHTAGMPNITGTRPQTPRAYPEP SHHLSIPPVGTTQRNMDTGNRPAVMKDIARAVQESTPKMHELKHFIESLQLEDDGLSE DVDAETYDIILKGMETAALLERQLSKVVALARRSRLPVDRDVA JR316_0004216 MAGGPGGDGGGIQSNSVFWSVVMSLFAAFGGILFGYDTGTISGI IAMDDWLHTFGKFDESLGFYLPTKDKSLVVSILSAGTFFGALLAYPLGDRLGRKLGIM VGCLVFFVGTGLQLDTAWVAFVIGRVVAGFGVGIVSCLVPMYQSECAPKSIRGLIVGA YQLAITIGALLAAIVLNATKDEQSHSSWRTPIGVQFAWGAILGGGMIFLPESPRFLLL KGKQAAARKALGRLMSAPADSPDVEEECLAITAALTLEQSETAASYLDCFKNNEAHYG LRTWTGIWLQAWQQLTGINFIFYYGTTFFMQAGIKNPFIITIIADVVNTAMTLIGLPF IDRLGRRKLLLIGAAGMCFCEFIVAIVGVTAGDVQPDGSVNLAAQRVLIAFVCIYIAF FAISWGPVGWVVTSEVSPLAIRAKAMSLSVASNWLWNFAIGYATPYLVNPTTYGINGE KAANLGVKVFFIWGGTCAGSFIFTYFFIPETRGLSLEQIDRLYKESSIVNSTSYRQKI IDSDNNLDHSFGLQQKEVQDIQHVEHEKLADSEKSSTN JR316_0004217 MADFPSSYEAISRLADLLSPYDVGTVPGFLRFLKWSNSVLIDNG ALMFFTHLDLPNNDINISVSEANEYRVDAFCYNHGYVLVDRTDDNRPSSYIQRVSVFR HPTSTVSIIITVSASSLNFLPIFSAASTLHMNFISHEGAVCLYPDLLNNKSAVIQFAQ RDDEPLISSYRSYGFNLRHQGSSRDHYCMKSPKSLTGNNVLFIPFSADGESAAPCHNA FGVTDVIWRLGGQCCDDHARIATVIDVPSKNIYKWLVRNHLATVGSSRDGSTWSQTTM ESYASEALQEIPDLISQEDRERLIQTNELADTVSLYEELLEKYPERAHPYFLVRKANL VLRLGGDAIALGLYRKAQDILCRLSVCDEKLDVFLQAISERTERLANALLEKSCQVTY YRPWKPTKEYKFPTDQPQLPPGASELKERWNTLNQDPTFYASYLVKLAIETNHVESTF LLKEKSMQDLIRRGLDEGVISTEPHSPLSHPDKIRSILRDTVTAYQQLTPLVNNTAPL SMSAACAIHATLMKTSRFLESRYIAPGATRAETWKTVVISGSYNVQMCPYPEVDKEFE YICRMAKQWIRTWRNPFASASWTHLTLVSCHPFDDGNGRLVRIIASLPLLQHKFPPIS ITMAQRAEYYKGIVKARDGDHTDMLRCFVEGMKETLDLVESLRNS JR316_0004218 MATQSARTARQKVHDIIRPFGVGSVDDFLYFLKWSSSVITGASA LAFFTGEDITPHNLSLSVSQTGRSRVHVFCVRHGYEYLQTFHSDNEQSVWTEHSYYCN FESGRQITVTISRNHVPFLPVFNFSTTFGMNFITPQGAVCLYPEYLPRNQGVILYRRS DNEVIGELLHRHNFILQPSCDNTVRSFLEHPRGMSLDTILFIPLDNSYVGASPMQQYD IVWRLAGQSGSPNGAVIDLQSDQIRGFSKTFRRAITI JR316_0004219 MNTSSPSATMPFNEAYVRQQLLTLPQFTASPGFKEYVQWRGAGQ EVLALPGRPTDAIEPVQLIVVGQVTTRAYLEPQGNFNPMWQDPLRNAIGAKLVFDVGK PLQVLTLGGDYDTSFDNLSECQTSIAKTNKHNHFLNKETRTMRFSFPLWEPRSVENTT PARDSATYGYTVNPACTPWFNSAKNSHHIRLMPVYDVEETLITRPDLFALLLIGSVVE VTFTLRHYHMAVTSTRTDASDTFSARVESVSVLCPPPPVLQSPIRMISPRKPPRQAQT PTRHDFSQVKQPSFTANPMTVHLALPMSSAVGPQAAYQTSTSSATSFTVDTALSATGA PGHASFPASHDIAGGPTTPVMGVSPPPTLMRPAAAASASAQAPPLIASTSIPSAPVPV APPVAPVSVPPTPAPVSVPPPPAPVSVPPMPTPVSVPPAPEPVYIPPPAGSVPPASTP VSVPPAPAPVRDTPAPAPGTVLPPPAQASVAPNVTVTSGGVASGLVGSSSDGGSQQSD PATSSAPVAPVPVVSQPETRRSSLRRSREVTDQGDGEGSPTKKTK JR316_0004220 MLNQEHWVLVTNRAVEAMKKNLKQASFVGQCMHDVPRRSCAFLG GATTKIYIVNVPAAAGKEDIKDYEDLDVTMWMPHWPFMWDHVAAFDAQFCQTYERSLP GTSITFQYVLFHYSQSTIRGIADADNNFVRTLLRQRGITRLLPWYGEILIAKYFFKLL PDEILGLVINDSSWSAWMALNYTNMRLRRLVQESVRRRIKHFVGLFFADALEIFFHLL GSNNALITGPLVRCIMSVDNPIYTQVYPIQLDIILPRRYAFGSFDSFVRSQGYHGENT GNVEMSLRKGLKRFHTYLSWNGVMKVCLLLATDDKTLPGLLSAPSSSQMFALSHTRLY CFYPQLFAKRQFLYIRNDVDDIIMPPYEEFNFGLWNRDGLVLSEPCGETCPVLWRRIT AGGGVAIMRWGGFHGDKDYGKHVSPPDYFVQSEKSKFDHLLSEYNLGPVDNVLSLLRH SRGVVFGSMALGVIQDLLFEPHDLNLLVGCQGRRSIERYIQNHGYRLVQEQTGNMGST VSCLTTYSHKVTHRQITLTVSRAHSTLAPLIQAPSTLQMNFVSWYGAVCLYPEQTLQN KGLVHFSENEDGLLLDRYEQRGYRITYQGNHLGHFCTAQPRSIQSPAVHVVPFLPLDE TRTAIKSTDIMWRLNGKCCEDFARCAVFVDVRNYEVVEVHTPADENKETFTSLIRPFL PPNEFLAIMRSCDVVVVGSVALAMVRPIAFTPSNLDLQVPCDGRRDLHKFLLKHRYRL NSFSNDNTGSTVSQICSYSHPSHASYISIIVSRNKSSLTPLFQSPSTLQMNFVAWYGA VCLYPELTLQCKGLVQFDEDEDGLFLHKYLERGYTFLPQQNAVGHFCASQPRSLRSPV TMIVGFYNPDQTRLAMRNLDVIWRLRGKCCDSQLRSGLFIDAYSHDVVEIHSSSDIGP JR316_0004221 MTRSIFTPLLSVLFLLLLANFEIAFAQGVADIPLTVVTTTPTPP NRQAGSFSGGRPGWDPRWGIGPVIPPPGVLDELKVDDSDPPSFSDPETGDGNAGDTSG DKVDPPSDE JR316_0004222 MLSRLHVVALCLGAFVNSVQAATGGSFVEAGHTKVSALLMFLGD NEGVYILDKAEGNAAQVAGHPAWGSRWDIATHNVDVMDVKSNSFCSSGMHLPNGSFVT FGGNDGITIGGKVGSQKNPDGTGHWDSIYQDFDGRKAIRILNPCSSNENFLSPKCTWY DEIEHLSMKRNRWYAAAEPLGDGTVVILGGFVSGGYINRWKPDRPNVDAITQAGLAEN SYEYFPAKAKDAEVVDFLVKTSGLNSYAHTYLMPSGLMLVQANHSTMLWDHSLNKEYP LPDMPKGVIRVYPASGGVAMLPLTPENNYTPTLLFCGGSDMPDDNWGDYGGPNVDPWL FPASADCQRLTPEPADKTKPVAYEQDDDMIDRRTMGQFINLPDGTLLMINGGRNGTAG YADKTNDTPGPLMPFGPSLASDPVFTPAIYNPNAPRGSRWSNKGLAPSTIPRMYHSVA ILLPDASVFVAGSNPNADVNMDVMYPTEYRSEIFYPPYFNAKVRPAPTGVPNRLSYGG PAFDITLSASSYTGAANAAAEKTKVVIMRGGVTTHAMNMGQRHMELATSFTVNKDGSI TLHVAQPPPNPNLFQPGPAFLYVVVDGIPSNGTYLIVGSGNIGVQPTQPASVLPASVR LDSVSGAGDKTNSNSNANANAAGEEEKSNLPVIIGCIVGGVVVVGVLGALIAVCMKRR ARSAARMPPSKEFGAGMAAGAGAVGGKPAVSGWTTHDDSSSVFVPLAKGGAGYADETG SSIHAPYKDDMGRGTNSFGSRSQVFGNDAYDPYSGQPPMAHAHGHEYATSTTQLNSYQ QQGGYR JR316_0004223 MVLGDSRVGKIHFDPTAINFRCSVEEFYGMKYKAGDGNEYLLAM YDTGGEYELDHFRSLYYPRTDVFLLCFSVLDPASFDNIRTLVVIEQWAPEVADYLPSA ATLVVGTKVDLRHDATVIDALRDRRSAPIQYEQGVSMCKDVGAAGYVECSSLTGYGIN VVFDEVVRIGRELPVKQPRRPPRACIIA JR316_0004224 MPSASSTSAQIVLTLQLTKELQVIDSKNYTVLGSQSSNFRNSSF TQFFNPTQSSPPFIQVFDHAFFDVLGPNPIFQSISSNTTIPSITHEAPIYVQDTDELF FVGFFENQNVVNKISMGTVETALKTLQGPLNATAVNVPATQVNVPFSLQQINGGTGPI GSSLLFVTDGIGPLPPSVVLVHSKEPYNATVLLDNFYGRQFNSLDDIKIHPISKAIFF TDVSFGALFQLRPPAMIPNQVYRFDTTTGTARVVATDFQQCNGIAFSHDGTRAYVTDT GAINGPDINDQTRTATIFQFDVDPRSQVFTNRRIFAYADTGIPDGIELDSAGNVYAAC GDGVNVWSPDGILLGKFFTGGTVSNMAFAGDGRLVLLGRTNIYLAKIAAKGQQLAFTP HT JR316_0004225 MFSAIKLVVLGDGAVGKTSLLITYTTNTFPTDYVPTVFDCYTER HIVDNTDEFLIGLFDTGGGEDYDRLRPLSYPSTDVFLLCFSVVEPISFENIRSKWAPE VAHHLPSAAVLVVGTKIDLRNDATIVDMLHDK JR316_0004226 MREGIALMFFLLGPLGGLSIFDGYCARRIIDGNEYSVSLFDTAG GDEYDRLRPLAYPQTDIFVMCFSVVDRTSYENISLKWAPEIEHHNPSVPILLVGTKVD LRNDSMRDRHNSILEHHHGMTMCKDIGAAYYVECSSYTGCGVKSVFEEAMRLAANPPP KLVPARRRKCVVT JR316_0004227 MLAACFRILGCSSGTIEIDSNSAMLPQDGLGDVRIPPLSPVPSG LAKPDDRLCDTCSQLGLTVESFTYIPGNEDAYKEQIYLGLVKDIKEKMRCPLCRLILA SIGSELPCTEDGQPLSISFRWETDPRSSSCAMAPYAWKPGGRYANPKRLNAFPRITVL ANDTTNPCLINYVRPIEDTINFNMVSNWLYMCEDWHGDVCEKSKYLDGVVDNPTALIP TFRLIDVVENCILFAPANVTYVALSYVWGKIDPTKILRLTKGTINDLAVPGALLQQQN YDITPITIRDAIQVTREIGIRYLWVDSLCIVQDDVGPGGSKMDAISKMDLIYGAAYLT IIAATGGDANAGLPGVRPGTRKIPQLIEEIYPGLRLANRPSDLAHIPNIHRTRAWTYQ ELRFAKRSLSFIGGQAVFQCCSYNWREDVVFEDRSWYLTSNRSRTVELPNKIFELESM IYGYSGLSLTNEEDIYDAFAGLARFFRTKLGSNLCHGIPDTDFDWFLLWTAQDPPKRR PHAPSWSWSGWQGEMRPMSWWRNRPSTEEIREIQKERTWIVWYERKAHHLEECQRVSN PENSSQSSSRLPPNPETRLQSRFPFDCSQTLPTPRKLVNAPKYIQSGHSEAGSGFLQF WTVSVTFRLAEPESRENPSFGPRNTHSRIGIFGRNGQEISIVYVNPDWRHRNLQPQRT HEFILLSEGVDHDLRLDASEKDLKYMVMLIDWQEDGQWAERVAVTSMEKTALDQAFGQ GPIWKEIILG JR316_0004228 MAPLPLINPPLENSSFNLDIFSIAGFFGGDEVLESMSTIHLTNG RRWRGWYNSPGAYTVAKSIGRIANSQFWRGIFPGSWKDPASSFGLDGKKGPQYVAVLS GTKMTSGYMGHLLAQYVKQLPDDVNLVEESRKTRSTAVTIIDIKNVEQLDHLSSRSST LHLLLSYFTMISSVACAIFSLILFNDRFCFSAILLGTIIGGLSTWVVGTGKLTLQTVF KPAPGSPPGDGVLIGEEFTVLRGTEGHVNAITKGKFNLELRGGPNYHIVGICSLLYLL QFIAQLFLIPQGHLAGQIMFLCSFAISWIYNGAIASIDKEAMQTNLLRQSVTMDIKKY TLPNRTRAVVFTCLSLRRSLEEIEENPVYSDFNPETLLVTMLPNDTRVWRKWRQVVKD ILLRKQPPEYFDDALDEADVADLREDQRNLLQTLLKDAKIAHKMYNNPDGKCTSN JR316_0004229 MNELPLFISVKAKFGNGFALATPVKQDVAISVDIQTTSTTLASS KDLELVATVTNNGAETVKVLKYGTILDGQLPTRSFIVTKDGNEVPFIGIKLSIALNQI DDSAFATLVPGKNVTVSHKVGALYDFVGAGAGKYTFTPVTKFLFDNSADKETFSTASR YLKAQVTSVNSVDVELQGEMAREIMALNTRAVDICTTEPDKSFINSSYIEAKALASMA SAYVNSTGANDTLYTSYFGATPVTEVVTTLDAVAAEDSTNRTLSCVDTYDACTTSGLV AYTVIATTDIYLCPIFFDQVPSNDLCTGQTNVTARNAINTAFKLTHAVGNTVDIAYGC DADQELTDQDKVLNADNFNCFVTSIFADTEC JR316_0004230 MLRSIRPTTLSLGALFRQQLNGRRASVARWMSTDPVEDHEEVQD FGDYSVILPEEPFVFGVSHIPQRPVPEYIPKPPYALTSDGSIPGDGPRKDSGKIKLGG KEESLLRQAAKLAKKVRDFAGSQVKVGVTTDTIDSAIHNFILAHSAYPSPLRYQGYPK SCCTSINNVIVHGIPDKRPLENGDIINIDITIFLNGYHGDTSQTFLVGDVDGPGRELV QVTNEALELAIAVCGPGKPFKLIGKAIHDFLHNKDYSVSPQFTGHGIGTVFHRTPWIM HHLNDEPGIMEPGHCFTIEPAIIQGTNPRGWIFPDGWTASTENCARSAQAEHMILITE TGADVLTR JR316_0004231 MSGDNYPRSDLIDVSYIQLHQYLTTNQDAHRPAKLKEYLKPRHL QFSNVKDPFGKPSAESKKAVDSGSVTLPDGVVLRVENADKRFVYAISSKFNIDEIQAL IMLRSYVYNEGMPASDDDSEDQMIEQLVEAIGPFYHAERIHLLRTLIPLFRAKENEND ALHEVAVYFLPKVVQDGPKFALSLVAQYLEKTKAELPEQFNQEPRSATAWAKQNLREQ LVLLEVLFWTMWAYVSCSGPLVVSIFEAAYGTTLGSEQSNSTLLLDEESRQLQDDCAA MWILITIEILELEMIGGDEPVIELSEKPTRTDVYWTSIDSVKRLHNIVSSNTDSRYSC TYLAWTYALARIEEAAFRIINIPEPWRALLAEINPPMHTGRTYVKDWERTWVTMSKTC VQPEVGLLGLLKSLLTKSPLFVTSVAWKTGSSVTDPNAIAYRSVLKGLITALAELVPV ENIPNFDDLVDVWISLYGRSESASVAEICQQFWRNDWNHGIARRAIFDVARSRFPIQV KPLIRLLRAMTGSGFLDTDPLYTSDYNPDKVIGEERTTCARFVYYYLGSLSSYSQVVP LSQCTGAHALYERQTERYGQSSANPGVNYTNLRPIRLPGGSILPARSTGLVLNGDGAD HVVIRWQHQHSGWKVILEILTDYVNRRRMDFGSAGGYQDVSFARRGASQPKTLKMQDI GMEMDSEGDDSTITDILDLVRSLIRGNPAQAETLMQALEEGEAVVSHTTTEAQPPGMV QLTTMILEEALSRSNSRTRSGVPAKLITSALSVLSALLAIPNYSNRVWLYIRSTTNLF GTDRSPGFASVALSVERATGIYTMTLALLNLVGQLFREAVISILPENAKLQQLKEEVL LRAARFVHTEIWVEHMSWKYAQLGDRFEIGSQVVALYNLVLDHSSPTLAERPFSVLSQ SIGDILLFKATTSTINPIISSISSSAQVLRMLYGSRRHADIRRLLFLLQESLRLCRLI LTHKIRSSMASRPCLLEQALCARVNGGPPSFDATNNRNDPIDVLANYLREKEEGSTVP LESAKVLCGLITSLSLSYTSPPTIIGHLSNPEAIVTTLVRITEHPYDELALRKTVWNF ITLAVDKEPALATLFVSGKSRTPGEFKEGKEKETLGNGGDKGKGKEKESEEAKENGKE KKLDAIWRAVTALDSARETLVTWKELWDTNAMLLSCVLRFLDTVWQHALEHKAAVEPL RTDKSFWELLVAIACEEVGPVPTYETTEMVILEGIPRSSLHEAVQMHAYRTLAKSHAT NIITRDIGLHLQLHGSDVPRKKPESYIQLETCLKDQDRLTDILSEAAPSSYAPELHDQ LTELLTDRFVGLTLEQLEVQEPVAERDYGDNFAFSLDLLRTRLQAYPVEVSMDEPGDK AEMLLLSINLNLSLVHAESALIESWVTLLKQTIPYLRGDAGVRSHMLAIAASISFDVA GEKRGGDMMASIHGARLSLILALLEVTWFSSSDKAEEIKAFMELFENLRAIVLNEYQS PARSFLSNLPNPFHRPLLQIIYFCSKQARIMLKRPKTMNSAQRLAISQIVEAILSFVI ESLRVVFIAARSRADIDLDRDMELLVAVFHQCTRPDIDAPSVFWLSKCQETDVIKASL ELFVHIDLVGLSDLPLLLSRKEPLYSPHILLFHMSLVSHRVAAERFASEGVLSAYSNN FISSAISSGMIDVVLPELPGQRSPAHIAYCSMISIVATVISALGRTNHYFDADACGFV QLYGDQISRTLSWTIGDPITLPLLEEIDQVINLFYAIATSVPASAKSDPVVEKVLRVF TTRALHLLQQVNYAITHPNHLATLYEPVTQDERIRFEKAQSQPDPTKRSFVTNLIHRL YQISTNLVGTLVTISRADSVLSRGVEDWPTSEALIVPHSKVVLGEPASLGTLLELGNR SLDLLRTLVQRPPGQGATDPSALPGSYATALEVKQGVIIIRQNLEEILLYSVTQLAMW LSKPGFDAAPADSDMDENQGMDVSRPDGGKERRPRSMTMAERLRRGITGEMAGDLQSL LTKSKPILVASDTIIGENSTDLTQVLLNFLHERIGGSS JR316_0004232 MPVTFDVAPHHARPIFDPHRRLKYPQDLLIAHISRIGKLAPSSS SNTEQQPKVICMQSSFSDGCFTQDIRCERNGFVQAVTHAYNRHHNLVIRPDDVWVAIL SQFSLYVNKNSENLRSQFVDRKGKKKLIVQMPGTRHTSDFGNLATQMTELISQNIVNT KLKSWILPGFTTTTPHDIVVCSVLMMATMKSYFDYEMSLCGLPSVTLEGEKSDWEKLY AKLDLLETFGKEPENWVKLLRPVFKRFVQAFDGKPDIDFWNKVSHHYSLGSGTRWLSG WITAFCVWDSQGNWQAKSERVPVDNFGKKMYHPCLEVDGIRYPSIDSVDIPMGFCQVD IRVIDGEGTTDCVMVSGHMANVVEGDDKDTLRPLPSWFMYKNPESKTRKVEEMSIAEE EKMEDTIPDPKVQKTLYTTIYVSLGW JR316_0004233 MAQPESNERTKERTVATTPAQLVSQLAALDVTPWYKKPNLRMLY LIIFPTCIGVEMTSGFDSSMMNGLQAVDSWDNFYNSPRSTLLGVMSAMYSAGAIVALP LVPIVSDGFGRRWAITVGSIIMIIGAILQTASQNFAMFVISRFILGIGIPFAIVGASS LIGELGHPKERAVLGSLFNAFYFADLSEGSITAAGVTLGTFQMPSNWGWRIPSLLQVV PSCLQIFFMFFMPESPRWLVSKGRGDEAMAILVKYHAEGDANSEFVKAEYVQIETTLE LEREESHVGWLDMLKTAGMRRRVLIGAFLGLATQWSGNGLTSYFLARILDTVGIHGNG AKNKVNLALSCWSFVNATILALTMSRFKRRVVYLTCTISLLLCFTGWTVASARYAADG SQGASRAVLAFIFIYSPCYNIAFNAMTYTFLVEVFPFHIRSKGISVFQWFGRMAGFFN QFVNPIGIQRAGWKYYISYCIFLAFEVLFVFFLFPETSGRSLEELAFLYEDDELRKQE ERVEKEIRQENKDNLSKE JR316_0004234 MSHSSKPVNIASPTSFGHGRYATRGYLLLIISLTLNVLLIVAII RPAIDINGILKQDTFFGNSGHSSTGPNMPLVENASSGRCPSSTYQQASPPATVNLWAS LTIPELAEIDAWVRAPERRLNLTQNKNSALSDNVIYLIEAYYPPKKEALAFLNDPHQV PRPDRYARVTIQHGGLKIPEIKDYLVGPLPIGSATKIRRLTEIYHREDIPFNAYALTN WTSIPSFMARAVKPLIPAMEDLFGGTIRGFDNDTLIAGMSGPFSFDGSFRRLWTTWRR NTAGSYLLPVNFYNYIDISGTDPSQWKILKLMYHDQIFPTVESFLEAYNNGTLVRHPE QKAPDLDFSWTQRKRVGQDRDLDELPGPRSVSFSGLRYRIDREQQYVSWLGWGMYLGF DRDMGLNLWDIRFRGQRIIYQLQPQEAIAQYGGNDPLQTSTAWLDRHFGMGTMVRDMI PHYDCPQESVYLPAITFTPQGNVQVQRAICIFEQDTGRPLSRHTGFLEGEFGAVKSYV LTIRTVTTVGKYFDYSFYLDGTIEVRLSASGYMQGGYYTSKIQEGYGGRIRHTSMGNL HDHVINYKVDFDIAGTENSLLKTTTKQEEVTHPWLDDDWGKQAIQQRINKEYIANEDD ALLKYPTNFQGGYAIVNQDVRNTWGLPKGYAIHPGYSPIHNTVVGSKRLLNNANWARY NLAVSLRKETEPSSSSMWNLHLPGAPMVDFHKFFNGENITQQDLVAWINLGMHHLPQA EDSPNTKTNLATSSFLLTPLNYFDADVSMEMKNAILLHPPKAPGGSYTFDDYGVKQDV TCLPDAPAPFEYTPTKWLGLSGEPDVISPEDARRVSEMYLRVRIGA JR316_0004235 MDAEDTQTSGHPSVSREKTAPFLIRTFVKIGSFHRNTLFEDGSL PTTDEQQIFTWKDATLREVLTTLRNTAPHVAEYKHPLARFSFRTVFPDPNNKGRFTSK DIGMVYSRDILGEPGTLTSTAPRLLQDTEPPARGHGEREREERTLDELRFYPGDYLLI AVLLPKNVNLPSETSIKGSGAGAPVSPTTGWRAGGPAAKSDAGWGRAVGTGPGLGRGG GHWRGDSNAPGPAVRGGRGGGRGGDSGRDRDLDRSDTRVPPPRRHDSPPRGGGWGDRD RGGRGGRGGNRRSPSRSRSPPRRRRYD JR316_0004236 MVEMLSRNTMIEGDSQSSQYTTTTDSTIPGPGALGGKAIKALGK LTIRGIDRVIINARLRSITSKFPHSNEQALGIKGIREMYNVILELCRSGMYNDELRAK ALQLLVVQIKQGQVQLLVEALARWHLIELELLLPEIVDIIIPYRRQPWGRNRLAPEIR DHVLCDLTIVRHPSKTQLRLQKVIDEAKDQDQALRTAYSQYFDPVLSFLSQVAAINTN TCKTVLQAGFLDLLLLVQKGKLDQPKLVTPAVEEKILNVVLNEILNGRINHVIEALSK WNIGDLKSLVMQLQANTPISRVLLRHIGQPSPLEQNITFLFRIVELGKPHLHIVLDAG FLDMIPTAHENKLRINDNRLISIIFEVLKSNSGLKDHRPKALDILIRYIIERKTTHIL SMLSRWGHKDREDVIVAVFQRAGPVGFGTEGPFGPRKQVFHSRDGLYHFDQDKVISVM IFAGEVASLSDGAFHAVVNAGMLDALLVIQSHDLSVHRLDEPYNIILGVLRYAIRFTM FIIAYTFAQANSRLGVFGNKIRKKAIDLLVFQVCRGEARYMLKIMSKWKLDELNRLIW EISAQFPPLSNRRALEDLFSRPPETQSLSTLYLQRLLSFLSGIAQISEAASQVVFQTG FMDLLLALQKDKSGQNDFEELDDLILSILQKPQLYEDKTKKKAMAYISLQTEKEATHM YKVIGKRSISELELIIAGMLEHFNLGGRLALNSSALRDPAIDPKHLASLNACVMIFSK VILKHRFAFQALINAGILDLLLAIQSRQCSIDGIKHIYDIILTSVYMESVSDKALKII SYQVMNQSTCLLETLQQYADQEFQIIAPVVLQGLKNALSVHEGHITPCPGPNKKSLTN CIVFLHRLSTINTATFNHLLNAGLVDLFLKIDRVGIPVAALNDLYSMLLEYARPNAYD PDITARVLDYVKIRVETDRSHFFMRALERLDPPIQNNIIKDLVYRSRALWSGCPPSSL GKKKLGDMWISFVHSISAICRLSSSACQIVLEQDILDALYFISENVEQMPVTNQVGVD KVVVRRVIDTFLLDVIAYPEHRDTVFHHPVYPPLPEPKRPEAKTVCTIPSVEWVSQID IVLMFTTIKTSQQFQYLYFTLLPCATSDASTAEVRQ JR316_0004237 MSDAGDDIPVEVAAEVEVSTEAPKGKLSVEDALQQVLKNALVHD GLARGLRECAKALDKRQAHLCVLVETCTEAEYIKLIEALCAEHKINLIKVGDAKVLGT WAGLCKIDREGNPRKVVGCSCVVVKEYGVESEGLHVLLDYFKNR JR316_0004238 MSLAFLHAHDCTEPHSDAVWGVAWTANDTAISVSADGSVKQWSS AVGQPHPPNASFPPPHTLAQVSLSVSRDGRRALYNSIEGLTSLWNLENGEVVGTFESF TRVNEDSEPSWSVSLHPSSDTYASTGGSGNVHIHSAKPENFGQRISTLSSGRNKFGMF CAYSPDGQRVAMSSESGQIYIFDVESGNLSFTFTSHAMSVRSLAWSPDSNLLLSASED KRLLLHDVRTAPGGAVASFSGHSSWVLSTDISPDGRLGLSGSADKTIKVWDIGARAAV STIQDTGEVWSVSWRPKPAAVGSPGAFVSGGEDGVVRWWRGAGSSTS JR316_0004239 MPPSLSGRTYDSDRPESMDFLETTQYNSTPSSSSANRGSNYQTP LPRSWREYRHPNGDIYFYNRELRLITPDNVRDPEMLRYIMDAREDHLQCLAGDPNVHR LPRDYELVISEVNESAAVIRMYSRTAGAAYIWTEEAGLIVKTRENFWSYVAEFPSHHD NLPPGTENEFAQALQDAKVAIGNGAIFPFSERQIDQMIHRYQYLTNLRSRGRNVSPSL AWLIGAVMPLDAVGRVVNNQNLGYLTTRV JR316_0004240 MSPSPVPGPGSDDGENAYGSTTSASMEGYSQVDIANLRRRLNDL GYPVARQSNAGESDSALPPGMSSREKELLDMVLSLMTSVPVDPAQLERQAETISSLTA QRDFLVRQAVVDRERWQSERESWERSAEALLLVRNRPSKPEDAERMRMSYEAENKALR DKLHETQRRQAALEAELLKLKPMLLMQPSPISRLDKGKAKEAQPKYQVEFVEQGLNQT QHNALKKQLAAKAAASAHATASTSKAPDASTTPTGAAATNANADSNQQRPRDPKSQPK KTGPPLMSDAYSEHLLLAAKRLGRKRVAFITGYNLQVEKEALAQEQEQARAQQELERA EQERMANGAMAPYYRTGAEASTSASTVGGMPAASPLRVPHIIPVIGNSPLRTPKRGGI HFSNFASAQNNLAAPPETPLVYVNADPVTRSPWAGSGVMGTPRQIKATMGRQNGRATT TTATATPATDKASASNPPTPLASLLDAALLIDGEGSTTSKLRGNSKANGKGRAMDEPE SPLPPQKRRRVSASAGAGNGKGNVGQGKGLERVRTALDVLADQADQASAAFIDADQSE RRGASSLANSNNAGNKGKGKARERHSTEERAEERNGEVEAGLGRGRATRRESARSIIP PSQMSVISTRPVRQASRRRAVSPPVQQPGSSSSASSARGMARTRGRTKATSNTTTTPT SGRPQRGRVDSEVGSMRIISPPGSRIISPPGPRVIAPGPGYSPLDEAIALSSSRAAGK APERERERERRSEEHEYERDYTPRHPAQSQRQPQHQTQPNEPKIGGLRPVVAWGQRAR PSSFHSGSSPVRGSDRRPPVPPPRDTHDRNDHDNDDEDEDEDDVDELDDDKNDDGGEG ATEAGRVSEPSASVVAAAAISVEEPVNEPLPEPVPISAERTPIPASERISVEPNPTPT PAAFMPAESTPAPEPVFVEPTPTSMPVETAPTTESTSDEPTSTPEPTSTKSAPIVESV SVENASIRGTSSRDTPNTWVEPQTPMGVDDAPPDPATLANGHVINDIQYVSPLLPSSS SSVDVQPTAHSHTDDVYMKDPEPTIDEDADAEADIDADDDQDAEGEQEDDEENDDDVV STKPSRSRSPPPPDPPPPGPSGGSSPGPGQDDEHDPDADAEGEVEFEDNEDHSGSIDN ALSTSHRGATTHALSNVHHTQRPTSPYSITVNPKSTCTASREHTVSGLSDTLNTAALG LIISVLTNIPAKLDPKRGWRAHESRFRMFPAKRANKISFKWRNSNGARLGQTSFPGDY ARLTNPSLSDSSSSNTAALLRPPTINIRLSCGAPPVIIPSLEVVS JR316_0004241 MSQAVGNTALAYARVWHHVDASDRVLGKLAERIALVLMGKHKPI YDPSVDCGDYVVVTNSKKVKVTGRKSEQLLFRKHSMYPGGLKETPYKDMMEIHPDEII RQAVSGMLPKNKLRERRLERLKIFPAHRMGIIGGNIMRSWDDGTLPPDFNPSTPTTSE TLKLLGNQSEKFKSSP JR316_0004242 MTQPRLSTVYDLSNLRLHPNGLRVYQKDTNRRPDLAKITVQGPR QTWIARDVGGTAKIPTNRKRVKDREDQEGEEQPEEEEDGSDEEEFSEIDEQASNAQED EDREDRPKLGKKRKSKRPNPYVLKRQRFAADFDYLKSTYDASSSRASPSTEVDAEFEA SGSSQKLTKLELPDPSPELLKFIHRYAAEFYTERGQLLNSSRKYRKKRRRHTRRNRLL RERRVRVQKARVNHGSSSEWSDSELDSEDFDSSSDDESDEDEGEQTDENVGDNEDLGD EENDLQASTNSDKGKGKGKGTEKDKSRKGIQYRQDEKLYTDMYKMFDGTAILALGMLV QEHIAQMLNPDIPKGWQEEMHKAYGSLSMEDLQDGAEGLAGGDQEADNEDGDGTEEER EQNDDDEEEASEGDESEEEESEEEEGDETASDEHMVEAQNSSSTTRVPQTTSDMSMEG KTRNTSLNSDDSRHIMSNDPALPSATTGSDYANAK JR316_0004243 MEQHLELIEKALLRGQWDTQIPESSHSSSGAAVTLSKMTVEGRF RDVLVSDTVRGVFQFQPLSDDYLDRPLQDYIDLSVTSSTAAAGKDQVEEELARLAIAV TCLHAFVQANWTGPELDIHPLEVLTAGNPDDASRSSSSSSSPSAWTEDILSSKAISEL AYGGEPAYHLAKAPAFLRLAQLLMNLPYQHIASIHWWRLRVELVRQQILDEAVSVPED YHALLSPLTASVAREPDLAGRLYLELGLLDHLLARDKSAAEYFVKAARSTGLEYELTG ALGKRTKFQVTELSQLVLLAESRLDASSGEGEGGEALATSPTLSSEREHENENGQSER TKNTTNVPETMALNDDTLLEQTQFTSSHPAGPNSRLSHIDPSAQPPLHPLDQCILLSL CLNVRNTSPSHGLTAEQMSPYVARVIAHPRNWSVHTMALLLRSRLESTRTRTVERSAL QLQALIDQMPTSDSAAPERLRYFHEFPLPSRWEMEKELAGRYLSIGVVKSALEIYERL EMWEEVVRCYGALERPDKGIAIVRDLLEGRKHEADAVISQGKASTSLSIRKTRDTARE AKLWCLLGDLDAPNAVAHYSRAWAVSGGTSGRAMRSLGGYHFARADFRAAITSLRRAV RINPLLTRSWFILGCACMRVEEWEEAKEAFARCVAIDEEDGESWNNLASMYLRIGYDP RKQRKREVDEEEEEEATASPSTQTTNNNDEVEGSQQPAASSQTPAIPFENKLLAFRAL KQGLRCSYENWRMWYNYMVVAMDVGELQEACRALGRVVEQTGDRDRAGAQVVDEDVLD RLVDAVTRAPAKADDTSAGVDDGAGAGAGVGVVQNPNEGHGLYKNVLNLFERTLLPRL SSPRIFRAYARLMSWQSRWEETIKAYLDAYRCSVAGAGGGTMGNGSGGMDGDAEKWRE AVVEVEEVVDVLRNFGPRVGGGYKWKLQARSIVRTFMGRTREFEDEPEWERLKELLDD JR316_0004244 MPGSFVLYQYGDDPLSHRFVDTESRLAFTIQEVARNPNPVIRLT RESTWSQLHPSIMGPDNSFFYFGPESSSGYIVYGGGQIHIPMNYFLRPGKREGSLSRY FRCQNGKDYKWKIGSHRMECVDGRTVLATWEVSQPDKDYHAILTIKPNAMALITEIAT SLVLNRVALALGW JR316_0004245 MTTQPDPTTQHLYTPNILSNTSLTSTKFLTACFSGACAGILGLE NLSGFLLFAASMLGMAGAVGAVKCKGRPGRYFRGGIWEVVSYTFPTQDASTDLLQRFG CLPLNYETPPIYKRPRVAQRASSMVYALWAIGKAAVSGLWMWPAIFAQWMWPALRSII YY JR316_0004246 MKHKKTSANASAIPEMREMPATRGYQQEMLEESMRKNIIIALDT GSGKTLIAVLRLKYEIEREPVKISWFIAPTVALCEQQKSVIQTYLPVSVGLVSGANEP DQWKDATLWERVLSTHRIMVSTPQVFLDALRHGYISLGKDISLMVFDEAHHAVDNHPY NRIMLEFYFDLPPRNPDHPSTIERPAILGLTASPIYGGNVIKAFEKIEGNLDSTIRAP RKNRTELAQYVHRPIFKHVMYYPPAESNPFFSTNLASLSDIIQTLDIEKDPYVISLRA QLARISKGSAEYRRLDQKLSKVIEKENSFTHKGLRDMERAAQDICNDIGPWAADWFVW KVLQRAKQASNPYDTMMVTWRRTEKAYLLGILEKVVALPVSYYAEDIEDDCSDKVRAL IECLLLEKASVESEDEQYSGIIFVQRRDAVLALAEVLKHHPATKDVFTIGTLLGTSES SHRHSLMDVTRNLVKESQDEIISEFKAGEKNLIVSTAVAEEGIDIQACCSVIRWDPPP NMASWAQSRGRARKRKSTFTLMFEEGSKQQGDVAKWEDLERQMVALYNDPSRDSSSPM EEDVEEEEEEDDDMVLQVASTGALLTLHSAIAHLAHFCAVIPNTTHTDNRPLYEIDPP EFVEGWHALPTHSRTKEVYTGPYGSKVTLPRTLPLPEREFSVPRIYKTIISAHRHAAF KAYSCLYEAGLLNDSLLPITSVVEPELEEEVKAMLADVERRDGFAKVSMSIDPWSGQN NTDDLWHSSQLELEGLPPLLLYTRAEVVSSAIYGGPTLYRSGQPPIRTTIQYLGHIQV KKETISKARNFTRRVFWGLNNSRMDWDDLDFSYLFLPMDDVDTEWDVRRSWLSSLANE NPTRHPYRLMVHADDFGEKFGYPSDLTLVHRHMGMGRPFKFIRWQYERVSEEEEEAII ERYSKVQDVVQVTYPLLVVQQYPARTNFLIPIVPKPASGEPASDPPPLIFLLPRFSGV VLLSSEETEYSFLLPSVLRSLSMTMTANSMCKTLFRETPLSSISLSLLTTAITAPSSG EKFNYQRMETLGDTVVKFMVGVQLMAEYPLWHEGYLTRKKDHSVSNVRLAKEDIARGV FRWIIRDIMLGKKWKPKYFTTIKGAIADATSPLTENASETGTPAPEGKKPDNDKSKKK KSKKPQQLSTKVLADVVESVIGAAYLHGGFELGYECVKFFNLGLKWEPVSTRIAQILS RVQSLTPEETENLPPQLADVETMLGYTFQRKLLLIEALTHASYQHDSRTPSYERMEFL GDSVLDMIVTNYLYHAPGKNYSPGHLHLRKSAVVNGHILSYVCLKTSIRVEADMPRPN ADGRIEVSREGQDIHLWKCLLHSSPKVMEDQLNASTRYRKCKDEIEESLMTGTVFPWA ALTKLQAPKFFSDMIESLIGAIFLDSNGSVDIVHQVLTKLGIIPLLEYIVKNDVDILH PVSRLSLWAQKHDKTIEYVIERGGGKVTCAVLVDDKEEAKAESQWRGKPSQEEVKFAV AEMAIKAFKLRDVGVNYETLKKKGSKPKKKKDVGGK JR316_0004247 MPVEKSPDSFTFTVGKLDAGMAILLGERAHLIEFPSILLPPGAT TGSIVNIAVHQNHAEEKKRETEFWSLQEDILNHFGQESPEPPKLSVRNITQTSVTLEW PPIKLATAKLRSLDIYRNGERLSSVPSPLTNTSTKHSGMDINTEYSFQLILRTTAGTF PSNVLKVKTHTMSDTSGISVCFGNVQDPVLLENAKLALREMGAKWSDTIQIDTSHFVC TTPAATPTGANATGNASSAPGVAYQRALQLSIPVVQPHWILACHAERRMVPIASFYLG ATITPTTATSFNRPQSMSQASIPHTPSSPTPNSGKGNPASYRASMPPPSRVPETPPVA SAFVNQPAQRPFEPTLEEREDDLDAHDVNLERSTSVESSISSNKEKRKSRMGSINKAF KFPPTPTTATSNTGTAAEAAQEKTSQSAPAEDGPVKSASDESKNENRRSVVDPENIEV PAPPPMEKEKTMSQISLDDDGEDELGDTVDIPLN JR316_0004248 MSSTETSTGESPVKREATGTPYDEKADNHVPSRLSDSPTPMSDY DEQELRKEHEGKNGKDAESDESEEEEDDEAESEEEEDEDEDDEDEEDDEEPALKYERI TGEIPDLLKKDSASALCVSNKLLAMGTHAGIIHILDITGKRIKSYKPHLASVVDISMD ATADFVATASIDGQVVVRSLSTPERYSFDMKRPMRTVAMEPDFAKKGTRAFVCGGLSG SLVLREKGWLGHKETLLHSGEGPIWQVKWKGRLIAWANDNGVKIYDNVSQTRIAFIDR QADSPRADLFKCTLHWQDNSTLLIAWANFIKVARIRERPRTNTAQNIANAPPLIVEVT AVFQLDCMVSGIVPHPTPVPASMIIDGLPTSLKPDGSIQTHPQTLTSFLIVAYTPPET FDDTDEITDDRRRQARKAAERPEMRIISRAGEELATDALSVADYHLWGCNDYTVVEVA SSDDPLIRDADRSYVVMSPRDLVHVMPRDKRDHVEWLVERRQYEEALSAVETIEAEEA VTGFKAPESQGKAHLTSQDIGQRFIEHLVQEGDFVKAARLCPKVCAQDAKRWEDWIFT FAHRKQLHAIIPYVPKENPRLDHVIYEMMLADFLKHDRKALLQTIKDWPRGLYDISAV IVAVRAELDRTASTSSTISSSGDSTILMECLAELYTANRQPGKALPFYLRLRKSNVFD LIRDNNLFTDVQDQVLLLVEFDHELIQKRKESLGKGEQPDVNVQSDAITLLVDNLHSI PISRVVQQLKQRPYYLFLYLDALVHKDAQLVTDFADLQVKMYAEFATSRLIDFLRASS SYNLEMAYKECQERDLVPEMVFLLGRMGNNKKALNLIIERLGDVHRAIDFAKEQSDDD LWEDLLKYSETRPAFIRGLLENVGVEISPIRLIRRIKNGLEIPGLKEALIKILQDFHL QISLLEGCQTILDGDSSDLSRKLQRNQSSGFFLSSTSKCTLCLRPLQDSPPSLMFLFL CRHVVHSTCVSGAEDLPLPTPDPYFSSTSDIDGGMSRGISGAIAFETMIRSRLRRGCP VCHKNGEGH JR316_0004249 MHISDSLVFDTVNCRNFTDEMLKECAKLFSDHYGVWSSQMGTKA GKHVSISPARLKSQLISDPANTVVSRCKRGDDLIGQAFATVWEYKPGCHAAWVTQLVV HKNFRRRYIATLLLQNLKLNPLFCDINAPIDAIGLASTHPASINTLAKFSYLSPGELD LSFISKNAREIMKASPVPYIRDASSHLKGSIFEKDLATRGVVSSAFTDFYVDHEEPLS TLKSYVDAGRWCLGDLLDGHEFLIIVPVAESTI JR316_0004250 MTSQSVSSPLIEQATFVHEAKPLVLKPSNRRPQRAVRTKKPLKV SVRQKGINKRTKASNIMPKDDTSKAVRIAVVVVNYLDTDPEFKDKSQQQTHLLSRISN LSKEIRACTKGLKDDSWIIYNALILLLRLKVNKPGQQLGDGLDLFFTAYILSFKYLAA GYEELRWTLESWKILTGSRHELKEMAKMDLAMCKDLNWNLGSQTKLQEFRKKIEIDYD KGLEVSIESYI JR316_0004251 MSTVPLSPHWEDIVDESPHAPRIFPSYAYLHIDTFLHASKSYDK KTKEWTRMITVANASTLVNALFMPLEDIINEILCHFQEKDALVRNEIIQTHENRLSVI EDDDVEEEEYSRRVSANKISPDMAIMGRGANFFIHDKYPTVPAYTHCLVPVEVKRESD FDYEAAVTQVQRYARKCFAHQPHRRWVPTVIFTEMNAHLVIHDRSGTLTFDRPINYHK DPLAFVRLLLGVSTAVDDLRKSSFDPRIYWEEPRGWPIIIVMRIEGVSDSQKTTSESQ LVYSEYQTAGKVPMVRPFDPRGTVCWRALPVSAEHMNLIGPVLIKDSWRDAKRQSEWE LLEEVKGLDGVGQMVTYEEDVDFSISQFRNHITQGLYGERNPYRKDRIFTRLVLKHYG RSVKYFSSKLQLLHALYDAVAGHKRMWLKGVLHRDISLENILFGQEGAQVGNRGILID LDSAIKVNDSQRSNTATRSGRLRPGTCTYQSIALLYGPQWDISGVESPPQDHLDDLES FFYVLAWICMRYNGPGIKAQNTAKYLDKWDSSNTALCAEIKEGLLSAPLAYLQPYFAK DKIFLRLVADLAKVCVRHVTEKLKVVDSMGEFPDLVEIHQAQVASSGKDHDEFLAIIG KAINALEKATKAQKPFKASRNTQPATTAQVVAGGSGVTPTEEPTKFGAALKPAEKKKE SLAVFKKSNDHNLNASVAPVTQRPEPSPLGDCSNVTPQTPSKKEVVSASTSNIAHKLS KAREKKRKITMDDSDAEDSKLEEVQKRSKVNHLKENRSDYKGECSSGSAK JR316_0004252 MSDLHSEKHLEGGQLVFLPHDQSYCTADAQQPRRHSENIRYHDD TTFIAGENKRAEIREEIVYEGWSPSEVRFVDRWLRPRRATLTMLLPLIALCLLLLHGC VLCIAKKPPVIKGNTQFFPPTIQQSWAAYTPYFPVKQYSPPPAHCKITQVNIIQRHGA RFPTSGATTRILTAVNNLKSATDFLDPRLDFLRNYTYTLGKDNLIPFGALQSVDAGQG AFQRYSQLVSSKNLPFVRASGSTRVVDSATNWTSGFSLASHKAFNPVLSVILDESLND TLDDSMCPNAGSSDPQTNIWTSIYGAPIAARLNAQAPGATVTAADISNLIPLCAFETL AKEIPSPFCSLFTEDEFAQFEYFGDLDKFYNTGYGQALGRVQGVGYVNELIARLTESP VRDNTQTNHTLDASPVTFPLNRTIYADFSHDNQMIAIYAALGLFNQTVPLDPTAPDPA RTWIASHLTPFSARMVTERLSCGSTGPAAGHGQGKIKPQTFVRILVNDALQPLPFCGG DRNGLCTLDAFVESQSYARNDGEGDFEKCFS JR316_0004253 MSTEKHDIEQVSMSNAPAPMMRPSRIANAGPAGIFSFASTTFML SMYNVNTRGVHTPNVVVGMAAFTGGLLQFMAGMWEFPRGNVFGATAFSSYGCFWMSYA LIFIPGSGILSAYSSPQELGNAIGVYLITWMMVTILFTIVVLRRNVSFVVLLSILSLA FALLAAGSFTGKANITKAGGIVGIIVALIAYYIGLSEMLEAERRPVMRLPRGVYD JR316_0004254 MFSAVHFSASWCTTNFFLIAISIAVAYFLLHAFYNLFLSPLSAI PGPWYYSISDLFITSQVVRLRQCKAVQSLFEIYGPVVRIGPNKVVFRDISTMRNVYSV HKFDKSTFYKSLLTNDNDHAMTTLDHASHSIRRKSYAQHYTPSNVAQFQPEMHEFTFQ LINSLENIGGKSPVECLALFRHLMVDVVVSSSFGYRLGAVGKWAMDVEDPLSTAINDF PKRGILRSIVPAWTWNLVCRIPNNRWRQMCDSDKILAEFVSARVYEMRGQLNAGKLGD SEKTSMLQRLLQYKYTPNQQMPDHDIISECIGHFMAGTDTTSTSITYLFWELSRRPDI MKKLQCELDEAIPDSKVLPEMAVLQDLPYLSAFMKEGLRVYTAVPSLLERVVPSSTSK NGATDEIFDLMGYALPPGTTVATQAWSMHRDSTVFPSPDKFIPERWLESSSTTRSDLA MMAAHMMPFGTGTRVCGGQNLALMMLRLAVAAFVRNFDISAPSETTAQSMDIKDSFVI FPSAMECKLIFTPRATY JR316_0004255 MPAPVVYVLAIVGTVGAVLAFKEFVYEPHISPAIDRWKLEYQAA RRRREAANASEGDLLMSQTDGRRPDAGRNFDEDGDSDDDKPLAELGKGSSRFLKGGLL LRRQVPESQGDVELEDLVAREVSEWRNDDAGRVLRQRKNASENNAMDESIHAIPYAPL SPSRTHVVFDPSAPSTPASGNGSAGSRHQSLPHSPAVASGIEISRDVGSPKPATRSSS PQVPSPKVTSRPSSPLAPQPPPPVSPLQQSITQSMLLGAGNLPTPTASTSIISYSTHP LQHSYTVPSLSQSYPQDLDYEHGLELLSPPSSRSESPFSMAGMSPTPGPVSGEGLRAL SPSGSASNFSTSSFSSAVSSPSPLRMGLGNESFDAVSPFLDPTPPRIQGSSVTDSARS TTYLSFSENSSEDEAGGIHFLPPPQMGASVGVTSAFGPISPPPVGMQNLPVQSGQSGS LLNARTTSSSREESRTTAGFGTTGNHFTSSERSFDGTFSTRSHSSAFSSPASTFLRPT PVRGSGNPPQAQSDADLSDLDLMSDYAPSESDFGTGNESDSSWSMAGGSAAASPRMGH NSANNAATTANITRGGTVRDSRGGRFVQR JR316_0004256 MQRLQRLSVFYALTYLLSIVSADYLPFREHAGASFFDGWAYYGN VDNTTWGNVTYLDQPGAQTKGLTFINAAGNAVIKVDNTSTLAPPLAQGDVVNRDSIRL TSIDTYGIGSLIIIDVLHLPYGCSVWPSFWTYGMQEEWPNAGEIDIIEGINNMQHNQI ALHTPTGCFQAPNPPQSGTTLETDCSTDRGCIVAETKPNSFGPGFAQAGGGVYAVQMD TSGIYVWFWSRPDVPMNIQTATSTDKMSLSTWGMPSAAYPVSACNVTQYFPPQNLVLL TSLCGAWAAVPDIYQSTCKTPTGSCFFDNVLGPPSNFDEAYWEVKYVRTYFSEDLPRP SSSSSSISSTPTASATQSDASAKSSGKTLTSSAMLSYIFSETSATLTLLFSVVVTSMF WV JR316_0004257 MVSSSASTPKELFVQRRKEFESNPDSASDIDAYNRRDDTHNYTV IKGFIPPPLVGKPAPGGKTVWRKSDTFFTDFKLNHPAQVLSETDTLYVIGNTASHDTR QYLAKWDPDGKDKTPSAGMAYVHLLVIPKKRIYNIVAMKETGFIDEMTSHFKSFWQSA EAIDKTTVWLETAVKNRAAAARKSVESHSPELLEEFDNTMQEVRKSAKQLNEILRART QSVDELFNFYFHPAPDASIAHLHMHCVLKDKVFREFSTYAHDWKSVPVHDVKEVINSP RRCDETSTTLLWSWILRKYQELTKYVGMKGAQNSK JR316_0004258 MVIDKQALPDDPTPSDAPPSYDTVTAGPSSQPLQDTKRSFSDSL SPSTSSHLSDIPPPVPPKTPTTPISPSTSAKGKARATGWFAFATEARTRSEVRNTVLG LVRDLIQEHITNSEAATGILQSCAEACATHSLSLSSILQKKSIENHTPLYWAIVKRPP DEQQAKEDMQCPDLLTALISYASPLNEATVTEVRLACLATSDQALFQRLRQSPEFAPV SGADQMLLGVSIPPEEVELEDIPGEGGGSFAVNFTIPHFHKRMVVSKHIEVQFIARSR MWQLTFFVASEPRRNRAAYAPRVGSWCIALSLIESSPPTYVQARLLIPEAKPPPTEVQ TPDPLGPSTNDTSPSSFFRFPSLSLSPNPTPELHSKNTISIVLNSNEQLEAATGTRRR HAGKSIVVSLEESTLGVNLQYGNNAYIGPDEKLRGRLEARLGKSEADCILLLMYSRPR RIDAQGK JR316_0004259 GAYSTIFNDYTLILSSAMISRLILELRSATKDSNRSRVSVIQFA PPRPHVVSIVNEEHVASNPSRWASLVRDFEDGHYESHPIENPETEEAK JR316_0004260 MHILKPKRATPEVMSSFHTDEYVNFLHKVTPETAEKMTYHGTRF LVGEDNPAFEGVFEFCSISAGGSIGAAQRITSGAADIAINWAGGLHHAKKREASGFCY INDIVLGTLELLRTYPRVLYIDIDCHHGDGVEEAFYTTDRVMTCSFHKFGEYFPGTGS QDDRGRGKGKGYAVNVPFKDGITDESFKSVFEPVITRVLEVFRPSAVVLQCGSDSLAG DKLGCFNLTMKGHANCVQFLRKQNIPLILLGGGGYTVKNVARTWTYETACALGIENEI DPNLPWNQYFEWFGPRYRLEVPENNMEDLNVKEGTLDSVREAVLEQLQQLQCAPSVQM QDVPRESVRQHLGFGRDDEAGRDELDEKLAQHARYLYNLQETDTTTDESEESDFWDSD ESSTSANWRNGSRRSGSVSRVNGRHSSLQPQYRADAEKRRMSLLTGKYYDIPTHEDEY AHYECGSAPNKSTKRRFFSGPAGGGWDDFGGVDSRVNARSRMANGFRNGFLLTTPFMD TRHGEDGEDDDNYEEMLVDP JR316_0004261 MSTPYVQEQPQAIAPPAVASSSRFGPLKERAARLKKKVTTKQGW IGDYDYAWLCSPRLPFMKQSDRAPPFYALDTDLPLVLAISSGLQHALAMLAGLITPPI IFASALNLDAETSAYMISASLIGCGIMSLVQMSRIHLFKGYYLGTGLITVVGTSFATL STANAIFDAMYKDGTCPSTVGPNGALIRGPCPDAYGMVLGTSLVCSFLEIFMSFCSPK VLKRIFPPLVTGTVILMIGASLIGESGMANWGGGSNNCKDRPDSGIFQLCPTIFAPRP LPWGSPEFIGLGFLSFSTIILTEIFGSPFLKNISIIVGLVVGCIVAGATGYMSDSTIK SAPAITFLWVHTFKLRVYAPAILPMMAVYVSLAMEAIGDITASAEVSRQEVVGDVFDS RIQGGILGDGISGFMSALFTVTPLSTFAQNNGVIAITRCANRGAGRWCCFFLILFGVL GKLSGVLLAIPNPVLGGVTTFLFANVAASGVRVISNVQFQRRERFILSAALAFGFGNL LRHDIFRHLFDGVANPNKGLQGLFDSITIVLSTPFLAAGLVAAILNLLIPQEPKELIP DESSVEEVDVESQDEKKH JR316_0004262 MSKSSRPRNLNQVTQSREARSLNSNSTYESEPDLTVDAMSSAIA AELSGSTVDKSTPVSKVFASYNTLPISKFLADCSLYDNQAKGWTPLKNVTGVTQEELL YTPLSKIIQSIFDYFDKGGPKLRWIDETYKKRITHVEDGITILQVGADGQTTPVIPPK SSPDLAIMGRTSRFFMNPAIAERSEYSHCLAPLEVKLEATFKANKKEITQQIGIYARQ CFIQQPHRRYVPVVVLTENHAYLNIHDRSGVLRVDSSINYHTDPVTLVRIVLGISSIE QDQKISAFDTRIKWEHGGDWPQITVSTPKEHARSLRLTTTSRASYVYQVVGTPFIRRS ARGRGTVCWNAEQVSPPQPNGTVSAVLIKDSWRSSGRQNEWELLKIVKGLAGVGQMVA YEDDTEYPISQFRNHVVNGLYTNSRPYTADRIFCRIVLEAYGRSIKYFQTKLELLHAF YDSVAGHKNIWQKGILHRDISLENILLGKPNAEPGNRGVLIDLDMAIKVDRETNLSGS QFRTGTRAFQSVAVLKSAGWAQASPTVNIPPQDHLDDLESFLYVLSWICMKYNGPGNC IVVHHLEKWEDNDPEICATAKRDFLTAPLSKIQPYFKSDEVFRKLLKGLARLCETHVI AKYEVEEESGSFPDLKTVYASENGSSGKDHDKFLEIIKAAIVALEQLPPERVLSPSSD AAPIEGPANERNDIVPARSTLSKNRDASTSTSNLDASLHGGEKKRKIVESHLDEQGDK KRSRGSHEASASRTTRRTTTQQQQVRRS JR316_0004263 MSQSASSNSNVSNNQRQEGSKLQNSTVIAAIFSSEITLRNSKED QDAFITLVRSISDIRSEVKQIESLENDNNVLYGALLVLLRFANSKYRFPISKIDGWDL FRTAYMVSLRAYSDETGVKQPMTRSWNLYVERRNKAINAEIVKGIGERMDWDINRSSA TIRGLIPEFRGMLEDDFATNKKRTRFGYPREFESSEEFTIIFDGKS JR316_0004264 MSFKPNGPRSTSQLDFHRPKIRWNEAIPTLSKESRRCLQNLARY HPPKPSIIVPRSRSAAVLVALFVGRQGDLYVLLSRRSSTLRTYAGDTSLPGGKVDPED RSIEDTARREAFEEIGLPRDRNKVPLLCILEPFLAAELIVTPVVVLILDNTLRPILNR DEVASLFSHPLASFLSSNPPFPHEPDTLEVPYHKSFDFKGSNRAEPVFRVHQFLTGRE AGGIKPVFGLTAAMLIRVATVGYARQPDFEVSPPHAPSNEERIAWALLNRKVFRDACE RDGVDLRAARRVVEAWDKREARRRRKEGEREGSSTSHPKSKL JR316_0004265 MTRNAPASLSEVLKDQLYVGNLSAALSVEQRKKHGITHILSVCP EYPTTGATQDHLNISIEDSEYADLLIHLPETCRFIDDALRKGGRVLVHCVMGISRSPA VVAAYLMKTRGYLAPEAITFVRQRRPQVHLNYGFAVQLDTFRKCGFAPSLANPIYRSW KRRNEQDVTAFLNHLVDTVSIIPDKLFLSSEFPSDPQQTWSLLMDLGITHLLSISPTE IATTTTAGAVTHHHHVNVDSRAPDALLSTLPDICAYVDGAIKRGGRVLVHSMVESRAC AAVCAYLMSIRQYTATEAFGVINEALPLFNPTRNFIRTLEVFEECGYAPGPNLSSSAR SSAKSENFSCELESSKESGMIYDDTRRDFGLGFSENFGNVGANVNMNKRSSKIAPSQH APISVR JR316_0004266 MTAQEIVQRQTKLVAAFQNQQRLDSGKDHDEFLLIVKTAIDALE QEEAEEQKHAAVTPLGDRSNVEPDTPSKTEKFCSTVEIKKRKLDSVDGEEKADQKRAK ARRESNENDPEQGL JR316_0004267 MPTSISGSNLWNKPANSGSGKLALPYNAANIANDLNPATEKPSW PLSSYAPYKHEPLLVAGLDESFEELRVKAYAARSSGTLAEYTNYESSRIEAANQALAN ARANSEQAYEQAYKQSKITAVLEAASANKASSSSTANTAGPSTSGWGSSNTTGGGWGR EGTKSPGWGDNDKSGWGDSKGWGDGKGWGDNKGWGDNKGWSSPSPTPGGASGSGWGGA GGSGWGSNESKPSGSGWGASSSNTNSTSNTNATGSALGGGGGAFGNLATTNSPFGGTS AFGTKPATTTTTSAFGQPAFGQPSAFGQQTNTATTTPSAFGQPSSTTSTTTTNPSGSV FGQPSAFGQAAGAGAGGGLIKPATGFGAFSGVGGSSPFAAAAAAANASNPNSGSVFGG GASGGGGNAFSAFGGGAGAGGGGGNVFGQPAFGQPTTTATGAFGQPTTTTTSAFGQPA GTTNAFGQPASTTSAFGQPASTTSAFGQPATTASAFGQPTTTTSAFGQPATTTSAFGQ PASTTSAFGQPASTTGAFGQPAQSTTGAFGQPTTSAFGQPAASTTGAFGQPAATTGAF GQPASTTGAFGQPAQASATNPSGSVFGQSAFSGLGAGAGAAQNTGGSVFGQGSFGQPT QQQQQQQQQQATTGGSVFGQPSAFGQQQQTGGSVFGQPSAFGQPAQTQSAFGNLANNT GSVFGGGAGPFGSTAPSTSVSMDTPTSASNPAFPSSFTTASSSNSFGSVPKPAAASSA LALDFTPLLQASASPSQSKFRYIPGTTPYDAMLPQPEGVYTDMQPGWAKESWAAERFG WGKVPEWVPPTGVR JR316_0004268 MKADESTPTPTPTPQTPAPVVQTYTSQWASTPIQQPGQHPTASY YQPYTSHYPQAAYATHYQAYAPPQTPTATTSTTQQQQAAAARPTTTTPAPTAAYQTST YNLSTAAANTTTTANAASSSSNNNSGGMDTADVATLNDALGSAGVDLRAEEESLQRSS DLSHPLTSSLHHSHSYHRPYEDRTRKAPQPPHCFPTHVIGPTMRTVATNHKVTRVTDD AVNYLALALRARIHDLIKGMVAAARHRTDAQFDRPAHFYGDENAVDPAATAASTSATA VIKIEVDGELVPAPASDKPKDRTPMWSIVIRKDISKQLAALEKIEREEELRARKERKE RAELTAAHAAALAAQASGMASGSTAYGAGAAGGAAGSSAAGAGAAGGDGDDGDGGANP KKKRKKDGPGVTARNMSEDVRKKMSNAVASQAAGLGGRYSWMTAANAGAAATPVKPKP ATTTTTTPSATTTPAPTGTSGGDAAAAGTGTGSTGTAAAAATTPTSSWARPYIPTKKP GFSSSLASSGTTNGTANGTGGKEGEGDAESKKISVTMRDAMFVVEKERGHGAGRGAAR GWV JR316_0004269 MPSSHPHITHRYRVPSSDDHERISALFLGPKAENAAFLQQWLTT VVAQQKAARDAYFPDDNAFITTDMQTSPAFTQTTKVITSNLTELLTALGERSIPFFSP RYSGHMSVDQSLPAILGFLSTTFYNPNNVAFEASPFTTLIEEEVGLQLSEMLGYNRLN NTEEPLAWGHIASGGTVANLEAMWAARNLKFYPLSLRDASAEGAEMEFIRDTFSVKTC VGDKKLLKDCSPWELLNLHVSTILDMPDRLHDEYNISPQFLEKVMRKYIIQSTNKDTL MQRWGLTQQPVVLSPSTNHYSWPKAAAVLGIGSDNLRNVPVDIQAHMDINELDRMLKI CLDEETPVYQVVAVIGTTEEGGVDRITEILKLRQKYEALGLSFAIHADAAWGGYFATM LPKDTLGRNRTRLPKEDTTSGFVPHVGLREESALQLSHIKYADSITIDPHKAGYVPYP AGALCYRDGRMRYLLTWSAPYLAQGNEGQSIGIYGIEGSKPGAAASAVFMAHETIGLT PSGYGNLLGQAMFTCRRYAAHWSAMSTDTTSFTVTPFNPIPADIDPNADPAKVEEQKQ FIRDRILFKSNEEIYNDSEAMELLHQLGSDLNINVFACNFRDRDNNLNTDVEEANWLN NRIFQRFSVTSAEENPLHTPFFLSSTTLKQSEYGVCATEVKRRMGLVGDQDVIVLRNV VMSPFTTTNDFVGTLANTFQKIVEEEVEYARIRNDMKPSIHTFLLHGSGEQYYLVHTP TIHMASGRRQIILSVNVEGQVRQAIHAHERVEAVIVHNTVPLRLDEIVDGGSFDGILT IGKRKTSFKVKISNIKVVKKRSLMTEDLESAYPSLMPFYFYGTQGHAHLDHVITVVPN IHLSAGEIQYKFDDEVSSEDLAKGLIVVAENVHEASMQPFPLMKDFKITNQFFFSSGQ ILRVKVYRDPYPASTMDPIPLHDIKNQPVVTQGTITLVGNIYVDSDALNVASEPTADE DAAHVPHARNMYGEMTAGTIKGWQNAVRHFHNKLETVAPTK JR316_0004270 MHLTVDLSAAVIFAGAVMASPVLISKSPVTLSLSRRVNTTSIHN LVRHDQSRAKQLRFATARSSSPPDASNFSKQRKDDVNVPADNQAVSYVASLEIGTPSS TSRPNTFSLIVDTGSSNTWFGAGTTKYISGTAEQDYDLVAVQYGSGYFIGDEIHDVVT LAPGFNVSNQSLGKSFLAKGFSDVDGILGLGPTQLTKGTLYPSVNDVIPTIMDNLYNQ GLVSNYEVGIFFEATTDTNVSNGQLTFGGTDPSKHMGDITYTPITSISPASAYWGITQ SLSYGNLNILSPGTPGIVDTGTTLILIATDAFQKYTSATGATFDGATGLLTITLAQYK NLQNLIFHIEGTDFTLIPDAQIWPRALNQDIGGITGSIYLVIGDLGELSGAGLDFING LGFLERFYSVFDTGNKRVGLAPTSITTRIINF JR316_0004271 MSRDNTPSAPSTLPGPATTRLDSLMNSDSPEPTWPPTWGKICRA LFPNAPLANRVVYPSARPYTGSLDSPSTSDTSSSCSVSPDACQALPSLMSQMNVTNIT VRVFYRPGELPAENSFTPSTIAQESPKSVTKYGTDNTFATAAENISEASGLSLNGLRS AKSFKDVIRCLLRAIQDECAKFSGGESDDEFDRQSAALAARKKKSTAATSDPFKLNSR AFMSYDMISKPGKELTLHDSLESLVWIFLYIVLRYSKHNAIRDAVRPMREQMSCTPVK NKPNLNALLTLLFCQNTLAEEEYGIGFAKLALFLTSRPLPPNFEVFDNPAITSAVFSL IELFEEKYRYLERTLPKKVRALAQVEEISYEEAEIEYMATTSYKRHMEVIDMLPERME NILLDCMRDEDWPEQDILVDGLAGQ JR316_0004272 MRSTTLAKNWVIHQIPEDVWQEIFKIAVLENPEIDIASTSSRVV DNSLRLSHVCSRFYNIVADIPDLWTDIALRIDRHGNSSPKQELIVLAFKRASTKPLTL NLAYTTASLRNNASPVYLTSSSNIRDAVKRRYALPAFWNFALNIHRAQKMRIDAQLLN TTCIGCRNPAKRFQWRATSDLIFVKHYAPILETLEIVGSVRHPSPDEYKIGLYDKGLA PFVRRTVQMSPKLHTLIRRQPTSGRPVLVHDTQMYFRNLVNLEILEGYIFVSELYLVL SSTRALERCNAGRLLGVIPAQSRIRNLPFLRELTLIGYVADDIRGNSPLTRILSLIRA PLLNKLVLRRDRKWIQEDFITFISRCELTLEHLVLDRDQSTEQEKLEFLCLLPNLKSL ELHSTYDCEQEDDGPHLLTDAFAKCLTTWDTSKGEFSICPVLESFGYDKDGVEVDSDD PVLSSMIEYRWNGAPGKKYLKAVSVCGVGRSLLYDIRRLLVLQKEGLKVTFYDDPCSM KLVCRD JR316_0004273 MASAHVASKSSVLDEATLVDQLNTLLSSLNIPITLVSPTDLTPS LLIAILESMLNMRIPLIDRSQQAKYSKASKVQNMKIFLGFLEIDVMKMDVGLSDIDPR RLADGEEEEISFVAELLCWMGRREGLIQTPRTHKRQSIAQRYHTPPQSTPPPAPLSPK SQLDLDAISLFQTGSTATGSTRRSHHLFSPFMKDTDKESFTTLDAGEHDVHDEHPDES SDNVSDVLSALPPFAKSDIPQPPCDHEYAAPSSSLLFSRDYAQPSTPAIAHSSDRTPR ATYNQPIRTNHDTSNVFLDTDDWQNQSNTSVRYSGYIEPVDEELELAEFEHSRSLSID RPRSKGKTRSVKIETVQEQYERTRELLNERARLLNQLAELKISHG JR316_0004274 MPRRATSPDATENTRSKREKVKVKEEKDKGKQRARVEEENEDDA QDEQEEENTPPDYEDEGEEGSPRGPKRRRTNVNGDSAPSGSGTQLPKRENVKTLPRGD DGYIPGSIVRIQLRNFVTYDFVEFFPGTHLNMIIGPNGTGKSSIACAIALGLNFPPSI LGRSNVLGSYVKIGTEKGYIEIELKAPKGKKNYVIRRTIIAITNNSSFTLNGVSASGT EIKTKMAELNVQVGNLCSFLPQDRVSEFAGMSPQQLLKETQRAAGNPNLENWHDTLII AGKEHREVQQKIKDEESTLKQMIERNEGIENDVARYKERKKIEHEIGLLNLLIPVAEY RESRVKYFEIKELQRKLHAKVQRLKEKNEPAHKRLKEMDANLKDTEKRREKLKDSTQK MFKDLLAAAEVCDELGVESDKIADKLVALKESEKEREKDIKSLTHEIAQLKEELNKPP PENLPKEADLQTEKTKLNAERNINQNKKMELDDLLKDVHNKIAREKQNQARAHAELTK LADDDAQKLNHIARWDRTAYEAILWVRKNRDLFKMEVFETPYMRVTMKDLRYANVLEA CIGGQMRTFITQCQEDCDTINRLVNDANMFGPNGKITTWFRPYTEKNDTAPPMSREEL RELRFDGYALDYLEFPPGMEWFLRCEVNLHRIPIALDERHVNVERAMDLVARSGGGTF FCGSTANKVSRSKYGDRLPLNLTSDVKPAKNLRTLAIDPDVQRQIKEAIAQAEMNLAI AEEEKSDINKRLDGVYAKDREFQQRHNEIKERSEQIKNELKRRQRNEGLLKAKSANLK KLKDAPSIEEKRKALREQLKLNAVQRAKHAREYTDLAYTIIAQHDKCTIAALEYMQIS ANKHALEELCNRKDKQYSDTLQEFGRVQEQYMEIKAQSKRALEETRKMLDEAPEDVRA AYDEIEVARVAWDNKLKEKESRSRRRDGDEDGDDESRERGRGRERERESEKEEARQSG VDVRTSDELKEELEAQSAKLELNLHTNAGVVELYEKRKRDIEQMTKTLEERKKKEAKI EKEIKTARDNWQPALEALVARIGAKFSLAFDRIGCAGEIRISQDADYEKWAINIFVKF RDGERLQQLTAHRQSGGERSLTTILYLMSLTEEARAPFSLVDEINQGMDQRAERAVHN SLVNVTCQADSAQYFLITPKLLPDLQYHERMKILCVYNGEWLPDDLPEDGSAGRMRGM LDLYEARHGRKGAAGRAGRGDI JR316_0004275 MASTSLSTPLPLPPPHPPTSSALALAHLTQEEHDALQAAVFQRL HPRLYLERYVSERVRPDARLFGEGRGVSVDVGSISTADGSALVRMGETTVVCGVKAEI AEPELDRESLGFLVPNLDLTAMCSPKFKPGPPTEEAQVLSDRLNHALITSNFVSLESL CIHPGKAVWVLYVDATCVNYDGNAFDATLLAMVAALRNTTLPQATYDPETNRTVCSRR LPRVPLQLAKSTPISTSFGIFDSKYVLLDPTAFEEPLLDTSLSIVLGDEGDIVSVAQV GSLVVSVSEGEGEGGEEVRKDVLAECIASAKLRRAEVVDALNVT JR316_0004276 MSMSDAARTTTTRTRTPPKRTFSDVELDLDQLAESFDVPPSTSS SASSAMAIASSSASASSSSTFASPCPPHPATILITDSPASRSKATLLLTSKQKPKHPH PRTSMQHPSSSAPIKVGVLGATGTVGQRFLTLLAAHPWFAVDALGASPRSAGKKYKDA VSWKQSTPIPQGVRDVVVRECRPENFAQCKIVFSGLDADVAGEIEEAFRAANLAVFSN AKNFRRDPHVPLIVPLVNPHHLSIIPQQQALFSPALTSGFIVTNANCSTTGYVIPLAA MEKAFGPLECVMVTTLQAISGAGYPGVPSLDILDNVIPYISGEEEKIEWETLKILGGI STKESEVTSADGQQVVKKEVKTFDMHAAHPLRVSASCNRVPVIDGHTECVSVRFARRP PPSPQQVREALAAYTPEAQALGCPSAPCRAIVVHEEPDRPQPRLDRYYQDGAGVSVGR VRQCQVLDVKFVVLANNVSIGAATSSIINAEYAVLKNVVQL JR316_0004277 MSAENTIAKPRYRIPTSEDHERTSALFLGPKAENAKFLREWLMT VVSQQEAARHAYSPKDNIFISAATQSSKAFRETTNAITSNLTALLTELGQKSVPFYSP RYSGHMSVDQSLPAILGYLSTLFYNPNNVAFEASPFTTIIEGEVGLQLSEMLGYNRLN NVDEPLAWGHIASGGTVANLESMWAGKTYLDISILARNLKFYPLSLRNASAKGAELEF IADTFSVKTCVGDEKLLKDCSMWELFNLHVTTILDLPDRLNKEYRISGQFLEKVMRKY IIQSTNKDVLMQNWQPPEKLVQPVVLSPSTNHYSWPKAAAVLGIGSDNLRNVPVDMQA RMDINELDRMLQRCLDEKTPVYQVVAVIGTTEEGGIDRITEVLRLRKKYQALGLSFAI HADAAWGGYFATMLPRPTPYTPGQNTSLPADSTNPDFVPYVGLRPESALQLSHIKFAD SITVDPHKAGYIPYPAGALCYRDGRMRHLLTWSAPYLIQGNEGQSIGIYGIEGSKPGA AASAVFMAHGTIGINGYGSLLGQAMFTCRRYAAHWSAMSTDSTSFTVTPFNPIPADVD PNADPAKVEEQKQFIRDRILFKSNEEIYNDSEAMELLHQLGSDLNINVFACNFRDRDG NLNIDVEEANWLNNRIFQRFSVTSAEENPLDTPFFLSSTTLKQSEYGVCAAEVKRRMG LVGDQDVIVLRNVVMSPFTATNDFVGTLANTFQKIVEEEVEYARIRNDMKPSIHTFLL HGSVEQYYLVHTPTIHMASGRRQIILSVDITGTVHQAIHADEQVEALIIHNTVPLRLD DIVDGASFEGILSIGKRQTDIKVNISNIKVVKKRSLMTEDLESAYPSLMPFYFYGTQK HAHIDHVITVVPNIHLSAGRIEYKFDDDLSSEDLAKGLIVVAENVHEASMQPFPLMKD LHIDDQFFFNSGQTLHVKVYRDPYPASTMDPIPLHDIKNQPVVTQGTITLVGNLYVDS DALNVASEPTADDDARAASQARNMYGEMTAGTIKGWQSAVRDFHNKLETIAPAK JR316_0004278 MKPHRMRITHELATAYGMLDKMHVLRPKRATPEAMTAFHTDEYV QFLHSVTPETADKLTGQKTRFLVGDDNPAFEGVFEFCSISAGGSIGAAERIASGAADI AINWAGGLHHAKKREAAGFCYINDIVLGILELLRTYPRVLYIDIDCHHGDGVEEAFYT TDRVMTCSFHKFGEFFPGTGTQEDTGTGKGKGYSVNVPLKDGIQDESFKSVFDPVISK ILEVFQPSAVVLQCGADSLAGDKLGCLNLTMQGHAHCVQFLRKSNIPLILLGGGGYTV KNVARAWTYETACAIGIENEIDLNMPWSQYFEWFGPTYRLEVPENNMEDMNVKEGTLD HVRTTALAQLQQLASRCAPSVQMQDVPRTSLGGHLGFKRDKREHRDELDERLAQHTRY LYDLQESESESEDTESSDSDASSVSFVNNWRRAPHRANSLPRILSGRHSSNPPGHISA SERRRMSIVTGKYFDIPIHESGYNHYEYGAAPTKSSKRIFFQSGLDIYNDDNDFEGII NARTSVSNGFGNGIHDLHGLMERGGRSLNENLEDGDDEVEGEEYEDDAAMSDS JR316_0004279 MALPDLKRKRTQSLSKSERTLEVCLKDGSALVITANKRPRLLRI EIPSNLNSGLVSSETNNGEDHDDMHSLFSSSDSGSLFNDLLDEERYSSPRISIDLDED KLMAHRNGPPIQGLYFDPSVILPVELADEVVSFCMKTYFTSPADNQVMLFGRFLPPKE TLASSSSGLPPILLELLDQISSLLRPVVPPDTYDVLFPACPTRARQAIINLYQPGEGI TPHVDLLGRYGDGIIGVSFSSGSVMRFDKVDPQQNDSCTRWDLYLPERSLIVLSEDAR YCWTHGIDKKRRDFVATASASESLEPAGTWIDRRTRMSVTFRWLLPDADVVGDG JR316_0004280 MATPTSQNGAIDTSKIAGNGSDEIKQLLGDIGEFFHSRRAPGMT TSGFGEAIQKRLRLTEISFNKKAEEERKMEARVVMETEVHEDMLNGGGNIHGGCSAFL VDTCSSLALLAMVKETQGVLVATVSQSLNLVYHSPAQLGDTLRIVNTTLTVGARAHSV RTEIWNVTHHRLVVSGTHVKMVPSLPKPKSNL JR316_0004281 MSDSHSTSINISKIAGNAPDEIKELLGDLGTFLGVGLRNGTVEF GNAIQSRLRVTDITVRKNPVEENKTEAKVIMEIQVQEDMLNPGGNLHGGCSALLVDV JR316_0004282 MREIPLKDADFDLDISGIGGFFGDEESFAAMSSVHLVRGRRWFG WYNSPGSYFVAKRYGLLARSRIWDGLYPGPNIDPTSMLELDGKEGPRYVGAHSGTRIQ KTGHLSYLLSSYCSDLEVDYSAAPPQTSDVYITVVHLNEFAKFPGYPVIPKDGLSPFD PACIIPIVASFAAAVACAVFGDWFCFSTIVLGCFCNGISCIVIGSGKLKIVQPNSSPN SPPGDGILFNTSGRVVLLKGSEQVVSSITRGRFNLQYKSETRYHDIGLVSLALTFQFL LQLFIVPQGELFGQIMFLSTFAVSWLYNAYLASVDREDLQKRILVNNLLQKPVVRTVR LPKWTALVTFSALVLQAGKNTRSILDEMIPTDTHVWRIVKDTIVKSIQRNQSPQDVLP EQNLEGLDEKELGLLRDMLEQAAIGLDSARKELESVEGVKDEKHSGSGHMV JR316_0004283 MTPKVSPAYTPGSPNYNVAKLLLIGLAFHLVYIGSVFDCYFKSP VVNGMNNYGYQTSAAAKRLVLIVGDGLRADLLFSLNAFRDIAESPKVVAPYLRSIAET RGAFGISHTRVPTESRPGHVAIIGKFIRSGMYEDVSAVTKGWKTNPVDFDSVFNQSSS TYSFGSPDILPMFAKGATPGKVKAWSYDEEEEDFTKDATALDIWVLDQMKILFANATA NSSLSSELHSDKIVFFLHLLGLDTTGHSYRPHSKEYMKNIQVVDSIVRETEKLVNDFY GDEDTAFIFTADHGMSVIGNHGDGHPDNTRTPLIAWGRGIRGPLPDSKISSHDVYSKP WNLGHLFRRDVEQADIAALMAALLGINWPVNSVGVLPDVDAQSPGYLNPSILGEEAIA RTALTNAKPLSNVTTTEDTPQIFNINLLIAEKQWDAARRASFDLIQQSLLGLHYLQTY DRLMIRAFVTAAYLGWAAYASLFLFRPLDYTTSVLKPSNQDIRKALNAGSWTILAAFW LSFSVQRSPWSFYVYITFPCYFWNQFLSQVVTTSGILINFNWRKGALVLVNSSLVVVA LFGMVVGYTHRSVWSIGFGILGLAWPLSWNPDVRAAHWRSLTFWTVSCLATSVFPLLS VDKSESLVTIMIGGSAVIMTGSFATWQILLSVKEQKTRKSLLRIFFNNKVILVCITMS ITASSVRELQAKRGLPFLNQSAGWIILVTSSVFPFMVRTKVHTKYSKILMYFLGFGPC FVILSISVEGLFFVAYSAVLVAWIQVEKAVRLSHSGHADSISANSKGQDASKDRTGKT NLAQAAVAHKFQMDDLRIALFFLFFVQVGFFGTGKLCILRSFYLAPVYRLIPIFNPFY MSSLLVFKIIAPYIMLSVSFAVLNDSLNLPPFSLLLVALTITDG JR316_0004284 MADRIETSSDTPPAQWQFSSRLDAPPRYSAVFADPGEQISTNAI PTNHEFVLHGGIFKPKPWATLRVFSRPSKVKQKHPIFFGGDNVTGEMKLKLGNQSISS ISILVECLNNFLKGLLVTSFRSDGGSYTFLEYTYNVWDKGDGDPRLSDGAAKNNFNGK LSGDVEFSFAFPFPPTVDLSSLPSNKGKGHRGSSAVYQTPQTFLDHNITSNIEYELVL LITHGPLRPDSKLKGRIWFIPQITPDPPSDMRQMAYREGALLPGPEADPEGWLPLPPL SIRGTVQQNKIIELEYHLYLAKPLCYTRGTVIPCYLIISSADSRSLEILADSKLQYVR LARYIRYFDSPTKCVQQTLQGKKPSFLEEIDEAELAVWWIPPKDVLQEPYCKRLEGEI HLAKELAPSSNFAPLSVEYYVEAMAFPSEIFKHCESSSGLKNVTDGFETKILQSHPVT IATFNASGPPQVAYTPRQRPSLAHRKDDDGGIGFNRISGAKYGLGHSATLGI JR316_0004285 MSPTSPSASARYWLLKAEPDTRIVKGKDVKFSVDDFERVKTSPW EGVRNYEARNLMREMKEGDKALFYHSNCKVPGIAAFAQVSKEAYPDYTAWDSSHPYFD PVSQSRSSSLIQKSELTFPSSISQKSDSEDPKWFMVDLTFTSRAPHFVPLALLRKIAD LAPSQGIPEEVGYLGEAGVASIKNMDLVTRGRLSVQRVEEGAWKAINELASRGGWEEM DLRPGKKLEKAKDGEPKAKSSLKEKAKPKPRKKASETKEDNSMSNDTKTRVDGDKEKP LGKATDEKRKVPAKRKREAKAEPDSEVANERDGLRRSTRARK JR316_0004286 MSYPQNGMDSKARSQSPSDGNVVDHHAAGEDAPVGPRRSGNSGE QTMIHVQPLKRTEMQPSYAQDLGTGEVTHGVYGSLLQGLGTVVGFFGAIPCCPCPNPF RNVQQGSVGLVSRFGQFYKSVDPGLVQVNVCTESLRIVDVKIQISPIGRQMVITRDNV NVEIDSVIYFQICNPYRAAFGITDLRQALIERAQTTLRHVVGARAVQSVVTEREAIAF EIAEIVGDIADKWGVAIEGILIKDIIFSPEVSASLSSAAQQKRIGESKVIAARAEVDA ARLMRQAADILASPAAMQIRQLEALQQMAKSANSKVVFVPMQLQSDVTGQLASGSGLN QIIQNESGTTPEGSLGMAGRVGVLNSVSEV JR316_0004287 MPKIRTTRTKAPPEGYEDIEEILEDYAKKMRDAENESHEGKRKA ESLWPIMRISHARSRYIYELYYKREAISRELYDWLLKEGYADANLVAKWKKTGYEKLC CLRCIQTRDMNYQGSTCICRVPKAQVRSGTVVECVHCASNLSRLHCTDVNAQSAKWSS SLRLSSLLESFYLDSRLAMGEFTNALYSLVSREASEQGGVISGDNPTKYSPSNPIRLW IIQLVIIISMTQLLSLILSRIRQPRVIAEVIGGVILGPTVMGRIPHFKETIFPEDSMP LLAVTSTIGLILFLFLVALEIDTRLLKRNILASASVSIAGLVIPLGLGAALGVGVYRE FVDSPVNFGHFLLFIAVAIGITAFPVLCRILTELKLLDTEVGLVTLSAGIGNDVIGWI LLALTVALVNASSGLTALWVLLVCAGYTIFLLYPGRWAFRWMARRTGSLEQGSPTPFM MTITLLVVFISAFFTDIIGVHAIFGGFLAGLIIPHDNGFAISIVEKIEDLVTILFLPI YFTLSGLRTNLGLLNNGVDWGYIVIICLVAFTSKFVACGGAARLTGFNWRESGAIGSL MSCKGLVELIVLNIGLQANILNTKTFSMFVVHALVLTFMTTPLVLLFYPARHRVHHRG DKVNESDEKPTSISGPPPDDHRKTRFAIVLDKFEALSAAMTLSQLFQAPESYSVAPLS SNLVDNVRANADDIQNVEAASQSNPSPSSNSTPISIEALRLMELTNRTSAVLRSQEAS SLIYNDPVVSVYRTFGQLHNFNVMANLAVVNFDEFPETIAKHVADTSAQLVLIPWARG ITSVLEDVAGEAGQQQGVAVGTRNPFDGIFHKTTTQDQTSSYVYSEYIRNVFAKSPCD IGLFVDRGVIGSGSGVVSNGTGKQHLFLPFFGGPDDRLALALVVQMCECPGVTAKVVK LNKTEAVPDMHTPGDEGHRDGSTAALVLPPLQHTVAAADTVYGAHTTQTLLSSNTADS ILWDRFTRPESSEPRLTSLSRITFHVESTPTPLRRVTELAKEEAAKTFQRTLIVVAGR SRRLAVESLRDELQRLATGDGGNANGAATVASTSSSVPKTLGDVGAALVATNVNASLL ILQAAPSTAA JR316_0004288 MALFLPVLRLLMLFLNVYDSYKTLKDPPPSSRHSGRPSVRAVSQ RKRDMKGCLAVWIVWASLSIYERTVESLICLFIPFYDEIKSLVLLFLILTRARGAEPI YLHLIRPLIKPYTGTLDATLELALMIGDFIFALSTYPIRVALDWYKKFFGSYSALLVT EETESESSPSSNDVNTSEVATSFLDTSGIVPVPSDIDIPQLPKTSVQVKTRMQPPSRR TSGDATASRQTSSKQSSTRKRSEVATNANLEPNFFDSKKARDPLNLRSGSSSEKVAKP PLHHRSSGSPNRPASKASKHTRESAEGSSSLHQIWYPPSSSYEDEDRHVHADQTIRAK PVEQDPLLTLEYQQYEEWRQYPAFPSAYPPTPLATTSALATISVAAPLNLHPTIAEET QQDFRQSLLPPREPLNPNHAGDLSDRHSIYGITSPDVDDADDSMSTDDEDGYEDEDEF NITLRTPLPPFGSLRSQIQPRRLVSLPSTSSALSVPSRSSALTTADNGSSLPLGTSSD SSAPKPSLSPSVIGKKRSYPRSKMPLISNRVRQIEDETSQDPSSSDHDLQDVTTTLKN VVRKSSSSLLKLTDESAAASPSSLSAAELQNQEPQDQIPPEEKRRKIVRSVRPTRAIH PSRPVRPRVPRITPLPPHSKKGKAAVLPGATINSVPIPSFPGSNVDKVKENDASLSAS SASVSDAPRPRIPSRPPKRASVRKL JR316_0004289 MEKSTCSSIAKHTKTKKRDTVKKLKHINPRHFNHSFPRLKLVES ITRRTARSVPLAPALASGVGPMDSYPSSLQRHSVNSSGALGRLDYPPLTLPDQFGTTV SRICPGTDLSRSSPYTINPLLSASDLYPTADPSREVNWLDSQTTSVLSHEAVTPSRYF SPTSGDTYTLGHATGSQQPDMEGFVTDYNQFTSLFGAPSSLEVWTNETHGYPTNQEAL YPINFSTVEDPEVAPNLQETMYYFVFRSILAAD JR316_0004290 MPVPWEAIIPFGLLTAMFGVAGTLVNVSQRAQNQGKPPRYSIDN WDTMMMKRDKQMTGHVRGQSANPVAPPEFSKNSVWTADQLL JR316_0004291 MASRQVLRGFRDFNSHATGRAWYSFASSTSSRLSRPKCTRLSAT PLPMTGWHSLTFGTRGLHSTRAVRDSSFTNLLADDGNPPPVQVSSISDAGIQLADGLI LPGACMFLEGKVFLWDVPNTDLKSKITAERWNGWNGELFQILEVVTPKPEMLLLGTGK TLVPPPAFLRSYLSGLGIQLEIMDTRNACSTYNLLSEEGRRVAAALLPFKPYTWPKTT QP JR316_0004292 MPPRIVKAHHLLVKTHKLSIMLSSLPPTTTVAEIKADTLSALKS DVADDALDPFAMDPPQLNIDTVDDFELCRAKRERGRPTGEYDLLVPTKTLRDSGLLGW EAIFLQARDKETGDLLPITYTLPPVIDDTEENPSRASETTGSSSNKGKRKAQEVEDED PSKSAPQTA JR316_0004293 MSQVHQQGGVYPPKEYVESAPMPANIPHVDEVGATSGPLKSASF FIGAYCKEYNEDFMLCKNENRDPAHCLKEGRKVTRCAIDLITKMRENCAQQFDAHWEC LEKRNQEFYLCRKQERKLNSCMFEKLGLTKTIPGSPAGQPQIHEVQNPIYKPIQK JR316_0004294 MCHADRRLPFWEGVMVDRHLALRICNYDLISKGFELPAAATSTN PIRNLYGPTLVLALIFVLTVLALLPGAMEELQSINKSSILLEVDVEKGPPQSDASRLP LPAQSKGGSGITVCYVDVFNMKKADLVDLCRQYKLPVSGTIKVLIERLQEFSGQREQW DRLTTGVARRSHLGFSTHSSTKPTASHKKSVQRREKMFLESTNTENGNPKSLPSILST AVQPSHQWCQTRRAADLDWARYIVNLYPYRREETRNRLAERDAAQFNQKRLFSSSNDD DVKAGIEIANGHLLKIVNWVEAGTTSPHPTPSPSHQLQPSTSHFSVAATKDLQNSQGH SISASTTTTPTRTVTMASGSITFSSSDVPPPPAVSFASDIPGLNRMWDDTSIYWDGYS VLTIKGTAIPIVYWKEIYTSKGSVEWKPKQWESLKGKFFDWKILVHQWRQGSPEDFWA KFTKDGKILGYRQILDQLAEQRTAHDALFAQQIMHEYGNQFSSIFSYVKNGTRHVKTK PCHIIKQYRRMKGLINEDDNDEDN JR316_0004295 MLSSMVVPSNSIYAGLNSIISGSPSPTIENEPFIPEDVENDLDG ETETLPNLSLHDLSSEDLDSHNPTASKWRDTITGASKGVTDKTDADYQRLIKQCIKFL ISKNMIKKREDFACCNPPDDAPFFIAAWIMDKCDDIYLDGTLKPTHVVRDSYVHGQKM RASMTYLFGRELGLGSDRWRRNELTGKMIGNPSVSDTVATYMMSLRARKIRNGETPTS ARAITSATLKALYDENHKSENYDIKPYAPGSRKEQDSNHWGGGLARRALEAIYTIAFL CLLRSDEVLKIRREHIVYQMNPPGLVLTLPFRKTHQHGGIKPFYLRLLAENEAHLCPV RAMANWLDASEITDGYIFRKIASGDRPSADNIPMTSEQFLELFRNNLLDIGVDPIPYG THSFRRGGCQYLSSERRWSIRTICEWGGWSTEFSNLTISSALCVDEHVTVHSKIIYN JR316_0004296 MGVKGLWKLLDPVATRASFQRLAVEDGFMSNRQGTRGYRLGVDA MGWIYRACYRHGATKNMELATLNARCSRLYSLPILPIFVFDGPNKPSIKRKKNIRGNR HWIEADFKSLLDAYGFIWCEAPGEAEAELARLTKEDYLDAVLTEDSDSIVFGTTTVLR IDEDVSDDEQVVVYRSSDILQALQMNSEDMVLIALLVGGDYNPGGVKGCGIETALGLA KAGFGRSLACGLKENNYSQEAQQQFLHEWRSQLISEAHSNSSFHLPRRCPSLAHNLPA DFPSSDILSYYLHPVVSDDLIPVLFSRQLSLPAMMLFAEDHFVWGTDAVVMLRHLSNS ILPGIALRSAMQIARARDIGFHYYGHMFFGQIVGKRQSSKRSPELRVKIDFPKDIIQD GINRLRAAQENPRFDQSSVDSWIQNCLPKLRAWIPSNMMEGI JR316_0004297 MPVAIDSSALVWQCNYDLISKGFELPAAATSTNPIRNLYGPTLV LALIFVLTVLALLPGAMEELQSINKSSILLEVDVEKGPPQSDASRLPLPAQSKGGSGI TVCYVDVFNMKKADLVDLCRQYKLPVSGTIKVLIERLQEFSGQREQWDRPLINGVKLV ELPILIGEETRNRLAERDAAQFNQKRLFSSSNDDDVKAGIEIANGHLLKIVNWVEAGT TSPHPTPSPSHQLQPSTSHFSVAATKDLQNSQGHSISASTTTTPTRTVTMASGSITFS SSDVPPPPAVSFASDIPGLNRMWDDTSIYWDGYSVLTIKGTAIPIVYWKEIYTSKGSV EWKPKQWESLKGKFFDWKILVHQWRQGSPEDFWAKFTKDGKILGYRQILDQLAEQRTA HDALFAQQIMHEYGNQFSSIFSYVKNGTRHVKTKPCHIIKQYRRMKGLINEDDNDEDN JR316_0004298 MLSSMVVPSNSIYAGLNSIISGSPSPTIENEPFIPEDVENDLDG ETETLPNLSLHDLSSEDLDSHNPTASKWRDTITGASKGVTDKTDADYQRLIKQCIKFL ISKNMIKKREDFACCNPPDDAPFFIAAWIMDKCDDIYLDGTLKPTHVVRDSYVHGQKM RASMTYLFGRELGLGSDRWRRNELTGKMIGNPSVSDTVATYMMSLRARKIRNGETPTS ARAITSATLKALYDENHKSENYDIKPYAPGSRKEQDSNHWGGGLARRALEAIYTIAFL CLLRSDEVLKIRRIKPFYLRLLAENEAHLCPVRAMANWLDASEITDGYIFRKIASGDR PSADNIPMRREDFFNSDKPPAVKCFMCGRTCHCA JR316_0004299 MGVKGLWKLLDPVATRASFQRLAVEDGFMSNRQGTRGYRLGVDA MGWIYRACYRHGATKNMELATLNARCSRLYSLPILPIFVFDGPNKPSIKRKKNIRGNR HWIEADFKSLLDAYGFIWCEAPGEAEAELARLTKEDYLDAVLTEDSDSIVFGTTTVLR IDEDVSDDEQVVVYRSSDILQALQMNSEDMVLIALLVGGDYNPGGVKGCGIETALGLA KAGFGRSLACGLKENNYSQEAQQQFLHEWRSQLISEAHSNSSFHLPRRCPSLAHNLPA DFPSSDILSYYLHPVVSDDLIPVLFSRQLSLPAMMLFAEDHFVWGTDAVVMLRHLSNS ILPGIALRSAMQIARARDIGFHYYGHMFFGQIVGKRQSSKRSPELRVKIDFPKDIIQD GINRLRAAQENPRFDQSSVDSWIQNCLPKLRAWIPSNMMEGI JR316_0004300 MASSIINETFVLANYTSSARGKSTKKYTPGVYATSTKKNSNSAD GYVTVAVQADGVHVLDTSDLHPVTSQTLGPSTSFSCPPLTLPSSSNHPSRTYAIISTS PELASSDDGGRTLWVWSDDASKSKQKAKQSKVILSKDHPAFGIYASTELPERLIALST NGEVAVVDAESLEVKSSIQQSTLSNVIHATVLPASTSPFGRGLNGSILVVASAGSSKN THLRILAIDEADSISQEQEHELSIDSESIVNLSCSETGILSVLCTDGSLSSYRLPSGP SSSPAELSPPLRLTNLSFISQPSRYTSSILSLTSSHVLLAAVATQEVVLLLWDLQFSV LLASHSLPIPTTLNSSALHVRLVQGSQTLTKTETQAIGQAILILSSMPSSDSSESKNN KTSSVLVVVPYSVPVQSTIAAAMGKGDAGSKWLRVPGEEATAAQQSPEEKAKAKLLST MRTAMQGGRPQAAVAAFMKWAPKPETDKNVASTLEYNFVKELLSIVLLDLSPGTKPTP GNYQPDVVRYLLEQRVVCSAMISTPGGLLGALRARDDWTSIELAFYTVLDLTESEIVE TLFAVVHVHHSSVAPSSSTATTKPATEDAMDVDPPTSPQKTTQKPASYNTVPSLPVTL ALLASYPTSRGPLLVAFRRYFVDAADITALLQVLETWVAKKTRADERLLPTKKDLKKT EQGVWVVVGRKGDKEKEKKRDEVPSLQKAVDLIQLLLDASFLSLLTHAPAHKILRKLQ DQLNPEISFGSAAETLRGPLEPFAIAQEKTLKESLVPAQEREKEKQKGDWRQRRKELA VDVGLYKLEELVL JR316_0004301 MSARSSLPIIKDATPPHSNSDTSKISGNASANIKRMLGDVPAIF TSYFKPEEREYNGFGRDVQDKMIVTELSVLPNAEDPSKMEGRAVFELDVSQDTHLANF NTQIQAFFPMSTPAGTNRPTKATSSPPHLDISRISGNASEEIKRTLGNIPAIFATYIK CGRYTGFGKAIKERMMVTELSIIPKAEEPLKLEGRAVIEIDVMEGFPHRTWALWRLDM VNAEDNIHGGCLAFLIDV JR316_0004302 MIYTSLSLVNPRRFVWIGPDPPHHFSIAIVPQAIPYLFRALSEH TNLTELKLTHINMSSVHTSIRLPVIPSLRSLYLGQAIFLHPFVVASLILDPSLSLEKV HLVDAYRGSIWGLRLRRSDIESYATGFPSQTDFDPGQLGNTSTEMYHHNLSIIRRIVV CEARTERIMGGDRVEENAILI JR316_0004303 MATSFVPPTASSATPDFDTSKVAGNAPEEIKRILGDTRAFFAAF LKPGQKPLNSFGKDIMERMVVTELAILQKAEEPSKLEGRAVLEVDVSEDMVNGGGNIH GGCSAFLIDMASSFALTALTLYETGRLHPSVSQSLNVVYHSPAAIGDRIRLVNTTMTV GKRTESVRTEIWNVTHHRLVASGVHIKMMPSKPKANL JR316_0004304 MSSTPPPPPPPPPEFIINRFSSKPNDNRAPPLTDHGTISNRSIT QPKRARKPQASGSMNQNITSGGPSKRNKPNRAQQPAKKGKAKARATRFPTETPGSSSQ QFDGTLQVLSNPNEPEVPQQYVVPHQQNPNQAMPLTRHSYTVEPVSITETNGNISTLI GQIEQESNATLIYRERWQRLNNSPFPESIQNITLTDNMHLDLHRVLGPMIMSSFLIVS DCRHNNLNTGEAYTRRASTFFPYFWDVSINQLTCLTWEYVLSFELLGYEAPETPLKWI MTPRGSVIRIYDLCKVDPVLYAVRPYLLQPL JR316_0004305 MPPKNTAGRGRGGPAIRGAGGPVRGGAAARGGAIATQAHHVKTI GVRRPGYGTGGRAVNTIVNAFAVEIPDNIIHHYDAINPEKLPARLNMELFEGLQTYTA PQVFANPAVYDGRKNAYTMDALPLGPTDSASFDVTLPRAGPPSANDKPPKVYKIKVTK VAAINTEVLHQFIAGRHAYDSAVSTSLTALNVAIRQQPNLKYPFNSRSFFTPEGLRAI GKGIELWRGYFQSLRPSQNKMYINLDISTGMMFRSGALLDLCLEYMEMRDPSRLTFAQ LGDRGKVTLQRFVAGIRVLTKGQGGRAHPRVITRLTHQGANKITFQTREGTTTTVAEY FRKMFGRPLQFPDIICAEIGTGGAVVPLELCEVPPGQIMRKQIPDSKTSDIVDFSKLT PGERLATIRKGLNVLQYGQSEYVRQFGLTVSPTPMTVKARVLATPVLKYGDASRERTV RPAHGAWNMRDKQFFRPALVGPRWVVCSFDPRFQLPDMRALVGDFIAAARVVGMRVEE TQPMLFTLNPQGDVIGQLTASGLSCRTTKGSVPSFFVVILPEGGNEIYTKVKHFGDVQ RGVATQCLKSSKCRGAKPQYWANVMLKVNVKLGGINNVLADNQLLQDPHNPVIVMGAD VIHPAPGAEGRPSFTSLVSSIDSTTAKYVAISKVQKGKTEIIQDLEEMVRFAFTKYNT YRERVEPGRRPCARIIFYRDGVSEGQFQHVLDEELPKIRDACKAMNVNAKITLIIVGK RHHIRMFPQLAQDGDRTGNCAAGTTIDEGLGHPTEFDYYQLTHGGLLGTSRPAHYSVI YDESNFNADAMQNLSFALCHVYARATRSVSIPAPVYYADIVCSRAKNHYEPGTGPSDF SDSATQLSGQRDLKLESFKADFKPAHASTQNMMYFMSYHIIDYPDSHSARMINHSQNI TFLERMPQKRNEKKRKEKKRKEKKACIVNIVNRDRGLVPAAVDVDVVVVVTYVWLLIY ETSELDIDSIQAEAAYYT JR316_0004306 MTGQTPPIRIAILTVSDTASVNPTFDASGPAIKHFLSQPPYATS CLVLEDFIQIVPDELAEIQNIIEPWCASNSVDWIITTGGTGFGRRDVTPEAISPLLTR PAPGLVHLLLASSLTHTPLGALSRPVAGTIANTLVTTLPGSVKAVKEGLAALLQDGVV LHAIDLVRGGTGREVHAKLAAAAAVDAGAGASASAGGEADADAGAGSGTREVLPRGNV LAANDQEQEQEQEHGHDHTTPTAGALDLYQDQEAATTTTIIIIMATTHHRPDLILRYR AIPLYLVLSARHRKSPFPMISLEAALAAIEKHVRVLDVVVRKVDHTLAGYILAEDVFA PHDVPLRPTTSVDGYAVSSTFPPGTYPVLTSYSSSSSHSHSTSNGTGNGGRSSSETRP YIYRINTGAPLPLPPTNQNHGTTYTYDSVIMVEDTELVSTHKPSPTSSAEEAEVRTLV QVRAGENVRVPGSDVRRGERVASRGQRVGGGGGEVGTLVGVGKREVKVYKKPVVALLS TGNELVDLHSSSSASSGSSSADADGNGNENWGGIYDTNRPSLRAVLEGFGYEVIDLGI VPDDITAHTETLRAALDRADLVLTTGGTSMGPTDLLKPVIERYLHGTVHFGRVAVKPG KPTTFASVPVEVAVVGDDEGDDEGEGAGDAEGEESASASASAGEGGGGEGVRGNKRTK TKTKTVYKPVFALPGNPASALVTFYVFVLPALRQMGGWPEKERQLPRVMVQIQDAMQL DPRVEFHRVTIKSNGSSTVLKAYSTGGQRSSRVASLAGANGFVVLPALDLPHGHGHGH GQGGFGSGFGLGELGDKDLSSGAGVQTRLEVGEYAQAILIGPVEMV JR316_0004307 MANPTGSLYTTFFIPVGGTPSRAVIREYSVSGPEGYNGRTSFIH ENVVFLTPILNLKALRNRWNGASRVNDPTPEAESEITAVVVPDFHNSDAMQNQEPTTL SQEQPTSQQMFHERALIIMQHRWEQVNLLFHTKRSPFPQEFSALNIHDARVRQDLLRV LGNDLYEIFSCKANIYLTAADGSASAIHNQVTMFLPVFWSSVSTSLPNRLTWDDILAI EVASLVRGTPYTQFVLTPSGKLLRFFDPSVAYFYFCRWTRTSLFPPELTLRNMPIPPE RPPNFFDLHLGWNPVTGEHDLFPTDSEMSALFYEILKYLSDTSFYLLLSLNLGYYCQM WNTTPPQCFGNDDGVDTPNDQPSF JR316_0004308 MNVPDSSRPTTPETPLTSSLYHATSTIDDLTAALANFSRVPSPD PVVSLSCCCGKDDCENLKAWLELKSRLGSRLVLSAEVGQALLQRHAAYVRQSERGLQS SNGQYMPTVERLTSQVTNDELQNELEELSREKAQLEKKLNQALVNNEVTEVSNKTILQ ELQEAREMISRLTAHHARSVGWDTRLSAALKERDDMQQERDGETHRARLAESRFAALK EKTAKLQSEVRRLQESLEEKRHSRLELSETILQEAKSRLDSFRNSHLGVTAKVEEEQL TTVLESLVQDNETLKRDNAELQHLLTESREDLHALQEELDEQRATASPLPRSGANTPH SRHFHSGSVPSISLKDFISTPSKGHRNTSTEGRSRRRSAPQNIDVRRHYIPSQEPLTP ETTRSPASFTESLAPGDKWNSFGRISPSKSPISYEIQDDGGYDEHERSNARKPLLLLT RSRATQTDPLLDSALSPSPLPLHFSSLSPHEQQSETSSFSESQSQSSHVSAILERVTN LFNRLSQTDALTLTNRLKRQHLKGADVGHLSRSTVSNIVADATGLRSQFRMLLEDEKT VTTCNRKDLRVLFKLVKDIFTEMGHMRVTLNDVVLDPSSAPRISELALNPGKVEAERR EREKERDAATQGGVTAWMAPISKLFSPTGRETFASPNRNGLARSTSSGASNSTVRPPR FVPKLGPALAASATTVNVEFSGSGVGRAVTSTFSAQPIPATESSADTSMSTQGTSSGL MDIFAGAPRNVELDPWVVVPSTSNPNQTLRKAPSFMNSSDLSTATIGRSNGRKNANRL SRNVDAVIDVERPQDIDEEPDYLPPLLQRTLRRRGLSDSSIHSTFTNHGDDPKSPHLP PSPQRQAFVFPNTSARLPAWPDRASMFQVLSRTVQNLRASATTSGSAVPSSPPPPPRA NETGVNEESSTSTLPSGQTRNRLAEEGVPNQPSTPRKVSQMRSALSITTPTRGGTMLP NLSSWVASSAVFDPVPSADPFVASSHRDESFMRGRTETDETHTRDYY JR316_0004309 MPRRPSASVGPSSSSSYTSTPNASAAVLIHRTTESHHVIPAVSA PSMHSTMNHAPQLLMMGSATPQQKIVQVLVKRLKDKLPCNSGLSLDRVEQDQPTQQAI ETLMDLSKDSLDMIAWALCELLDRLAKQTDKHTETMHISVLQSQLFILKVLSMAMASR WVHPPYTRDDRPLSSPDSPVPPGAGSSRSSRRQAPSEYSNGQPASWQEPPPLDDACVK YILSVMVLFMRQTTPADAPLVHQNRSSDISFRDFEDINMYTMNHVETEIQSANNTGKA AQAGTIPLRSQPSATSMKSYTASTAHSMAYIAATSTAYESTHMSLARNAVSLNSQIAK YVGRVIFHISASNWNVVFDRLSSKIVNLAGHPEQPPDIIDLQLLSHCVMDRQRLVLVL TQLSSLLVGLKDITKHAVTIHLRSAIWNWIDLFPAEFNDTIRTRGRTEGTPERVFDVL YSNTPLGQEEKINWPTLTILHCIMSDRITPDYQFGTSNHKSKKELKFLDLVVKSVSNN SKTSEISLTCLVDMCRAAAYIDPEGDVPLRLIAPDVAHEIKVALYNCGSTRRPFWESY EDIDVALYADALVALFRFLPPEDSTPLFQACVEPERSEPVKTCAVRACLTLVQDRPRF PWQRSLDELEDAIAARCRDILKYSGTRRQEVEHNGVMKRISTRPRAKRLFPEPLSDRE ILILAILSLWRATPLFFVKGITDASDMDAWTNMAVKLWEAPIDISVKVSVASCMRRIS QQTFLSSSEDPNHALMMEIVKTSLPITLLSVSANLLATRSDLDAQRLWISVAHQIIEA FVKKTDFPHMRDVQNDPKRIPAFALAEMAFLVSLTSADNDISQLAAKGLRLLSHAERQ PGAPVNTSMTEEDRSKRNLIYEQLGDPRITVVAGRVGHQKRIRKLVRMLTFSAGIHVV LWEECYWRWRALTESINNAMYEAAAALDADSTKKGPMTPLTSMTFSQTEIRSQWHNLT LFLAALGGECLLEGQDLTSLSKVISNKYLPDKMRVVQNPISLVEAFIGDLINLLVSED TQIQKYVLEALGSELCPRLYSRMIRNIEETLRTLAEKTESSDRENNNLVQLLDQVMAI LKLVSENQDVTLEDVMNVEIHPTMQLLLNFTCRTVGPSTHRLRLKFCGLCESLCSRTD NLTIRKDSSLRHDILDQVLGVWMVSAKVMVDHPNPASANELNMACLRAAVKLLDRLQL KTTDTSNTADDTVHVVSRLFNKYSTALLDSLENCQSESMTSDAVSEIGSIQQKMRTSQ KEAELRELVITGLTHLVSSNSESGFKQCLPLAYDQDNRKRSIFAHVFARVIGQGTVFD PEDKTVTKVRHMSLCELVRSPDMTLAITICDICPPAEAEMIISVLLNVFDSRPALMNL IKLMIEREVMNTDNEANLFRSNSTCTRFLSAFAKLHGYQYLRSLVQPLLDTMVQMPPG SGYEIDPTKAVGQDVVQNQRNVEYVAFTFIQLMSSSLPALPGMFREICAHIGKTVAEI WPEAKYAAMGAFIFLRFISPAVVTPETIDIEVPKGENSIILRRGLMVIAKIIQNLANN IFFGKEPHMTTLNKFLEGNISNVTRFLSELNKYSASGDETNDHWLGTTSDDTDVVVLH RFFHKHADKIGKELLSLSKPSPDGDTSALNGKRAWDGLCALLVDLGPPMDVPRLATSD STQHREFLDLMSKMANRDTRSVEDLFLETDVLESTAVFILRLSKINVENLDIELFMYY ILKTLSSNLYKARQFDIILDCTGFSSISEVPLQWLKYCAELIPSDIRSRFAVTRILSP NALTQKYLRRLYNISAGTPLCSEIKAYTSVLQLMEDVRTVAIAPLAYPVSLEQEVAET FTDVTMRTTSRVPVVMRVGTTHIRVTSVKSLSISPGLSCKSTELIALADVSDIYNVST GQDTNEFIIRRRQGVTIYFSSPNREQIVKCIRAWKGKMKEAPAPLAERFSRFSNVPAT LLHIGFQSVDLNDEDLRGAAYDLLGAVCKYLKYDKSPIIASKAGFIVGDPIAFVTNLS QKLAEFAPQLTLDFIHEVSAAMTGMEKTSASHRINCLQYMSPWIHNLAHFANAIHPLY ERSGARLRDCIRTLADISLAFPEITSTVQKYIWSEVSKLEGNILDIILDELVRTATDA GIGTQRCETISYIVASLSSINIRGRVYSKLRKALSKVPPKFTTSFLEHSNWSEISTLI RLSLVVGSQSTDAGVNYLFVPEIIHLVSLVAGEGPAIVRKSTFGIVVNLLQSLYIARH ENGPEEQALMQLINDCNLPSTLKLFGLRRETAAGEYVNYEMNNDKDILDNQEHLVQFL LRLLSVSAGTQGLLNVWKARWMSLVTATAFQQSPAVQARSFIALAALATTDVDDDFLY QILVAFKSALTKANESNTMAIVSMLRCMCKIVPAIVETSRYLCVLFWLSVALLEASHV GFYIDATCLLRVTLENMEKQEMFKSNSVHSVLMESRELLDEVMSQLDEILRISFDTSF SFSLAAVIFKGIRHNQHQREAAELVLRTLCRVTSRVQMDLEGLPNGFKVAPSPHVLGY VIALLPVSTTISTFRNLLVDCNVEDTWSSESHIGDAEETAIPSLDHINLGIRDSNTAL LVASFVGTLLISAQGDDAECELLYELLSNIANVYPDVAAIIYDTIQDRIKWSFGNSSN ASIIRSVSNIFRIASQDPVRSSNSTSHGSTSTLSVLEESLIGPGRSHLNALEDLSMQG LANNFQFLPLNKGHGTKMINWIPGLITLMIS JR316_0004310 MSRADQHDSDPLSLYRHSQDRDYGQHTTFVQQDRRPSVYAGAMA RSLALQEHRDFNYGLPAETNTHNPTSTLPHLSSFPVFNHHNHRPSPPQNSASAIFPST SPTDRDSPFYRQSQASSAAVAAATSIASRSTLWWSELEPWMDEEYVKQVCGLMNWDPA SIKVPHPAPDPTTGQQANNPGYCFLTFPSPAHAASVLAQINKGGPLTMPNSSKPFVMN WASSTATASPLTTSFPSTAIPQTPAATQQYPKEYSIFVGDLAPETSNSDLVAVFRNPV LGLRNDREPKFIRPFLSCKSAKIMLDPSTGVSRGYGFVRFTDEADQQRALIEMHGLYC LSRPMRISPATAKFKAPQAIPGFDLQQAPFGAASNSEITDPSSQAVTIALPLPNSTQT KSVSAPIAAAGSSLSLNSNATTGSSIGGSHSINSMSTGTSSSASLSTSAYASTDDMIS IPPATHMGHHQFNSFDTSPIKMASVNPFIQEAQLRQVLAQQAEDPSPRYVISAESWKH HAQARAILGNLIGPNGEQLTSTDPYNTTVFVGGLSPLISEDTLRTFFAPFGDIHYVKV PVGKHCGFVQFVRKADAEKAIEKMQGFPIGGSRIRLSWGRSQYKAAQAAAQAAQTAAL QSHFNSIALPAPLPHAVNTLTQDQALEILQKFSAQGYYNGGGPSSSYEVSEIENALLT FGAREEKKEEAPAPSASTSNFTSASRPEVTRAQSSFSPFSPDPNNLYMTSGTTRRESP YSRVETLPHPSKAYAPGFLSLQPPELKVNVANNGSSSEKVSPTSARPSSGARYTGSFS GDSEPHTSLNRAPGRTEAPISRPSSGQMSVPAADADPIQDLNGTLASLDLDRSWKSPE ISQGRASG JR316_0004311 MQAPTQKTKVNENRISFCRPLLSLAEIIEFAEQLMTTRYVAVAG VIILIYDWMITFDEEVSSIWPAKISSTKVIFLLNRYVNIGSQLSMIVYLIGLVQAKSQ TTCVFYIIAYGGTVFLALASVHVLVILRAWVVWNRQPSIALALASAYTIYAVACIALI SYAAITHANLTFAFEQFSTTCHVSNMILWAVFWRTPYNMIAVTFNCCLMNVAGQRFVL DLRRAHEERMMPSRLALSDIDTRLIIFELLTRNDRELH JR316_0004312 MAIPSNISGFSVIPVLYNSSTTHYLYARSHTSKGLSNKVLPEGR TLFLVNLPPDATERELVLFFKHSGTVEKVVFDFDVKELQNEASDSESDDDEEMEGIDA AGSDEQPKKKKKSQKDKAEVPTVIPLPTRPLRKFRKTGSSAYVIFLDTSSLDRALAST SKPRTWPTSDDEPSGLGHYRAMYEAARPPLDAVRAYADTFVEVYEYELAKSRQKSKYR KGEAIVDEDGFTLVTRGGAYGKTLGGGVGVASKAFQRSGESARNRHHKKEKKEKEGFY AFQKAEKQRSGLIELKRKWEEDKAKVEKLKASRRFKPY JR316_0004313 MIDTTIAVETFLWTTSIVIFVGFILYTTLWLGRQSTLAVKGVRL PPGPNPRLITGNLQQLPKSDHWLEFTSWAKTYGPVTYFRVFHSKTIILNSLKAAADLL DSRSSIYSDRPIMWMAGELAGQKSMIFMTRFSNPSFKSLRRLLQDGLNARASRTYRPI QMREVQVLLQGLMDYPEAFFTHIRRNAVAVILKVAYGYQVESNGDEFVGAVDQGLKRN AELNAPGKYWVEFFPFCKFVPAWMPGAGFKKVAHETGKSWAQVNQAPFEWSRKQIATG DFVESFTSRHLLSDVGNDEGQAYDNVKWAAASLYVGGADTTVSALTSFFLAMMMHPEV QKKAQADIDKIAPNRLPTFDDYDALPYIRAIIKEVLRWAPVVPLGLFHRVMEDDIYEE YFIPKGSKIIANVWAMAHDKEMYPDPSRFDPSRHLGNSPQQDPTKFAFGFGRRVCPGA HFAEMSLFFSISSILAVFDISKPLDSNGLPEEPVIAWSTGVIRHLKPFRCHIKPRSQE HVAYLG JR316_0004315 MQDGWINSLGISPSALLLGLAVYYGCLWLFLKYRLRLRGIRLPP GPTGSFIAGNLTQIPKVEPWLTYTSWSKIYGPIVYYRVFNNKTIILNSAKATIDLLES RSTIYSERPTSWMSGELAKRKYGVFSTSFSDPRHKSMRKLLQQGLNSRAAKSYRPIQM EETLVLLRGLKDNPTEFAAHIRRNAVAVIMKVAYGYQVESNDDRMVRYLEDAFTISAS MNVPGKYWVEFFPILRFLPEWFPGAGFKRLARELGKTSSRVESIPFQWTLENMAKGDF IESFTSKHLHIEDGQLPTDRALLEEIKWCSAALYIGGGDTTVSALTTFFLIMSLYPDV QKRAQKDVDEVAPDRLPTLDDFESLPYIRAMIKEILRWAPVAPLGIPHKAMVDDIYEN YFVPKGTRVIGNIWAITHDEDLYPNPHIFDPTRHLGDSPQADPFKFVFGFGRRFCPGA HLAEMSLFLNISNILAAFDISKPLDKNGVTIEPSIAWTTGITSHLKPFDCQIIPRPKN VLLEI JR316_0004317 MALNWAMLSSHRAPVPLPNEMTITTVDSGVDVSLTILDTPPVGP SSTAGRSGGAKKMKATGRLWLTDQRFIFVTDANSSFESLSVPLHAILSTRFEQPTFGA NYLTFQVKPSAEGGLTEGTTVEVRFKDRAMFEFVSLLEKSRERAIYMKRQIQEDEEGL PTYTMPAESSTVSMVGGIPVENPPSYA JR316_0004318 MNVDVSTYWEDDFTVTSSCTFSLQQTHEVFKSDISHDAFAHHLD EGPEIVEIIDKEKLSPVASSPATGDFRETEATISESLLKPVETQTQIGNHIRGQIAAY AGVALSMAFRTHFFSMLILGQYARFIRWDRRGAIVTRRFDYTVNPHIVFEFYLRFGQL TPRQRGFDTNVEPLKAGLPQRVANAFNAYYHLSWYQGAKYSHKLDPSNCISASDMLFF RIRIEDRTQGRVDSFIIPAPAYKHSYVIPFARASRRCLAYFDDPNAPKMCFLKDSWQE VSLRSAPEADIYRRLQSKNVPNIASMRLGDDVVDLETETQKWFYLLSHSGRYKHLGAM VCHRLVMDTVARDLSTFTWCKVLLKCLADAIDASQAAYKAKVLHRDISAGNIMITVDE KTHELRGILIDWDMCLLLKQRNVGVNRTARTGTWAFISARLLKSAKSSIPVIHSLSDD MESVFWVLSTKNATPTYHDEESNSSSDEIDEIPVKAMKNLDDPDEKWLSKYLRRAART MEPLGVTPTGLLSPDLNSHPPKNPDEWRPALPEHSGVDFYHMWFVKNQNDTELAQSRH VSKITSSMFNRMNGLPCRSGSAKRPFEDTEEDNKALKKHRPVS JR316_0004319 MGHQVDDGTYDALGQLLKGKYLVGMMPERFLEEFLSWNADTPLN YKEQQPSANRVQCIISESSTHQQNTEGRTDTKQQLRFGHFTRPDDACGSMNVEISTYW EEDFPSIASGSCNFALHQTHGVFKSDISHDAFAHPFDEGTMAAEDSEFVVRKIEIFSS GESNETHDASIYGNVVSAKEKKDEQISQEDAVLDGSPVVKLKNDLSNSISNIEIDTEP AIRTRGQIAAYAGATMSMAFRTHFFSMMILGHYARFIHWDRSGAVVTSRFDYTKNPYI IFEFYLRYGQLTPRQRGFDTNVQPIKDGLPPHVANAFNKYHRKSWYEGAKFEHKTHPS NHIPISQTQFFRIKIEDRTQGRVETFIIPPPIYKKSSLMPFGRASRRSLAYLDDAVAP KMCFFKDSWHDISERSAPEADIYRLLQSKNVPNIASMRLGDDVEGSETKTQRWVSAFA RSGQHKRIGTMVCHRLIMDTVARDLSTFTWCKVLLKCLADAVDAAQEAYKVGVLHRDI SAGNIMITMDDKTHELRGILIDWDMCLLHNQRNSNINRKARTGTWAFMSARLLSSTNA SKPVIHSLSDDMESFFWVLFYQVLLYTRHSKSGEELEQLLQSLFDDAAKGDNGMVGGQ VKMWALQIACNPSSIRRFTKFDRVELEKVLHELGYLFNSPYVLSEQEDEERKAQNSKV KVVETEVSKPAFSDDEDDPDDDDDDDAEDDEDSNKDDEDDNDNESEEESDDPDEENLS LQGHVGNPSPIRKDLNDPNEKLLSDYLRQIAHSMEPWGVTPAGLLSPKQNSRPPKNPA RWRRALPKHHEADFYPMRLATDANVKSG JR316_0004320 MPPRTRRSAAVAAAALVADMQMESEPEEEEEEEDEEEEEEQVDI ENDDEDGEGEEGDEDEDAESEEQDSDAEEEEEEEEEEPVVPQPRLKITLKLPANNTSS NSGGTATPDDQENDYVFTRTPRRRAKTKVIQDIDVESEDPTSNSDSEEKEQSAPSRST GTPSGTAGPSTKPMTTRQAVLASVVDPSHVSLNEGTRSKKQPLNETELALRREETARK RKNLSEKKLQDEKAETINRLLKKQSRPKNKRNNTGDDRSPMPGSGARTPKVKTAAAAA SKNGNGEDGEDAEGDEDEEDAMEIVPEPVEEVKPVMYRWVSTIRALPPVEPITAAPAN GGVTADGEGGMKHEDVDMQVDKEADGKQMVVKKEVQVPIMRITFSIPELALARHPPPP TQPNTVLPTSETINPSNSTAMQVDDDNSVSKPSEEELQRQAREKERAEKEARARGPGV CAVDGCGLPRKYRHPGDWTVGACGMGHLKEVGRLVERRAVV JR316_0004321 MDPSAPSSSSRTTHDSNNSITESQINLKLATAHKERDAALEQLA FLRDQQAYLDNALKQALDNLDAQMHYYQAELACLRQVEARRRVEEEGRRREVEEVLGD LRCVVRVLQDENAVLKNDIGVLLREQEKQEKEQIGNRDAKSVKGEVPFSNKKAEDDGI PEGASACHSGGSCCIERDFVDGAPDTSTTVVAVCQSPNTTNTVTSDSDTQLLVTNSAL DSDSGLSAIIIGTFSDADATATNLNVDVTLTNDNITIASAPRINTTTSSSSTAQRALR RGTDIRFTGFSYPKKHVVPDCVPERNGVHRFLGTGSNTHYTRFSCSYCGFICKERKPQ YMFNVRVCCLPWRRLTSRRYIGIFKLLQKPMASSDSKLGISNNCVPYVCLDAIEDGDG RCLPCGDSDDTIRKVFLSLESNSEQSIDITEIEQLKALLKKTQQERDDARLNLNTVGN QHSIDTTEIEHLKALLEKTQQERDDARLNLNTVRNQHSGPCHEEIDRLQTLLNRIRNK RDVLHAQLKKALVDNQTMTHGHEKLQALGRTQVKERDDQITALKRAEKASRAMIHVLE EENRVQQKEIVSLSKYANRTINTLEKQNMRLQELLARAKRVKA JR316_0004322 MRQLRETLAKTCDEKDAIFRDLLSTRDSQAQVEQLLRDALDDAE LKERQMQDLKHQLQQAQEALNRASLDSKTSDALSQLEIKNLQEENELQKADIELLEDE NEGLKSVIEDYEKEIKVQQNQIGILYMKATASERDIEALQATIQIKKEFDTRAVQDSF SDGRDSYDTRSGQHPIINPPGTSASPTPTTTSRSSSATAKSEDSTSAHGSVSNSQDGL SPPAESSSGTRTLRRGDAIQYEGFSYPKEHAVPDCVPARKGRHQFSGTGSNAYYTHFS CKHCHFKCKEPKPKSKKGVELLR JR316_0004323 MKEKSYARLAAVKPFQVLLSAESIRQVYLNSSTQSASYSENIES LKKLLEVTIGEKDTALVELATFREREATWSRLLKEAINRNERKNNATLDLKLQIDVLQ RKCEEYASRDAVAQRVVKNLQAVNASQAKKIEQLNQESDTLKNLMKDIQDENEKRKEE LEDLVTAQSRVIERMKRDVEKSQTSPQSMKPNSGSRTGHKVQISRNIGQVPRGPRISG DIFPAGSSGNSGADLQTIPEFKKRDGWLMIPDEVEAQGRSWLLEHWLTTQDDALPEKG EPIQVEQV JR316_0004324 MVYKVPPRMFKLLLAAQTVCIVLFIRFCLKQLRRIVANAGLNVV PGPPSKSFFKGTFREAFNPNAWEFYSEMEQYGTVVKVKALLGENQLFIFDPKALTQIL TKDQHIFEEGTGVIEGRKLMFGNGLLSTNGEHHRKQRKMLNPVFSIAHMRDMVPIFSL VVNRLCNTLKSKVQSGPQEVDIMHWLTRTAMELLGQSGLAYSFDPLTADGIPHPFTQA IKDLGPALIKFRFSRTYLLPTIVRIGTPRFRRFILDILPLKDLHRFRDIVDLTYSTSL EVYESKKKALYAGDELVTKQIGQGKDILSILMRANLNASNEDKLPEDEIIGQIATLIF AGTDTTSAGLARILHLLSINQDVQERLRLELAEAKMAHGNDLSYDVLVALPYLDAICR ETLRLFPPVTRLQRTTRKDVVLSLSKPIKATTGEELTELLVPENTDIIIGIMACNRNP DLWGPDANEWKPERWLNPLPETVTQAHLPGIYSNLMTFIGGGRSCIGFKFSQLEMKSV LSSLITSFKFTPSEKEIIWKMTGIAAPTIHGSSDKAKLPLVMEMVQTQ JR316_0004325 MAMMYQPLIEKFGQEEATKFFPTLFAAEPIIIVAISTPIQVFFA WRIRLLTKQTILPLIIVILALVSTGGGTYTTVLIVKIKLFARKPELHWPALVWFLSAC VADIMITAVLVISLSKRKTGFVATDDAVSKIIRMTVQTGMLTAFFAIGDVVFFMTLED TGIDAPAHILTSSMYELDTHKAYDRGREVEYGVTITKIVETRKDPHSPETTETTQ JR316_0004326 MDRTSSRTSGDPEKHESSISEASASANPSPALLLDPAMQKKVWR KMDLWIIPVVTMFYLLSFLDRSNIGNARVAGLQKDLHMTNKQYSIALTVTYVPYIAAE LPSNLVLKIVGPNWLLPTMLTLWGIVTALQGVVTTYEGLLACRFFLGLFEGGVFPGLV LYLSFFYPRLMLQWRVSAFFSAASISGAFSGLLAYGIVNMDGVGGRRGWEWIFILEGV FTVAFGLVSFFLLPRSPAHAHFLTKEEKEYVHAVLKEDGATGKSEADDNHSWTEVIKA FKLPQVWILSVTLFFDGTILFGLAYFAPSIVQGLGYTANRAQLMTVPPFAVAFGLAMV GAYVSDRYRCRGYITMFGSLLCVIGFAMFLGSNRQRVRYGSLFFSIPGTYLVAPTLST WNANNAAPYTRRATAIAIGFIFTNSGGILATWLLGNLSPGPAYKLATRVLLSFSALMV ATAALNTWYLWNENKKKAVIRGKITRDQEKPGLGDRSAWFEYAL JR316_0004327 MEFSHHSSNPLEASTSDATNTAYKRVLDRTRRNQSSCASSDELG HWSNRDEYLEHIGDVTGMSVSRVVGGGAKRGGEDVTPKAGSSRLRSRVVLEGEGGGGD GDEMQSEEEEDELNLSPRKNVVAEMETPRGQMGRAKAPPKFGVLVIPPFRKKEDVAAK APMEDVANEVVQEDQEEEQGMYEDEDAVVQMEMQQREEQGEQFIEVDENGQYLDLHEI DDDEMAEEEDERSSSPLTPCSSDRSSPSPYSSPQSSRPSSPNEAYTLTKRPPEEQDEI REEFEELYDAVPALREDYELVDRLGTGTFSSVYKAIDRNYREFHNAPWLGHHPPQSSA YYQAAGPGYKGRGGRAARWRRSGMDMDVDGDGDGEEERVPEQVFVAIKRIYTTSGPER IRNELAIMEECRSCRHTSQIITAFRNEDQVVIVLPYQRNMDFREFYQDLHPEGIKCYF RCLFRALRDIHERGIIHRDVKPANFLYNPFTGVGTLCDFGLASRMEVTHPLGKCMHTH PTLEEPHGAYMPPGPAEIQEIKQKQKLARARCNMPSEKVGYPEKDTRPSSKANRAGTR GFRAPEVLLKCGSQSGAIDVWSAGIILLFFLTGKFPIFQSNDDIEGLMEIAVIIGKRK IERAATLHGRTIATNIPDLDQDGISWKEFVERLNPGIKEPRKYDMRFYPHNSKHRDGR GSMMPPPPPPPSSSPTSLDGSDTLVNPTSASTAVAAHKQSDRHANPPSAERHAKEMKY AFDFLEMVLHFESTKRATPRKALYHKFLEDEAGAPEDDDVAPRRNGEGQCEKWHTVDP HGNHYAVLLRPCWCRSRREDVLGEPEEEIDLDEESEDELEDGHGLGQGGACTVLVPYK LILNAGEGVAFGREPCELHKADEYRLWTLQRNAEGKLVAVPSYDT JR316_0004329 MDATVLGMDPLTFTLTALSALGVLCSTAAFLARRYGRWRWCYFR DRDLDLWLASAEGMDRVWEAFERLCGIVVEAQCEGGPTGTCAGRGVHGKHLVRLRWHV ERLEYQLKTLMLATTPRSTTTSTTSESPAKGGIVQTLRRWFKTIAFLRLSQEIRAFAL SLRMITKQMERVKYARLGLGMGRDDGLETSTTDADDLSALEAAVEAAIESLLASLPAP KENTADSSQSWKAIRIHDLEANVNNYAPSTDIILPMIQKPPRLHLSAVRKLSCSVQ JR316_0004330 MSTITEEPLITPGDIVAVRHGTKGRTEGLVIGSHFDYAGRQIIE VQLDGEVYNAWQVPDRHSRPTHHLIHPPDDRPPPHHRPQGLLVIVDGPTQPPRGLSQV QLELWIHNTRFHTRFSYAATTVHRFLVAFLAKLLWK JR316_0004331 MQPICPGLRTTAAHQLPFSTLSRLSFSSSNPYTYVYARSLPLRS WRNNHTSAPSPAQPPPSGLSGSAKLFADAQREEAEDARAAKAAANKPSRLAHLEQEHE NWTGDESIKDAVLRMLVDKYKPLRTGTIQTAEQKLKQAPPKLSSVASGALPFESVDDG MSGGIEVQGSDATSLPPVKLTPTTGSWATEPLLPSSEGHQPWHTEFKAPKHDTAAIKL AQMPLMVSSKPTTVSPPLDDKARRLEREKIKRTRQATKLSEARESTLDYKLGIKTTGA PRAGRINPISLKGWNSLVEDKIEKARKAGVFNTVQGRGKPLARSAAEFNPFIAREEFL MNRVVQKNGAAPPWVELQVELENAVNTFREILRQSWIRRATRGLAMNHPAEILHRLTI KDIQNYRDPEWIAKEKSYHETAVAQLNSTVRKYNGLAPYAVRRSYYNRESEIGRLYDD CAPDILRAIEERAKEITLDPTASSGGVGSSGAVAGSQTGNDSGSYFLGILPLIQWIRA LFRRWFGVGA JR316_0004332 MVIPQMRINGREIVGQRHGKTDPILTLNVEARIAPFIVDHESGN GDVYKKGGYGSAINLLFSTMHISKSTLFVFIGLVSTLVNANPIPELDKRITCPFATVP ACCQLIEFESFPEVVAGPSVGCA JR316_0004333 MVSILQLPDSLQTNDEHYNDMYTSIAKSTLFVALGLLASFASAN PLPELEKRITCQLNYIPACCSFMEWESWPQVVPGLSSGCTPLASEPNQVCPAGALALG CCQQNFFTAGQLQYGANNQVYCYGQS JR316_0004334 MASPTASKEVTPPPVIVSKVTFSTPIKRSLQGSQHFYSGAPLDA AEFQERMAQELRKQWVGPMPIADFLKTFLPLPKETVSLMPTFAIKHFDAMSEYTAEKD MYDPFIELVNSTNAIPGFKLLNTSVNFDPSLKDVPGMKSKPDVSCYKNTVDTTTSATK YEDIELIFEFKSSNAPADPFNDPKKSEFPADFQFENNGELNTLCRGQIACYARTWMAQ QHRTHCFMIWIGGPHARIIRLDRSGGVVSERFHYESNGSQLLEFLWRFSVTNDAARGM DTTVMRASLEETKLAKDKLYKWKRGERSVYKLKIFHTNEPTTAKKDSKQSNTVHEVLV WGPLADPQSVTGRATRGYPALDIASGKVVFVKDSWRSNSPGTVTEVEILRDLNSKEVC NVPKILYGSDLPGQTTITHAFAGKKWNAGSQSHNFVERVHTRFAEDCVGEPLYRFQSS RQFLQVVYDAFLAHRDAYEKCGILHRDISAGNILIGPDGRGFLNDWDLARYVEKDDKG DHHPVFRSGTWQFMSRELLKNCEKIHTVEDDLESFFWLVLYHALRYMKHDKISKLPVI MTSIFDQCNPRFEGDSETGGEGKLSLLVGGSLIDFTTFTIADDPPMTYFIRNVKALWT KWYLYAINRQYELEALVASEEISIEEASRQIPFDSSRLQLHNHAKMVSIFDATLSKEN WPLVSAPLDYLSRTEKRGRSDNIIVSRPSDSQGSSKRRRPLPARS JR316_0004335 MSVLFETSLGDIVIDLEVENCPKTCENFLKLCKVYYYNLNAFFN VSKDFLAQAGDPTATGTGGESIWSLIASESSSNTPTSRYFPPEILPKLKHTSRGTVSM AVAPALEGQKGGCGSQFFITLGENIDYLDGKHAVFGHVVEGFETLDKINEVFVDQDGR PFKDIRIRHVVILDDPFPDPPGLIKPPSSPTRPPDNSTRIAEDEDPLATLPEEEEERQ RREKAAAASALTLEMVGDLPFANVRPPENVLFVCKLNPVTRDEDLELIFSRFGTIMSC QVIRDKKTGDSLQYAFIEFDKREDAEQVKLFSFH JR316_0004336 MLFKALNPFHDLIPIGRMISQEDPLGLRGKVDLVASGVGMILRK LDVTGRKIAMAGTLVVTTEWCLMSPLTVVLNAVGNVTAARIGTKRRGSVVINDQDLQL IKPAVLPVIMSGKDDKHGAGAEAERDAPVVMDHYPAHSTLLFSSEPTTHHTLSRMAHT LEYQFDAVDADVILLCTEMDRSTEFHIHKCILSAASPFFDDMFTLPQLADDASDKVPI IPVSEPSHILDTLLRYVYPVSRPIIESLDELLLVLDAAVKYDFPTVITALRSLLLSSR FLQLSPIRVYAVACRYGFWEEAKIASRHTLSVNLLDAPPTKEFKYISAYEYHQLLTLH KTRASAAIDLIRAPEDFKCMQCNGSAFTMNDAPKWWHQFEKKAKAELAVRPTTEVIFG MEFLFKAAQSSGCSRCPESVLDSWKFLQHLKESIDALPSTVSGFNS JR316_0004337 MNAPKMSSLRGVDPESKVLLPKPHRHDKSKEVPQSSLKETSFCW LEPADRYSMICDVAADSLVFPIHVPGYLWPKDADVYQKEGLFGIFFHGGGWGGGYTMG NAGETFGDSGLYWPSSRRVIGHQLTVLVSRHRPENFKGEKMRIYPFGLMLMKYLDCQK ILKLPRALILLSPWIDMALDHVLHLSKGRLRPNAEVDVVVTSYVENLRFLGHHPVGSL TSPYFSANLAPPGSYVNYPITYLSIGDCETFLPESLQLIDLMRGDGVDVTFDCQKMLF TISSPRLPYPFLLALPRIVYWRTWRTG JR316_0004338 MASPQLASFKVPAIDNEPMKSYAPGSPERQALQAALAEMEQQLP FEVPCIVNGQPVKTGKIAKQPMPHDHANHLCTYHEADEATVAKAIEGALAAKADWESM PWNDRAAIFLKAADLVSGKYRNKLIAATILGQGKNVWQAEIDAAAELTDFFRFGVKYV EELYAQQPSKHSAGAWNRVEYRALEGFVLAVSPFNFTAIGGNLPGTPAMVGNVVVWKP SPAATYSNYLVYQILEEAGVPPGVIQFVPGPPPEVVAQAISHPSFAALHFTGSTFVFK KLWKDIANNLDKYKGYPRIVGETGGKNFHIIHKSAEIRNAVIQSVRGAFEYQGQKCSA LSRLYVSSSVWTGGFKDQFLGEIAKIKVGPPQDWSNFMGPVIGRPAYDKITGYIQKAK DAGGEILIGGTGDDSKGYFIQPTVILSKDPQSITFKEEIFGPVVTVFVFEDDDFEKTL DIIDTTTDYALTGAIFSRDRKALITATNRLRNSAGNVYYNEKCTGAVVGQQPFGGARA SGTNDKAGSISIFYRFVSARSIKENFVGLEDFHYPSNLV JR316_0004339 MAADGISSASIWAIFYHFFLNQTDYDFLRFQCQQLLMCSTDLNT WKASKYGLYIRFSTDRTLSEVRRHWSLYLGFEDLPKTEKLAIHLSFAEKMKVMAEHNK GTLSHSCFRAAGPLCLLLEEVASKSHVAFWATGTVDKPQDGLNAFSGISSVQHMNPMF VYSLRGTKFNVHYGTDPLAAFHLSPAAAGANVQNWQPNSLPHKPDLSEAAQVAKAQFD LWCLSFKERVFVNSTEPKLVIRFHAGDAFAFAHALHAYQQNYSTQSGIYTSQWGGSQI ILDRSDYSADNAYSAPVSFNVIDTSNLTDHFGLINILTATVPLLQQKPWAVLHTNTLL PRDPSLTLRSLALGDLPTLSMLLGVVPSIHRSPFTTLSNKHEIFICLHNSGPLFVEQW HDYISWKPLLSVAASPVEDPTTHVNQSVLPTWDAAGLARFFFALYLEMFQDENSNRPT GNVTFSGLTSWSPGRYTRESLVALFALVKERAEVDWTKFMATFDQLLMEDRQLLMGRN NYQDFIYHLYLRNIYTMQSMDAGFLAASPGVANLGVFQGWKSVPPAVCVVLKVPRHHL KVLEDMDPDVLMTPFLQCETHAPSFHNIHSSIRPIFGEIVMSSVDGEPSININEDLRG WEGDSDLIVTFFMPSWILLRHSLDSFHVGLYIKSTPQSTHTLVPKLGLQLSIYMTKAS NTANVYFVRCRPNDCMPEMHETRPPHVQQPSISSVRKPQRQTVVNFNPAGTKVTTISI RDNISDSAVRLNLFHGASVVSGEVADNVVRVDIDDYTMNFIYPFRIIFNRMILRVARK SSYIEVEVPIRPDFDDTRILHAHDVFPLAFDGNLPVVLLNIHYLHLEKLPALHIPVGR PMDADFWMSAHLGMTLSNSEKNLEKLVDQKKKMFLLNLKQSINGIFLTYAASEHRPCV FGLQNLDVDNMDPYALIFINDIRLDLSAQTIVADACVVRLDESLRPKFAKDLMKLSIL MINTLNDETLAWIKLLPALAERCRTWKHSDQCEYIQEGSMAGLKAQKSGPLCSCGKGK NLGPFLKVTDWTSFQPEATRIAISPLFTHSKEYEAIVQSSPRPKSTACASCGKSRAPS ILFFVLSEESLESPQIRLHYLMKTKSARDLDDFIIHSL JR316_0004340 MPPTRRAVATSPLLDLSFQTPDKTTRGITATLLSCLPPPSKAPP CHLIWQSYTKSNTVIRLLYHPAHFPLTATLPVAASARFHAQRSSPPLPAPTSSTGIHT VTHEYLPFSFCDKLGPVHLRDNQVVAFHLTNPFRTTSASDDEQLRTSERLQIVVNFMM VIPDETSGGRNVQIAALAIAFTAFTYYVKADVGVEQAKRKTRIISSCATPYDKHMVQT DNLSKLSRCKLREPSIKSIKKQENTNKIYASEV JR316_0004341 MAHPLVWMRKSYFFPIGDWPSVCLTKELRPEEDAEILLLGCGDP RSILFTVYHDLAPDYRRLDVTCCDWEPAVIARNIIILTMISDGTPTETIWSIYFHLFL EPTAYEQLIAHCRTLIQCSSDLGAWKVSKYGGYIRFCTERSFADVRSYWVKYSEVDVL PEQEKEVIRKSFGAEMELCRKRCQSGNILNSLRSSGPICPFVDQREASTSYPTYWTTG TTDETPISPPHWNPTFYYASSGGGFSVFYGIEPLPAFNLSPGLIPVKAVYSPPTPKIR DVVKSAKGQFYLWCSAFKKRIATGRASNLVLRFHVGEAMAFSRALLVCQRTKSTHSGA YVMQWGGAQIVLDDDDYAGNGTSAPLVFNVIDTSNLANHLGMLNVLTATVPLLQQKPW ATLHTDILVPLDLSNGLPITTLADHVGDIPSISLALGVAPSSSLSHFTTYSNKHELVA GAMHVCAQTRDRVVWRAPSHVVSGFVSNDLATDLQRPVIQKWPAKDLGRYLFAIYLKI FDDESLASLGTLTAANLKKISLSQYTREGLVTFMALVKERVKSNWIDAVESFDDLQAA DQTLLMGNTHYQDFICQLYLYDVYVMDSMKSAFLESLRGRNNLFRGWKDIPPVVTAIL KVPRNRMKVLEEMSRDSVGTPILQCEMRSAQFHNVYSSIRPVFGKIITSLVDGEVSVS IDEDLREWDGDSDLIVTFYLQSWTLLQVPPEAVEIALVFKSTPYAVSALASTLGRRLC IYSTKLSDSENVLLVRRRPNNPEELNCYRVHNSSASSARAGQTASISIDISVPKVASI TVRHNITDHTARDNLLSGVAVDKEAIADTAIQVYIDEYAHTFVYPFPIRTDLLKVRIA RKSSYVEIEAPVRSDFEDTRNPSINLFPIAREHKIINLLNMHYVNLDTLPALQIPSPH HRHDTWLIPHLGMTMTQREREDGKSQG JR316_0004342 MSVEVVVTLAGTQTETPTFTHVVNLPDGPIIRFPPFPQAPDGVQ VVPFKDFTERGISMNPGPNDTEIDTLGVPTVPLRSKHNTDGCKTNTKRKRREDETAAR KKIGLPAKKLLWWEEWQEREAARVSVGFDRNSTRFERIHAAAADFTDGRSWPLDFASE SGPKFIWERFLRYIGLSDGTPAPSGKKSKAAKQRANAQLDEDMSDEVGDGPDEEVNED PMAGIVLDKEVPEVLKIQKHLAEKEDKLSTFFENPEKSIRIFLTSHAREMGYIWTEAN QECIGHILQFFVNYLLRSKVLPEIERSLRRSLEPIAASIKEIPNISAMAKVNPDNFSK CCIECYGRKTDGYSIVELDLSQLPPNPLFEDPPTTKIEEVNEDGDSKDKATSGWGNYD PSWGNSGWGNDSTKCGDEWGGTIIDASSEFNGWDDPVPEEEKLSQWTIPKPKDVIPPA LAKTHTTGIVEQSMRRIKSIIPPPSNPPPPPVLEEGVGPDAADVENDLERQFTKLVLG PMIDWDGGEYPLYSQPTILPASVGAVAEPGAPEVPEGIPKPYDPFNDEITLLIESTEN VALFSEGMGLGGVWVQLVRQANAPVKKKKKGKSKSKKVIPNYWYMEELSMATPSFWAI JR316_0004343 MPEYVYALHDFQPEHEDEVSFRAGERIEVVEKDDLYGDGWWQGR NLLGKVGLFPVSYTASVPPASAASTLPASEDTTGGTPSKTVLQPLHEESESESPPAPD ILSPVPKQPLTSFLSTSTSSITNTNTTNTNTNATSTTDTTANTGNGYDSESDASLHLS RMPDGEVMKATMTDVQKAIEQLGRRGRGARDGEGGEGDDADGGRSFSFASTRDGIDTE TDETDFDLSDVDGGGALAGGSGNGTGGGEDWHKNARTKLAAKARRAIQEAEKLEAMLA SGDPSGSGVARRGVIAPPIEVELSDESDGEEDADGGGEYTRGSRFHRRDYILEEDEGE EEDGVLHQKELSSTATATATQRSSTIADADINHVVAPPRDETDVPTATAAQLSFPVFS PPPPAAPAAESSASAPAPAPAPAAAVIDTTTVDEVKAPSMPVPMPAPAPLVPASSQET HKRNSSTGIIPPSHDAAPADTAVVDTKHMSIVSSASAPQMQMPAASVFSPPVSQAESQ QLREEESDLKKVHPTEWSVEQVVEWLKSKGFADVCDKFIEQEITGDVLLELTPDVLKT EIGIMAFGKRVRIANAISELRRPPSVEYPAPDMPVPVHAQNHSEQASPMQFHHQFMQG QGQGMVSQPHSRTQSQSHSHRSFPGTATGAGAGGLAPINAQSYAYAYAASQQSSLGSP MAPQFPVAAGTSSSFVGGGSGSSPSANGNGNGNGNGSGSGYSYVNGYANGNGNGYAGA GQFDVVPEGQSYTAVGGGSGNGVLGAAAVGAAGVGLGIGLGAGAGGVSPNTSPKRPLM LGPSPSDSALKESAAKAGAASSLIVPKDEDDRGHMSDGEIPATSQKMRRRLFGRSQDS TPSARLGSPLPSPSLRETHDRDKDSLRSTKDGHKEGKDRDSKDSKDRESKDRDSKDSK DKEKEKDSASVGSHTRHARAKKSVDGAVKHDRLSIFGGTFAGTLGKSRKPPPSATDEP AERTSKFMLPRLHGPSLRKASSTSQRPSTPSQSSSPKDVFAHDDKDTLASSSTQSQTV KGSSAANTLRKRTTSTQSHSPVVGSYAASSTVAGWKDEKEREGGKEKEKGGKEKEKEE ETVGTIKQGQSILDQIGEPDHVGWMRKKGDRYNSWKLRYFVLKGPHLYWMRSNSKTET RIKGYIHIVGYKVTVDENVDPGRYGFRIDHDHDKTHYFSSEEKTVVRDWMKAIMKATI GRDYTKPVVSSCNIPTIPLVVAQAMNPAPRPPSPTARDATQKALRREYPDQLSSRDAR VLMGLPGSDGKDERQKLDSFFSDDASTTNGGALNDVPATPTRRTAAPPRPSREMRRSA SVRTATTAAEDTLIEWANTHLPENLQITDPTGPLCGGLALLRLAESIKGRPSSPPVPD SAFPTDPNDDKLDGLFRLFDFLLDNDVKMGSVSINDVRQGKRDKIVQLLRALKAWEDK RRVLANTIAKGSMQAGAGFMVPVLS JR316_0004344 MSTSANTTSESIKDKDAGRVYHTECTGAALVTARAHADEKGVEE ITLFGSCFCPFVQRVWVALEVLGIGYKVDEVDPYKKPKELLDVSPKGLVPALRFNRYQ NPPRSLNESSVILEFLEDLAATTTKRGILPPVTNPSDLDTDARALARLQADHVNRALV PAFYRYLQAQDSEKQIAAGQDFHMALEGLVKLLERAEREVVQATAGAAAGEGERRMLR RGLGLWLDEEVELGWVDVVAGPWLYRAKIVLTHYRGFRMPEGERFSRWLGRLFEHPAF RATCSTEQLYLDSYERYAFNRPNTSMVANAINEGRALP JR316_0004345 MPTLIPRVFPLSSPDPLCAVSTKQRRAVLDTTSSTSESALLTLS SSVPILGLPPPEESSVKFTLTITAVGVTSANTAGSTRSTSSTLGSTASRTSGSTARST SGSTESSTLGSTASSTSGSTEINPNQLVEQHAKPSKIIPIAVGIAVPAVLGLLVILLV YCRRRKRQLTGNDSRIANPYTESVTQPQPPKALKAPLPPPPPAPPPPPISQPLDPFET AQRYIYVLEGQIETMRREVAALATTTNAAPSQAGLTTPEPSTIGKPERVESLPKYEPV JR316_0004346 MSKRGLSPPPSGGIVIKRAKASPPPSNQIAISSAGDDRNKGLIR TVQRTSNLDAPIISLAGAHSGEITSCRFDPTGQNIAACSTDRSISFWRTYAPNTNYGL LSSHAKAPILDIQWSLFSPLIYSVSADHTLCMTDVTTGQRTRKIRAHREIINTVDRTM ASGSGVELLATGSDDGTVKIWEGGEEAGKTAVSTFDIGCPVTSVCWSADGNSLYIGAI DNEIHVYDLRKGEQVSSLLGLTDTPTSLSLSPNGNFLLSPSLSSQTIVWDVRPFSPTP SRIHRVLHGAPAGFENTLLRGAWSRDDGGKRAAVGGADRAVCIWDVDSAKILYKLPGH KGTVTSVDFHPKEPIILTGSKDGTMLLGEIEVGLDV JR316_0004347 MLSTPSAPPTVPNDFSTMPPRNAELDETWAYLNQGVDHIMNNLE KGLSFAGYTSLYTTVYNYCTSTKMHGRLDGNRSGANLVGSDLYNKLSLYFVDHFKPML QKAATLSDEDLLRYYATEWHRYTTGANYLNRLFTYLNRYWVKRERDEGKKGIFQVYTL ALAQWKEYFFMPIQRDNSKLSSATLRLITQQRDGETIDQGLVKKVVDSFVSLGLDITD PNKECLDVYKDQFETAFIAATEQYYKKESETFLAENSVPDYLKKAEERLREEETRVER YLHNKTRKELISKCEHVLIREHGELMWESFQNLLDFDKDEDLQRMYSLLSRIPEGLEP LRKRFESHVKQAGLAAISKLVGADSANIDSLDPKAYVDALLEVHQKNSEIVNRSFKGE AGFAASLDKACREFVNRNDATGTSSTKSPELIAKHADLLLRKNNKMAEENDLESALNR VMILFKYLEDKDVFQTFYTTKLSKRLIHGVSASDESEESMISKLKEACGFEYTNKLQR MFTDMNLSRDLTDSFKERMSQNHDDMDINFSIMVLGTNFWPLNPPPHEFVIPVEILPT YDRFQKYYQTKHSGRKLTWLWNYSKNELRTNYLNQKYILMTSSYQMAVLVQYNHNDTM SLDELVTATSITKDFLTQVLAVLVKAKILINDETEQYDLNPNFKSKKIRVNLNQPIKA EVKAESADVLKAVDEDRKYVIQATIVRIMKARKTMKNQALIQEVISQISQRFAPKIPD IKKAIETLLEKEYMERVEGSKDTFAYIA JR316_0004348 MAAESISITTIWSIFYHFFLDHNEYRTLLSHCRKLVQASAHMET WKASKYGCYIRFCTDASLSDVRRHWALYAESDALSNEEKVAIRESFELGMKSVVDQFK ENTIFTSVRSAGPPFLTFAHEAMKSHAAYWKMGTTDDRSSTLPHFNPTFYYSGLGGKF SVHYGTEPLLAFHLAPALIPIKDFVSSPNPTIKYATKVAMDQFELWCTAFRQRISDGA GANLILRFHVGEALAFCRALFVCQREKVTKCGAYTSQWGGSQISLETAEYSGNTPLAP VFFNVIDTSNLTDHVGLLNLLAVTIPLLQRKPWAVIHTNSLVPESPDGLYVKGLIARA CYDIPTLSLALGVSPAPCMSHFTTVSSKHEVLASTLVSTPGQMHEYTSWKIPTTLLTS SDREHSASHTQKLAGQRWQVDDFARFIFLLARAMFIDEDINSASGSNQRLKRLSYYTR ESLVSLMALFKNRLHGVDWDAIVKRVDILQGYDDTGNCGQDFVCQLYLRDVFVMDSMK PSVLREKYPHSTFFRGWRDLPPVVCAVLRIPRQKLKDLENMSFEKLPVAILRGDYRAQ TTAGEIYETFSSIRPIFGDMVVSMVDGEPCVSINEDLLGWYGESDLIVSFFMSSWALL EYGPEVLEIGFGIKASPATVLELWPVLGFHLDIYSTSANSANVHFVRHRPNNAEELHY LRARPTVSTSEVGNVVEVKCAPHLPVVESFLIRYNITEVALKRSLAQGAAVTTKPISD SIICLSVSNYERLLSFPFAIYTNKLKVKIAQAPIRPVFEDNDKPAVVPFTVACTSSSI NLLNVHYLNLNKLPALQFPRGVEMKFNWLGIHLGMSPSDREKKFANLPTSHPLTMVIH LKQTINTIFLKFVELGEQARVIGLRKLGQDVGIYALIFINDIRFDLSAQTVVVDACAI PLYKSILPRISDYLGVIAPFQIITDDDETLAWKTLLPVFAERCRTWKHKANCEYLSEG FPLPSGLEGYASSTLCSCGKGKDLGAWAEVPEWRSMIPEATRIAISPLFTFSTSYDEV KALKFLVQVVVRSKVCEMWYTGGSGSSEV JR316_0004349 MPNTVDEWDLVPFELNEYFEALSTVVTGIAPTLMVAKISLSSSP NAHASTTHQISGLQFQSNLYPAENESEKDDV JR316_0004350 MSPTSQQLPELSKPLQLPLSESDLSSINAYLSSLTPEEILKWGV EYLPNLYQTTAFGLTGLVAIDMLSKLTSSPPPLIFLDTLYHFAETYELVEDVKKRYGV PVRVFKPEGCETAKDFEETYGSKLWETDEAKYDYLVEPARRAYEAFNVKSVITGRRAS QGAARASLQPLEVDSTGLLKLNPLFAWNFSLVEWYIKENNVPRNKLLDQGYRSVGDWH STTKVAEGQDERAGRWAGKEKTECGLHEDYFKMKAQAKVAAQ JR316_0004351 MRQSSQLLYPSFPVSDSFDVVATSVARFPNPAFAMPDPKANVSS IPIFRIDSVPGSEESNTRNGTGSITPASMPATPGERSDDEGFQFTPKRAMASFENLVA MANHQERLKEARKMVWRDRGQPVVELDTLEACLAHAMSGGIRSATLAFNIRALLNLVL ALIRIHRVPRDYRIAMIRQAVFGSDTWRFAAMLGSFTSLYKFLINALPILIPAIRPKQ STSGSALDDEDNELDVEAQTSLEVPLEKRKGRLSLTKRAQMVLIRKQTRRWHAALAGA VAGGLAIMWEKRSRRGVIAQQLFVRGLQGSYNAFTTKRGLHVPHGDVLVFSLACGQIV YAFLLRPDTLPRSYRSWMSQASKVPAECVRMNHDMVREGVFDLKDLEQLIRRPDITAA NKADLLSLRTRFLNPLLSADGAVDYFPRYGPCSAVHPALSSCSSVPVDRFFAVFKWML PIYGALHFFPAVLFKRKSFVQDPGKVLLKATLGSLRSSAFLGAFVAVYQTIYCYKHKI HKFLTLLRNGAIPASLLTSPLAKIPQWIIDLLVSKVSFWLPGFIGGLALFVEEKRRRG ELAMYVLPKGLESAWVMARGKGYVFRTGKWGETLLTAIGMAMVMVGKLFGIPLMIRPL TFLVAEHVSKRPPASFWVGPKSDVSVHWAELANGTRKHLFDDARTRYEAR JR316_0004352 MGKKRAQSPPRSAAPPTEGEAGSSSQQPAEPPKEPTPPVQVFYC AICSFPLEYCEFGSSLTRCKEWLKEEHEDLYNKYYSEEALQAKIGTLSLEAQSKLEKD TAKKEAKAEAKADAALKKKMASQVTIKRIERTKRKHVTAIHGLEAFSIDLKKAAKQLA GRFATGASVTKNAQGLDEIVVQGDVSDEIMEILEKGEGVLKGVPIANVEIVEDKKKKA DA JR316_0004353 MHDESVIVECLRDISTLEDIEIHAKPSSHPVSRLFLALLAHFRF SGRIEHLEDGFDEALCEALQIRRGSAAAESMLQPLLSYHVRGTPIDRYDHYRMTTPGV KERLEELVRGGLRLDIIFGWTSWLHSRSSLELYI JR316_0004354 MWRSTLCRPQTCRMVMLTTEAKRVDCVFSQLPPAPPHSLPPFTT FPSTPPHSVKHWKADVSAHRKLYHCLQSLHKSVGYNRHRIVEWPVESSDTSRLPLGDY MQSLISPIAKLLNTLHSPELLQGNPMGCVKVELGNFIVGATFTTKEFKVYSVYPPYIL FVPDELFEPDGQTCSAGLKFAFSKISDSASSVIVTNFKDIAVFFMSKGRYISVGKDIF IKVETSHIPAALRTLAAACLLDALPPFGFMNGPDLDAGIWNENFILPMGPPQNPDQPL HSDEHLIATCNRNSDFDLATLLRDRNRALQFFRWNKHALQRKSELVTRAKDILHGTTN QRFNPAMQVPSPLYPFDPSEMPSDTKNHLQMIYCVSPLAQGGLDKALAQSKSFALQID GVLEEGERVGFCSVYRCRLTSIDGHPVSSSPLLCLKLFDDRFQDLHHLIDYEDPFPLW FGLISIAEDCTDNETIVYNKLRPVQGTVIPWFYGQHQFILPNGVAIQGLLMEYIDGYH LDSKRANELSSVQQIQVIQSCRHAVRVLDAGDITQMDWHDRQFVLYTHPTAQVECVVL LDFSVILQTFEPDFINFRTNCLEMLSILVNSGPTDGGLDSDLIFQYYEDPDTWDPTVG SVCDKKGRRKLVEYNGTMFPFIKLEPPPPLELARIST JR316_0004355 MKFIVFAALFAASVLAIPAENAKAVSANTNDERSALKYLLQNSP AGHQAEEDGPAPPGCYWDGTAPFCAGAAEAVETARAAGPATSSFAAEMRPRSERAHAP ARGWGIISNPAKEYVRVRLKRTADGLRVLPLVAGPV JR316_0004356 MFSFFSRKPAAPNHHQQLHTPSPSLDIDPSTLSPPPPGPTDTPS PQPEEHDDEPERVTSPTALFALVASVPPQTLHEYTLAHLCPPPPTPFPFPASSVPSSP YQLPNPNSPASLSSFAAAASIRKPNAPAPPPPETLSHLSKFFADLRPPPKLHCVRCHA AFFALENGDTACRVPHDDDSAIVERVRVGSGSEYETLYTCCNKTVEGEGDMGPPDGWC YEGPHTTDTKRARFRADSTPTDDKLTPCAKLRCHDPPALPSRSKSRSRSPRRRHVKRQ SAGSSALHMEIFGRGVNAASGGSGGVRSKPVSSATNVSGESSVSASVSISARGRGRGR ARGSGDAEEDESASTPAPQQQKSKRKRVISTAPMMLAQGEEAGVRVIKGPGKGKAKAN ANANANANDKDEQELGTHGRARPAKRVKGGANSAAAVEEEEEEENQGDDGEGDVEMAD GTQMDVDEDEAARKPSAKSKSKTAAKPMAEEEEEEEEEEEEESTIFVSPSPLRKVVGN ENDNGKGNAKTSASANANATLKAKAKAKGKGRVNPKHKSKATVDTDTDTDVDNKRETS RAKAKARFVELRQEEEEEEEEGDEQQQQQQQQQQEEEEDEDEGGEEDERKSRSRSRTR SRTRTRPMFGVSANTHTHTHTAAPSSPGNSKDKRKGRQVAVEVRIMSHSHSRSPTRSP TRRSGLGSGSGGGALLAESSSSSASVHGTGTGTGATKKKDANANRGIPTGTVRARARA LGLGEVVSSSVDGETL JR316_0004357 MDNDPPSSQPAPPPQQQKQADPPSQRFPPFPPPPPSAGYIIPFS KFKEHGIQIFADPLTGAELDGLGIPTVRLRVPHDTDECKSNAGRIRAEMKAKAKADGD GDNADEEDKDEGEEEPTDKGKKKKKKKKKPTMSYSNRNRKNVALPVDLSSISDPIARA QEQRRQRLMLFAKQEWWEQWAAGEDLRKGRPVYDTSLPPTDRIHMAATDFRTGRIWPP AASGGLQLRYLWEQFRLYAGLLGSTPVWRRTDIAPAPSSTVEVDGDDDQDGGDGDGDG GADDDEEDDEEAFERDAMASGVRNPFLPPKPRREPKEKAKPRPRPFDSDFNDDDEEDE ERDDIDHDAPLPTYPQNQIPRGKYKRIPPRAPYALLNTKPIEIPYIPPSSLSSSLSTP PQRNDDLASTQITLLLSLAVSLRHIRLTAFLRDPARVIREFLSSYLYTQGLVYGAPGR MMGVGRLVLFFIEFVVRVGALKEDTGGGGHSLPLGSSVLGSGGGGGTATTGSGRKGET RRPKPSSKSHTTGSAGASTSAMEREFMKAADVARRAVSEIPKAGWVVRVCPDVVGRGC EVIWGRVWVEDAWWGGDKGGGGGGGGAGAVEETDAAGDVSASKAEEQVDVEAQVEEAG EKAPHNEEDEDEETRMKRFEDELRAANVQLVSADPLELGVPPEVDGVVVGSTSTAASQ GAETKEQEQNVGGEEEEEYTLDDVVDAQALVAEGVRVRDPEDDVDGVPVVVVEEEGGT ARIEEVVDEQVGEISGMKKVVSFADPSTITTTSSSSSSSSTTAADQSKNPTNPPPHTP KGDNDTTIRLEVQKSSDVAKNFHVGDVSPTADLVPLTEAHGGGGGRVRGNGDAITNAP DVTESVINDVTRSLLPTDPPTPTRKSNPAPTVHTASESNSDSDTSSDGFSDTDDWTRL PNPLKSRSEKKTDDEKEKDKRNPWLPPAVQPLFGVLGSMSLFPERYRPGGCDADVGCA ERSVRRVREVILPPSYSSSSSSSSAFKNAGNGAESTQPQSTTPANTKGVPVAASGVDE ALADALARVVLEPWIDFDDAGCAGSYITPRTQGPRALHPDELALASAPDIAPRALDDD TGDPLPDAEQQPLTAEQAARKDAQKRARRVVHERKKYAHDPGRDAICVLLEPRVAEAM CVGMGLAGTWVQLVPVYVPPPPGTDGAARGRGRGRGRGRGRATPRGGRGGGGTGAPAP APFIPPKQPTYWYIEHLHMAVPSYWSVPPPSADAPGSLLAEGEELARMFREAVERGEG GVDVRAGRGKGDGARAGSRDDAERMEMAMEIEREIMMRLEEEEGDDFD JR316_0004358 MLAIINLSLAVCTLLLGCASSVAVGQSTDSLPKGFATQRNGKFE VDGKPFVVDPADHTVNQAFVGANSYWLPLLTSENDVRATFREMQGAGIKVLRTWGFNA INGSELAGAKQSGLTYYQVWNSNEWELNDGPQGLERLDFVVKTAGDYGIKIILAFTNN WLLVDFQGWIWKRYVTTIVNRYKSSPNIFAWEMMNEARCLGDLPAGPNCAPGTELLTK WYKQQTDFVRSLSAGEDFDEELFLENVDFGTYHLYPQTWYPELDFPGSNFTVEDWGLQ WIQMHADCKYLPTKTIEVDILIFVFFSAAKKANKPVILEEFGLIGIHNKSTIYPTWVD LALKTEHGIMPWQFGMLGLKENNGNRLIKYADALIDGASPNDGFAIYQNQTAVWNIFT NAAKVQASRSG JR316_0004359 MSKRVHFATTNITYQPGYESSPSPTSSSSSLPSDSSSSSSELLT PPPIDEDDRQSAYPRTPFAKELDLYPEVVTPGPTTQMQIHFLLAFTPYSKPAFYHNLA FPLSSVENKYPPRAFSEPATSPPLPSLTIFHPRIKQEIIVNPNPPVAGSFVSVRDVLV KLYMELRLAIHPSEYAAVPKEERRFVDDAYWRRCRKIRNEADRIQEEQKGIKRIDLLM GQTRFTGLSGTLRAPDIWELNVGLP JR316_0004360 MKTEWEALNVKYEERQQELREIQTQRDEEATRARIRWEEERLLE VPKSKSSPKKTEKVASKQSSANTIQGKVENIVAQRLTLPKGSKLTKISRKTQIASLSA DQARTLLISLWERMPAVENVLDAELCAMKAGSSKVTDSTVDPSTWTGMYDVEAPMIVS EQDYHWSGVSTLEIYPSSTSAHLWASFEFGVLKGVMRSLHPVPKYLNSEIFFEYRGYE AGEDTMTFSDDNRAIVTFLPGGFFEGKINTECFGTFRIYGALKVQTPDGKPIKRKSLA QQKQSVKSWKADFRSINYRNYEIAGKARWGGWGGDTQDDKAFESDTTDGHKKHEEIDL NKFMKKPMDDLNGEDGSESDSNSEDQDRQFGYDFSGF JR316_0004361 MDPPQDPRSTTTLPGTFSTESDFVFKDGTFYATFDGYVHARKSA PELYEHLSYVPPPPAVNENGKRIWSAVVDSYLNYPAHFYSAQLIHYGFKPLKTKSAAK KKLLEAFGGKDGRTLEVPRSILELESRMKRNQSNSVTTDMVDASDTRKSEGESLTTEN DHVSGHAEYKRVVSSTKRARTKHISHETNTISPDEISVGAQSSEGKRVTTSRHKILYV ESSSPEDEPNLNTLTVTPTVADLFGIPEGNRDIPVKGSDPKSSTFTRRTKRGGLKATA GSFRGSRPRRTTEPRAAIKKFNKLQPDEPTYDIETYAVRDSISDLTHKRARQLLEILF DRLPAVQSILQKEMVSSEIPNLMAKEKHAELSEWPGLYEMEIPSLDGSYDESRSHKKL YTFEIYPSSTSRHIWAYFNFGRFRGVMRSLHSVPKFFNTEIFFEWRGYMADNSMFFDE DNRAIISLHPGGLLQGHMFAAEHGTYRIYGCRPQKSIKTLPRQQQLAKIQSWKLMWRR INAPNREASDRAKARLATYSIAEEKKEDPFLSDTTDGEGERTWSIDLENFMSEAYSSE ESTDDN JR316_0004362 MSTFAFLPRSIKQRKAETPAKQDQVSSSVASISTLAGAPIASES QSSKKWKGDAKGKAKDPTDLQTSSGHKAILHSSAKSLEPEDLARVVCLALSDYNLWSD SDLRRKIDWAGGGEFGQEDNVSIDDQGGKLGEDSGYIPLSYIKKNSAVFLQATSSPSY IEQPDIAYVKALRSHASYLVDVRLVLSTDQSSNFAPERWPRTGSSSTGYEVRRKKPIA NSREFGKSEWDKLTVYIENIPVQYRSVYGVAKVVSSLLPYSEYPMSKVQNVSFPSHHN DRPGTLPTCKGFALVTLASDDDVNFLLDEWPWEESNHDSSQKSPEPRSDDPIRRESSK FGLRCLNKIRWDELKAEYLLYRQQLIAEVDADNKVQEQINKMSSNNLKVSQHSMPPAI NKPDKVPVAEHLETTEQGQKDFQPIHAESSYPSGCLVFVRNIHPETNKTSLRALFSQF SQKDEKELALSINKTDGLDYVDYTKGLDSETGLDDSGEMLSSTSQDKSPKLAIIPEMV SGRREELYWQKVPERVRRQAVDKALSILNVGDAQTFQFERTSGKKRRRR JR316_0004363 MGSGQSKFGRGNKNAQYPYGYPPFSHYSGTQQPFIPPYNAGGGF IPPGQAMFPPQMQQYGVIPPEFYGQAAYNRPPRMMGWLPQDKPVKKKKKSRRTNSENF VGGFAGEAPAETRPRRAQSESHNRPDPDRPNMPAPEIQRSTTAHSSRGHDRRAPTPFI PPQSHRHDDRDEDDDDRRRGLRNTDMNRRQSRRRSPIRYDTPEPIDDDIQRILRPMPS AESVVYGPKGERPRRRLTNPLPEPPRDIYEMTPYKALASLPRTSAALLATSYGSRQHV YDAENPAVKRNKSMKGILRAFSKKEKKDPVVVVPIFKNGSQVQFQSQLTQPQMQFPQP DAQFASQAPQGPLQQSMLVQPQMQMPQGPGTSAPDIPLMAQSQLTQPQMQMPQPGQSQ SDINQMQQSQLTQPQMQMPQGLGPTPDIPLMQQSQLTQAQMQMPQPNADTLHRSGSWS SRKYGGGNTPGPNHLQPQNIQFPQPVGGGSHYGSSPMHSHSSRSADVIPSFPPIPPVL SNPPPIKFDQSSPLNGFLSHSQYRVLYRNQTYPTALHLHEAMKFTDTKPEIAEIIRNL PNIHDVYPTAQHYQEYMRNDWQHKCVEFMEEVVYLKTRQHPDLRALLMNTGYADIEYI DKNDSFWGTGPTNDGQNHLGKIMTRVRERLRVF JR316_0004364 MSPRSDLKYLIDHGHSPDIMSSIAGNASAFIKEAAVRWFMLLDV RGSTSFGHLTRARMEVKEVSIEHSPDDPQKMSSKMVCELEVTPGEQRTSWPELLSFKE LMNRNELEMCNEEGVLSQGCMTGIMDEGSAISLLLMRLLEDENQSIGVSQTFSFFFHH PAPPAGSKIRIVSRSVSATDDIGCCQSEIWDIKNHRLIVTGTQHQMKPSIVGDSASVH NRKTKL JR316_0004365 MQDQVETEAFLRGRLPDDITSSITGNTSVELKEIPVKWLAIFRN NGSGFGGNISARINVVDVSVVANGDDPLKTEGKVTCEIEVTPDMCNSNGILNEGCIIY LIDECSTLAMVVINAHTGLNTPPGVSQTINTFFHFPGVIGTKLRIISTSLASGEETNT GRCDVWDKDKHRLIATGIQQTTLPSRPAKWLKG JR316_0004366 MSKWAYKKDLDVSHIDGNASTEAKRFGLAILHLFIGTKSTSFGA DIGQYMNWTEMNIHKQGQYTFAETVFEVTVYQDMCNIHRILHGACAAYIVDLCTNASL VSLGTAEGFDGTGVSQFMNLVWHHPIHLGKKIKVVSTSVSGKGRLRTMRCELWTDGQI CVSAVHSTVNVAIVNAKL JR316_0004367 MASTKRLVFVTGNANKLREVKQILSEGGHPIEIDSQSLEIPEIQ GTTIEVASDKCRRAAEMIGGPCITEDTALCYVALKGLPGPYIKHFMAELGHEGLNALL VGFPTKAAEAVCTFAYSAGPGTEPIIFEGRTEGNIVPARGPKVFGWDAIFEPLGTGQT YAEMPSDEKNKISHRYKALDKLRTYLQSLPSS JR316_0004368 MSLPTLPTEEVSHNDSGTADEKTQMERGSGSSELISNSMDNDGP MFVSSITKDEPIVTRKELWSYYLYYNGDNGVGPLGFSMTLFQSLAFSAGYDPARGPGS SCNADGSSGNCVLPWAGGTKSVSSIVLIANGICFAIMTMIFTTIGSAADYGTFGRWLL FVVTVICWASQYAEMSLTTPSSWPLAMALYMIGFISYGATLVFYAAIFPRLARNTSHT RTLLAKCDSGEITKEEYEVEESMEKNRISNISTAHSNIGYIATLCLNLTILLPLASNP KANNYTLVLVNSYWVITGIWWFIFQEPRPGPPLPKGERYITIGWKQLWAASKQYKQLP YTFIYLFAFFLLADGLNTTGTLVSICQNDKFSFSFLQNTYLGLAQAITSTMSTLGFWY FQRYFKVSTKKMFVVTNVVTIFIPFWGMLGIWTGKIGFHNAWEFWAYNVVFGLFQAPY YAFAQTMMAELTPPGFDNMFFGLFGLSNRASSMIGPNVIQAIIDKSGSNWMGFPFLFA LCFAASLVIWFFIDVEKGRKDAIAWADGIRKKSDLVSSSFDVAHE JR316_0004369 MIKPTSFRLLLLVAIFFSPVFAGLNVLTSYPPGDVFSFSNQPHN QYLAESQIAFSTLIDPPLPEDFTSNLEPATSLWLDGLITVESLKRKFNLYSTVDDVWN PNFAQNLFISVHSQTRRTVELVPEVYDWLKSQGVSRIFLPKSIKRPSRDVISSHTISE TIFPGPFVLSAHEQSSSEVLLHNVYKLYLDEYEAFLFGAIPDLAGGGWILTNITSNLL SDDGVSNTQLIPVPSRVRMVDSKSPLAGTRFGLKDIFDAQGLPTAAGSIAYAQTHPIP NETAPSITKLLALGATMVGKTRTSQFAHGANPWEFVDVPYSWNPRGDGHLTASASSSG SACAIAGYNWLEFTVGSDTRGSVRKPAALTGVYGIRPSHGSLDLSGVVPLSEEMDTAG FFARHPLIFYEIATRWYGVPLTTYIIIVLTQGYRYVDSPVASNQAISRFPSKLLYPID HYPVKSKSGQILVDGFISTLTRLLGINVMPVNFTEVLIPYFPNGSFPAFQQASNKLAE YRSWVDVGSPTTQEFLLRFGSLPVFDPIPQKMFARAKQITADDFAKAVALKRAFSDAL AKDIFKYDEESCSDSIFMYDAATGGVPSYRVEDFNHLAGATPFLLTAAGGDNPASAAS DFFNFLASMGELPEVTVPIGQVDYFSPLSRTWEPIPIAVQLVSRKGCDKMLLELVRQL AEAGVVRPVQVGRSIF JR316_0004370 MSLAIRPTLRPVVWSNVDAHGHTLRRERSPDTDSNDEDYYPAKK KKKAIGVPAVYYPPPLSPSEMLWRDRYGYLFQRGYQIRPRYSPNWSPTWLGNGRHHHS GEDHIMQILPQVLDGIRRQDGLVVCIKMIQDRRKLRQVAIVEYFSTRRLLEDSRNHIV PFYDSFGDSFSPHIQFMVTPVLRRFDDPPFLMACEVVDFVSQVLEGLAFMHENNVAHH NLTAEHIMMDAKALVPTGWHFVSHFCEPDGMTRIQPLDRKNHSVRYYFVGFGSCYHIS PNKEPLVTDIGGLDDDVPELFTGKPYDPYKLDVYTLANVFLKELYLVRFPAACSKKSI EVDEIIEQKYHGVEFLKDLTDYMRIQDFEKRPGATKVLSRWYRMRSNFNEDELEELPL VYKPGMRPRVLTESPPSSSGGRSTKRDINTIVNRSGAKDRPTTSGSSSASPSASASRP SISPMVSPRPPVSPMTALRPSASPITSNRQPASPLASSRPSASPMTSSRPPISPSTSF PSAGTSGSSRVSSFSSGSTGAVSPKVESTMRT JR316_0004371 MASANATAEAQAAAEAALNAGLKEILDKILSPGIPGVALDGWWV GIICVLTYKYFRNFPRDRLAMKALVAWIFVLQIFSFGILISMVNYYFVTSYGQPIRMA VSIWEWSLYIGLLAIVAFSVQMFYAHRIFTLSGNGYVLGGLVVTLAFTAFGFGLASMS TIFKIRAFSGLEDHTWLVVIWLSISTACDILISTLQVFYLFRRKSRNGLARTNRMIKV LIMYILSTGLLTSVVLVVQLVTFAIMGYNYIDFFLGYQTTAIRSLCLLANLGARHTIR KMDNSNTEFRLPITETGRVITPIVFSPEKVTKTGGVVTVSVSNTNTSGTTDIELSSSS VNPSGTESSSGASRNASS JR316_0004372 MPLQMNGVEAWITVEDKRQVLEYLPEIDTKTGVATCWISGEPGQ PFAIRWRDLTDPTSIHQRDMSGFVTMDGYELGGRILQARSYAPAIFSRIATSPTSCLP LTFSDLPRTAGIGEDWVTLGTISIDIHVVEVGEANRLHEGFSSYYRRDEGSPLSSATP FSSTEVTSVRKIATFTFKYRPLDVLQYHHIIPPISPISSFPWSLTPHLGDKRSASDTD EEEECDEEDEQDRTHMYSSSGGSDSDSSQEYVPKSRPRRPLKASYYGPLTKRVKF JR316_0004373 MHRTPSPDKDDNTDKSAELQDFLAALLEDPEREAVASEIQVLQS IYGDDAIRLWRPPLKDGKRSASTSRRDGTIRYEVVQSLPSPHDDVSIKILVSLPETYP KSAPPQLQLLSKYIGSFGADAQLFGSILRTYISVSGVEWSEDTVCVFDGLQNVLERCV AWYEDRLSAEKAGELVREDVAAANMNTRTTSGSSSPPMAEQPGVGDQMALNEPPPVPA ALPAGVHIYVAEPITDRKSAFIGRACRIHHPSEVPLILSHLMSDRRISRAAHPIINAW RCQLDSVLHQDNDDDGETAAGGRLAHLLQILEVNDVLVVVTRYFGGIHLGPDRFKHIN QAARNALELGGFLDAPEKKNTGRGKKH JR316_0004374 MSPPHCCGFCKTPTDKRCTGCLLYFYCSKKCQKADWRRHLFDCK PKDEITAADCLYKACILTDSTPVYSLDAETRQSYGLSRVGVDNENKMLQLYFYILDPD HLAVKPQILQKWMADGKLAQEIISLFETRMDEATRPKSYLWFLENHHWIFDGNALAPR AESKFLFNTVFQVGWRYSGGSGNPRSALDVIHLCESESWPGHRIECLQFCGQTFCGFH TGPWQKLWVTLGFCTVTKRSRYTDDLLFILYSQLLRECTFQEFVTAYESSMLEKLLEK YGLGLQLPDSPFTELSEFLSSSPNIPSSWWLKHWTTMGGSVTKESYYDYGFWNCRSFQ DAHDLSDVYARYFRSEDANPLLLHQARLDGRIFDHISSTILLPNKKKYRKLMKKTPLN SPDPATREWIRTCEYRWNVTIPMEVERNRTEARRKFLLDRSIEVFEAEANGAVDYKQR FVNLGHLDTEGA JR316_0004375 MDLGTLLANKFKRCTGCQLAWYCGMPCQEADWKNHLLDCKPKDQ ITTADLLYKAIIRSEGSPMQSLDVQTRDDYGFDRAGMFKEFYLLDMYLTVLHPDRMAV KPRVFHKWMTQGTIGQEIISCYERYIGDEERERWYSWLLDNHHQVFNRNVSTPKVAFD TAWKAVLEIGWRYSGRTDAPPAESQFHEFCRSLSWSNRKIECLEFCGVILALLGPSPR ERFWVPLGMCVGALEDQSRICHLYRDLLDNCTFQEFVLAYETSGLVALFQAYGFGPEL YPKCFAEVLSASPNNVPSAWYLKQWMVGESSSKRAFYDYGFANCRNIDEYCALEDTYA RYFRWDGADPQACMSHSIPNDWQTLVDYGFQRVGSIYHQNLLLVYVVLMHPRRLAVKP RTLHKWMVDGTLAEEIFSMYESRMDKSAGVPDWYEWFVKNRHWIFDGNWEATAVAMES IWEQTIYAGWRYCNGGADSSLTVSKIQEKWDSESWSLGRRECLEFCGFLSAISSEQLH AVYRALLDKCTFDEFVATYETSTLLDLLNKYDLGPQNYPAVVCDILAASPDNIPSAWW LKQWFVGGCVSMQPFYDYGFSNCRTLKEFQELEDVYERYFRWEWADPVYLNSALVKGS LFDYLATMLQLANKKLIRRLTKKP JR316_0004376 MPNQPSVRVVVKLPYNRPDNPPHDPPTIEWTAEKADILWKVIER SRSVDSAGADWKGLAAHLEVPLPYLLYRVNARFQEEIRGLRDIQGALSPSSAQPTTTG GLTSATSPHHDFPAASGAGGKGDRPVGIAGRLGMLGSGGGSRHLSGSRIGVRARLNSL GQGVGGSNNGNANNNSRQAKKPTSSSTLTLQAGKRPSYGLVSVRPVTPLSSESESEDE EAIKEEEAERNAEEEDALTKKLAALQKMMTIDTLGLVSGARARGDKGKGKDNRGRSAP LSPRSAGSSRVDTLSSRSQSISSASSPQGSIPDMPMSGSPSSESQPHSPPARNRNQNF SPHKAPSSPPAVSSKSAQGHHVNHQSQRRFGPLVDRTSDIGSSHGSEASSFSDLSDAS ISASALESALLSNLGSNGSRLQVSFCQFDVTNLLKQNLCSSQFTRSRPSNRTHSVVPQ JR316_0004377 MELTFMTEIGQTFVVEIDPNMELENVMALLEAESSIPVQEQSIS YNGRELSNAKATIRELGVQGENAMLLLRRKVVSAAGGSIEQDAEMMRLQILGDPALMA QLQDTQPELAAAARSNSARFAELLRQTRDRHVNAEREIERLNADPYDVDAQRKIEEAI RQAAVAENMEHAIEYSPEFFGRVTMLYIPVEVNSHPIKAFVDSGAQQTIMNPETAEAC GIMRLLDTRFAGVARGVGTAKILGRVHMAQLKLSDVFLPCSITVMEGRDVDLLLGLDM LKAHQACIDLEKGVLRIQGREVKFLSEHELPDKARDLELPEQVDAATLGSGSASSSSG AAPHASRNAPSFPGGGRTLGAAPSGGMASPQRTAPQQQQQQRTTNYPEQSISILMDLG APRELAISTLDAAGGNVDVAASLLF JR316_0004378 MATSRRAGVMGEGQTSREYKYTQEISQMMFVFGEVQDPNPETVN LVEDIVRSQLIELIVQARALATRRGARYLSAEDLIFLIRHDRGKVNRLRTYLSWKDVR KHAKNSEGDAGGGVEVETLEDGPDDKLTAKAQKITIKLPWEITTMYSEVLKQSGHQSD DEEDEDDIEAHEASIQRLKEADDATRQMTREEYQHYSDCRQASFTYRKAKRFREFLNL PPQLDLKANDDTVDIVGFLAFEMVRSLTLAGLAVKKSLEDSFLRDDYTSPVMGKRKAG ASGGPAEKRRREGSPDDETEYTLPVNSLFLPPPEARTALRTEHIQDAFARMQGEWSHH RSAGMHNWRGGLVRTRISLI JR316_0004379 MEETQDPVELYGGGVREGDWLDDYPDSLKGVTTDEVNCPFTVGQ FEKFKRRLQSIPVTIRSSNVMEIRGQSWVDAIILGQEILEESVLDS JR316_0004380 MDSSSFLVTQGVFSAHHPNIVVQHCHEGDISGYFDLFVGSIDES VIGLAAIYGSKGRMTMLAVSSRSRTLVVTLSFQSRRRVSAKKKKLKTKTVDKLHSLLC DPDVLKVTFYMDKLSAALFHDHGMRITQGKDLLSLSTNNNRTSIAALITVIGGDKMVF KEALTDLFFNDKPHKEAVKALALQAWVAYSASVLPSIYPRLNGLSSINTSFMPEKTLA IVSKTIRDMDRLVALKPSRKKHDVISKPEKQSLIISQRYKTKISRLSGTQRLRITFQR NGKTESIQVTKGVKVDGRQTTIEVGSKPMVFNTIKSVETVGREDPTPAEIQRTEFMLS VLQNPVILSQNPFIGAIWGLPKTSWKKNSATLVTPGIYFPSKRQLNESQSVAVNFILS SKTSDRVILIHGPPGTGKTTVIAAAVTSAMASFDTTRTIWLVAQSNVAVKNIAEKLAN VDFWDFKIIVSKDFRFDWHEHLYVKIEENLIETSMLIDDVLATSRQLLGSRVILCTLG MLSNPKLSNVTSLVPPQTVIFDEASQIEIGDYMSLLHRFKSSLQKLVFIGDDKQLAPY GTSDIPELQSIFEKLHLRKAAVFLDTQYRMPVPIGTFISKHVYSGKLKSEHAILKSSC CRFIDVHNGVEQSKGHSWTNEQEVKVVVKIARQLIAQGKSFRIITPYDAQRSLLESSL KNAELTWEDKCFNIDSFQGNEDDYIVVTLVRTAKLGFLIDMRRVNVMLTRCKVGMIIC TNRAFMENAAAETLVGKLQKTISGSIWIDGHDVLHKNIKTFV JR316_0004381 MSSFKALDAQDISDIRNTAKQCLERGLIVASKWLSDLLLSTTPE KRKEKIIPAAIIPSMSTPARSQSPQISLQTQSLVPTQPLTQTSLDDVDSVELEFERQE EDCLVAARSCFEGRQFQRVTHHLNDCKSSKANFLKLYSRFIATEKSALGDWHKLDSDR KQPPTPINLYLGELYDLVKDSNDPWLLFLKALFLSRLSRREEAIESALLSIAGCKWNW STWTLLGTCVGDSQELSSLLPLIPLPPDHPLVQMFQIKVLNELHSPADNELQLCERLL SLDFFPNSVWVMGLRACVLYHMHDFAQSIAQFEHILAIDPHRIDNIDIYSNMLYVTGD ILKLSKLAHDFLALDKDRPEVCCLIGNHYSLRGEREKAIKYFKRATQLDRTYLSAWTL MGHEYVELKKSHAAIEAYRRAIDVNRKDYRAWYGLGQAYELLDLQLYSIHYYKRATAL RPYDVRLWQAQGMCYEEIGRLQEAADCYKHALIPADPQEITINLKLAKIYRALEEHSV AVAYHRRVVEVCQANLRRIQDFSRSCIEVAEYEMHLPNGDLSLAKDYLEMVAMSNSED VKLATDLLKQVKIMIEKKYNARLSYSDDQGTLTINPAML JR316_0004382 MLLSPAPLRPLLRIPSLRRTLFSLPSFPFGGLESKPQNYNEQKI FPYNAKELYAVVADVASYPQFIPFCVSSRIDRSALERAMKGRTVVDAELAVGFLNFKE SYVSEVTCVPFESVQARASSSTPLFKSLLTTWRFQNPTSKLCSVSQSSSASAESTSIE RDNSSSPTLVTFDLTYEFANPLHAGVSATFFGQVSKMMIQAFEDRCVEVYGRRNSS JR316_0004383 MSSPSSSSKSSSPAPEIKEKKRKRKETVPAEDIPASKVQKTKRK RKAIAPVEDKIVEENDSAPSSEVKKIAHNKNSAPSDDEEDSSQGLGGPDSEKNAVKSD AEDIQDSEEPVLSHAERRRKKKEEKLAAKLAEEGKALKKRKLKDGSAKVVDNSAPTKR QNSVWVGNLSFKTTQENLRAFFDGVGEITRINMPTKAVANGPGQKPENRGFAYVDFAT PDAKITAIALSENPLLGRKLLIKDGDDFKGRPAAIGAENVISDPVVAHKTHSKTAQKI LRAQKQPPAPTLFLGNLGFDTTEDSIRELFEAHRPGHKKASKDTPDAKGEDKKPKDAW IRKVRMGTFEDSGACKGFAFVDFMTVDDATSALINPKNHHLNGRNLKVEYAGADAVRR GAPKNKQVDNALSPGKRSSRKERPQRDADDSAEPASDWNGAPKSSTTENAQTDSTPKQ QWVSHKEKLAGSEGVRHKGPKSRPKPGAALALAKRESAAIVPNQGQKITF JR316_0004384 MQDEITEISNKKTRDEDDNSDSGGSLFSRSPSPVTEDGKERWRM SDPPQEIFDDGEWKFQIIGEEVDHNGQVVEWEDWYRKDGSNTTWETAETISDVQWKQA RQKARHEMASKSLSMKVITTTDIHNTETHLRNDAYNEKMKKYSKQKEPNLFEQMEKLM AKHEALDRIAAQGSATVERSQAGSSKVSRSSSRLSSKSSATLLPGHAESFAKSRHPSV PSISHSYASSKPSSSMTILSSASPLSPPLPSKSIPSLKGKEKAVICHFSSPECPSSRE SLPLDNHQPSSTFSSSSGEPTAYKRSKQSSPQHSDSESSQLLFSSSNRGRKRSRHLIM SGSEVEDADIREISPFIPPKVAPHKKNSAASSSSMDDSLHRRLELEKAWNIEAQRMGA APIYFVNDIDDEPIPSVDPHFRYIEKSYEFAPGIEKPSDEFLLRCSCKKCTKAIYCDC QHPSFMLDEKNVPFFAYDKYGLFAFNINKGIEVIECNKLCKCAKSPGQCPNRVSQIPR KHPIEIFKTPTRGWGVRSTEAIKKGQVLGIYTGLVITREAANALPGPLAKYRFDLDGD ELANEEEENPSTLGLYSVDSRLHGNWTRFINHSCSPNLQIYLVVHDTPPGIGLPYIAF VANQNIPIRKELTFDYNPGQSSTLEEGADPCHCGSNECRGFLFF JR316_0004385 MLANSETPRPLPPAKARRASALLKASATPPPAYGTPFLLNGHER KRRDILGSPMTSTFQLVGWDADPDDQQLPSPPPESEDWMNEKSREELQELLVKADAII KQRENELGITSAVCKGLYQSNVELKSKHQAMLARIPVSPTRSNSSPESLSKMTLCNSN TSMVSSTSEAALIKSPKRSFYRHTRRVSIASADISLLEDQNAKLLDKLEKLEAESVSA DLAGRRELKRLEKEITFLREALEKTQAKSEELEEKVQGAVVGEAWRRKKEREAKFRAM RNLGRDDSFDGEEPIRNFAPEGSRHGGPSDGFSFFPTSSSPNPNRQLKASSGSDDNST AIPPSLAQPEHALISQLLIKVQELEETNARILQQQSDTAEQLSAVQRDTAHITKVYET LADPNSTELELDHDIDECHEQEESNADDEEEKPLKFSSLRRTIESEEYLEGTCIVRPQ LGVSTGKKRASVMGLFDEPEVPISEDFSHGDSSSSLHKDNPMHNQDQSSASWMDEQGH STLPSITTASAAAGLASPLAPGVLSPLHFFSPAANAPPELSPVNSRHTLQHELDKELG NTAWELHGPPNNHLLHMRTLSLYDLSQISAPPTPSPLSRTSTLSLSHKLANDNASGLR PFYDDSGLSIRDGTTPRTPPLLASANSLRLSVEPPTPNRFDSVGNNASVRGVYSLNDT RSPRMQMMSDTLRSRTNRWVDRRFVIQRQYEDDANEGDIENEDEEEDDQKTLSTSRPP SPLDLTIGIPSRLSHAVDSMIDGFNSFSVTSTGNNDTDADDDDVAPFTAITPLRRRRP GHIRERSVLLHAGTSPEKKEEEKRNSGILLQVWLWLQFAIVVFVFLYAMARRGPAKVL TDDHKRAVARRR JR316_0004386 MIKSREDENSKKTRPRPSFRPQAPILLQPESVPQGLRNRTSTPQ VGSPAVVTPIPGRYSAATYGPSSFGHTNHAQGTHAGNVVQDNDESKNGLVRRGGYVNV DESDNEERKRGFDGDHLEEHETKKFRVEGEEMVDGDEDAEFEDLAPKRGSKRVMHDED MEYNNNAKKFRGKRSRKISLAKSDMDVDEEDDDQVTELPSPSTHRGKKRDRTEAGSTF GGDDDDSAEEPEDSDAIVRRRRKRRTVSKRKSEASHLRGKKRDRVTEDDLSEGFSEGD SLEKSAHKKGKHSSHRRDRAAESDISMEDSSFSRSKIREIGDEWESNGVKYKIGPNGQ RLRQALVKKARQKFVMPKDSLHPDRDVNLQVCIETWLTEEEYQEAKAQHNLAWQDDPE TKNIHKLTLDISSDTASVHREGSLSGKNLLWSSTSTPTGSPVPQTPPPEIPRPRTSRL SMGPSSLVLNPFSESQIPAAKRIASNARAIGSPAAVGSSGLSDSTNSSPRKVLSKWEK QELEARAMMKIREATRKKEQEEEAKRQAAERARTEQLAKERQEKERIEKEKAEKEKAE QERKKKEAASMPPPPNPTTATPAPTSSGAGPIPTPSAGASSFFSVPNSTSTSNSTSSG TAPAASLFSMPPAKASAASSSQPSSTPSNTGFSLANPSQPSSASSGTPAANSSQPAPP AGGFSFANPSASSTTPASSVPPSTTPSTFNFSAPKKDGETANTATSGGGPSLMSRLGP QVSDAPKPSTQPASNIFSFAKPTTSGTTTSTTASNPFGQPASSNPFGQPASSNSSSTP TNGATTSTAPSSGGSGLKFNFAVPNKTATTASAPSTGAVNGTGSSLSGALGSDPQKPG SATGNTFSGTASAPAPASGGASLFSFKTPTTPASATNAAEASTTPATNPPASTSTAPT GGLKFNFGTSPFATQGSTANKDKDKEPPKSGFGAPSTFGTTASSTTSPFGNTSNTSSP FGGGNTSSAFGSNTNASSAFGGAKPSPFGNASATTTSAFGNIPTTSAFGNKAGTGASP FGATAAQTSSVFGGGASSESTTKPADSSSTPKFNFGGASSTAPASDSTASKPTFSFGS TSQTPATPASGATSTTPASTPASGGFSFNFGGKTTAPTTATTTPSQPPPLFGTTTTPG QSAFGNLSNTTAPSNVFGGAAFGAPAQSK JR316_0004387 MSADKIVTLEELRAHKSRDKLYILIHGKVYNVTKFLDEHPGGDE VLLAEGGMDATEAFEDVGHSDEARALLPGMLVGSFEEGALKSGAASAHGAKVATAVEQ GSNLMYFVPLGLLGAYFAWQYYSGSA JR316_0004388 MGFPILRRQSILRSHRFPLLHLSTPSLHRALVPDPSSFRFLSDA GVLEAMTISLKPDFGVGDHLFPALPRIHSLAIQQQIYTHRSFFARAAHVFEDAHNDLS MDNEKFEHLGDSVLQMAITTLLIEMYPGLRVGPATKIRSMIVANYTLAQISFRYKLHD NLRLHPAQAVTLRASTNIRANVFEAFVGGLYLDQGLEVVSAWIKPLFRPYAAEAYRVI REQHGLPPISPSSQLNSGRSDRLAPRHHPGHPSGIGNWSTGIGAGNTPHSEPSDLMNL TTIGHLALFNQYLQKTGRQVEWIYSDGTDTSAGDASNSDNENVKGTKATPVWNVKVHV DGQFYGCGRGNTKKYARNEAAKEGLRLLGIEKFVQQHRWPGGLSIYSADLR JR316_0004389 MVDLISPSISSNSSIQKLVCEPCWNHLFNFDSFQKALATQTNPQ LSRFTYNLQWETIRASASAGCNWCKLLSKPANEASGEAIVSVSFSTENAGYTPTGVKI LTLSYGSKDCFVAGETGFRLYTTADNPAAQIILPRDRILQINTPNSFKLATHEECPKH KESTLPDRVIDCSDVDHPRIVVTKNAPGVYAALSYVWGKQTYLATTENIDTLIKHGID TKKAPITILNAILSAHSLGIPYLWIDAFCILQDSDEDKGRQIGKMNEIYTNAYFTIIA ACSNDAFDGFLQDRPARIPSANIPFVCPDGQVGHVFLASTEMVGYDVTQMYHDELEPR EIVSIGGAFCEPTTGPSLPKAFSDDVSNLTHEEKIRTMEDLLWEVSIAGEGPLPRPKV YRAPSWSWASVNSQTQIPNTENKLKPGAHDVGQCKLVQCEVIPAHKESLFAAVTSAFV KLETFLHKVTISSGVYSESESQKVFLDAEGDEGTQAGQHIGNFDPDTTEYLDTDQLWI VPILWDRGGISGSIRWPLLRLIQSLSDELGY JR316_0004390 MARIRDRSPSPVPSPTSLLKKLKTTHVSSSTDPSAHNLPTPPND ISTESLIKVTDPTPHFANDLFDHNNIAALNQSYLESEPYKYAVVDKLFQDDLLRKVKD ECLSELNFTEKETDIYKVNQTGDLASLNFLSPTQIARLPNLLALRDALYSPQFRKFLR SVTGCGPLSGRKQDMSVNSYTRGCHLLNHDDVIGTRRVSYILYMPLPHYQLWQKDWGG ALELYPTKVNDEGQLEPQSIPSKSIPPSWNQFIFFEVQPGKSFHSVEEVVVGGRDEDG RERLSISGWFHAAQEGEEGYVPPAPDAPEIKSSREQLTSTSTVFKSYPEQDPEILPDV TLSEDHVAFLSEFLNPVYLQPRTMKALAARFVEESSLELHSFLHNSLADALETRLRDL DLRDGLGEDRVGCIPAHTCGTTGGWTIKGPPHKWRYCVLRPPVAGGATEAVTPRHASS SADEIMRSLQDELFASVAFRAWLHIVSHLMPMQYAAEARRFRPGLDYTLATSEESEAR LDVVLGLTPPARELDSDEESDRFAQQQRGWANSEWGGWECYMAPHNEEDDPAIYRSSN KKHKAAPPTKASSTPKASSSKLEGSVSEEAQTATDADADAEEVPPSEQMDDEEEDEEE EDGTLLAVQPGFNRLLLVLRDERVMRFVKYVSAAAEGSRWDICGEYEVGVVQEAEEDG EESS JR316_0004391 MSSRKAPRCRSCKHPMKGHNKLGLCMSASPEVLSREEEEAEQQQ QQQQQQQQQAQAQAQAQDGAAGSSRASAHSYMSPPPTPPPSSAYAATSTDPQAQAANA AIAPAISPLVPNPQAQQQQQQPQRRVHIPRTYTPAILSEPSFVIPETGYFHRRNPNFV HPPPRQVLPDPYSNSHSAYSGGPLRHFGDHNPDEEDDDDDDYNGGPGAQTPTVIVGSD GETVEALSDRSRSASPGPPGDTRSRTPRPRANPYAADSSMFSSSSSFFGAPPARTSKP RYRTEAEERCERRAGQRHSRSPRPPKTQRNGSVIAGGLAYLGSFKWIRSPTPSEASTA YVPAATTTATGAGVVGAGAGARHRSRSLGYRHPHRAQPHHHQAVAAGAGEAKISTRDM MVLGLVVVVGSAVSMMLVMYVGLRSLRSIL JR316_0004392 MSQYIDRKEAMKSYRPKAGTMSPGLKRAREPYRVKNAILGLFLA AFSVGVWAYSISAVKQDVFDDIDEEADALGATGTGTGLGVAVLAKATEGKHAGAGAGA GAGTSTSVDANANASVNVTGTASPTFAASHATSPLPNPAASTVLSEVSKSKSKGLLTH LDSRLPWLLDPQSKTLVWGAPPVDNVGKMVWRSS JR316_0004393 MQLAELIDPMRDRLQRALDELASSRSTLKSKMKALQSLERELAQ ACFDTANDAQAKDAFMALQYTFECNIPSHLLPWIVTATARLEALLSKSSADDNRASEA EELVAQLSLSLSLIQGVVLNHEASKHYLGRRSALEILLDLLLASRHVNSSSSSSSSSD SPAPTQAMPSTTPPLTSIVLDTLLCILVDSSKALRAFEEANGVQSIVKILKRAGTPRE VRMKCLEFLYFYLLDETPSLESLTSTQPTPPPTAPATPARSLASPPGSASSGVGSAKP KPKPYLNATPMRPSASNSSRFGSSIFPSTSTSSAATSSPTPSGTHVDVDAAKTGGTAA LSTSASSNSSAASRSTSGSSSSNHSFSSSMSASTNASSMLGTSPSKAHAHRSKAASPV KVSSSSSSSPTSGSALLPKLVSAKRMLTPITNRQSAMGSTSPPTSPPLSDSPGSASAS ASASPSAGGRFPQLRSMMMLRKEVDYVPLSPTKPNAPSSSSPVPQPALVSTPTAKTTV GGHKKSLSASASLQMRSRRSGDESGMGMGTPPPLPPLPNARAYTHGHHRHQSSAAGLG KHYSEDVIARRQNELEKEREKELELSLGLTTTAVEKEKKRREQEGGCWKTTEEKKELL GTMLGNVDALVEGVRKAGIWGLG JR316_0004394 MSPIRTQWSRLIRFVAVETSRVHIGEPVDAGLDVGLAALEGSTI KAREIIGSALDPAASVSNNVLTVSKLLAPLSNEEIKVVRCLGLNYSDHAAEAKMAKPA FPILFYKPVTALVGPLAQVIIPRVSQPPKDILPDYEAELVIVIGKAAKNVPEDKALDY VLGYTGANDVSFRKHQLAVSQWGFSKSFDNTNPLGPCLVSSKIITDPQNVLLKCTVNG QVLQDGTTAEQIFTVRQTVAFLSQGTTLVPGSIILTGTPKGVGFVRNPPVYIKGGDKM SVWLGNGIGTLANDVVEEKPATGNLLARL JR316_0004395 MRVTKGAFHQTVENGKTLAEGKGENTYSASFFEWFAEEAVRTYG EQIPAATPGNRNIVIKQPIGVVSILTPWNFPSAMITRKLGAALAAGCTAVIKPPPETP FSALALAELSKRAGIPDGVINIVTTQKNVIEVGKEMCENKAVKKVSFTGSTPVAKLLA GLASTTLKKVSLEAGGNAPFIVFDDANIDEAVEAAILCKFRGSGQTCVCANRIYVHSS VYADFASRLADRVAKFKVGNGLEEGVTHGPLIHARAVEKVERHVNDAVQKGAQVLVGG KVLAPSSSDTSGPNTFFLPTVLSDVPTDALINTEETFGPVAALCSFETEEEVIRLANA TDVGLAGYFFSRDVGRVWRVAERLEVGMVGANTGIISQAVIPFGGIKESGLGREGGHG IEEYLNTKLIVFGGLGA JR316_0004396 MAGVGMQRIFISSSKRLFFQHSSVTSWRLNAHVGRRILATATYN TSVPPPSPAASSSTTTPSSPTPAQAETPYFPDVNAPDGSTDWSKSYSGLGVQPFPKEA AKILQAPLDPMDIEMKPDGLIYLPEIKYRRILNQAFGPGGWGLAPRSETNVGPKIVSR EYGLVCLGRLVAIARGEQEYFDPSGVSTALEGCKSNALMRCCKDLGIASELWDPRFIR EFKAKFCVEVFAEHLPTKKKKKLWRRKDQPKFGYPYQE JR316_0004397 MIPSSSSNLSVKSQPNRPPSSLLSRPSSRNTLRPSSSLGNRPLS SASTRPGSRFSQRPNSRQARSRLIPLAQTLVNQVTGLEVKENGGEGVADADDDDDFRE AVEYVVRSLETSTINKASASVDMSVIDRQISGLALKARINSQDAFGEALQLAYKRIKA NIEEREMDLDQDIKSSRLPDHLQFLLTLGKPPSQVTLDRAEVYLQGIKNPPPPPPTLT WKDILAEEPFEGEHWEGVYGLPAGAVRSMSKQDRADREEWDSTPSLSPLNSDDLALDD EDDSFSSADYERYTEPESSRPSSPEPVVPHDNAPRIPLYEQRKQFEELRARQYWRDDW HTDADVNAPFSIGDPSTLGPTLSKVLARASGSQDAHSMLQPEHYIDEADMVREILMAL QGNNNTIIEWNDNAFRMTPKTPRLVHLTLASQESIITSLGNTATTVHNLRRFAAAVFA RSISGKQIATRKATATRTCEAFADAIDEVVRRFDSWCSAKEEAICTAYIGMDEEPLIV SLLGTEREIRDQYEATFDVLLEVVRMVFQIQPGADFSLFDQRTQTRQPATLTAFLLDT LFSNVQQHMERRDAVTSDKLMRVFIRTAEPVWGMVGRWLKDGMGLGLGVGTSGRVDMA DELDEEFFIESSGVGVGMMGLGLLDPEFWKEGYALREGAVFHGELGPVDNEQGQITSG RKAIPSFLEHVAELVLSTGKAVGLMRALGGPLFSNVFNDWKTFADLVGSENHNQSDKD GVTEGHGGLFAVSVDTLSRLIYDGLLPHCESTASSLVKVLVDDCAVWKHLESIEDLFL MRRGDAMSHFIDTLFAKMDSTQPWSDFHFLNTAFNDVIDANRNAGVPEWVNASLVRFA YRGNKEKDRAIKRTVKAIDGLIVEYAVPFPLTYIFQPATIQGYSDIFVFLLQIRRAKS VLERILVRDDQGRGKKLREELKVFHAMRSRLSWFINTLLNFLTTYVIHAEVSRFREAF RKTKSLDEMIQLHDEHLEKIRGRCLLKPNTSALQRAILSILDMCLHFSEGFVAFAGDN TATLDVSRQSVIMKRHRSRRQRRQKRNVIGFSQYLQDEDDSSSDEDMEGAEHINDPPE PSFSMAGTSVTTEDDFYAGVERMSSELDGLVRFLRRGVESLAGGSGEAAPAFGVLAFS LEDWDM JR316_0004398 MSAGQYPQIEQDSLEPPSGNELPTYDDLAQQNGPNSRAAERYAD LTQAERERRRERGWGNDTEIPVVEPIPSITNYPFAPGGQSLPLHIQTASLTVQDSPPI SSGTPTHIPLPFVSQTIEPTHLKINHFGSRFLPHTTSQIRCVLPLMTDKILLIGHDDG LSVLDMFPQEWTESGDMTTKGPDEAQCRPVWRGESVYQMTILESEDRGTGTPQGVVLA VVGPSQDAVSVGKDVEALRTARMYNLGSLISLARWTIAQKGAQPLNLRYMGITQAPST PSKKHKAHGSIARNLKALSTGNPPLEPPSSYQTFLSPTGASGPSLARGPSSLSERSFT NRSVSDQSTWDVVDDLPLRWATDFVPLASPGSRLVGVSVLGYATWSDENRKGTGGQLL AITTKNNILLYETPKGERAYRFVKEFYTPLQPRNIAFIQQSVSESRSPLEERSPSRFH SHKRSESGGAIKGLVNGASSPMPLSYGTHLSLFVIFDKKAGWIRLADSAVGEVELGED GGPQPAGLLYSRDTFASNISATTLRQKARVSFDIRESAAKWLLPIRCTLPVPGQDAAN MQPVYILTRGKRTHILPCPLPTRSSSIPPLHAVFWKVPPKHVSARLIPGGHDPTMEPP MLQLIAFGENGVEIQEMGISFMSSKGKGRAYPEESLWAEEDLGGETGFLSVGGNWDRV EQIYGSQALSSASSIFSVDTMDSADIHARLKKEEGIYGWCRKGLEDWRVFWVGGSSHY QDCEPTRGASLNYMNSTASSMYL JR316_0004399 MRVYLPPVLLYITFVLIPSVIADPISIPIQDCFDDSQSSSSQKF DVNTVYGQVLQNEEFGRYLNLTVIGNSPQDIVGSTNRSGSLATLFTTSSVLTLNAWSN SSYLCQNMRPQSPLPELTSLDGTFCPIASGPFAFSSTIPWGRDRELTTLITRLRAVDP FGKELLCLDMLITPLNPRVNSPYGNAKIIFWSTVGLAIAYWIVVGIARIVSAWNRGIT RPGRGLWSRAQSAGYILASAISGERLATSPALMRFCTPSMRDVIFHTQWCAILAMVAV EWPQFVYPLLTQTAWSTLSYNISLTQSSQSHHWDPLNTSRYSPPDGFADQLSDSQSPI FIDPSVPNVIYSLPPDATHGISSFAYTLGIRPQDLFPTCMIIFLGIIAAAIVISILIC VIDYTVGLFGGSGGGQGHGTGMNRLTRSRSPAFGSKELGDAALPSAIDESKSLTGSAP GLSASGKFPNAKFSLPLPSSGVSSERGINSHRSWWRLRSDFGAFHGSVLHGNLVRILV LFHLPVTVFSCYQMTLKDVVGTSSIVLAALSFVIFSILVPAHLVIRVTFTTTNKLYDE TKTLLSLGPLYNHYRHGSQLFASLFFATNIAFGVTVGAGQKSGTAQAIIILVVEVISA LVTSIWLPWGSGASMGLISFLFCVARIVIAVLLVILTQAISIGPGPGGWVAYGILIIL ALVYLALIFMLAVKLLEALVRIVGRVGFDRSRHVVDSGLLGACGLLGCCGSRKRSRRN SRRRHSHRRKMNNTSPSQIQTRDSDLSSYMPPVGGIQPIDGTSTPPRFLNTESRKGSA NSQPPSVLRPEHANRPYKEELVDSEDEGYIMGAWQPFPRPASGYTPVSNVPQIGHTPQ PKASPSSNMSNSVPTSGFSRVGGGRAHIDTPYAINTGSTHTFPSIGQHSQVQPVGNQS TSALGGSPLFYERGMDSDENIPLSVANVEVAVGVNGLPSGAMMPVHTRTKSQTAIIDY LPSGPASSQSMSRVFQQQQDLGQSSLGPRQTHVSEDTFLRPPEAMAAHKFVVGDNDVD DDDDSGDEQNHKKRKPWYRLRRNRPHSMAGGQASTPNLGKAAVDEELGGLNTENTPQP QKSFVVIRKPPSASMGRLNAAAPSSGANTSATYPKASSRPPTR JR316_0004400 MLLRRVYTRSLNSRAFHDLRTYQDDPRWPGWQVIIGIETHAQIK SRRKLFSDTFTPEGDELPNTKVSPFDAAFPGTLPKVNPKCVDLAIRTALALRSKISMR SSFDRKHYFYSDQPLGYQITQQYSPIATHGVLELEMPRAAPIKVRIKQIQLEQDTAKS TFNPRLQKSYIDLNRAGAGLMEIVSEPDLRSPEEAGAYVRTLQSVLRAVGSSDGNMEQ GSLRCDVNVSVNRKGEVPGTRCEIKNLNSVKYMMAAIVHEIKRQTQFLDTNPGATVPQ ETRGFNENTFETYRLRSKEDAPDYRYMPDPNLGVLVLSQARIDDIKTNLPSLPWDIRS RLVSKYPSLNAKEKSLNVLINVNSGKEIGFDGENSGGAVEYFEELCQTPVTGGSRPTV RDPVVLLNWLTHELLGQLASRKETFSDNPLSASQFGELVDLVQDGTITGTSGKHLLRH MLANPSSRRPREIATELQLVALTSTSSSQSTTSSDSSVISELEDLCRSAVSNLPCEVA ALRAGNKNVLNKIVGYVMKKSRGRADATATRELVEKMVMQDGCKLTCDPVQMCIIEYV TGLGHLRDPCVPIGAVNEQRKKGLPPPLPSQTRLANTVMLAGAGTHIRNFH JR316_0004401 MPYALRSASRSVQNSPSVGSKNSALTVDDLESTTPSATPRKVPQ CSKCKRPRAGHPRSGCPFTESPTRAQGAENRGNTLERHLSDALESMAIASPGRALERE SEEEAKTFIRNRRRLSAQPPVNQSDSLLSLSTSSSEIVARLLEPGIFGDRDEESDAES GKTARIVRWQETIATPSPVRDKSKGPRATMPGTLIPPTPASSFTSSSTPPTKEEEIIS ADLSYISDPSHLTTTESLSSHRPPNVVRQPQPLGRTMSAAERDIFVSKLSDGAAATIY IIPKADVADVVAKATSLKFSTAISMSDDENDPQALVILGRNESAVDELFKKIEKENRK AYLSAKAKVAAGQGGSTLKSAAGAAVIGAVTTWAGLAFS JR316_0004402 MKNFVTRKTSHGLLKRHSDTQLAERADENCEPADPANTVTDRLN TLLQNSGDGYVLSLCPSQTYMIQAPILFAHPNQEISTLGYPRDNTRAVISVAGPVMNG QGHTTAVDGTCSTCSGVKLRNVQIDGARRGAAPTNGGGNIEMGGGNSNQLIEFVHSWD PRSWTCLHIAEGPLSCSGVTIQNNDIGPCGSDAFQEWADGISVSCRDSVVRNNMIQDP TDGGIVVFGSPGTQVYNNTIWILNATLLGGINLVDYDPWNGDFTSTVVRDNVILGGFA TDEPDNDTKGNNAENAIIKIGIAIGPKTWFGDRYGNNVVKNGVVQNNKLSGAFSYGIA ATSATNFTIQGNAMFGNTSFIGARGPLCTDSDVVPTPGPFILDPTTTTDMSVQSDFQH SNEADAFTCVLPPNGGDFWPFGLNPSNSSTSAGTNGTKPTSGNTAGVAIGVIVAVIAT AIITWFARKKIIAHREAAHHFKATKQTEYNQKI JR316_0004403 MQNSNNNSYLGVTPPISVLESNAREKEVTVTLMEELRRQNTFES EEEAKTREIVLGRVAALVKKFVKKVSMDRGLSESAANAAGGKIFTFGSYRLGVHAPGS DIDTLCVVPKHVSREDFFDVFEPMLKEMEGATDVSGVPEAFVPIIKAEISGIPLDFLM ARLALSSVPDNLSLKDDNLLRSLDERCVRSLNGSRVIDEILRLVPNVQVFRDALRCIK LWAQRRAIYSNVNGFLGGVAWALLVARICQLYPNAIAGAIVSRFFIIMYQWSWPQPVL LKQIEEGPLQVRVWNPKLYPADRAHRMPIITPAYPAMCSTHNVTQSTQMIITEEFKRG AEIVDRVIVGTAEWSELFAKHDFFHKYRYYLQVIASTANQDLQTKWAGTVESRLRQLV MKLEYVDSLILAHPFIKGFDQVSYCLSDDEIRAVAQGEISDVVASRKKEDIEGKEGAS PVYSTTFYIGLAIEPKPAGAVGPRKLDISYPTMEFTKLVKMWDKFDESTMGIIVRHIR SSGLPDNVFDPGERPAKTVQKRVKGAGKSSNTSPDMPNKRQRLVIAFFDVKLRLIEMP CLPSFLSSSQSTSDLPLKLTENGSTGNTPSLPPVAETLTPKDSKAPQLPFGENVAVAT GAVTAQ JR316_0004404 MNVVKEINKINQAELDLGLSGASWHDEYKDSAYIFVGGLNYDLT EGDVITIFSQFGEVLDVNMPRDKDTGARKGFAFLMYEDQRSTILAVDNLNGAKVLDRT LRVDHVKNYKQPKIKNEDGEFVDPEDQSLNARPQLIEDAGEESDSSAMTGASIDPEDP MRDYLIAQRREKKALKKDKKSKSKGKHKDETPEERRARKERKRAKKAKSSALKGVEDL LNSLGRPPSASEEQDRSSRRHSPSRERRSRSPMRGRSRHSPHGDESYPPRRSKHLDEE SRSYDHHSRRSPRE JR316_0004405 MSSFSLPPIHDNPDGGWGPSTSNFPDQFKFKDIPYAPYSKSDKL GRFADWNDLSSDNRQNVAGLPATQNVRGGGPGGRRGDRNQAFGSGTASAFAYFHVEDE SSFSLVDNKAAPARRGTGFSRGRGGVRGTANYSNVRGSGRGGRGGAAFRGGANQRNAP NQRRGWRDWEKNNRARESSVVISPQWNMLEEVEFHRLAKLRLEIDEPEELDSYGRLFA YDKSYDRVTTKTEKPLQLVDRIKYNTTTSDDPVIQQLASSGIAKVYTTDAILSVLMCA PRSVYPWDIVIVREGDSLFLDKRDGGPFDTVTVNENAADPPQDPTPPNPNNPTEKAAI PETASINSATSLSLEATYINQNFGFQSVIETAPPPPVDFANPNPFYGPEETEPLASCG YRYRLFDLGITEDEDIKICVRTEVDAYIPGQGNPREGQGLVTIRALNEFDPRAQGAGG APDWRSKLDSQRGAVVATEMKNNSCKLAKWTVQSVLAGAELMKIGYISRANPRDNTRH VILSTASMRPTDFAAQLNVSLANGWGIVRTVTDMCMKMPEGKYVLVKDPNKPVIRLYA VPMSTFTGDDEEVAEVASEEE JR316_0004406 MSFENASVTKGLIIFSATSSVCFGIFDLKHYLHLQFVPHISTYH QYWRLFIHHLAFSNSSDLLLAVLLLYNVGIHIERQFGSVKYASFVFMSLLLATLLEFV TLMLFNRVGINKFATGPSALIFCILYQYSRIVPPSYMFKVFGWTFTNKSFSYLLALQM AISRLPSSAVVAIIGLLTGQLYRSDLAGFNTYRLPPSVVQFSRRYLSPVIGSLHPPRR SNRALPDGSRGDGSQNRQGIRLNEEVITTARRRRSTTPRIPLPTTTTTNQGLPSEGLT EWVGDLVERTGSARVGLRIPTDAEISTAVGMFPTIEREVVIGVLQRRYFPVRHILIEV LRLTIYFIQPKCRSCD JR316_0004407 MSLTTQSVPNFEEAAAVASEFITSLDNLPQEVQHLLHEIKLKEQ RCQELLQDISKDQSKYIKAALKQSSAPSTPTANSTSKSRTASPITSMPTNSHSKAHLP ARITSAYAEIDVLTNEKVEIAQRIMNLLTRTRARLDSDLAKVRTLQGEPAVDIRSSYV TATNQLRSASPYGVKRQDVSIGLSPVIQIGDNLRNAVNAAQPDNVISISSASGPSYTK KRRLNTNTSIKLPSPAPVTIPQHSSSTSRSRHSRQAQAARVQQQEEEDPDADAEGEED LEGDADEEDLTLYCFCHKQSYGDFHITCVGVKTPLPDKWYCPECLKNKGMGTEKRKGR KK JR316_0004408 MDSLSFDGYTTYSDASTPRTPSPTDMHFAPDFKHDIDHPVQNIF APTDDDNAGAVVVPPSSGPYWSQNSSTNTHPYAFNNTSNNSSRGSLLQELYESDIPEQ SLSPNFSHQSDNWSQQQQQQQQQRPSEFSMMRRATFPYVRRDHEDAMPMQYVQQQQQQ MQMMHLQQMQHGNGMQYSRGEHLYNEPHHMDSAHDFIPSMSNSPHSSYRDFDDGANIK LEEGAPLMVPSQTSFYRPNSSGGVCGSMSLSYLSPHTGLPVQHTDDAASKETQYLRRR CFNCHTTEPPSWRRSTLNPGKIVCNKCGLYERTHLRPRPLRFDELRAGNKARKQSKAT TGPNPSVSPKQSKMVKKEPREYGTGALLRRSSVSSSSSVHSGSGASDWDDNVSIYSSG SAPPTSFNSPIQQSYPLSRSDSQSPPRDGGIRLPNNPLSDIASMNVSHGPQSQLPTPR KSHTSPGAYFAPSPALSQTQALPGSRSGSVHGSPAVGHSQLQLQTQPDFFGPSENASA VSSPGPVAAAVPALSS JR316_0004409 MASNDSAITNVIPFSVHPLNTRSPEISTASTRATALDVCYSVYG ESPVSADTVDNFYEANARDIHRLSRQLSSVDVPRPLAVICTLFRLRVPTSNDLLFEAL RVWTDVVDICENESFDGHRKAIVEHTLNILVLPGIHREGSISHTVNNSTDSLVNIHGS PVLPSLSQPFISPSLPIPGTSLAFPSPLHFKLRIVTRLSFNEQGLVTHHRDIWDIKDV MGLLPGVSLAQWIGTRIAATGLSYISKLFPGKAHRHTELPSRSLEGADLEQGGRPLKA DQLAT JR316_0004410 MAKGSQLSQLKAALNKAGLTGPPNSKKRKRGQPLEKDKEKKAAK LEEIHQRLNPFDVKVTKLKHDVGGRRLKGITGRPAKSKQADLDQRKKVLLKEYEEKDR AGGIVDRRFGENDPTMSLEERMLERFTKERQRASKGMAFNLEDEDDLTHYGQSLSKMD DFDDVGLEEDSDEEDKHGQIARDVVSRTHFGGFGDEPEEEEEGPARKKTKAEVMAEVM AKSKEHKMRRQMERDQDDNIRHELDNQFADLRELIFAPDPSSSGSNMIPLGVREGGPY PKPPVDAETVVTKLIPTGEGDDYDQHVRELAFDKRAKPKDRTKTEEELALEAKEALEK AERRRRRRMLGLDESEDEDEGGKGKAKRKRGGDDLDDDFDDPNEDLSLLGPGLGGEVA ADEDDEEESDEDEEEGSDEGEEEEGSDEDGNSELEGSDESEGEEGDHEQLVAPRRTAK TKSKGKKKAKQELPFTFPCPSSHEEFLEIIEDIDDDDVPVVVQRIRALYHTSLAADNK LKLQTFATILIDHVLYIASPPNPRYSLITALFPHILAITKAYPIPIARHFNSKLNLMH KNLKRGLSRGPLDPDAKTWPGIPELSLLRLIGLIWPTSDLNHAVVSPTRLLLGAYLGL GRVRTLRDIASGLFLSTLFLQYESLSKRLVPEVINFLLNTVLFLAPHPFERVTDLPGS FPSPDFRSKLCQKLAMDAKVKKSLVARKANLTTLMESDDSDEQAKADLLSLSFGLLGE FAGLYKGLDGFIELYEPVLAVLENLDSKHLFDGHKTQLGKLVDSLQRLLKFSHQARKP LCLQAHRPIPIPTYIPKFETTTSNYLRRQDPDHEKNQASKLRNQYKQERKGAIRELRK DARFLAGVEQKKQIEKDRAYNERMRRVFGSIEGERAEEKAMEREKAKDKRRAGKK JR316_0004411 MNSASTSTLFQISGLDGADNPYDPASFTEMLKRIAIEDLGDNPS QKEKSNPNNWVQILDGITDHLLGPFPDPSITAWEAMEEKVTMTEAALQVIQRAFSRVV TIYDASENLVKKLLARLLDLYRILDSWTDSEQVDIKDFINPKQMKELTLMKPRIVAVD ILEPIPENHSLNVLIFPSNIPTFVSLVLEVIVKAICPSLPNDYYFLDIGRSIAEFSRK LLVHYLNPGIPMKADIRTKLLRNIISSTEPLWSHPVYRPFMGDLVEYLLQIRLEAGLD REWDPVDQYLIDTLKSNIVMSSSYPMIRIVIQRLQIYNGKDSERLSELLWAYLQYSLR RMEPTLISVVKKSAESLPISPERDIFLEELTKLTTESSHSNAVQHQFDISSRVWSHDV RQLVEEIIAPDTLSWMDEEETSASQLCKRALLEIQSRFERREIDPSAASRPSIISKMG ELQCLLALCNKSDCLSQNTHNNFLSIREYAPLIKQLLNGTVEEVTPDCRRKLFIALGY ICKHHSGKEDADVVIDYIFRGMTDTDRSVRLSAGRALNGLVQIYGLCERPGVDRVDLV FNRLYDYFQNSQNAIRETLLITVGCMGLTANFDILGKVLCLLVAQLGRQNPIIKGVAG MHIIALAKHFKKSVYSLLAPYMNDIAPYIFKQLPLQPELLGEVSHVMGFPPRDFIRLT LPYTLPMLFATNDVKGLNKVAKELSTKVSALILNHSSCILAHIFLLPGQSAAKKALTF IAKILTDASTSSIDIPSVVRSCVVPLLADLVIEMGDENSVNAQQAVKALKRVEEILSP DKKNISTTNSLEAFLKDYILGVISTINDMLQDVQGKKSVTVKRKILRSLGSLIEQMGA AISAVSPQIMATFQTMLCIPELSEITLESWYKFATTLDTIDLGPYIGPTSAAIVSSWS IFSPRARNLAFEILEYIICTMGNNLRQHLTEVIDISVVQDLQPLYDRLQLLRGTLSPG QELDRILNQSSSDNITVATQALQELKSFLSKGQKEFIRTITSGDVFHPYVGRILGTLL ATASRDIGDNYERLHLLAFECIGALGAVDPDRCEIPSQTTNMVVFKNFTDENENLLFA LHLIQDLIVGAFLSTSNIRYQTDLAYSIQELLKFCQFTPALVTSGRSTAVPIRVRNRW NALPKHVLETVTPLLGGKFKMNDPPALEIQQPIYPTQSTYREWLQLWSAYLITKVSGT TARQLFGAFSSIVRNKDVVIAHHLLPHLVLNILLSGNGDYASCIREEIVAVLEDQIDS DSRSTSDKKLLSAQAVFMLLDHLNKWVRHARQEIVQQDKDKKNNKRLPTSATDFTHQL ALVDSVLMSINQNLMAKAAFKCKAFARSLMSFEQQAISLANRGPSAQKDLPEYYEKLH EIYSQLDEPDGMEGISTLILSPTLEHQIRQHESTGRWTSAQSCWEVRLQESPDNVDYH VGLLRCLRNLGHYDTLRTHVIGVLTRHPDWEEALAGFQVESAWMAGAWGDVQKLVDRI DNNIPAIATGRVLLAMRNGDKDAINKALSQARLIVGSPIIAAGAKGYRRSYEAALDLH LIHELELIYQSSCKLLPDSHNYTQSSHREILADLSQTLSARLNTTLPTYRSREPLLSM RRAAFALPNNTRFNVEIGKSWLASAKIARKAKQWQTAYSAMLQARQRKTLFSMIESAK LLQGSGHTLSALFELENSLKLVGLLDDHVVDLTSDASSEILKSKTYVLRARWMHECDR FSPKEIIHMFTEAISLQPDWESAHYHLGHFHEDCFKRLPESEFIQRGLKMNLQTLRAY SKAVMHGSKFVYQTVPRMLSIWMDLADDKNVVVTSDFKRMTESISIAMKEAPVYKWFT AFPQIVSRVQHDHPEVYRHLRSLISVIIQEYPLQALWLFASVVKSTNQRRSTRGNEIL SHLRNNPRNQNSGLSALIDESMAMTNELLALCDHPLVDERPLNMTKDFPRLKRLGRSK LIVPLQESLTASLPPTSATESTHQPFASNLPTFQEFLDEIEVMKSLAKPRKITIRGSN GQRYMFLGKPKDDLRKDARLMDMFAIINKLLKRNSESRRRQLHIRSYGVVALNEECGF IQWVPKTTPIRYFLGELYSRRGIPIWSPEVSALAAELKRIDADEKKSDADLVKAFKTN MLGFFPPVFHEWFIDSFPEPSAWLASRLTYGRTAAVMSMIGWVLGLGDRHCENILLDS TSGDVVHVDFNCLFEKGKKLDTPERVPFRLTQNIVDGLGISGVEGAFRISAEITLQLL RDNKDSLMSVLDTFIHDPLAEWEDEKRKMDRQNRRAVKNAANNSTKPIPVTAQIIARL ALRPIEKKFDGIHVPQNNRESQEREIGTANLVQMLIAESSDPVNLAKMYAGWAPWL JR316_0004412 MGRNPQDLVGSGANKDDTASSNFKNILHVACFPNLIEPREHTPS DIYCRILSMAGNGFPFWPGSTPILREPYTRRTIEVGDVGYINQMGNFEFAFNLFLPSD DPLNQFNRNKLPEGYVPLDPPYESEISRIPGYYPPGSVIATKGIDVHRLGENPLHLKF TSSEPEVAVLVLPDGARREDLATSRVQEYLKKHAENWIQFFTEENVFRAIPNGGIYVI TGIDKAVSYSASTFPGRGYVGNRRISFEYEHKFRQLGRKTGIASYNWKTSERDTEERC LFFRGIRIGLSHPEWNLRIDEVHPDSTPVSVLPQAPPISDQILPAKQDGKPIQPGSDN YSKGLDIDSHDKENQDIDSHDEENQDIDSDDEENQNIDSDDEEIQDTEIHDEENQDID SDDEENQDIDSDDEEIQDPVVDPIVKVQNIMLSQGSLYQYPDAKVALVDDYIWSNAIK HSRKSDDPLTFKCSQGAFVADWGSLLENIFKSHEIVEKDDLVASQYMEPTEAKATCSG TSLGIKSHPGFDSSG JR316_0004413 MRAKPSAYTMDNVFGINRPRREDAWSAEARRQCSAVRNTFRKEI RDGLAGRKKCTVQQFTSQMITRYRLGSSFVLELVLRWDKRRFHWENVGRLGDQDESVP EADDAFDTVDESPTGSPCDTIMGKKRKTLHNKGMTSGRVSDGNDYWAQVDSWFATRIL EWGSDFTSSQWREFIEESLLQDDLKFNKHASKTTLLSSTTVSRPTTPSPAPPSNPLVH TSSNPFLQASNTQGTPESYVVNDNWLAVILNSQATNE JR316_0004414 MANHPSEPTDPIALRTPVRKRRAHPSELGYLEPRAKKTLTLPTG GDGSNRSPGSMGSGQNAHITADADPAPPLSPTASETIDLRERLSVSVSPRNRLSKSRR AVFDKLAEVVSWVEERDREKRKALKERAQRSADDRFAAIVARKEALEHARATAEQCKI ARAGEDAAEQKLRDSEREVHQLKWDMQEFTKKYEALEKELEQCEAAKDREVNLSRAME IRGDFLFKMLADERRWIDSLKAVLIEQGIELPAYPEGRSSFTDM JR316_0004415 MADCDYARFTTRSGRAYALCRKVAVYALQLPAAILAAMQQEAAT DVCFASEQSFTPVPAVCIHRRADSGDELPYSSPSESTEALQLNPSACDPSSTSKKRKR VVDEPPYSSPSDSTEASQVNPSACDPSSTSKKRKRAAGVPLSRHLRSIRREEEFAAHG HGANDKRRSKIATQAAVEGTDLGLKELPAANGAYEARREEECEGRAYTLDEAKALGLK VFHWDGRQPVAFVAPDDTVFMVLAGRPNDADYDAAASRVYEAFRKESDSVQFTGKYAG SRRGVFSVINVGVSRGQGLAEPVYLGCHSHEKLVSALLKNKDVQRMASYASAAFATWA PRTYNYYKTQLDKLFHHMNHLPRIFRRSIFPAAAFNLGPSVVTFRHRDMKNCPFGMCS IQALGKFDPTKGGHLIVWELGLIIKFPAGSTILLPSATLSHSNVTIGKDEERASFTQF CHGGLFRWVDYGFQTEKSLCESNPTLYAEVCRLRPQRWQVGLNLLSTLDELKCGGFDS GV JR316_0004416 MASNKRQVPDPQVFRCIDDGAVLHYVCRICADGKQFLANNCVRH LDSAKHQAAVRFVAQQAQPLSQREWTASLDNPFREFLVAASMIPDQPLHSHYDLDYGE VNQCFQEIGSNPPEHAPAPPPLVNWSQHQVFGNTQAELSTEEEVHIRLCQATEDYLNG DISDFEEGPGYSDILSDSSNSVNKEDLNQSLPRKRARGGITDPDTLQKWYPWQDRISC SLDVLTHLPRSAFSRKQLDLFLWLLKVNGVDDIPTTKSVKSANETLQKLCGIRTLECN GKQGHQYYMNSIHDILSQEMANPQVRPHLHFYPEDNGKVISEARHAAAWLEDMPSEET TPMIRLKQADYYIFEPALLVDGRCCIPYRWFTRGGVFFGKAWLLQPHANGWIVCKNTT IEVSQSQLLKNFPQLAKDHALYGVSHPSLIIGVQLAGGSPETPLSEWRHTNPVLGNLW RERAKGQRVVALPLWMYCDDTSGNQSKKWNKHNSFLFTLAGLPREHAAKEYNIHFLCT SNIAAPLEMLEGVVEEIRSGLKAGIWAWDCVLKESVLIIPPCLCFLGDNPMHSEFACH IGLRDKYFCRICWVKGLDAQDVGVIPLPLDGASEHSDAHNLEQEQLSDALSVGSETEA HPTGKRRRRFQESMSAMIQRITAFIKPGKLRRKEETMKILESYQEQSQTIGAKSKLKK MRTKTGIKDTMQEFFLDKLSNSYKGVYGPKDKQKVLESEMAKLPPSIISPVWQLGLDP HQDTPVEILHVVLLGFVKYFWRDLVQNQVAPFVIYDLVPQNVLAAWISLSTLVPLIWQ PSIRNIDEYLIDLQNHIDDFLLKTARWTCAWFNKAKFHILLHLPEHIRRFGPAILFAT EGFESFNAVIRGHSIHSNRLAPSRDIAIGFAQANRVRHLLSGGYFLPEDLMQSWKKDI HSVPNLEWRTAGVAALSLIKDPIPAGYLGLPAMETYKIIMVGECQRNVTAPLLWNQTL TGARMFTNVLGRMGPSNCTPLYYSCKNVVLQNGDRCGIGQCVILQEDTGLSTYLGSVK EILQEVGSPNYHDNQADGVLVQIQQPDVSNNQGLHMPRLVPVNRWRFVPLTAILCTVN TQHNCVQHKCQPNGRRVIFQERTATQHMAHRIVHSSHPEDQVLNIAQMRDAAHIRPYR IKPEHLERQAVVEQSVVNELQKRKLKQSAGERGASKKVASTQRGMMGKEGCSGVQSSD FVFPGQGFIDFNAF JR316_0004417 MAGNGFPFWPGSTPILPEPYNRRTIELGDVGYLNQMGNFEFAFN LFLPSDDPLNQFNRNKLPEGYVPLDPPCESEISRIPGYYPPGSVIATKGVDVHRLGEN PLHLKFTSSEPEVAVVVLPDGARREDLATSRVQEYLKKHAANWIQFFSDADLFRAIPN GGIYVITGFDKAVSYSASTFPGRGFVGNRCISFEYPSKSWFLDRKSGISTYNWKTSER DTEERCLFFRGIRIGLSHPEWNLRIDVYLDSTPVSVLPQAPPISDQILPAKQDGKPIQ PGSDNHSKGLDIDSHDKENQDIDSPDEENQDTDSHDEENQDIDSHDEENQDTDSRDGK NQDTNSDDEENQDTNSDDEENQDIDSDDEESQDPVVDPIVRVQNIMLSQGSLYQYPDA TVALVDDYIWSNAIKHSQKSDDPLTFKCSQGAFVADWGSVLENIFKSHEIVEKDDLVA SQFMEPTEAKATYSGTSLGSKSHSGFDSSG JR316_0004418 MYLTAQENAVRFNQEPPEGFMPFEGNSGVSYSYRHDMILTRGAP SGPEYFNEYHNPVEWMGKAYQFKISPKLSKTVSALVFTSSEYRIHKLATLSNVEEYLE SQLKSWYNRVLPNLKKKDRNGRLVLVEGTYVTEGAVGLLHYSRDKARKVKPDDVIKGI FGRKLSTMTTTSDIGGQAYKYVWNIMGPGSSQANLKRTMIEPAQFNGNHICFGIVALS LWEK JR316_0004419 MAGNGFPFWPGSTPILPEPYNRRTIELGDVGYLNQMGNFEFAFN LFLPSDDPLNQFNRKKLPEGYVPLDPPCESEISRIPGYYPPGSVIATKGVDVHRLGEN PLHLKFTSSEPEVAVVVLPDGARREDLATSRVQEYLKKHAANWIQFFSDADLFRAIPN GGIYVITGFDKAVSYSASTFPGRGFVGNRQISFEYEKKFWYLDRKTGISTYNWKTSER HTKERCLFLRGIRIGLSHPEWNLRIDEVHPDSTPVSVLPQAPPISDQILPAKQDGKPI QPGSDNHSKGLDIDSPDEENQDTDSHDGEQQDTNSHDEENQDTDSHDGKQQDTDSHNG KQQDTDSHDEENQDIDSHDEENEDSDSDAEENQNPVVDPIVTVRNIMLSQGSLYQYPD ANVALVDDYIWSNMIEHSEKSDDPLTFKCSQGAFVADWGSLLENIFKSHEIVEKDGVL WPMVFSSDSMLIKLRDFASYSTTEKKDFLDLVASQFMEPTEAKATCSGTSLGIKSHPG FDSSG JR316_0004420 MANERVRVRGTSIFRPIIYGNTATVLTSQEKLLAPSQEHTHRWT VAVRSAASPPNSDQVGGADDLSYFIKRVTFKLHDTYPNPSRNVDKAPFELTETGWGEF EIQIRITFVPESGEKAITFYHHLKLHPWTATGEPEIPPLEQAIKAGPVHSWQYDEVVF NDPYQNFLNILLQHPPTPLPKTKRKPVPFHLANPGSLEASKGGVPEFNAQMEKEESER LEEARKAVIAEQDRWREKLIEKERELERLQRELDVMGS JR316_0004421 MSLLSPLYDMPNQSLEKQKYYQNSRKPLILRGPRAPLYVGAYTA LFAVGMASTLYACAGLVLGNKAE JR316_0004422 MEYEANGVGDILFEDYRLRLDGDPRAEKTSTTPTNSIPAGASHK LRVWLRSLVPLPASDPSTSYVLPFNDSFIYQRIWKCDNFKMGARLDFESLGPKMIMGF SSGVPETIITQNPSTVDDLTSPKSMYKEKRDGYDF JR316_0004423 MALQPSLRAQTILGSSGALHTIDVFLDYVCPYSAKMAFKIDKIL KPLIERGGPYEGKVQVIYRFQVQPWHAMSTLTNEAGLAALRVSPENFWAFSLNLYKHQ EEYFDIPTQDLTTRQVRDNLAKLAAEVLPADKVEAFKDLLAFKGSPNGGNAVTDDLKY NIKFSRQNGVHVSPTVLWDGLYQSKIESSWEDRDWKDFFSQQI JR316_0004424 MDFSTFLVQLNDAIVYENGPNLAFLLRPTSPHGKDLVKEFRNPT RASFSRYEGSMQSPWDEIAIQYVLVCTHVAKKRSLEAFKEEAQLVSLFFRFFTETPGW TLPALCSILRDLRDLAIDADHYAKYNNQKSECMEESARVIAKAFSYCVMDRQSPLEQS RKWGVYYLVGLVMKCYFRIKRISLSKNILRALEANNDIPALSLYPRAHQVTYRYYIGM LSFLNEEFAKSEEQLTLAFYNCHVDSHSNQERILAHLIPLRILKGHLPSTELMKRFPV LDELFSPFITAIKKGDIKSYDQALEAWETRLLELNLWLSLEKARELCLRSLFRRMWVA VDKGTRLPISLFHSALTVSGLDVTDDEAECLVANLIFRGFIRGYISHERKMVVLASTN AFPPVADRPSPLSSI JR316_0004425 MKFFGAAFICLVSVSAAFALSNRMLTLPPSPGGLSPSKLASGLK PQVLAPIDVSKAKEQADMCRAAIQDPADNMQTFAVGQYENADKPMAMRYGECVPLRDE SGNPIATTRFCQPSSCTIYRDRECKDRVLTLPPQTTAGWTMESPAFNFADATGLLGES SSCTPAVV JR316_0004426 MHNRTASALITLFGAVTNSALTIQVLAVWPSLKWEPESEWELSG DKWQLTGLKFIWALLCLYFASAAAVCSVGFHGVLKHKPSHVRFYRDYSIADFSFCAFF AALATYGAFLGPARAGVCEEFSHHPELMRDMLEIGLSLENCELWIERAVYAGLAVIFV VMIIRVSFLLFIWL JR316_0004427 MRLSRSLAIFALAVGSCSADAYFSEGWKPGQQVQRETQAASATY VPQPSQTTQAGAQPPKATPFSFSSLFSIDKLLTSEPAVALFNTFGINITERVNAVVNV KLWDERVPLITDDNYQDLIVNEPLTEQEAEDRVWVLVITVTASRQEGVSKFMDEVFDD AFNQTQIAGDLPNVKWGRIDYLNVTAITTKWGIWQAPYLVILKDRGQSLRFYRPYQIR LRADALREFLKVEGWQYTKPWSSSFSPGGKNEFIMEFMAVWFTKIYNYAVRIPKWMMV MISGGVGSLIISLLHRPSVKKAEAEKAAKAKAQARASKPIAPPPKASTPVVAAEKSAP NTDSEVDASAPAPRRTSARQRKNKK JR316_0004428 MYGGHLSADPNLSLASSTDVTAHLYFFMVKNRRTADKERIVFWF NGGPGCSSFDGAMMEVGPWRWDGKSDHDFYVQEGGWEEYTTMVFVDQPAGTGFSYTST DKYVHTTDVAQGNFLEFLRNFYLVFPEYKNVDTYFAGESFGGQWIPYFADAVLNSLMK VPLKGIAIGNGWIDSKRQYPAYIDYAVKMGHMEENDANWKETKKETDSCLAALEKLKD KNPMTIDACAGILRSIMNASERTVKGQKMCINIYDIRLEDTSPDCGMNWPPPMHHVTS FLGRSDVVAALHATAHPGSWRECRPDVHRAFRESSEESSISILPRVLSKIPVLIFAGD QDLICNYIGLENMMNSLTWNGATGLGTVQTQSWTVNSVPAGTWVSSRNLTYVKIFNAS HMAPYDAPHVSHDMMLRFMGVNFTAIFEGSAKIPSSVGNEDKPHFVEQHDAKPPTPSK TPQQDKAMWEAYYNAGSAALVLVLVFLVIGTFVWCRLRRKRSVKLPFTQEEESIPLNS ALRREEGDEDLESSRQRKGKERSKDDQQVAEPPIFDVGDSDEEDYKHTKADSK JR316_0004429 MVSNSWPFPNKGVTLYTKQGEIPMVTKNTRLVNMFTKPRPVRST SPSSVDADYGESDEDEVETMEEYAENLLEEEEVYHWLVASDPQPWPSPEIKAPTEAPF AIWGVPAPLIFQLFQFLMIYFGFLPKRQEHSMPIPQPMPPRRPLHPLHYYDPQERAKL QRWDNTRPPPEEDDMTLVVFPHPGRNEILERIRMTRDWSSGMLDTHQPSTLRVYRVRE EDRVAFYWSVSAAHLLFIWIRKPIHDFDTIAVYRHGHRCWDFDDKEAWALVSGGRWID SVRLRAVADRTTKWPLSRKGRLYFGIYRGINWILRRLGIAVQVNVPDLLNLQY JR316_0004430 MSTRSLPDCWGHRGASSRFPENTLASFEAAMRDGSEGIESDVHV SADDVVVMFHDPALERTTDSKGQIKERTWYGENGMQHVRTVKEPKQAIPTFAETVELL MKPENRHIKFNVDVKVQNDPDRLFSLMHNTISSHPSWETDLAPRILLGLWHPRFINFA KARLPYCRRSYIGTSTYVARKYFWKDCDAFSMAFASLATVDGQRFRAECKIAGKNVMV WTVNEPGHMMEAVRWEVDAIITDVTKTWLDLRSALQTDYDSIGSQYGRFFLWTSLKFY MPVLAMYNRKVQTYLESIAGPFDAAEIEAPPVVAPVVATNA JR316_0004431 MSYPKSNHPNSPSFDSSTYTSTSKSSRSATSPRKTHRKRASTSK LSSDTTSTLPEYFSVPTRGAAATTGWQPNSGHSQQILYPTQRHLHSFSGSRLGVGVGE EEEDKPPDYPDSAEEADEDTDTDVNAANIVYVPVASPSQRPQAVSPRRNKRFLPSHKR RQSVSSFQQFQQQQQQELESSDPYLDSLLERSVHALEMSNTLLQSSISTKSSLSTILG ADTSGDGALEARALGLSHRIRETWDARAAWADDLEEISRNVEGLFIEENNSSPSSRRY TSRSNIDSSTAMRKGGVSCSLPSGGGYMSSMRRRPSLDLRLQSDSAAAPRLHYTLQSR SNLVSPPPRALTQYVASTEDTESIFLPSTIGLRSSPSVHPTPDSSWKPLSDVASSSTT SLLSTQSFSSLAPSKLADKPLEPSTPAYNMLSSFVFRPTPPGSATPSFVSRRRDSSIA SGSTERERRSRRSSKSPVSQNRGTVSPIRQLTPPVEEASSSSSSSDGFLAKRTVQSLR KILDDQPPPPPPVSNKLKSPAFMPRTPAPAAEAETSTATASISRLFTKGKHSSSTRSA SPPRQSAMKQRHRGAVASPNGDANPSASALPSAVHTPSLSLSVSTSNTHTAATPSPLP SPSPMSASGSGVVQTLSIPDLVSKVLKARSGLGSRGSITDADSSGQSTPSKRISFAEL PESYASTRPPSSRFSKGNKSSSRRRKSSGAGSSGKGDDDAGDVSPGSWWSGWLGGGMG GSAGVHGLGVSLARQEERMEDRMTRNWGGRINSGYGGGGLDDWAVSPPKTRSHTVEYE JR316_0004432 MPRPKGAKNRPRDSNAPPRKTPICQNCKQPIAGHGKHGGGNKYC PVNISYASRGLSKSTDPESSRMGIKFDNQTVDDFVKRGQQSGSSATPLEAPVALRWEK PMCPDFVTETGDHLHLTDPWFDSTPESSGVSAASSPGIVWPPLTGDQLQLYLFDPNYF ANALQFVMISNMQDGIGGDAGPSL JR316_0004433 MSFLISLFKPLAYISLPLLLVRQVAVSSMVGRYYARVVVYAGTL MTVASCSVFIAAGMSLIGQSTNVNAVVARIFYFLISRSLDLKISVEGEEHLQTSPTVL MANHQSMLDVLVIGRLMPKQTAIMSKKSLQFTPLGPFMTMSGTIFINRGNSASAVRSI NAAGEKMKRNRTSVWMFPEGTRHMSETPDMLPLKKGGFHLAINAGIPITPIVTENYWH IYHKGLFESGTIKVRVLPPISTEGLNASDIGKFSTHVREVMLEALRDISPKVSAEKET LESQKPTTQDPKLAKSHGGPLESAAVSIIAVSEHTEDLVTKKSNSSSSIASSLTSSER RKANMSEAGTETEEDEGMILVGRPH JR316_0004434 MPETALESSTASRGRNAVSKPLSESAMGSSSKSKPTASRSGSAA SKLSRAPSATSISSKASIGVSTPTGKANRAPSETGFKVTKLSSTTVTLQSTWSPKPLS DPPAISPPVGDPSTTKTTDPLQVASQVYPWTYMSSTLDACFNAAEASATNAIETRAKE LAAEESEIFEQLDRMDSERSIEFYDGLGTDVFATEAPAIMKLFHSNGDACNRIELEAL TLVSRDTPDPPEDEPLKVYQDMLRDLEQLHAEATSLQSSIINLTKPLENNTDEGDDES ANDGDVSSARSQVNGVFSACLPVLRARLANLSMAQDLIDSALENVSLGLRMESMGLTD JR316_0004435 MVGNGFPFWPGSTPLLPEPYDRRTTEVGDVGFINQKGNFEFAFN LFLPSEDPLNQLNQKKLPEGYVPLDPPCESEISRIPGYYPPGSVIATKGVDVHRLGEN PLHLKFTSSEPEVAVLVLPDGARREDLATSRVQEYLKKHAENWIQFFTEENVFRAIPN GGVYVITGIDKAISYSASTFPGRGFVGNRRISFEYEHKFLQLDRKTGIASYNWKTADD DTEEQCLFLRGIRIGLSHSEWNLQMDEVHPDFTPVSVLPQAAPISDQILPAKQDGKPI QPGSDNYSKGQDTEIHDEENQDTDSDDEENQDIDSDDEEIQDPVVDPIVKVQNIMLSQ GSLYQYPDAKVALVDDYIWSNAIEHSQKSDDPLTFKCSQGAFVADWGSLLENIFKSHE IVEKDDLVASQFMEPTEAKATCSGTSLGIKSHPGFDSSG JR316_0004436 MAGNGFSFWPGSNAILPEPYTQRTIEIGDVGYIDPEGNFNFVFN LFLPADDPLNQLWQDELPQGYIPLDPPCESEISRIPGYYPPGSVIVTKGVDVDRLGET PLHLKFTSSEPEAAVLVLPDGARREDLATSRVQAYLKKHAENWIRFFSGANSPHAIPN GGIYVITGFDKTASYSASIFPGRGFLGTRHISVEYANGFRQLDSKTGIVSYNWKPSKC SIDERCFFLRGIRMGLSDPEWNLQIDEVHPDRTPVSALPPTPPISDQVLPPKQDGIRS ILPDSDSHTDELDIDGDDEGNQDPVVDPIVKVRNGSLYQYPDAKVALVDDYIWSNAIE HSQKPNDPLTFKCSQGAFISDWGALLDNIFKYHRIVETDGVLLPMIFSSGSMLIKLRD SVSDSTTEKKQFLGAWHALGSGGFAIYEND JR316_0004437 MSVGRPDPNYIPKFVSPEEYNRNKASIEAARAKLEEYARLNEVA KRNFELKEQEEREERELAQKIQEGELAKQRLLQLRKRRSLAITDGSIAGSSSIENVGD GYVGEITSFQQNSAPYPQSMSQPMRQSTSSYDVSSSYNHPGHIQQPQLYAGSRKISTQ PFLPATQTTKVHDTINRSILDSHFLGNNHPILHDIKGIKQRRKTRVLIIPKDPSSSSL VGALGPNPSTTTSSWDAGQASSLPSPLWDISMPASPSVAQLQSIKQYLNQWASSVPPQ THYNATILWDTYVVKCFKNREGIIVFSIETPNGTIEAAAHGILDDLIAKVKPPPQPAV NPSAQMPPTVAQTIQGTSHSSIRAPNPTGHIPSTTSPSATQNNSSAAQNMAVNQTNVA PISQAPTEHIAQTSQQRANPVSVSSSAKSITPNTQVAPPSLPPLLTVSRATTGQSAIS SASVTPQGPLTPSKQPSSEQLTPSITPQQADKRRIAQSLLWALGKRPRESPSDTESQS SKKRAIEISQAENSNDEAQTAISAARINSQPDTVPPPHPIDHAGPSKVSQHSNQPSDV SALSTTHSMDVPPTVSSKPFNPGVVENLSTQDSRSTDHRQPSQSNTRLVPNNVTTNPK YHPNVSRSVIPNPKYYPRADYNTFVWKSGEPGSSSQVPSGRVQSATQDEPEKSIPDKL PEHSTHVQSFASTQLASAPTTLLPVSASLSTSSNTHVTLSHPQTVVMPLESEPKEEPQ QSSVTSSSQKVVEKFGSDVSNIPVETKPRSTAAHTVRDSQEPGPSASVSSPAPTKSTT SPHYSSVKQTNREPLFLPSSSPESGPSFSQKQSKTPVATVHNANKILKRIEHRAYVMV PPAPDYLVRYREQLRRKQVRVMRDEEEEEPVSLPESTVEEEDCKETFRSGGQLLKHFR KRHADGPLKPSAKPEPPIAEVDVELPDIPEPFPSYLLSTDLACGEVLSEERHRLVGQQ VLMNITFVDDGTSLKRRPKKTARPASRTLPDPTARLTSDYQFLVTKDSARSSTYSSKA CDINHEDLDSAQISRIIKEGLVLWGSDEENDGGAAEVVAAPPGSPLFSPKPQQQLLDA TTATPGYDRSDSGSVHRQPEFDGFHTSDVEDAAVENMLTDVDIDLTLTS JR316_0004438 MAGVGDGSGELRPSISSCSSYSSPPGPSYISAHSADVILSDIRP IKLKVEALRAINALLDEFLSKILSTSCSLATDKLRAGLLTLLPTSLGKEALLEAEVEL RAYWERTDVKVATEDDDSRTFHFEWAYELLRQKCEAYSTLNEEDEDPAAEARINEQFG KLHPHPPSNALLDPAALYLTAILEHILSNVGRVAARDSSRTFATVNDLFVALCEDDSI YGLFRLMKIYEQIELLSKTPKTRRSKSFSRSDRLSISRTSSPQHDLTSAKSRPSLEGQ TSTTTPSSAAGSRTSVEKTRAIRMFTNGKSLGDIEAAPGGHKKSESLKSESLKSFPDN EGQNPAEDAAMLREFDDLMRSASTMKVSLTPDRLKTMEMYKQEKDQRSATRRPGTHFS SNSEPDIPPASRLNSQRQPLRHVDSIVEDDEEHSSPTPRTRKLSTTVPPVPPLSPVLP ARARSISTSSALHAASRKPLRNPSLSAASSSFSQSDKASNMVQSRDMRYGKPSEGNGF PPRTRVRQRNRDSMDLDDVMNGSDDDHEPDVPVRQQPQSPPGARRVNAPPIKPAVSAK TRELMDFLAEGPPDSGPPPAHVSKNGRELMDFLADGPPDFGNNAATVDPFKPKGAGRL QRMISKLNLGNAEKIKTGSEAPKTPHSTTSPRTQQPPTPVRPNGIATKASMGSLANRP IPPRPAQLMQPPPPPISPPSSPHDSSDENKSMRNLPRKPHHDTSFSRDPSLHEKSISE RASPVIQPASPIPQSPTPRPDSYSRSTPPMRMNGNGTARQDRVPKEVLSDAQPLVPVR TTSIPSPTRKPVPSVLSTGLPAIPEPDVRDMQRLLASATTADECRLIFDMYMARNGIP RVPKPSTVPYPSPSPSVVKHTPYVEEATVENSLVELFLGGMTGPEATSELPYSEDGVT DGMPIQNDGSIDANTLHHDIVSPGVSITPSPQTIPLRA JR316_0004439 MPDGSGRSLYKSTFNTLAREESFRNPPKDGSTYNILNEFVAPHI ESFNALFDDSGLPSGDGDGRGLLNLCLKDIGERVIFDGSGRADSENGTGGWGNRMRVW IEQVTVARPMVPDKDRTATERKVFPTEARERLTSYRGRMTIKICWTDTSGQQHDTMKD CGLVPIMVRSVRCNLRSMSSADLVKHHEEPEEFGGYFVINGNERLIRYLILPRRNHVI SLLRPSFTNRGPSYTQYAVQIRCVRPDQTSVTNTLHYLQNGSAMLRFTWRKQEYVIPI MLVLKALVGASDKEIFEGVMMQDYENTFLSDRVELLLRSFKMFTMHTGDQCLEYLGDK FRVILGLPEDWSNAAQGAWLIKKMILVHVESPRDKFRMLLFMLRKLYSLVSGACCADN PDSPQHQEVLLPGSLYGMIIKERMEDILNNIKSNIMADVRNGLAVDFNDKRYFLKALS KVNCDIGSKLANFLATGNLISPTGLDLQQASGFTIIAEKLNWQRYISHFRCIHRGAFF AELKTTTVRKLLPEAWGFLCPVHTPDGSPCGLLNHLSRSCRIVTSPLAVAHIPALLAA HGMTQAFTPSIDGRKNLCVQLDGRVIGWARPSIAQQLATNLRIWKTEGKLDVPLDLEI GLVPESKGGQYPGLYLFSTRARMMRPVKYLANGRDDQVGPFEQVYMDIACTPEEIEPN VSTHVEHEATNFLSILANLTPFSDFNQACSPRNIYQCQMGKQTMGTPSTALQHRTDNK LYRLQTGQSPVVRPALHNTYAMDAFPNGTNAIVAVISYTGYDMEDAMILNKSGHERGF AYGTVYKSQIVDLKDMRGASKSTASPTLHFGLGNDIPLSGEKMHPCLDFLDYDGLPHI GARLVSGNYIAAYVDDTTGRTKFVKYKGDEIGYVDQVRLLGSDAGDSELQKIHITLRI TRAPVIGDKFSSRHGQKGVCSQKWPAIDMPFSESGMQPDVIINPHAFPSRMTIGMLVE SMAGKAGAMHGLAQDATPFKFSEEDTAINYFGEQLVAAGYNYYGNEPMYSGITGQEFA ADIYIGVVYYQRLRHMVLDKFQVRTTGPVDPVTRQPVKGRKRAGGIRFGEMERDALIA HGTSFLLQDRLMNCSDYSTAWVCRTCGSLISLGYDDISLGEMVVGNSGSLKPTGPGGE YCRVCRAAAEELEERERQALASGQSLQPPQADLRVAISSQHVLNRVSSKGGDLDVVAV PYVFRYLCAELASMGIAVSLEVR JR316_0004440 MFGSTWGTQNTQPQQASAFGQPSAFGAAAPATNAFGSTSAFGQT QPQQGQQPQVNPMFGNITTPSSNTNTGAFGAFGGGNTANTGGAFGVKPATGFGAFGGG TSAFGSGATTGAFGQPANNATPASTSVFGQPSTNTTTSAFGGGTTSLFGGSKFGSTTN TNPTAPTVTTGTLNPPYNTVSEKDGTVTLQFQSISVMPAYVGYSFEELRVQDYAQNRK TATATGAFGQTAFGATQPSTSTLFGGQPQQPGQSLFGASNNTATPSAFGAFGQPAQPA ATNPAPAFGGTGAFGQPQPQAQTSAFGTTTGAFGQPAQPQQQTSIFGTGGTSAFGNTA NKPAFGTFGSGTGTGVFGGTGTSAFSSAQQPAQQPAQTTSIFGAAQPAATTQPSPFGA FGNTANAPKPSIFGTPATTTTTQPTTSAFGAFGSTPQQPTQPQQNTTPSIFGSGGSSL FSQPQQNQQQPAQTTSIFGGGQTTTPSLFGGNTNTGSSLFGGNQQAQQPQQQQGQQQQ TNSLFGNMFNKPAQPTTSLFGGGQTQANTQPQTNSLFGSFGQSNQTNSLFGPKPAAPG LGGSLSGNQAVSTNLFGATSNNSGAQGSLTASINQPIGENLPIFSMLPPGPRLVDLEQ NKRQAGFFVDLPTRSPVPRVQLGYSPASSKLRGYGSSPSLTSSTSGNSLLFASGKPGV LNLNKADSMSSSIGPDFLGRSGSPALGSGGRHSVKKVILDKKTEPIEVFVKTGSPGGL RTGKVTFSPALSIAAREKDAATATGPQVQDSPTPAPRPQAHKSPNRFTAPSTKEPTAS SDADDQSSSTELGEGDYWVKPDLATLKKAGYDQLCAFEGLVVGRKGYGEIHFLKPVDL TGLPKLGSLLGEVVRFEDKECSVYPESDEAEKPLPGSGLNVESRISLERCWVTDKATR EPLTDPNHAAVIKHLKRLKNMKGTHFTEFDMKTGTWTFTVDHF JR316_0004441 MKLLTDKQREDHAAASRKGALEGTLASGAVALAGSLWANKRWPA YRRLPLSLKTLGVIIIVAPCLSIQAERRGLEYERSQWEGEGLRILDEKEIQAIKRWDA MSLSQKIGDWSFRHQYSLIMGGWAGSLAIAGAIISRQKYQTYPQKIVQARMWAQGLTI GLLIVAGALTQSKRAAFSKESQNDHSWKDVLDQQERDRQQEAALLAASRNPAAVAA JR316_0004442 MSATTNGSHPINEKGSMPNAGQKASNHEEEIRKSRRPNAQPPKA STNVSDQYTAWNLGTDGEKFKFAPPAPEGDPWSLLLEPLLERDRIQCDTWKNEVNNVL FFATLFSAVVTAFVIESYKSLTDDPQVVLLAHIANRLDSSLNGSTSPIPPSALPGDPA PADVRINSFWFMSLVLSLTTVLFGIISLQWLSEHQGYSELTPKQAFAVFQMRADGIKK WYLPKVFTALPVLLQGAVVLFLVGMVDFSLPLGLGVSIPTIIAIGLTLLFYIATTVLP TLQGLSFYLPFVLSDQPLTAPPQCPYKSPQSRAFRIVFHFVFHIAHFCYQCIIEPAVR FAAYQVPYWLGRIPSIPQRKHDPIISLLSPTWHRRTWQEFDLSWIYLRDHYAFQRFDR EGFIDDFQLEGRKLMPVYDTVLGLRDLFRATNKEVKEDYLATIYHCFCDVSRSVTDHV VNAVGRPLLDSVAHHHQYFYSLIDDRYTSLPRLSDYLHVHPNRTIDTPNFIDPEWMML HHEHIVLFFCNVITDPRDFRAFRMHLVEIQTRAMKWIYDRRTQIIPSTLLTLTQTPLV TPISITGAVCNHTRDDDIERAHELWWQYANIYLMFFEYAGSDRPTDLQRDIHAGCHIP DLILQIEIDLSYLRDFNYDKTINKMADDTLKAMLTIIQDRLENYMHQQALDERKSNRN LLFYTAAIFLRRFNCSRNQYPRSYLNLPIDVDEVLETLALYKHLVLDVNGRDALLEAR FAGIEKYDAVTRFTPTWWKFLDDVLPKRFDSHYTTKVFDDTAGTVVSL JR316_0004443 MLSQSRLLHRAIRIQNARTYASISSTSPPPSINTVGPYQVFDRN VKRIQRDKSALRDGGERSRTVDYVRNEVAERMLDRFLDIKRNFDTILDLGSGPGHFSK LLETDKVQKSIMLDSSEATLQRDSDSEFEVKVQRIHGDEENLLQYISPNSQEAIVSCL SLHWVNDLPGTLVQIKEALKPDGLFLGAMFGGDTLFELRTSLQLAETEREGGISPHVS PMTDTRDISNLLGRAGFTMLTVDIDEVKVGYPTMWELMEDLQDMGESNAVIGRRNVIH RDTLTAASAIYKGAYTNYYKYPSAYAPGLSACAEMHGSEDGTIPATFQIIYMIGWKPS PTQPKPLERGTGKVNLKDVL JR316_0004444 MSESSATVVEAPPTLVEVPALVGQEEASLEAKPTMSKNALKKAA KNERFAALKLERRAREKEAKREKKRILAEKRLAGELDEDDEEEKKRRTKKPKLQFGGT VVVDLGFDDMMNEKEIKSLCSQLAYTHSANRQASFPFSLIFTSLNGRTYDRLQSLGDA SYTRWANTKWWSESYDRLWNDQQILSVANEPIEQEVEDVSSAIDTTYAKDLGVAPEAS VGESSLPNTSITLSTSIPKDKIVYLTADTEDELIELKPDEVYIIGGIVDHNRYKNLCL NKANESGVRTARLPIGRYLASLPTRKVLTVNQVFEILVKWVETKDWEESLYSVIPKRK FVQGGKGGKATSIDETAIVEGDASTDEVLQEVVDDKTS JR316_0004445 MAAALPALKFLTVPPAAAHTATVIFVHGLGDTGHGWRPVADMFK VDPALAHVKWVLPHSPERPVRANMGMVMPSWFDIYSFGFDTEEDEQGMRQSANMIHEL IRKEISNGIAPERIVLGGFSQGGTMSLLSGLTGEHKLAGLVVLSGWLPLRHKFKELAS PHAASSSIFWGTGSADPLVKLEISNASADFLTKELGIPKAKPNEPQGLSYNVYPGMIH GTNPEELNSVKTFIKQVIPSS JR316_0004446 MPALPHPDEDFINKSLLAIVDAQADAEPVDDHRQSDADAPQMSF FPQSSKHFRNSFTSFPNTTRHRHSFYPDVQYDTRATYDYKNYLPEPYNPKQFQGPFPN QVQLSSQTPYGPHVPAAPQSTSGAAITPNAPLPIQSATNPSANGEEISTIFVVGFPED MQEREFQNMFTFSAGFEAATLKIPNKEYTAYGSLVPGPQGPTLANGMGLRAPTAFPYP GPNDPYNMVTVNPAGVVVDGGRDGGMSSWGGPQSVDDLANSHYLGAGPGGAAALGLGP LGLGATAMTGGTSLTLPPRKQIIGFAKFRTRDEALAARDVLQGRRVDIEKGAVLKAEM AKKNLHTKRGVGPVPGAGIGVQPVVQQQQSQQQQQHINGLSVTGMDTFNNDSAGFGAS SNRDSLSGLARLGWRDQGQLDPANPLQSNGAHVNGRDDEDRKRDSLLSMGLGGLSLAS NANATGQRGPRERAEDEEWRRKEKEQKEMNLMRLRASNAAAFDAFHGVPASAATNATN ANGAPGMSRQSSTASTSSGSVVGGGPASSNSGLWTAAPSASTVPTESVAASSPMLADA EAENREGDEAKQQQAEDEVVGPWDRFNKPTSASYAAVARPSYSTNGQHHPTESERSTS PTPYQENTQQYQTPAQYQPQFLHLHEQQQAAAAAAAAATQQHLFDQPHQHLYQSMYSQ QQHFRSSQSESSETGSVVGADSSPSTSNNPNMNPIGYVGARLGYSTGFGNASTSSARE PVSVAPSVSSSSGGASIAKSPSTGFNFGSMLHSTRGSLNGGSASAVSPPPIVPLSSSA GVDASVTAPTSMSIPTSAQNTNTSANASVAANGVIGAPAMSSGSSSNGGSASGSVNGS GGNTSPQLPSPAGSGMSTGSSSAQAPGSTTHVRGTVDQNPPINTLYVGNLPTSPLPLG YSNDYLEETLRALFSACAGFRKLCFRQKANGPMCFIEFVDVQQATDALKTMSGNTLGG IVKNGIRLSFSKNPLGVRTPTSAGGNNGGPTLQQQQQLVQTLSNHNHGSNNHNGHPLH HHQFNHNQQHQPQQQQMGPPPPSGSSETFQARLGEESQQQQPPQQHRLPPGILRRDST LSPTTMSSLAQAQAQSPSYMNGNGSANSFFSSPPPRFYTTSPPGLSFGGGGSGSSSTP LTGASSAFIPRAAQSATAAAGAGAGGFSPFGITSFAAAAAVGSSVFVPQQQQQQMPHL PQLSIPGDQHQPDS JR316_0004447 MNGLASASTDPQSLLHTSTNSLAGAAHPIGAGSTTILDSHSSLQ DSHSSVDQDPAATAVANIAPDDLSHSASLHPATESPQTEGEFVQTTEVIGVAQDKGGH SETENVEADTSIIKEDGEVLLRHVNGQIDLVEHTPTHDPGLGDGGLIMTDEGGDWLPE SDHELKRVKVYQLTGSRWIDQGTAFCYGQISEETSDALLVARSERNPNQVILSTAIRS NDVYQRQQDTLIVWTEPDGVDYALSFQDPEGCAETWAFIQEVQRHMNMSDDPTVVSSP LLGETSVTTSSIIRSGHLPPPQLGIISEIERAIKALARTQTVKERICDYIQRENYIKA LIKVMHTAEDLENLENLHALCSLMQSILMLNDHTMYEHILEDDIFFGVVGMLEYDPDF PQHKANYRDFLHNTAQFHQPIPMRDVSIQKKIHHTYRLQFLKDVVLARALDDSTFNVL NSCIIFNQIDIIQHIQQEPQFLHDVVRLFVNEDMLIGGSSTARRATLQTQQQQQQLQA PASSNQLTHEQHPHADTSTSSSSQTLTSTPTSQSQPLVISLNTNGDNVKTDASQMDVD QKPAASSPKAVNGTSAHTNGRGRRSNSYAFAPPDDLSEDDIALRREVVLLLQQLAIMG KNVQMPARIGLFRTLVDRGVLFAVQWAMELPENVDANKQMISAGGEVLSALLDHDING VRAHVLKQTVAIEKERESGKKGADKAETFLHVLCRIMAKSKDLAVQSQVGDALKVWLD TPTIDSTAGSGSEVGPKLPTMVRKDEPGTERFIEYFYKHCISTLLKPLLDLSEWKNFT EPSLSLTRDATNRFVYLCDLVHNFLQQHNFRSHFYIMSTDILARIATLFKAKDKHLRH SAFRIFRLLLKQGNPNSHTQIMKHDILKPILDLTLKESRRDNLLSCSCQEYFEHMRRE NMKDLIKFCMVHHESEIEKLSKTPLGAQRFELFIRRHEMNIAPPPEVSSPPDKSGLDR GWSGPGHVPDTAEEDYFNADDDEDDEYISTTSGFVPTSYQQRQWASSAGGNASSVVSP LSTNNTGMKRKRRPGLTSAPKGYRPPPLKAPQLSALLDYEDDDNEDEESGSSDATEIL PATSGPTASDLGSTSPSSENFTTTSRRPTDQPVSPVIESSGPPPMRYNKEDEEDNLLE ALARTQRARSQSPSPTLAPLRPSEKRRREDDDDDDELLTRLTKAPKAESNKKQTPQIA LGKSKAGDDPPSKKIKVKFGSVGLAVASTTTALAVSLSKEDAELPSPPADTPPSSELG KKDEDTG JR316_0004448 MDNRSTSYITLAAVSLAAGLAAYAVYFDYKRRNDVEFRKKLKKE KKRVEKAVAQSKQSELAESSGEIDEQALREVIKTIRSEPGPQTSDEKEGYFMSQVSIG EQLSLQGPRMYLPAAAAFFRALRVYPSPVELIGIYEKTIVDPVFKVCCTLFPLAYYSP TTLFTAGHAINSVRHLDDNATPPRGPPSETSSQEWDKLTDPDSHPSA JR316_0004449 MHPESLYFQEFPVVTALDSDLQEKGTHCAHCLRPIQPGMSLQDP SDTSSPFRLTYCSKACMIASKNQYHSLLFSLENPLPAEIPTIAQTQEEVNKRAAAQSD FADFIKGEHRLAPLLVARFIARQVAGETQKLVSVTASGMSATSSTDDFTDADHPIEKY VLADHLERLRYLEIPTNSTETKLLSDVLSTALPGLEAFITEEKYATSCGKMAYNAFGV CFGGGRDDRPEPAARPEDVEKTRTPYGTHRQIGSALYTVSSYLTHSCKPSARPSFSSG TAEISIIANRDLKKGDVLTVAFVDVTQHPDESVIECRRRRRFELARGWRFSCACERCI EEAQALTAEEKGSASEEQMKDESKVEASIRNFAKSEQVTQSEETIDA JR316_0004450 MASAISEGLATFYACKCLNVRINTSQPSSAAPECPSDPQYTPVF VQDDGISVTHPQVTVRIPSKPMPVSGTTKCSRFTTLACLLCNLHVYRVYQIISPDVEG NDSTLLPTEDWVEHEIMKSATGWIEVHKDTLIGENISQAEKSTSYAPYLSLLLPSRNP SPVVDEEETIYLTKPSSDEPPSYLSNLPPLFLPPPYTSSHPIFVLLAGLATKESQALR ASAEQRIRDFVKAETAGIEMKEKELKSQVDGLWRDYREHLNAIQEERSNGGNSVRSPS SAGQGKDLDLFAPGSSRTGSEVSSSVKITSFVPQRVSSNLSTISQSLPRVSALSASLA NSGFHHPRNTRRDQIEAESDGVANEASSSTLSTPRSGSSTLTRPRTAGISTQEFKRNL DENLNTQQSYRYFLNLEEEMARHKRLKEEELSRRQRGLAASADHADQVEDAGSESIST THQTKETLAEKPVKHDGDGLPTRGRDKGKRKVTFDVAPAVMTAQSDGESTEGETTAGE SESREMLFPLEDIDGEESMEKSTNDRHNTLPLLEQSIPRPINIRSKRPNPAFEAFASL RPASLPAPSNIRPVRSQPGVDSSSQGMLPFSRPSTSLARNEGTSRVTTSPAVPLSETD AALLKLVAAETPSHRGAWAPNSKAWQIFTRRQNSKKISVPDKSTDTSNKGADDSTEPS KAGSISPTGTKSKGVKFETKAKVLMLDVEDDKEDEYRTYAERGLPGSLRIEVMRPKQK EPLSLASYIVPSAMPNKALASVPSFSVNSKQLSAAAIRDLAYAERDRNRGQDPGPALD FTIPEDDEDEDDDDGDEAGPVSSEVGQKARKHALKIIQARNAIPEEGMWRSLA JR316_0004451 MPEPAPRTAQDEREETGFQTIIRIGQATTKFLSSNPANTPPTAP TVVDSNGQHIPPTTPVDPSSLPPTTITPYWPLGLSLDMHVYFSTSSTPDVFSKWTSEH RTDKDHNLPHFVWNNITYGDYNDHRVIDFDVKFPESVLRNGSLWADIVLTRDGASIDP RSPKFDPERVHHVRKLLTPYLPRQKVRKEKNLLSSDDNIEVEVEEPDVIAPHWHQNIT LALISDAAEVPFGKLPPPVAEHIHLIPDKRDSTGTKAFYRPIIFPNEFWHLRSHYVQI NETTPSLPVRITYQPMSYMKFQIFASMTHGFNEAAKQQGQASGAELDEVKRMLLETNP WFLALTGLVSLLHVVFEMLAFKSDVTHWRAKKEMVGVSVRLVQIVTNVFVQLVILLYL IDNNENTSWMILMGSGMGVIIEAWKITKAVDIKVIPSTAGSLLPYKLEITDKHVLSED EKKTQEYDRLAFRYVSYVAIPLLAGYTVYSLVYESHRGWYSFVISTLTSFVYMFGFAQ LIPQLIINYKLKSVAHMPMKAMVLHQDAIPASFGLFPPLTDHTQRWIYRIDPNRVNEY GQVLAADVAAVDGEEMESKKNK JR316_0004452 MTTTTNTATRKRKSDTITLAAAKKARLAEAAHSETVTNILSDAS NYKFPSTPEAARELILELAQYARSLENEIDGYKPKAKSPAELVAAAEKLANAAKSGIR KQMTWKPSCKKGSAKWVYDGVCNDPEVMGKLLGLDGPPTFKTSKMDKDKFEVLIGNLN VSVRYDMLRLTSDVNIHWKPTDGTFKFSGNYGI JR316_0004453 MPESREDSVYLAKLAEQAERYEEMVENMKRVASSDQELTVEERN LLSVAYKNVIGARRASWRIVSSIEQKEESKGNEAQVSMIKGYREKIESELAKICEDIL DVLDKHLIPSAASGESKVFYHKMMGDYHRYLAEFATGDKRKDSADKSLEAYKAASDVA VTELPPTHPIRLGLALNFSVFYYEILNSPDRACHLAKQAFDDAIAELDTLSEESYKDS TLIMQLLRDNLTLWTSDMQDSADKADDKDGAEGGADE JR316_0004454 MSTNVASTEDTSPPQSPLTATSSPLSEPPDDSECKDANGKTRLR RSTRTGSQEQSTKAPRKNTPTVALPSPVTPPVFYDKEKPDMKIGTPEVRNNNLQVVNT SSPTIYREQQNCEICHKKNRGEEMLLCDGCDCGFHMFCLDPPLDSIPKDQWFCYTCLS GTGGDFGFDEGEEHSLSSFHARDKEFRRLWFESHPPPGSDRPPAEGDITRTRIGNITV SEHDVEREFWRLVQSPHETVEIEYGADVHSTTHGSAMPTLETHPLDAYSKDPWNLNNI PILPDSLLRFIKSDISGMTVPWTYVGMAFSTFCWHNEDHYTYSINYMHWGETKTWYGI PGEDAEKFEAAIKSEAPDLFEAQPDLLFQLVTLMNPELVTKAGVRVYACNQRPREFVI TFPKAYHAGFNHGLNFNEAVNFALPDWLPLGRDCVQRYREHRKLPVFSHDELLVTITQ QSQSIKTAMWLIDSLKEMTDREMADRQRAQTAGLATVLEEEDRPEDQYQCHICKVFCY LSQVTCPCSTKVVCVDHLDLLCDNRSPHHLTLRKRFSDEELQDTYNKFAERAGVPSAW QAKLSKLLSESARPALRSLRALLAEGDRINYPLPEMSSLRKCVTRANEWVDSANSFII RKQSRKRAARRSKGRMSGTEGMLTSIDDPGDRPDRGLDDLYGLLREVENLGFDSPEIG VLRTLAQHAEETKTKAAALLNTPRSEQDSEDFLQECKRLLIHGSSINVLLEELIEVEK FVERAQLNADLEEKLDDNDANVTLEEVRQFLTRARACGLPSDNKYMQMLDARQREGDD WETRARKVLDQPVKTIDDLDVFANMDPSIPIDDSVLSRLMTARAKAIDFEKQAKAWLE CEPNGVKARLPDVLRLTSRASKDFNIYSVQLLKRSADIASDLEARCESVLRNRYPITP KEDVFEAIEKWKEYAQQHLNMFSLPTFEKLEEQLRAHENWVKEIPWYCSEHKKTHYES VLEDVLENTRPEDDLPPADEFFTCICNMPVRPPPNGGVSDAVQCDHCFARFHGECAKN GGSCPFCDHHHWNGAIHKQRNFHFCFLPTILNNAPDISKNYSDDYKKLEIIVHRVDRL SAVIAQFLSYTSVSENQRPEYISQVRHYMRKLYKIQFAVSPNPDISFGLDLAGLHRIL AGRPPLTRPKKRRRPRFTFGQDIDADAPDHTRCICRGKNRYLMGYPTVECNTCGKIYH NGCVFFQPAADKTEDTSAFICPLCCLRKGRSYQYSDVRVVASESLFNEKPKMDMYVDV KAMLETYSKVVILKQLPNPQMHTVFVELINFQPGLDLPTATSSHPVSRPSQQIVTHHP IPTMSLSHPPNGNGIVSHHHYNPHPLTAIQHSPPPSMNAFNPAAWTQTSQFVPPPPPW SRWATMSTPAMPPSTQRRGGNSNGNGESSRLHGTPQPGPSSASVPPRKRKHIDESPHL PNASNHQSEEDLRPTSSALPMKRHAGPTLTPTPMSPPRPVQTLSPSLAMIVSPTNQAV QVHSSPGARATTQLPSLRNGANGSPLSVQIPPVRLR JR316_0004455 MHGSPSSTTPRGTPSRRGKSPRFSSDSLPQAGSSSLPTNLSNKP TTTFVSSLDIPVQGAVPIEPENSKGGTSNNNPPSTPLESKRAPRKSKTDALAALNNQA RSSSAGPDDMDAPEDLTEKYRNAPPIPVPPRLDLSSVKTMSSRAAMDVIQDPRPFGLS DCPEFFPTVEEFQDPMAYIKSISPRAKEFGICKIIPPEEWKMPFVTDTEVKAIL JR316_0004456 MWNDHHSHTHSFPSEAPAPGDSYGIPNPQPGIPHPQPGYSPGYS PSYAPPPGPPLSGYGAPASGYGAPPGPPSGYGFPSAAPPSGYNPPPGPPPSSYGAPPG PPPSNSYSAPPGPPPTGNRGFPGQQYHQQHQQQGGFPGAGGYRPPPGPPPPRPPTQIQ TYGPQFEGANHRAMQPSFQYSQCTGKKKALCIGINYFGQAGELRGCINDVHNIQRSTD KYGYRREDIVTLTDDSDNPTNQPTRDNILRAMQWLVQGASPNDSLFFHYSGHGGQTKD TDGDEGDGHAIRVLRWYSTEGKVKEPNLAAEAGQGLLSAVSSYAKGDMGGVFKSAMGL VKTATGNTQKADKITKATRTSPADVTQFQRVNQISWSGCKDSQTSADANEAGSATGAM SYDLLFGLFGYRLTIYPGANQQQSYQQLLNSIREILKAKYSQKPQLSSSHPMVYLLIP GFLDFG JR316_0004457 MSKIVRFFLGTSSLVAVLFFYLAGSGIPKTLSPQGCRMSWMSPS YVLQNHFNSSWSPLAHRYSLWLYREVAWDPIQSEGVRQGSLPVLFIPGNAGSSRQIRS IASSASKQYFSAPHIISPVFITRPLKPLDFYAVEFNEDLSAFHGSTLESQVTYTKAAI KYILSLYPPKTSVIVMGHSMGGIVATALLPSDEISAVITMSTPHTLPPARFDSRIDDL YQMLQRTLEEDHTPIVSICGGAADLMIPSESCILPMARKDIFRRTVFSSALEGAWTGV GHQAMVWCHQVRWRVARAALELGAAKSLEARAIVLDTWLRNGHSPPTIARVDGEFEVL LKDTTSLPIGKQLVLKNPNTMKTYLLPVSEDSFFAQKLTLLVSRGTIAPVSPQKAVAL TVDVSTCSKSITSVQCVPLQPETLKLIPNPVPGVEFPASEGADESEGVVLFEAFVPRR SNHHWIGIEVKNADGRGWVNAGLDSIKPNIIEANTWSLLTRSISISIPNHEGLSVSYT FPNILSNALVVYRIVPKRITMPSCTEALMPPLLMHTSGTEETHYFSLAQVPNKRILLH THTAAPFIDISRHPPSVLNFTIYSSAEPDCRDEFSSFNLDIDWFATMGRWASRYLTTL VAWSAGVATIIIFLAWSQQESDPNGLIPGIGESISLYTRLLFRFLLPGSFFLATLPLP VMLYLGNNGTFFLTLLAPLLLFVASGTVCVSWWLLCVLLAITGKVGVFVFGSRRENVG VPGTTLLSLAIICAAIFLFIPWQVAYLGCWVLHLYTCASLIQQQANLEHHTDAVPLVD RSGRQDAIEYQGDRTLDLRQKKNNNLNLNIHILLLMTWLLPLTAPVLAVWVRTLLSAG YTTPFDGDHNFVAVLPFLMFADFASRTPGQIFDRRSFERRVSFRWLFVALAGTAFLFG SRRPYLILDCGRIVVWILVICKIGPRYWGGSPWKFG JR316_0004458 MSSPGTVSSPVSISPTRRRSLSPSEISDRTSIRPARHSPTLTRS FDPNDPQVRERQRTMDVDMAMQLSLARRETLHSSPVATFSSPGTLSGQQQPLSDHSFP PLGSPGEEGREMTDDIVGEDTVFENATDGDRAISSIHESQTLHRSDPSLPLHPTEDPQ SSNFGLPTYQANVSQSAFDFAPMEEWAAAEKTRLGFTSPLNTRFQINPVRGRSKPDND IKSPPAFSPDAQTHEVSKLSGSMNEAAVASGSSLAPLQTDSIPAAAFEETHSDRPLRH RKLSQSNPHPRSHRKGIGGKMALFESAPTDAPSFSARLGLVLGHQGGPITSGPSDDHI AGVPRTGPAGGILNTGHDRPYRFSFYSNAISATIHARSLSELPAEGQTFEQLFSGSPA PPNETSMGHASSVEGTAGKDRGAAFSPPHSDNVKQMTPGEGVGYFPPRDNGYNGHKRT GEKVGAGGGGGGGMNTLSDDQDGNTWWLDVQSPTDEEMKMLSKVFSIHPLTTEDILME ETREKIELFRNYYLVCFRSFDQDPYSPTHLEPLNMYIIVFRQGILSFHFRPTPHPQNV RRRIKQLKDYISVTSDWISYALIDDITDAFGPLIQGIEYEVDSIDELVLILKEAEQSD MLRRIGTCRKKVMGLLRLMGNKADVVKGLAKRCNENWRVAPTSDIGLYLSDIQDHLIT MTQNLNHYEKILSRSHSNYLAQISIEMTDANNQINDVLSKLTALGTVLIPMNLVTGLW GMNVHVPGQDVPGYAWFISIIACLAAFALIGGYSTYRFMVRR JR316_0004461 MSFTFNWPRFSDQFHYDAIQMLNTALNKGNKPPIIADKIEVVEL EMGSQPPELEIRDIGDLTMDQFRGIFRMTYTGDAHLVLKTKVQANPLNHKQPDIHLMA GSRGMLAAKHPLVVPMLLRLSHFRLSSYVVLVVSKQKGITLVFKTDPLQHVDINSTFD SIAVIQNFIQREIEGQLRQMFREDLPGIIHRLSQQWVKAKVEAPYLSTTQHLHHKNSL SSVASYRSRPLSTSTGRPTTPPLQKPPTPPEPSPSSHPDLENFDPTYGLRPEGLPTKS VFKSFSSLFTPNKGLADLAEEIDDKSSTGLNSEFSEYDDEEQLDSFDFVDWGDDSPAV ERQETSTTTTPEYETIPAVGGGTITRPRVFHSQSQVGLSRSISSLNPSHPPPPSSNTS SRRHTSLSASHPNIHLHMNSSASSTAGVPLRTAPVPGSYTQRPGMYPRTHRNTAGSFI LGRPRTPDSIDSPPTSRSSSGLSTSASAAPFSRRLTGLSYPYSRASSLASEINASGVK QNESSSPLYMQRGLGQRRMSSASDATVLSGISHRTATTAAGRAYFELPPNYEYEYEHH FTEHPYPYIQDAEHRIQRDRDHMRVQRAPVSSSVKSSSLTVSPLLEPPLSPTHSGSVS LSPSISVSASTPASPSKQYHPRPPLNTHTNFHSTTNTQNQPQVVPAFRPAHKIVLRPS SGSSSIHQLSTLSTSNHTLSPYTRDLSHFTVRSVPPRTHSVGIVGVGASYGFGVHSAN VGGSGSAGGPNGDRGHIKAKRKRIYKLGGKGAGVAVAAAEAKAKAEEASSRRRPERNS AYGEDEFDVSDMDRYFTHDDDDHLHTGTNTDEPRTL JR316_0004462 MNRVLAAEPPQRQRTWPPSSPSTELSQPPSRKSSVRKSKQQSPP VPVNTRDELLLSLMASEAAIDSRSFEILSAEQVDDLKKEHQLLSQRQEALSQKLSTET KIRDAALSLARINASNSAKQQQTAEQLDVANSRVSQTQSELYRVSTSLAQLHATLMEH RAAVLSFSVRSLEHKLSGATGADDSGYDSSNRSTLTSPATTFSVLSSPSSSKFEGAHF FAGHADAVVPRRKLSPEAAAAEIQALQTKLASAKEALEAAGKKQADMSRELSMIKLEK QEIETMFALEKEDQLSEINRFKREKDEWERQRTQLVKAEGDAEVLRQQLADIEAKSNG ESAGLRAQLEEREQEIEEMRRAWEEERQMWEDEKMEDLARLQEEFDSRGDQPNEELEA GLASIQTIVKKHGVALYSRDNSLLGLLDSVSTHLDGVQTKLEEYSRKEAEWDTLRRKL EDDVRNGLDRREAMARELEDVRRERDVIRDQSSLRMSSVPESPSSSFADVERLLQPLW AVLPSPEARATKFGATRSYRTNSPTPGTPGGPNSNALSPAQISGVVTSLSDLDVRSLK SLYADNSRNNGFSTPSSSPHMSHPPQQLQPFNLEAFAQRVQALIADDRSLIERLIRFA QAHDLLKKNAERAQKLAQDSTHALETYQKQVRALEERNQNLGGRIVALQEEIQNLQDT VERLETEKRELENLAAEQGETCRQLSEANDTLSTRTLALAEEAAQASAIARTQLTAQA NQHEKEIAALNAKLEEMKRELSLAQDEVEAMRTSEQSQRIALLDELNSMQTENGSLRA QLRAAKK JR316_0004463 MKIRSTVPDTFFLDVYFNATPVQPSQDSQDRRTVKNALAPTRIA YVGGQAEFIVDMGFEYATRLVIFHGQFESVFLAIYGDDITEKPEIISYEPKSMPVPIS MPISSNLDPANSPNPMSVAEQLLLLMDEPPPSLSVATRLIFSLKPEKYDWDLPGFPEL YSELDIPEDDDLERLVKSVGRPIREDISSETITRFAERVHDILGTNASDDAYYTAKLL GISASQQTKFSRILLEHLDLPQIYNEESLDDTTIHCLLDAASNIEIAAYFLDDNAFRT CLENIQTNPRTKKSTQKALKRLLSRLHGWKTFQDSLTNPRGDFASSIAFLKDITTTEH SIGCWLECMMTNNKLVNKLGDVPRNRSLPLPLFQRQHVLPSYDEFLTFVRALVGVSTV LPVLAWADSVGSSPCRERALAVLVLWQGIDGYREIVNHCLLLRQITKRLQWNTHEDPP LKAGMFAERLLIGLAKEPQAMLREDLVDTILDLKGPFSCIETEELMEMKKLAMVARDG LPSAVEELTYTSVRPFSLRRLRVLRVSLAIITNQISHEDGELKVANSFWTERSQAILP TLITILRDLSNDLNPHFALKPPPRMNQALTELLLSTAGDTLHLIRHFAEVCLLINRDL QALTFAIADLYACSDAALTIASQLSVAYSSAQNIRFICPEILRSLAISFFSSKLDCTG PRVILRTLLDPYMKDIVRDPIHHISQVYDLVDRLLPLTTTFEEFASLWVTSVFPQLLT ELFQFCHLLDPETRSHFLQRLVVLDNGETAIGEWILGEELKELANTLHILLNPPVDLN YRIVLQYHVFNSLHFCQLLLSSATTSAWTISSLSSNEDLSQLLDTCLSLIFDSSISAS ELTRLTSHLASKASQFGPDVRFNILLLALRNAESDPSVVDALDFIPDILKSLPSTSIS HERLRTELGRMICTYSDHASVMTADNAEMLLQILEWLASKEDNVKMSTLIGISREGFH YLCTTLASILPSERERDVVFVENRLSIDGDEVFSASKLELVDSLTLPLGTIEDLLSPT PFQPSTPKSGNKTPDILGVVISPPTALLRSPAATGLTKTYANNDFRQLRQTSSTRVNT SRLPSTHVDVGIDGHLDLILH JR316_0004464 MLLVQAEIPLIQWLVIAGHRQSPNRPVTFQRRQDKTFEFVLFRP IISSQPGLKYFYLSSLLMSRQTTIKLPALDLSAARAHVPLKSRARSGSIVKVEEVGER SVDEVLDRSAYANINADWVNAKGAWLIHVVLIILGKIIVDTVPGMTQQISWTLVNLMY QALSYLMFHWVTGIPFENDLHGGAYDDLTLWEQIDDGAQYTPAKKWLFCVPVALFLAS THYTNYNPWLFAINLTALIFVLIPKLPQLHRQRVRFMTDGASGMATPLTPSFPNSGRS TPTLDKPGPDLITEAHFR JR316_0004465 MVTAVARKGLLLKADPITAAFRDEVKSSLAACSRPPKLVGILAT TAAPSSNYAEFTRKQCDELGVNFVLKKVGAAASPDLGEGEGVEEAIIEANEDPSIHGI MVYFPIFGAQQDHYLQQVVSPLKDVEGLHFKFHYNLYHNIRFIEPKSLLAPLPSLTQL PTVTETEEPPAGTVKSIIPCTPLAIVKCLEFVGVYNKILPYGDRAYGKTITVINRSEV VGRPLAALLANDGARVISVDIDSIQEYTKRPKLSPNDSSESVTAQRYHPRHVVHPSTL TLQECLAISDVVVSAVPSPTYKVKTEWLKDGCICLNVAADKNFEKDVRDKASIYIPAV GKVTIFMLLRNLLRLQQYQEL JR316_0004466 MSEVFEERPVAPLPRSASLPKPKGILKNTPQSSNTGGHSLQWDE ENLALTEIGKDSLMKITEPKTPYVRYNAETDEIEGDIPNLDLGYSASSPLHDHHPESP TMSVSPTGADTSGPSSRRTSISSNGRPGTSMSGRSGSGTSSRSTSFNLPNDARIAIRA DSRSPGGEVEFEEEMDEETAAKHADFVRARGRHYSNEAEAMKRAAALMADDEDEESEI PPIPPLPSIRNQVDPDESDSMNESGTDASDVPQDGNVKVNGFSHHSGA JR316_0004467 MSLFSVPGWTVPAAPVREQSLQLSKKRKRPNDQTRSIETASINV EKLMSKLADSYPEQTQKGKGITPKLQDKPPTKKQKRKHIGSTTDHISEQQAIKPIEKT KAAPPKSKKAGQHTDVHSVKDKKAKSKSNIDSSPSKPKTIPIQENESSKTGLTALQKA MRDKLDGARFRLINENLYKSDSQTAHQLMREDPQVFEEYHTGFRHQVLSWPTNPVEHY ASLFSSYPPKTIIADLGCGDAALAKELLPKGLSVLSFDLVSDNKYVVEADVCTKIPLP GSEGSGDEQSSGEGQVVDVVVFALSLMGVNWLNSVREAWRILKPGGELHIAEVTSRFT DIEQFQNLLGSIGFRLKNIDDSRSSHFTLFEFVKVPRVNKSESEWNKLISKGGKRGDR AVPNKKTLVLERQSSPDPLQLTDTHILYVAYLVPMAASKLNILNHPLVNAELSKLRQE STSAKEFREGVETISLLLGYEATRTLEEISFNGQTPVANFTGSKIKPRIGLTPILRAG LGMTDALLKLFPTAPVYHLGIYREKVTLQPVEYYSKLPSTVPVDQVFILDPLIATGGT AIAAITMIADWGVPCKNVKLLSVLASEVGLKNVHAQFPDLEIWVAGVDNELTSEGIIS PGLGDSGDRLYNTLRS JR316_0004468 MAPKSKSVIHNVDDLSDSPGHEEWQLLEESESSESEIEDQDVIS KHDLATAPLMTREAHLLTVQNGGVAQYGVLGRILSIQDKDKTENINDQRLYLNTNAPF SAIVCGVQGSGKSHTVSAILENMMISNFPAIGSLAKPLSGLVLHYGEGGVNSLPNETA WLSSSISSFVKGPPVVVYVSRSSLQTMRTVYRPLGAKILVEPLVFENSELDSAAILSM MAVGSVDSAPLYMQIILSILRDLGENFTFSSFLQNLEIHKQKFNPAQLTGLEQRLSLL SAFLADPNLTTFSKKKAPMTTPKVKPSRFQPGQLTIIDLSDPFLDTASACGLFEILVR LFVRANVGTGKVLVVDEAHKYLSSERSSTGLTKSLLGLIRQQRHLAMRVIISTQEPTV VPPVLLDLCSVAILHRFSSPTWWHHLIQHVPTDFSHSDAFDKVVKLQTGHAIVLAPSG IGLFDLSKDASRKGKEKVPEQFTEDGIGAKVLARFGRRYLIVKTRKRVTADGGASILV VGKP JR316_0004469 MVAPTYIYKIVPASTPPPDLLPEALPVSDLDKNDGFLHLSTALQ IPGTLKRFFADEERVFILRIVYKDVESVVKWENSKGRTPGGVGEEDMYPHIYNGLRLG KNEIESIMEWVNQQGWESAINKATVEGWFVY JR316_0004470 MAPTVEEEAAFMAQLMQGIDDDFWTAGPSPDPSPSKKKVATTDD HNITAFLENSENWDLDDFVPSPVKPPQEKSNASIPRPIPRLEFNQSPQNTKKPPLYIP DECTRCVVESVTETYVDSRWEKIVVANVLADGDRAEIHLLDDWYHTDIRIDDILNVIG AFSGSSSSNTRSIYITSRSNLLILHPDVLITATALSSAPQCRRRPLLSNMLRSTTEIT PALIWGNMLHEVMQKCLLEQRWEEDFINSCIDDAIMGNLGDLVKLGLSEGIAKREVKD RAKGLPHFADKYLAAQPKSTAELTNTQSAAFNEPSLLAITDILEIEEDIWSPTYGIKG KVDATVLGTISDPSPSPFKSRVVTKTPLPLELKTGRILASMGHRAQTMLYTILLSERY GTHVQDGLLFYSQSENGEIVRIPRGRNEIRGLVNIRNELAAYMWRRIRKVEPRTQGPP DHECEQEEEYFLPAPIDDERECKRCYALDACMLFRKARPHHSDSSSTSFEPPIPTFLS STFDAKTGHLTPTHTKFFTEWERLLALEEKDLVRFKRELWTLGAAEREKRGRCFSEMI LVGDRLDNLEDKDSIPSGKERKIHRFTYVFRKSRPSATLINGHLTVGDAITVSVEPRL IALARGFILELEHDSVVVGVDHVLNIPGIKARLGREALPSPYNSTQAISTMEDTNVIF RIDKDELMGGMTRVRNNLAQLFYVDGDAKRLELVVDLRKPVFKSNDEFVKVSRQLHSK SALESDLQLNTSQLDAMDKVLSAEDYALILGMPGTGKTTVIAALIREFVARGKTVLLS SYTHSAVDTILRKVSGGDGRTLDAKGGAFNILRLGNVDKIHPELQKFSPVARRMATTV GQLEKQLMDAPVVATTCLSLDNPLFSRRKFDYCIVDEASQITLPTCLGPLKFADVFVL VGDHFQLPPLVKNPDARKGGLDVSLFRRLSEAYPESVVDLRFQYRMNEDIMMLSNKLI YNDRLQCGNDEVAKRSLVLRNKRFLGRLHVNAHNDDSAHIENSSNKVLCRGADVCWLE KLCDERCKAVFVDTDNLPAHESRVGDLVQNTTEAELVCQFIETLIRCGVDESQIGVIS LYRQQVKILQNLLQERKGVEVLTADKSQGRDKDCVIVSLVRSNNEGNVGDLVKDWRRI NVSFTRARSKLVIFGSRKTLEREPLLGQFFELMETQRWIQRLPSNAHTAHARILGGCT KVLAKRDGRQSDENILPEQTVGTEGHPTKKVKLDDTRSLKSSAGGVLKGRPILRDLVI AEV JR316_0004471 MPKVVSRSAVSSSTDAQPTASSAAALRVYYCICGEFILVIDKSL ASLPRRKTDNAIIIRSKDSDDGKARVFKLNAVAEDPILLERSGGGHEKQYRFHCPRCS LKIGYQSTPPPVKTAPFLYILSGALTQMQGQVPPDAFDGENDL JR316_0004472 MAEKDATARLRRAVKGPSFAHRIPSRRAHRPIAAPPENNLFLVK RLVQRTDMRNPDTAPKRYTSLAWAAVLGHEETFEFLLSAGHDDDELSRDSENNTILML LADQKPASSATYVSADSEDKTGAYLRMARLYFDRYSWVLDWSNVQGKTALHIAALKGN EELVRMLCDLGADFDLADNKGNTALHYASSWGHIAIVQLLIERGCQFNARNNQGFTAS DYAYSYSTRDTLQDTARIQFENKKKERRNIFAQAAQAAARGGDWGNNAHNSIPPPVPA KDSSLASRMRSGSGTSRTTATSDSGDPEPIIAGQLSSSPSQPSTGSSSGFFAQSNLSH HTPHVPSMSSTASGYLGPVQPKGTTLGPPANPASALSPIANRVRERDADAMEKYLNRN RSGSQGTASTDNKSQNGSHFASAGPSANGDDITALMSGSITPRKLRPSASAAQLRTTQ VSSSSSSGTTIPQHDSRNRSGTGPSNNRAGSSPFPPLARSSSMSKSLRPMASADRIPF DTRDSESYAGPSSQYASFPEPPAVTEDQSTPTNGRRKAFHILGKPLQSFDTSTNSNGH RRGMSSTSVRGS JR316_0004473 MIPPVAESEDPTTQIPWPAARGRDLDPADDVDSHQNREAPTATI TSGDQRTARAASPKRRFSTWDLITLSISMGGAQIAWTVELGYGTPFLLDLGLKEHLTS LVWLAGPISGLVAQPLIGALSDASSSKYRRRFWIGLSTVALVISTLTLAYCQEIAAFC VDVLGVGAGDWAERRQNVLKWTAIGFAIVSFYVLDFALNGLQASLRNLLLDVTPPGQL SSANAWHGRMTNAGNIVGFGFVWITCANHHEEERPKSHRRQGNTMREVFSNIATSVKN LPKPIRRVCFVQLCAFMGWFPFLFYSTTYMGQIMAYEQNKEPDAELATRTGELAMLIY SVVGVCAGTILPHLATRDRRLMGAKTDVDEDAEISRLRAMVRQWRVDAARRGQELHLP VMPFLLRNIWTGALLFFSLLTLSTFFISTVVQLLKEPPPPNRRVVPDGRRPVHARNIS NPVRPSFTSERQPLIRRRSFDEYENAVDEEILPGAPLPGGTILGIHNLSIVLPQLLVA LVTSIIFRVVDGPETQNAANQSDSYYGKNGVAWALRFGGLCTILGAILARRVPPTPTE KAMRRRLGEMKLLGEEVNP JR316_0004474 MKYVALLSGGKDSCYNLVHCSQNGHDLIAAASLGPEPGKEELDS YLYQTVGQDAIEFVARALDVPLYRRIITGHAIEQGSEYGERKPNNASGVVGDETEDLF ELLSTVKAHHPDIKGVSVGAILSNYQRVRVEHVCRRLGLTTLCYLWQRDQAHLLSEMI DAGVEAILIKVAGIGLTKNHLGKTLSQMRTTLSKLNTLYGSHICGEGGEYETLTLDCP LFKYRINLTDTETVIHSDNDFATVAFLRVKGAELESKSDGINQVAIIPTLLEDQYESI KQAVNDSLNKIESNKRTSWGGSFTHHVNFPTSLGWRKGPWIVVSNVQADIPDDGILTI EEEVTQCFTTLAEHLSSYGADLSQCTMINVMISSMDLFLPVNAVYSTFFGVSPPARAC VCVDLPKTVRVRLECIAYAEISPRDRQALHVQGLSYWAPANIGPYSQAITTGERVFIS GQIGLIPSELALPIPRSLSTEMALASQHVERIATALKENSGGGWEGFTLSAIYWITEP NHLIHVKQGHLAHKSRQYPTLFVVVKDLPKHALVEKQVILHTGRCHVVDNYDGELMLQ SCIPLYDCRILNYDSGRSILWQTLTFKEDHSACAVIFIKGEFEQSYATELREMVSSLT QTLEQALSIRYSNDTYSVFLQ JR316_0004475 MPTVSVDKADLWARLGREYTTEEFDKLCFDYGLELDEDTTAEVE EAIKKGLPAERPQLKIEIPANRYDLLCIEGIARALRVFLQLSEAPKYKLAYPPSGEAG LLTVTIDKETEKIRPLFACAVLRNIKFTPSSYASFIDLQDKLHQNICRRRQLVAIGTH DLDTLTPPFRYEARPPKDIKFAPLGKDKKYTAEELMTLYDSEKHLAKYLHIIRDSPVY PIIYDTKDQVLSMPPIINSDHSKITLDTRNVFIDVTATDQTKLDIVVNIVATMFAEYC AEPFTIEPVKVVLPNGQTRICPDLSGREMTARASYVNSCTGLSLSSQEVSTLLTRMAL TTSVSPSNPDEIQVHIPPTRPDVLHECDIMEDAAIAYGFNNLPDKFPATSTVAQPLAI SKLSDVIRTEWAMAGWIEVLPLILCSHEENFEWLNHEDDGNTAVKIANPKSLEFQVVR TTLVPGLLKTIRENRSHALPIKIFETSEVVFKDTTRERQARNERHAAAVLCNKSAGFE IVHGMLDRAMKMLEIPRISSTDYKAETGYYIKETSDPMYFPGRAANVFYRPAPAKNGK IASVKKGIEDALHISHDLNIGSLGILHPTVLEKFEIGFPCSALEFTLEPFKKKMSKVW TNED JR316_0004476 MKLPVLAAFLSVANAAELVLHHRVFHPDLPSQQYRKRSTVSLDT ATAEHVPTFAQDLAAFADAVRTLDRDAEVLYQVALEHEGDSSEALWDYSSVKACYLSK ATADTILLHLPSGQDNSPFAIDYFVSPIPHDGSCPQTKSKTSSPASSLKAFSNKVQRL NSTVLLRQPTTPPLPELRAPPPLTPEGQVVKPVPEKSFIQKYWMYIVAVVLVLAVGSG PEEPEQPKRGGGGGGGQ JR316_0004477 MATAYTHSIPTPPFSPQNYRMPDENAENPLKSTINLLDSLVAFY QHERMWVYRTRATLEDAFQSPPPPTDDRAQDNLDDISASTNDEEAARYTDAQLPSTSR AQSQQSTRWNRRKRGFKLRIDGIRHKRVISTQPVGDQHSGQLPPREHILQMFEKMMES RMESCQRVNKMIQDANRANLHQR JR316_0004478 MAHRYASVPNPGPDHEVTQRRREDEMQAAFDYSDDEDDDHNSSE AQPLNPTPTSPTRTHPPPAQSSPGTYNFESVDYDYPPPGSPPPPSAVALPNNYGNSNG FIPTFDVPQNRGSSRMWFRRAASSILPASVLTRIGVLPQRPSGPVGGGTNNDGVFANV TAKPTAPVRVQDGDDIHIVPEDTIREAPPSYASAQADAVPPYWETTIHAPFAPDSVGD MIIDSLPTGSLFSFCWNLLVSISFQFVGFLLTYLLHTSHAARLGSRAGLGVTLIQYGF ALRTRLDQNSEVNTNNDWNYWNPESTSGTGTIDSSSTSSIGNFTWSSPNANITDEQTS AIVADATTEWLSFFLMTVGWFVLLTSLLGFWRVKRWERGILASRRENQPPAPRNGPAI GIVSHLESSFGLRGVSRSDFFRQGFGLGPRRPDADETIRAAEEGDAPHSAEAQETDPM LTLDPADPNSRAVIEAYANEQRLQRDLREAGLLLFPAAIMSLKIRQATEKDAPFLSQI CLRTGDAGKTAEHLHDFGELPGLVYAVPYVKLPTTWGFVLEREGSNEVVGYILGSTDT RAYEKYASEHCWPALAEKYSPEKMVKPADIHYAKLLHNMHTAPDANIAFSPAHLHIDI LEDYQKQGWGRKLIATAVRFLAEQGLEGVWLGLDPRNEGARKFYQRLRFVNIEGAPDA NQMGLRFVDFE JR316_0004479 MGDYDKIIGMFKLTVLAKLALPLTVVVTLLGVLLIGLFFNTYLY GLVTYQFIVYHNTKFNDRLWIRAVVGGLFVLDTVHSIVAVYAGWDMCVTNYNNPASLL FVPWTIPFTAVATSIAAFVTQGFLGHRVYILTKSKYLLAFIWALSSIGLIFGFYSGIY SGILGVVSKFGPLTPFVTLWLASQTAADLTITGVLIYVLSRSRTGFRRTDTIIRRLMR GAIQTGLFVSIFALGDLFSFLLLRKTTNLYAMFAYPIGRIYTNTLLDTLNARTSLKST NNSNAETADTYRLQNHSTIPGHATYSVNVQKEVITDLPDREHDYDANPPTNVHTGSSE PSEPPSFDLFSFHNRPSARPSDDTVSPETPWPHSGPKSNTQGMLSSMMNFYTMAKSSR AHDDNAMRSTFSDSDYEDRPGLRRDDSEYSQCSIGSDILEPDDPRVTGKKLSQIHDQG DLEKNTLRQMDYKTRRKHIQRIRIEFNVSSMKNRHEFLLKLARALMTFGAPSHRIESQ LIAAARILEVEAEFIHLPGIIISSFGDQDLGCSETHFVKCGGRLSLGALHKVHLIYRS VVHDEISAKQATEQLEALLSAPPPYSKVFRCFLAFCLAALICPLAFGGSFVDMWIAGL AAFFLSYLQLQVAQKSALYANVFEITISIIVSFIARGLSSIRSQIFCYTAISSSGIIG ILPGYLILSSSLELASKNIVCGSVKMVYALIYTLFLGFGLQIGNDFYLLFDPTARRHL DELAANLSSTVVLTGSWLSDNITDGSAIPLNGTWTFSHTVMPQEQDIYEGCYRPKRFP WYLQPFPFWTSFLIVPLFSTISSLANLQPLWTIGAFTVGLLGNIYSRRMGGTAFTSMV TGVLFLVPSGLSQAGGITANGNGIDIGGAMIAVTIGITVGLFMSQALVYTFGSRKNAA VFSF JR316_0004480 MSEALQKLILDTLESQSSIKDTRSLTIPGQSTPATSSEDQIVIL GALNSLLSREMIKYETNEVLTHVPTPEGALILKDGSHEARVWNVLPVKGSGAPMTPAQ LKKEIGEETAKVGQGRAFKNGWIGKEGDGLVKIVSEIKDTTQLDLQEIASTGTLKAGE KILADLRKRKLVSQKKGQWFSVEKGPQFSTSTAKPETDLTVDMLTSGSWKISTYKKYN FEAEGIPTSGGALHPLLKVREEIRNIFLEMGFAEMPTSSFVESGFWCFDALFVPQQHP ARDLQDTFYISDPKESLPPNQEYYKRVSATHEFGGYGSAGYRAPWSHDESSKLLLRTH TTASSAHMLYKLAAKCRGEETEDDSAEFAHGVGSTEGGTGSSKTDDGFRPAKLFSIDR VFRNETMDATHLAEFHQVEGVVADRNLTLADLIGFMRVFFRKMGIEKVRFKPAYNPYT EPSLEIFAFHPMLDRWVEVGNSGMFRPEMLEPMGFPKDVRVHGWGLSLERPTMIRYGI NNIRTLVGHKVSIDGIENSPAVMF JR316_0004481 MSSLPTVLEEAWNHAQPRISQIRTSLTSADSGRPRIIRVGQLDA ELLDQELAQLLQEPINKTLSLINLTLYKLSVWNTGATYGARLQDLRYVVQNISSSRLA HAPSSDYRRKVWDALCSVESIYGLLGLANFVAFLWGGKYRTLADRILRMNLVPSRRLV KRDVSYEFMNRQMVWHAFTEFLLFLLPLINARTIRRRVYRLTSLLTPLYSISPFRSIL QLKPVSNENSNSPLKRGKLWSIPQDQCAICFENARFNLNISEPTNMFTSLAASTDVPS GGQSNSEPPTYPIYNPYQTSCGHIYCYHCVAERMIRTADEADDELGWECLRCGEEVKE VHRYAVDVIESEVSESDYEFSSDLDMGTDLSGSMGSYSESGFSE JR316_0004482 MQSVPRPIALGVNVQSVSPTELYEVIVGASSQDVSQIQSSSQRL KQLLDMFGAFDALQEIAARKTTPLVIRQQAMIQLKNTVTQHWRSRKLLSDEHRLRIRQ RCLLFLDEEDDTIAKCNQIIVAKLARSDFPGQWPNLLDDLVNVIDGNLQKRYISLLED PCDTLRLRRSLSLLNKILKEFASIKLPNGIKAMGLIVGQLRLLLYGYYSKMSATFAAQ HISPQNISSQSLHDNILLSHLVYKCLIKMAVWLWNKLGKLSGEELEHNLAWVEELFKN SAMQVKALSALRKGIVMSLMNNELPRNEQTRLTVSVMTRHLRTFGKFFRRLQQLSSER FISLSLSGDIVMYYWSQIVDSTEYPQNMIADSDEALYPVRFLVQGLVLFKDNLSKWTP TSRSGVPNKNNLSQQFVESAVRLLVTRFMPLNTADLEGWMADPEEWVNLEDKDNDQWE YEIRACSERVLMQLCNQFSDIVVPLLAASFVQIAPQPSRDLDSVVQKEALYCAIGRCA IRLKNAIQLETWLQTTFIAEVHETDSNYPIIKRRIAWLIGKWVADSCISPNNPKIWEI LVHLLQDRGPGTDAVVRLTAASSLRECVDSLSFDANGFAPFLATAIPQLIQIMAEAET LESKRKVDESLNTVIQQSGTLVLPFISVITEPIPQLWVSAEGDFLFKASLLDTVTKLV EAVKEHSSSLGPLVVPLLRESLSPGSIAQLDGDALNLWIGALRNTVTVASSDGSPCLR DVFPEAINLLATNLDLLGSITLIIESYFLLDAVHILKSSAVEIFRAYLSAFNNKIIEL NAKDLLQSLSLLIQLAPSELWGEPLHVSGLFPHLLKTLIAGEGDTLFLTEHIYLFSRI IMSDRGMFLQLISASSAVLGQAESVIIDLLMDQWWGKFDNMSEPRHRKLTAMGIAVLV STARVEVLARISGEIFNLWLDVFGELKEAEDQAALRQESDSEPPSPTNLHRFWELDKP PSSYFRETEGTPEYSRRQAVYDRDPIRVTQLVPFVGSQIREAEANCGPANFKSYLDHA DPTVLKQIQDALARGQ JR316_0004483 MLNVEKSTRRSHQDEIIEDSEPERELHRKRKAKTTARRITYNDL STSEMGQQPLLNPLLNEVIEISDSDSEPCISSKDIPTNADAENNTILLAIPPSNILRP RQILSTNLSLSCIQKETEDCPESSNHPSVNHESRDAIKPIRVLDPKRFAYTSTISTKR KDLPNALQTASTKERRLTKPATFDLSNDDLSKVNHCVCCQASWTVRKSGPQKLMHIRS CAKKHALKEETVRVLLQTEVSKYVAPLHSKGKSKAKDSSVEAVSLTRKTFLEEVLGEE SKKKIKSKQVETTLADVGAMRDSILARAQNILNSSCPNVPELDIGSPRVEQPYFSPLL SHQHHESLLPLTQPFLRSSLADIHGSSVSLFNSNVSPPRVNPMYTGTIQRAIHTTASG TYGASPPPVGSIQPSHSSSDVWTASSKTIRRRSRLSLEVKSDATSRKDHVADLVDSLE KVSLSSPSPSTSSSLDRAPSTLDIQATTKAPKKVRRVSKSRSPKSSAKKKKKAVDFGA KWKAHMIKYITQDIALYQRILRYEPIHFDIFLEIAALYTPVNSKTKQKTHMFLDEQAI LTYGRAGWGSRGL JR316_0004484 MATKTYRDAVDQLNTLQSNAATLEAVRASGGRSSAFAIPEMLEY LGRIGYSPQQLNALNVIHITGTKGKGSTSAFTDSILRCAKPGWKIGLYTSPHLVAVRE RIRINGAPLSEAEFTQYFFEVWDRLVANNTRQVPTTPEMPGYFRFMTLMAFHVFMEHK VDATVLEVGVGGTYDSTNIVPTPVVTGITALGIDHVNVLGRTLTEIAWQKGGIFKKGV PAFTVKQPEEGRIMLESRAKELKAKSMTIVSATPELSALKLGLAGIHQVENASLAVAL AKSFLEAQTGDCFDDQSLPESFKAGLVQTKWPGRCQTVPDPKRSQVTWYLDGAHTVES LQCCMQWFVNPGVGLAPDPETTPKSPRFLIFNCTSGRSGESFLSSMKETSETQLASFR RDSEDIATFFDHVIFCANVTYADGHFKGDLTSKAISDSELAELKTQQALASAWSKLIP SFPSGHIHVLPSIENAVKVVEEAQTKFGMPVKILVTGSLHLVGGVVEVAGLSEVAL JR316_0004485 MEAYFPVVTKVRPKSKGSSDGSIRPNLSPGWSEDPLSINSKRKD QSEIISPQSKDPITLSSVDVTKGLLTTLSDVSNPITHSNIYERTVHIVSCSTGHQVSE GRRHHVGYTASRTKKLQAQRDNEKAGTEVGVLKNTRIYIGGYLENSTDIEMKRLIVQA GGEVVHSASNCTHIVTSRGLSGSKTDRILTRKGKNNVYVVKPEWVLDSIAIGKRRSER TYAVVKASNVLEAFMKA JR316_0004486 MALLRGLTLFLLGVRVSSLVPSNSAQNNEFNSILIAAPDGSARA AFIPFGAIATNFWVKDKHGVFRDILLGFDDHTLYQSDAEGHPYFGPIVGRYANRIRNG TFTIPISKDASGPNKFFVPRNEGNNTLHGGFDGFDRRVWQTTKVSSSSVTFTLVDPAG TQGFPGTVLTTVTYTLEPKSTWNIKIHAVASAKTPIMLSGHHYWNFEAYQESQDLVGH FVQFESSKFVATDGQLIPTGKLTPVAGTPMDFRKAKSIGASIPLTAQAQFCGSGCVGF DNCWVFDTVDQTKKPKFSMWSVNSGIKLDIFTNQPAVQVYTCNGIFNASLPIPRKATQ GGPNNIYADHSCVVIENESLIDAINNPELGVDQIFGPGRPYDWESTYVFSTIQD JR316_0004487 MNLFTPNHVKLLNSCYPPTSSLLTAGPEYSPNSHELSRLTYYAS NHPGKLAKIAGELEKRLKAECKKAKSGNIRSRASLLISLSIFRSLATECRRDIALLSP SLIGSVDYTLASLLMDLEVTARAATVFTAWTTYTNGHLIGADSNMTKDYLSILKQFAT LSCSISSDQETQNRTRLIGLAALTAALNSEALYNDIGNFGTQVSIILCPIMVILFETP LSTLDDQFSAVKDSSASPYLAEFRTRPALERRAASIHIHVDGDKGPSSSDVSDASLHA LFSLLNHANGAQLGYIMQSSFDNLDSLQKWSEYDHCCWYAQRVAEWAQYQYRYVVPTW LVDRLLSQPESPGSTVLYQTLVAMVTSVFSAPTPLINLSSSDIMSNLLTLMLRCISIN PEDVTLPALVECISSLGCHVYYSDQIQDLAGELVGRLVSIEVQGVLGRDKATSLQTRS SAIRCLLEGLLGLVRAANANERVESADETSKRCSPEPKSRTSLDTSRLERTDERASRR TRVPPDIWQDTLSLLCDSDPLVREECSNALVYYITQEMPKHGESSEPAAVKPMRRIAD TFRHHVGVHPSIGDSGSKFLNSVHAYLYILATSPTLGISPSVVGNARLSSYDDSSSNA PPPIISDLHHDLGENRSAPSPSSNRRSIGGHNVSRARKESLVLGLLERTPSQYTTSAK ASEEDYAHLLKILTTIQSRMPLHGLLTGIPMILALNAALNTQDIDINLLHRIVTIKTV IAHVWLVIGQVWKLQDLVNLAEKAIKNLSSFPNKGTTEGFPLDSHTLGIDVDEALSLV TSSQAVQEAFGMDQEGLTRRVMVKWTPELALRDFENSSAYETTLRGDGISPLLKISPA LMHIENISLQSLTRSTRGLGVTDLREALEGRSSMSNPALARPPSVSTLDHASSSFMGG DAHLRLTQTRSRSRAAKKRPGPSGNGEVRDVLARLGISKQNSNLLKSTFPALQKSN JR316_0004488 MSDPAPGFSPPYTYSGEPTLGAKMDDVSRSSTLFSLGGVDDRCF STIQEETLAIPEGWTMCVHPQGWVYFYNHTLKVVADHNIRLPGILEITRQSYLGYPLS ELTEGMEVHLHVQAQKIADKFKGNNGGIATFNLTINHKHCVASYEIADVMGDNSCLLG PQRLNRCRRLYWNYLWNHPSHVTTPPRAIEDAFDSLTWFYTDNLISGQRSTVPFSKLE CEELSRVVKEISRPENEKSIAKTVFLAWLLREVCSYRDAENWGQLTQKESQAFRREKL APSHAAYQPPPVLLGILMFVINVLCFGIPHTYRAHVKMTSEYRGRLSSVQKNWENYIE RLVREYSHFLLISTVLLSATVGFLAVEGIPEGAQVSATISTFASLGSIIVGVFSIWRH QANTSTADSFTYMHNVQHRYLGLYGHAIMLSLPPTLLVWAILTFTVSVVVYVMHGVNA SEGTWGKISTWTVLAIFIILFMAVLLALYTFSIIWKFQRRSVKIWYRICSIWSNTASV MGRGPQPKPRDIVRV JR316_0004489 MSFFGNNTGGGIFGNAANNSNTSNATTTPNIFGGGTGGGIFGGG ASSNTTGGNIFGGASNTQPSTPAPSGGLFGGGANTTTTPAPATGGLFGNTQNNTTSTP AQPASLFSVNNPNPPAAGTGLFGSTNTTTPAPAASTGGLFGSTTTNTTPSTGGIFGAA NNTSNPTTTTSANASSAAPASLFSVKPGQSLFGQPSTTTPTNTAGTPATSSAAPAAGG IFGLPPKPATTGATPTANLFGQKPTTTPEASNANKDTPAPARGGLFGGSSSKEGEKKD SAAPAAPSPFGLFGGSKPAEKKEETPTSTTEKKDAPATNTTAATASIAIAPPSMLRGK SLEEIVNRWTSDLETHKAAFNKYAAEVAVWDRALIENGNNIAALYSHILAAERQQNDI NESLDHIEQQQKDLASTLDAYEKISQDILGGQLRTLDTGPADNERDQNYMLATDLHTH LDDLSGSLTQMIESVNALSIASKPTDSADDPMSQISQILSSHLESLQWIDGAVREVEN KTNEVEQRIKESGHNLSGPGNKSRGFGLNR JR316_0004490 MARRDRSISPETPNKRVRQSHRSPRSPSPTRRSSTQRSSNGRSR YDDDRDRDRDRDRDRDRHRDKDRDRYRDDRYRDDRRRDSYRDDRRRSRSRERRPSPPR PAAPAEPVATPPPEDEKIRAKRAKLEAWKKEREAKKALDEAKAKAMALAGKSMPAPAV PPAATTKAPGQLNRSALNGLGLKGLPLKPDFANPSKALVTALDDSVESKRKLETLGDM PAIDMTMVEDEAGVGDLEVDDDDEEANRMDQALKAKAKDAMEVEEEEEDPLDAFMNEV KQEVKKVNMQDMQKFAQSTGGRSRIRLDERMAEDGAEDETETAVPDELDATELNPEDI LALAAKKAKKKELATVDHSRVHYEPFRKEFYVPPPDIAAMSEDDAELLRLELDGIKIR GLDCPKPVTKWSHFGLPANCLEVIKRLNYTAPTSIQAQAIPAIMSGRDVIGVAKTGSG KTIAFLLPLFRHIKDQRPLEQMEGPLAVIMTPTRELAVQIHRECKPFLKVLNLRAVCA YGGSPIKDQIAELKKGAEIIVCTPGRMIDLLTANSGRVTNLKRVTYVVLDEADRMFDM GFEPQVMKIVNNIRPDRQTVLFSATFPKQMDSLARKILRKPLEITVGGRSVVAAEIEQ IVEVRSEETKFNRLLEILGQMYNEDPECRTLVFVDRQEAADNLLRELMRKGYLCMSLH GGKDQVDRDSTIADFKSGVVPIVIATSVAARGLDVKQLKLVINYDCPNHMEDYVHRAG RTGRAGNKGTCVTFVTPEQERYSVDIYRALKASNVTVPKDLEDLSNGFLDKVKSGKAQ AAGSGFGGKGLDRLDKERDAREKAERKAYGEPTGAEEEKISSAEEVAVKAVAASTDDM TFGNFKVEIKRGPAPDSSKGLLGVGGAVAAARRLAHAKEEEKIQSQMRAAEEAAARAG KDTAAHKQALSVVAKLNAQMRASKLVLQSQLQAEESGASRKANPDSTDFHAIIPINDY PQKARWRVTNKETMVQLIDMTGASVTNKGIYYEHGKEPPPEGPPKLHLLIESNEEFRV EQAVREIKRLLIEASAAALQAEMRNPTATMGRYSVL JR316_0004491 MPADILDPSAIISSLPTLLPPNSKSLTSPQDAIAALLHSALTAL AFRLIAVDESSTGANLSSSVLPDHWNKSGPGNYTLKYRHDQSSLEFVVKLSKLGTRTL INAIALESDRVATLDICTNDFTSPSFYPYNLDAPDASPLVHGYISSNRVADLLSQLKL KIIQKLVPGLQKEGYTEETDSSAGSSSSANPPSRDPTAPRPRPESPPDAPGRYPYYPQ SAIPRNPLEIGRRDLDPFPANPFAPPSLFPQHGGDGMFVGPEHPIFGIGRGDNSPLRG PWGGDGYLPPMGAPPGARFDPVGPGFPNRGPGPFGGPFSGSGRGRRPENPDNDEFMPP GMVSSKFIDIITFSTTLIGFQGDMFM JR316_0004492 MNRTPSSPTTPVRRKNPVLPGFRAPPRPNQRPIAQMTVRELQDR HNFNAKLLASPEASTSTYAQRVLAEQSEVESRLLELNGMDVINSGLRRTRIGDEGPAG DSSREPTSRTLAAKQKALSQFVSNTHNSSSHVGSLSMQEAIQLEQQAHLQDKERQERI AEKRRRLGYPVEGEVLTRQEREARVWAYFNHKPTESDMEDDDDDEDDEDPASWFEDDQ DDGRKGQDIVEPDMEDLSDIIRIDENKLRYGSFYEPRDDGD JR316_0004493 MSPDASIFTRAAPVTAADRQVRNRVQLAHVRELWIFLGSVLAFF TLVNAIRCLSRRLKALPGPNELATEEMNDKESNKTPRRIPSPIQRILSTLQTGFRIVF FRWSVPIGLGSIASVSELAFIFVYIAAMFVWLLVDTRDLAAYMYQDRAAHIASSQISL IVALAGKNNVISWLTGVSHEKLNVLHRASARTNFIFFWIHALTRVVSGLPKNFDFTHD WMRSGAVSLTALTLATMLSVRPVRNAAFEFFLVTHIIFILIFIIGGYFHAKAQKFGDY IWPGLLIWGFDRVIRAGRLIWNNKIWNRAHSGDALVELLSEDTIRLTFRRNISWIPGQ HAYVVLPTVSSLPFEAHPFTIASIPESSTDSKERDIVFLIRGRGGFTQRLREHATKDH GSRVPAFLDGPYGCPPDLRPFSTCVLIAGGSGISYTLPLLLNLVSLNSRGGKLAVRRV VFVWAVRDAAHLKWISKTLMQALTRTTPSLIVEPRIYITGKNYPIPEVPTVSSDRASL SSTSVEKGEISHTELPVYSSLKLIHGRPSVKKLLAEEIEAAQGPVSVDVAGPSNLAES VRRALSSDLAGPRAVLKGSQPVTLHIETFGMALCNTMSSDNGDTVDEVQTPVDVPDEL AISKPSDDSPDSEEELFVYPSTPSNPDDAPQQSASTRQIHPTPAQLEALYAAACSGDL SSLKKVFNTILENSDIEPFNLSNDAAPRTGFTALHAAASRGFYDMAVWREYFFTPAGS GLIVGPVIEECGAMPDLEDREGETALHKAALNGHLRIISYLLPDKADVYARDADGWTA LHNACSKGYLDIVRWLCEQGGANNSVGGVRGVDVRSKDGWTPLMNAASKGHLPVVLYL LTKQSANPLVRNKWGETAFDVAAAVFEVWICEILQQAEAERWRGTTTPYNPLLVHTTI PLILYENQRLDTRLKTVAVNGGRPKFSASGLGRRGRRSPFELRLPQPDEETGAKVLPA WRSGVQLPLREAPWNFPRPATVQHPALEGVERSHFWLSDWTLDITHPCVDAEEGWQYA QSFDDPDDKWTAEMPLQLERLLSSNGLVSAGFGGHSGRGQSLSSSSQSRPQPTWVRRK RWVRIMRRRLDIPPLAFLEPDGAMYHLDFDGSLIPYVEDSHLSPSDVGEAREMGAMSS TFLSSAQDYVARARYLVGNQLQDAETSGSAVEVRRAIAKVERATMELRQGILSDEDPE RKVQAEVLLNAYSRDLERRRLSAGAKGLLIANDDEDPDNGDDSDSDDEFHYPQSSHQA TSRSVSRSSSGTDYFSRPGVSRIPADLTPQLTQAPDFRVPTHEAPQKVSTSRWNSPAP RQLHAQWERDESVTQCRECHRRFNFLNRRHCRKCGRIFCDRCSSFRAILDPADVVQDP LMPDFVSPATSHRVCQSCFEESTSSIPSRLHGTSTMERIVVDQEHLTVPGSLTRRQSS SQLSDLADCPVCNQNLDEVGDAHAQEVHVKSCLEGDSSASQFGQVVKYLVYHLPAEST LIGVECVICLEEFAKNSTVARLSCFCSFHSACLSSWLQRGKSCPVHAR JR316_0004494 MAKPNGSVAPSGDRSNLIPFSSRLRDGRALALDVWTIFSAVNLP ADCINLGQGYMNFAPPKWVTDAAEVALNTVAPNHYSHPRGRIRLREAIKAFYGPQFNR NLDVESEILVTSGANEEQGDEVIMFEPFFDQYLPSVTFNGGKPVYVPLHPPKDSLIKP TSNDWTIDVDELRRAITPRTKMIIVNTPHNPVGKVFTKSELEAIAAIAEEFNLLVMAD EVYDCLVFDGKEHVRIANLPGMWDRTVTVGSAGKAFAATGWRIGWLIGPKSIIQPTLA ASTRIVFCSNSPLQEAAATGLELAKEKKFFETQLQEYVERRDILTKAFDDLGLKYTHP EGSYFVLLDISRVDFPEDYPFPESVLGRGRDFRACWFIANEVGVSSIPVSEFYCEEHA NIGEAYARFAFCKDVDTLRRAAERFQTLKKYLK JR316_0004495 MANFLAHIEALLGSSDRTQPKADTTSWTRISKILKGEEGQGFAE DDSLWEERDYEAEASVLDLYRLQEPSGTPDTSLLLSVLYKKADADHISQSVLSILSSH RTNDEISGELCELLGYDEIELVMQILDNRSAVIQQLNVGPTFSKHDNSVVQSKQSKNE SYSFSKESVRRRMQQQLDANASRPLYSGTEQAAPEILPHVYTSSNATQGNVVSQFGSK YMLPLGTTRETYEEYEEVVIPPAKPVPPRSSERLINISELESIVQGSFTGYSALNRIQ SIVYPTAFGSNENMLICAPTGAGKTDVAMLTILRVIDQHRLQNKSSSNLPIHQTIDRD AFKIIYVAPMKALASEIVRKLGKRLAWLSIEVRELTGDMQLTKAEIARTQVIVTTPEK WDVVTRKPTGEGEIASSLKLLIIDEVHLLNEERGAVIETIVARTLRQVESSQSMIRIV GLSATLPNYKDVAEFLSVSRHKGLFYFDSSFRPVPLEQHFLGIKGKPGSSLSRKNLDT VTFQKVSDLVAEGHQVMVFVHARKETVKTATSLREMAVLEGNMEHFICEDHPQFNFFR QKIGESRNKEMKQLFDSGFGIHHAGMLRSDRNMMERMFEARAIKVLCCTATLAWGVNL PAHAVVIKGTQVYDSGKGKFVDLSVLDVLQIFGRAGRPGLESSGEGYICTTEDKLTHY LDAVTSSIPIESQFQAGLMDALNAEIALGTVANRRDAVQWLGYTYLFVRMRMNPFVYG IPRESVEDDPQLGTKRNELIAIASKKLFETKMITYDAQQSQFQITDLGRIAAKYYIRY TSIEIFNKEFRPRMSEADVLAMLSMSTEFEQIQVRESEVKELEEIMERVPCEVKGGTD TSAGKVNILLQGYISREMVEDFALVSDMAYVAQNGGRIVRALLEIAVSKKWANVTSVL MAMSKSIEGRMWSFDHPLRQFNLKPETMFSLEKWADDLSVSDIAGMEASSIGEMVHLN EAHGLAILNAAKQFPTIHITYTLRPITSEVLKISVGLTRAFEWASKVHGTSEPFWIWI EEEKGANILQLSHIIFHPTTEFLDLDFFISIPNGQLPQFVTIRSISDRWVGAEDSVMV TFDYVIMPPTSRPHTPVLNLPFLTIDDIQSSFPKDVIPRRFHTLNVLQTQSYWNFIHN KRNALICAPAASGKSTLARMAVWNTISKLTDGWILVITPTKIAAMDMVSELRPGANSN GILLEYGGDTKRLFSTPKSRTIRVATADQLIHAFPASIHLTKLTRLELVVCDAMEQLT SAYEFSISMLRLAAKSSPVRFIGLSSSINDASDLAKWLSVDSTAIHSFRPQDRDQSLI TTRKTFSIPYSASLFKAMAKPAHRAIQDAPPGSLAIVFVPSRGQCRSIALDLITRCTL EMETGRGYIPDVISDDLVGDYCARLQDTSLLDYVLKGVGFYHQGIPKHDRNLMLEMFA EGIIRVLVVPKDSCWSLPARASVVVVMGTQYVHIEEEGSLRQIRDYSLTEVVRMQSRA IQESGTGHFHLFCQADALETYSRFLDEGLPLESDLAESETLRDWVKSLQSYSTNADKQ QIVDALSFTYLAQRVVSNPSYYGFTGSDRDTNLSAIVDHVIDEIYAKK JR316_0004496 MLKIAQAYRLRVATLSPLQLTAVCVRRRQYSIPTDLPVPSKSKV WDSADEAVKDIKSGSVLLCGGFGLAGIPDTLLGAIAKRKDVTNLTGVSNNAGGNDSGL DKLLNTNQLSKIIASYPGSNKNFESRYLSGKITLELVPQGTLAERLRAHAAGIPGFFT PTGASTAIEEGTIPQRYNDGGAANGIAIPGIPKEAREINGKRYVLEPSLAGDVAFIRA WKVDEVGNCVFRYASQNFSTVMAKNAKLTIVEAEHIVPVGSISPNAIHVPGIYIDRIV PATTEKRIEIFTMAKEGNESESDKSVPPEKAAAIAQRHRIAKRAAKEIKDGFYVNLGI GMPTLVPEYLEPGVKVWLQSENGILGMGPYPTKEQLDADLINAGKETVTLLPGASIFD SSESFAMIRGGHVDVAILGAMEVSKAGDIANFMIPGKLLKGIGGAMDLVSNPDKTKVI VVMEHCSKNGKSKVLDKCSLPLTGARAVSTIITELAVFEVDRAAGEMTLTDIAEGVTL EEVKAKTAAEFKVAHKLGTF JR316_0004497 MHPSESSATTKENNEESAIFQPISLPCGRVVQNRLVKVAMYEHL ATLLGGAPNAHHIHLYSEWAKHDWGIVITGNVQISAEHLTLGRDLVLPKSSSDVDIQS FRELADSIHGLRNVVGRTVPVPNRTLAIMQLNHAGRQSSNFIGGRRLFQAPLAPSAIP LGTSGNPSFATRMLHSLLFQTPNEMTESDIEEVVEGFTRGAVVAQKAGFDGVQLHAAH GYLLSQFLSKKANIRTDKYSADLAIKFVHRIVKQIRESTESNFVVSIKLNAADYINRE SSQADSLTEAEQVALQHLITIADWGLVDIVEISGGDYEKPDFMSSADHSKPARQAFFA RFSQQALKTLHSRGNSVPLILLTGGLKTPGLLQSALACKHADLLGIGRGSVVCPELPS VLREHLQSSHRWDHIPFNPEPRLQMPWIILYPPFSWVWNVVNQIKLIGAGVTLAWYVV TMRQLAHCGASGAKFKPYYHTGGLQSIFRMWVWRVDGMKTILYRILPILVVFVLAASL YR JR316_0004498 MDFPTTRELELEVLLREKDAQLAEVTDDNRALRQYLAKQPGPST SDPVTIPPALFSVLLPRINSAANAAGNEHTGAGSSTVTAALTQRVRLLQEENEELYNL LKQSTTGKLQDEVRGLRRVVARLQAALKGSHDTIASLSTELDKAYEAFHSSSPQRASG TKKARPKSNSPSRSPQPVSHPEHDVGNTAPNHNHNHTSRNSNSNAHSNSRPPPTEPRA HKRPRLSEPQSQPQSQAQPSRSPRTTPVPRPAPPSLPQKPQTHHHHSHNSGSQHSRSH TQSHTREREGGQHHHHHPLPSRGENGRYSAGNNSHSNSNNKMDVDEDSASGKGTVQNS RDRNRGERSNRDRERDRERESGSGGKDRERERRDGKDTSSNANNFASNSNSSSRRNGH TSSSVGLSVRGAAAAAAAQNVGGGRKVSDRNATSNAYLNSADRERTLQERLGL JR316_0004499 MASIFVRPDSPPPRQPSPDFLPAPLPYPHHPRRIASQPKIRVHE PPIPPGLQSRQRTLHSARSCDVLSSRLPAPAPAPAPAPASSARSSPDFVPRHRRTLSV AFASPESSRSASPAASALDHQVPPLPAMPYRYTGPQHIVCPTPVALNKPIVLTHPDAV DHIHFCVPAAPSPLPDDKPLPRSSVESDSSAAAPVPPLEKHKSMGIACLKFFGIRTAS ARQSPPRPAVTAI JR316_0004500 MGNLLKGLYALFLFITVAASVSWTATPFNPASFPLAVRSPYLSA WLAQGSGAALNDVWPTFWTGQIVGWAGFVKVDGKPFVFLGTPNVPAASFSKAVQKSSQ FTSTQSIFVLSAGPVDLTVTFLSPVEPTDLVKQSIPFAYMAVSAVSTDGASHSVQVYS DISAEWVSGDNSMIANWTTSTGSIITHQVQLQTQNVFTEVNDHTQCENFLTFSATLIN DLTASQAAGTTFQTGADVTVRAQFINNGKLSNTQDTNFRAISNNWPVFGLSRDLGTVS SAPTDPVVFSVGHIRDPAINYIVANNGRQARSLYFWSQFASPSAVISSFLDDFKNALA RAQAFDSKVNSDATKISADYASIVALSIRQALGAIEITVSKNSDGTFNTDDVLVFMKE ISSDGNVNTVDVIFPAWPVLLYTNPALGKFLLEGLFRYQATGQYPNKWSVHDLGASYP NAVGHNDGRDEAMPVEESGNMVIMALSYAQKTGDNSQLQQYKGLLDQWTQFLVSDSLI PANQISTDDFAGSLANQTNLAIKGIVGIKAMSQIAELVGTPAQSANYSSIASSFVTQW QKLATSSSGQHLTLSVIREFCKVAVNWGLSYNMYADKLLKLDVFPESVYEMQTAWYKT VAQPFGVPLDTRYVDYWEIWTAGIMTDTAGRDLFISSVKKWASDGLSSQPLGDWYETT NGQPEGFRARPVVGGHLGRWTCDRGLDGQC JR316_0004501 MAYYPDWVGSTFPPTRIDFKRVDWIDFAFAVPTEDFSLTWDDPT GPTLLEDLVSSAHSMGAKVKLSIGGWTGSKHFSSAVATPDSRVLFAQNILAVYLQYSL DGIEIDWEYPGQAGSPGNKFHPDDTANFLLFLNILRTVLPPVARITAAVEPTPFVDAA GRPLTDVEEFSKVLDWVLIMNYDIWGSSSHPGPNAPLYDACENSTQPDASAAGAYDAW TKANFPTSKLVLGLPSYGYISSSTEARLRTRSKTKAKKTKSGKIVGDDGDSEGQVQFN ELVQQGVLLRSGNDSFHYEVNAESGFEGLWDGCSETPYLRSSIFNQVITYDNPTSLAM KAAFAKKVGMLGINLFDIHGDTDRWDLLDSIRYALELL JR316_0004502 MGKKGKGKQKQDEKLATGNDNATLLQPPLATEGANVPMMDIGNM HSPMHPPSIHQEHIQQVSQGTAWGSAPGDGNWDSPGGGFTESAIHTDNWASGTRENDG WHHADSLLDPPPVHSHNILPTIHEQIHEQSSSGHFETRTESIVGSEIYSDDDYGQETH RGFHSPYPQSRTGTATAAASAMGSPRPAPPVSLSQAAAEAAKLNNQHMSHASEAARKL EESKARQKDSSALASSAVPTPAVQHVPLAPPTPPAPDRTKMSTASAYAALHEKKNAPS AVKTPSAQPSTSGGKNTWQFRPVHPGDENARIIPTDPSWIMTGGNEWSNKHRTSKSAP AVPTAAYIDEDAWNRHLNHYRQAQQMQQAIHDKKVRQAFPAQHRPQHSKHHSHPGHPM HHQGPQHLHERQHQRHQHQQPHHPQQPQKNPKQNQKWQRWGKDGWNEEEEEETEEYSE SGEETVDEWATAAQHSDAWAHSGGWEQPTRFAGERGRVPDKKAENHWGQAEPWGEVAG SGTGWRREASEGGGWGEAWGKQGGQQHHQHQQQQQKQHSVQRGHHPGHGNEWGSEGGW GQETSAWGKTDENAWGLLGVGGDRGKVSEWDTGGDRGIGGATNSRSEWPATAQHNQSK IPANVADMSGSRNTVSAQQRSQILNSFLNNAQGQKHAGGNAAQLHASALAAAQKGLPK NKVQHDAWVGGAWEAEDDGWGSLEDEDGYGGNRRVHFSPKTSDIWGGSPRSVPSKTLV HAQQGLATTPINDASNVRFVESRGAAFAYVSSAFFGNSRLARERIHWMFPVNKDKRVA AMHAWVQKMSFNIGTYGDPAFDWLTFNQLQGTMDKTLQESVAFYDPARLVIVFVYLPS QTGNSVAIWRIKVNVPDNARIKYQQEVSAITKTLRKDTEYIVMVDEIPPKPKAPQKSG LLRKTSLTKSKSNAKNLPYTPNVKSAPKEKRKWWKIFS JR316_0004503 MEGLGNTRRTPDSFEQTQHSSNGRRNYPAILHVAVISTFLIPIA LFPYMAARRQIFILQRKIEMLEKDTQLFKDTMKVAIADQSLANAEISRVRESGQLSME RINQLQHQVSKQESERTVTEKELRVGLRQLLDETQHSRYMYSGLFFVVQRNLTACLWV IYRTQTATLRALGMSLADIAAFMQEVELNFGLRQRHDHRGIDRMRLLALRMQSLSQPE DHTIQGSKPRPAAAGGTAQKEN JR316_0004504 MDDQAIASGAVSDLDTRVAPLNIPTTSSSTTSENKSAGEEQALE SHEVIELQTFSDRKAWIEDKIKFLESLPPIDVFAGLEALKSSSEDIPGLPTRLELQRW VTEHDAIEKETEIFDTGELTKLRQLTKAATQRNLSPEDTDVIELTLTTIYGLDKLLHL LRDRSENLEMMAIRLTWEESRQQSWKERRQIIQDLETFSTSRARWNPSIYDTSTKMEE APTLSRRASNASLASVGSDNTANSRAFSRTARFKLAEMLSRDAAQFSGRVTGLKHGKV AAAGKALDKLIDHSRKPVPDELLDEQDRLEEKCINEMENISKFTMNLVMQWRKADEVY VETMKDHTAASNLLEEIEIAKLHHPTARQSASFVSRIDTILKRLAVRGDPSAAASSFP HPEHPLLPDQKAANEALVRTLSNEIDKANQLAKKVDGIARDFRTAYEAVKRAEALVTG AEELSSTLSSFITKLEEGISTDEGDGSSPDLSTDRCLDPTRHSVFLAFLPSLLESTQR TIEEVRDTLNMAPSVLIGLELPGIDPKFKENAAVTVGNLALIRDKASSVRDSIVHTVA RLRECRKISASINSKATMVKALKSQILDYIQQERWQQESGNMDPPPTPEGSTPSPFNT IGLNFDDSFLQIESQLKLEITDPLDALSANLQPQLLSCLKEMENRLQESLQKGNRFLR VLYAIREQAAAMKSTRDQFYQILSRIEDCKAQIQESIGITMGTTVDDSPMAEQKIIDI EVFEEDVTGFVNSLTHRIPFVSQHTIHRKTAVNPTSPSYMSTTYSASDVLDDFAIDIV SVDAGVRADSNTYAMRLCGELDTLKKARKHLNLAMKAKLVDRALKCANDDIDTITDEL ALQNSLLSSIPRNSVESIEQLISLSDTLGTLGNKSISIGKSFTPTRVVLREMDELSDV LDSSTRQSLFKSRNDAANNVELRLKNWDQGFAALKDSVLTALARERQHQEELKAAEER RQKAEAERRAAEEQERLRLEEEAKIAAEQRRILEEKLVEEQRIELERQRKALERVECE RLAQEAAAAEQLALEKLEKQATATHAQELKDKLQKEEAERAKLERERIEMLAKIHEAE LQLENERRLHAEREAAAVELEKERQLQMELQRKEDEAQRLAQEQKSRAQVEELVKQQK LQLEQLAQEQAQMLEIERLERHQEVEAHRINEEKSRRMKEEIEKQQETERQHIEDEKS LQIESIRAEAKRLAEEQTRRNEAELLERQHQLEKKRVDEERAAQAKIKELVESEALRA AEELAHRATLEDLTKQREAEKQRLVDELAKSETARALAEQALQMQLEQAAKEFRAAES SNKIDEADVFGNQATPNPSNLPRSKELARLQSQLVALRKRLRSIGLNEASRPSKSSST LPTDEVYNKMQRDLSSLSSDFKTFPSDINDGTFNTDFNLLKAELEEAFVSLQDIGKLA RVHNSVQACDAALSDLLEHIDSFPAAPLGVLSSSHRSVPTAAPAEQLLSRLNFTRKIV DDLVAKSESVIDDHRVRSEHSRIQQTWAELEEMANDRISGNRSRPSSTISRNSSRAGR NSNASMPAPSLPTQSRSTRKKDSYSNLSVSSVSMPSKGKMLAPPVPQTKTRRVTSGSN DLTASRSTSRLSSISSNRSVSGPLNSSLYGSTFASRQRTASLSSTVTTPTRTPSLSSR SRMASESKTIRSHSPALSEISNHSQSHSRSSLGPSRSTANPSTWSRAPRDSFSSIISR PRTVTPVKRPDAATRKKYVADPKSKLDMAVGDVVNQLPVGINVEGITESWRDQSGKYW IGNQDPKLCFCRILRSQTVMTNDSTGLASNRTTGQVDQFYFVDGVNVTETFIATTSFT STRPSHSRAIFFFRPIVFTYDAQWSQSTVAKVVSLRKRGFSSGAIAVHQKSGARESLH QPAPASDTVKTTSAG JR316_0004505 MGHTCRKDSIYDLAEEELVNHSPTLGSPWAFSSSLSGAGLAGEN AITDSASESSKGDMRACFDPFSSNASEDSEATWSFEKISTFTGLSVAEFAAQVSATAE ETLRRMSGDMLISDTLAFNPGPLQTPDEYINMNQISTWLPSRTRTPPLALPLADINMR HLESSWNSDCVGVNPADIYSVPVTAPDIVPERLFLLHPDDVSSSHKDTCLKSSPDNSV GTPETGSHLDATTPGPDTASPYEEHTNLTLARSKLQNSEQISDDEPSIFPSPSSSEYS PPLKPLGIKRNQSSRITTRRNTRKSEAEEPDSFPEYQDNEQYNHVPLNLGTPVFDAHR GIDIEDLKAKAERYRLRNQGRDYDKRWLISFAGKLSEKGELVEEFRCYVSGCKQSNKR RDHILIHVGAHLDQRPFKCMHWQDISSSRFLRKNECKRHELSHTGIRPFSCHLCPSPA TTFVRQDLLKRHMKRTHHIDFKVDKENEESHRPKKRARY JR316_0004506 MWRHLQRSCHRPLHPIYSRPYSQLPGKNVFTKHNISCSAPPELS SGKRIANVLIAFCAGFSIYFFLDEPASQFERPSNSPNKFILSKVISNEDSGPGTKLLK IAAPTYFLPHNNTANSFDPIWSVYIKDDDIQVERPYTPLNGVDENGQMTFWIKKYPNG EVGRWLHTKRIGEPIEFRGPLTTWTWREDTWDEVVMISGGTGITPFVQLFHNVISKSP NTKTRFTLLHSSRVPEELPPPSLLDPMITFSIDNPDKLKFHVFVDEQDGSEPSTSIPA IYTGRISENTLKRHVFPEEPKISWWMSLFTNPPPTPDPRSRRILFLVCGPEPMISAIA GPYGRNFSQGSVGGILGKLGIVSDQVYKL JR316_0004507 MSISINSPADFHVHLRQGSISELITPHVRKGGFDVAYVMPNLKP PVTTTEQALQYKADLERIDPNVKYLMTLYLSPELTPDEIRKAKQAGIAGVKSYPRGVT TNSDGGIESYETYYPIFEAMQQVDMVLNLHGEVPSDAEAATLMSFPQNIHVLNAEPSF LPHLFKIHNAFPKLRIVLEHATTRAAVEAVKKCGPTVACSITAHHLALIVDDWAGQSW NFCKPVAKFPDDRQALRDVVKEGHPRFFLGSDSAPHPPAAKSTSTPTHACAAGVYTSP ILLPLVAHLLESFGALDKLQNFVSGFGRAFYQEPIDQSKGARVIKLRRPSSKKIVDDK YVLGNESLIPFWAGKEIGWEIEEDD JR316_0004508 MVAAGSITVAVRVRPPTPSEAARLPEPCYDETFRGEGALSTPGK VVNTATLRDIVQIVDDRILTFDPDEKDRSRAFMERGFMPPGTKRYKDKRFMFDRVFGH HARQEEVYEATTKPLLQGLLDGYNATVFAYGATGCGKTHTISGTDNDPGIIYLTMADL FQRIEDRSEEWNVEVMVTFLEIYNEEIRDLLAEPGAPTQRGGLSIREDKTVKVVGLVE LKPRTAEEVKEIVLQGNLRRTQSPTHANETSSRSHAVLQVHVTQSPRTAAITEQRTMG TLSIIDLAGSERAAATMNMGQRMVEGANINKSLLALGNCINALCESGGAIRHVPYRNS KLTRLLKFSLGGNCKTVMIVCVAPTSNHFDDTHNTLVYAERATKIKTKVVTRNVVNVD RHVGRYVEAINRLNLEVAELKEKLAGKRGTEQEMQARKKAECKAEIERSRKDVHFKLE QTKPSIVDGATCSAKLEVAKLKLDAIQIRLSQMDTEGQSSASQAERALLKAFSGPEEE VTKPGSVLNTRLQRSSNSSAMFDATMRAVSERKSEKLDDIGHENVKLETSFVKATIDK LKAENERNVMREAVGDLADITVKLIGMLGRCTVAVGEASHLLRSSLDQDEVRKIANSL DTMRSKNDETFQKLLGHSTETYLVKERIGSSPTSSVYENFENYGVTFGRRISSVPSAP LPIKSAPTRRTSSYGNLSISASPPRRSHKSPRKSLRSSLASQPYRRVSDKERKAPKSA KNVQWRDEAGQGEIDDGGDKILPPVSLNIIPSSPSNDIPAIKSAPPRAPSPLRPPSVL GSESEWEDENEKTDDSLSMSFFTSSTSLSANSAASSRPKRSRPSRLDPNFLKSKSKPS TLGSLREDDEGVVEPRGYPLSDMNLNRVGPNDDSGSSDGNLHVPKCGLERQQILQTNM ALSWTWAPKLPFRAGVRKSINPGVVLSSTQPLQVKTNPPDFEIQMSAGIFQLPNKAFI DALLSPIICGLALHLLFNKFEQEHLRLLNFALLLFPVAPVYILLPHYGDTLTPRAVLT AYSATYATLLLSLILYRLSPLHPLWKYPGPLLAKCTKFWGVYHSSTGQFHRTLSSLHQ RYGPIVRTGPNELSFCDVDAIQSILGADGMGKGPVWDGRHSPKRKEVSLIAIRDTAEH LQRRKVWNRAFNISRIKKYEPILRVRVDQLVDSLQDLALKHKEVDMAEWMSLFAYDFM GDMAFGGFFELMHEGDVNGLWKLMETGIRMNAYSQHIPWAASILYALPGLGKASTKLI DFAVKMANKRIERGMAYTGEDLSSHLLDEVSPSPKPVPFNVYASDALLALVAGSDTTA TTLSNIFFYILSEKSFFERLRNEVDDNFPIKEGQVPADDTTKLSSMPYLNAVINEALR LQPPVASSLQRAPETGTGGKLIGSIFVPEGTGVYLPPYAIHRDERYFCPNPTAFFPER WLSKDSSIKTIHAAFIPYSVGPMNCAGKLLAQLELRVVVATLVQRFDMDLKPDWDRSN WEADLQDFFVLAKGMLPVIVKRREI JR316_0004509 MTRLYTRHFFYSCLTLLLVLPTIVSAHLIEIPAGKKECFFEDLH VHDKMTVTYQVGEGGHLDIDFWLSDPTGKVLGKQIKQSTGQLAITAEIDGRHEYCFSN QMSAIADKLISFNVHGIIYVSGDDVVAPIEREIRKLAAGLASVKDEQEYIVVRERVHR NTAESTNSRVKWWSILQTIVLFSVVAWQVYYLKSFFEVKRVI JR316_0004510 MWTIQLTTRIAGKPPTASRINYSRFNVRNLRTQPRDAAPNANDQ EMLIDPPGFGLATPRELVQYLNQFVIGQENAKKVLSVAVFNHYNRVRANLGYSDPECH PDPYSEQAGPDIPISTAQLRPLRKRIPSLSFRSRDRPVLFEKSNVLVLCEKHSLLGHW RRFLMYRFLLAMLPHSPRRMSVKMWIWQFSDCTGIVYIDEVDKIARRSSSGTEGSRDV GGEGVQQSLLRMMEGAVVTVQAKGGVSAEIPPQNSGDPHSRGGQRATQAASKPDVYHI DTSNVLFILSGAFVGLDKIIKQRVAKGSIGFTANLSSDTSLPFFTSNRKATPNPLDLV ETGVHLFPQRFIPEFISRLPSITTLAPLTIPDLRKILTEVKGSLVSQYTALFGYSGVE IRFTSAALDEICKKAYERGGGARGLRGIMEQHHQDTGRKVRLSSSGKPGQLKKDITPN FKEIGSRLDLWVLDNLFG JR316_0004511 MSENTSAIEDEVDVHFEPVIKLTEQVDTKTNEEDEEVLFKMRAK LFRFDADSTEWKERGTGDVRLLSHKETKKVRLVMRRDKTLKVCANHVISGDMRLQPNV GSDRSWVWKVAADYSEQPPTAETLAIRFANPENAKLFKAAFEDAQKTNLALSGKAPVQ TEGATKEEEEEKVEEKTEEKENAEEEKPTEEEPKKD JR316_0004512 MESQAIYRARRKRDKSEERRARKAEKRRIKEEEEARRVAELSIY SATDNPFHDVNLDQQFNWHKKNEMERKKGLTLAESQRRDAIRRQEAKEELERLNRRRA EREVEARLREEEELRMSRLQESAQMSEWLSKDGDFQLEQERRRAAIRIKEKRAKAIDF LALNLKYVNPPNDEEEKEEDEEEAGLEIDLDEPYNIFDNLSSDQTHELHNDIERYLGL ETSEVNIDFWTNMMVVCKDRLDRIQSDKRMGVEAAAAVEADIAALLAGKSYDELSSLQ RRIQEKLTSGEPVDTDYWEGLLKKLLVWKSKAKLRSLHEVVVRNRLEQLRKRQRDEAL QAQEELLAGVARSAIRRHAAEPVVEMGAIEEVIPTEDIEKYDRAMSPPMIDITKLAQD EREIDILIDKEDRRALFEQRRAVAASRFVPKAAQAAPVEVENEEAAASSADLASEALY RAEAEKDLDEEEELFNLEENITNPTSYNWEDKYRPRKPRYFNRVHTGYEWNKYNQTHY DTDNPPPKVVQGYKFNIFYPDLIDKSKAPTYKIVKEPGNDETVLLHFSAGPPYEDIAF RIVNREWEFSHKR JR316_0004513 MADSASLANKMDIDEAAIDEGLYSRQLYVLGHEAMKKMAASNVL IVGMRGLGVEIAKNIALAGVKSVTIYDPEPVTVQDLSSQFFLRAEDVGKSRAAATLPR LAELNTYVPVRDLGGNPGEEITVDLVKGFHVVVLCEVSYQKQLEINDWTHEHGVHFVA AETRGLFGSAFNDFGSKFTCVDTTGEQPLSGMIVSVDKDTEGVVTCLDETRHGLEDGD FVTFSEVQGMTELNGCEPRKITVKGPYTFSIGDTSSLGDYKTGGIFTQVKMPKILNFK SLRESLKSPEFFISDFAKFDRPALLHVGFQALSDFATRHNRLPKARNEEDANAVVALA KELDPDVDEKILKELAYQATGDLPAMNAVLGGFVAQEVLKACSAKFHPMFQHLYFDSL ESLPNELPKEADCQPIGSRYDGQIAVFGKTFQDKVANHRQFLVGSGAIGCEMLKNWSL MGVASGPNGIIHVTDLDTIEKSNLNRQFLFRAKDLGKFKAEVAAIAVADMNPDLSGKI LAKQEPVGPATENIYDEAFFDGIDGVTNALDNVKARLYMDQRCVFYRKPLLDSGTLGT KGNVQVIIPNLTESYASSQDPPEKETPSCTIKNFPNAINHTIEWSRTQFDNLFVKPAQ AANAYLSEPNYLESTLKYSGQQKEQIEQIVSFLVTNKPLTFEECIIWARLQFERDYNN DIQQLLFSLPKDATTSTGQPFWSGPKRAPDPLVFNANDPTHLDYIIAAANLHAFNYGL RGETNPAIFRKVVESIIVPEFTPKSGVKVQISDNDPVPQNNAGADVDTEDLARQLPSP SSLAGYRLTPCEFEKDDDTNHHIDFITAASNLRAMNYNITPADKHTTKQIAGKIIPAI ATTTSLVTGLVCLELYKIIDGKKRLEDYKNGFVNLALPFFGFSEPIAAKKSKYRDVEW TLWDRFEFTNDPTLKDIVEYFEREHKLSVTMVSQGVSMLWNSWIGKKKSDERLPMKFS HLVEHVSKKPIPPHSKHLVVEVMVTDEEDEDVEVPFIVVKI JR316_0004514 MPVSVPRQTKPQAHIVDKSSQRAPGHSISTQCVTGPLPAPPAAP FSSLSACEEWLVSLPSWRTSKQRLSSDEVGLPPQHSETQDFYLGLTAAANAPVIKGSH AEACIPPMNSNPFEYRQEATRAPRHFDLGHARFDMDVEAVNSAYPSAHQLQWDDTLLA SRDAVDAMVEDDPRGFTSNPSPIQYLTDSASYNRSCQAGAFSPIFHDQSPGSASGPET GSSPLEPVTPFGEFVDRAVAQAQPAPLDNQYAERSITHDYYRPAFETYQVAPVYPTIA DEPKQQDPVADTVPPTTSVGYKKLSQPLSEWVAVYVWKVCTTGFSLPEAFSRPAMNAA AYATVSMPDYLAPSIHSLLLSTLLQPSAVFLAVWYIVRLPVYFAAAPLGEEFVKEKAF RAALLGDGIGSLEHRTADANPVFRVVVLGCMLANKWLDDHTFSNKTWHSISNISVQTL NCLEALALDIFSYDLSISNAQWSQWLSHILSYHLSLSSPSYPQPISRPSSNPHSIIRK SIEGIINVPTNVKSACNVPQPVFLGIEERLREREEKEQAALAMDLEIDLDEDGPLREE YLPKRRASKLASQNAQAHSGQVADRWNKSEMSVKALPPPAKWSPSGDEPILRDRNRSN GHYVAVQAPPLASSTTYPICRPRDVPYNQTWNFGYLPVKQPAASLAYDVPNMYIGGYN PFNHGFSQIPHSRSHSLSYDQDTLLSHNHMRSFSQSRFCGDHAPIHESEPRWRDSAAG HYPYHGPAYVHIPTVGMQPAW JR316_0004515 MNISLQDANGNMNQPSSADSITLGQLKAMVGTAQKPKQSLFDFK YDDEDTVMNEIEEFYSYIEMLQVAENMKSWEGSFPDGTRRAHVEYLLESLEHRDTEIR FTNARRLFYVLQGTFGETVSPEHQLHWIFENCKVVRAANGVSSIVEALKIAGRKHDLL CNITDAQAAHLHVSLQDRADLTEDVLTEVSVYLGMLYHLIEVFKGHDDFADELMSLDP PLPVYLFNVVSNLRDKTPKGYPIKKLLLVLWKTLLTCWGGVRDHARVKKLARELAGLP PITENSKIIPKPSAVHTLTANAAAKIKSSPIDIELFHSETSVKYPTFQPPAVPQSSII DAPIAKQVNVSQLTSKLAKAYTPIPVRHHYHHHDENDVSHGGHPQGMPPQFHPHHPGQ QQGPNNGYRHVPQPATPAPSPPPSPKPKKQQYQTDQTRPFLFPFSRAQAGKEVRLVPF AIDEADRLYNRHMYVSLSLAQMWRTREDCMTVESGLDRMPGADAEFKSSTFNPDESET AEPLPDLALLDQKIQEAIEAMENAQSSADKRKARERKEDLMRLKRVEQIYSAILPVLS GWVLILLKLLLATVSASSNMQNPQSSTSSVFPPTGMSPQEQPPTTLPTLDDIDVTRHR EITSKAVSAILLLVLKWFKVSHVMKFHHLGQHLLDTNCLLLILKMFGLQEVTNSVVSK ADSPENNFFRYCLTNLSKNPQPIRPEDDMYRAPKQNIRKTTILPSGEQREEEIELITE YSWRNFFSTINFAKIMQKLSKGRSHRIWMLVQYKSSAVLKRVLRVNHPLLQLHVLKLI KSQVPFCGRKWRQSNMKVITSIYLNCRPDLRDEWLAGVEPDDAGDAQAQEQALRHLVK FYNTKRYGPAAMAGQQSPIHRRTGSMSQMEGLHADPALSSLIRPLGTPNVVDSDVFPP VRSRAPDQSLFLPFIPEDIAFEEEYEEYLSDLGWSEEQTAASMESGSGGTSAWHRLPN FVTEFGDGISDSESVVSIGDLGDESRLDPTREDRETVDENVNNWEHMSPKTMAALPKS PAGGRRSSSGTGLRPVLPFALDEGDGSAVEEEEEESEGGHLGRERPSGEFPAGASVDE VEYAYGIQTSQAMAQPPQPQMQSIMQDPAQQDMAANYYPQESDLTGGLPINLDSLRDG PPGSKPFYPYSTLIRYAIKGSPNQKLLLEDIYYAIESRNSVRHNLSLNPCFEKVPRPL TDRGKGSYWTVNENVDPRTGVHRIRKKKTKGSKGRASEEPDTDYHPPEGSYDDPHGQF VASPPMQSDDSGTSRQQQQQQQQQQQPIPYAGYPPMAFDPNFPMIPGMRYPPIPGVMP PVLDEGLELDEHGNVNWRLAWLKEIGHLQQLTAEQEKAGVDPEWYRMMLFRVRGALMP PPMNPEMMHAIAAAPHMQPPQTNPSDMQQGQQQGQQ JR316_0004516 MSPTAVYAHPQTVSVAASHSSKGPALVIGSLSTAQDGRYQALVS ELEPTRRVDKQLLDRLVDQATTLEPASYASVHVSLSPSDYESLQPKLSPLLAQLLSGL TPLGTLHLLNLSSVFQTLPSELTLAGFNILSSLPDTGTLVAQKPAQSGGSLSLKNRPA SAVPLMKLNRKVDPAKKQALWAITSSPSTPLVDAEALLTPADKARPVPVCEPVNAAAP RRKKACKGCTCGLAELEEEERKNSKVVILDGSQDGVAREVDQSEKERLIKAANAAPKA TSSCGSCFLGDAFRCASCPYLGLPAFKPGEKVEIDFGMDDL JR316_0004517 MDFRVCDHDIVVQHAFNFAERGLSTLSVKCYSEIESLEHSSAWS IPKMLYLLIRYSGLFTVVINATVASTVQQSVKTQHFRCQLFAWFLVTMLYALYNRDKR VLIFLVFLNTFHLAIQIYVLVVIGISSAQTTFLAPPGIPLPGCPSNPAHKDSCRGGVW VVIMLVGGILNSNLASGPLTLLYLPWNIVVYVLAATGLIIDLRRAASTERSLLGMLET AIAYYQTQELVTSTVMMDAFTAYLCRRKET JR316_0004518 MNEYTWGKMMDDYVYLEEMSRRVGNWGKEIVRGGYMAAGAGRGE ARGRGRGGARGRGRGGAGCGGHGHGRTKRDILKMQLDQRDIDMELLSQGMEKRKANQS TWDTKNQTALLTIEFKFHKPMDPFSPSPQEPLPPFTILTHRNNISSPLLTLLRSHLPE RGTTKKETATPIWARRLIFPDPDDPEAFTNPQCVMVAQVDPLTLRRANLDSKSKKVFH SLDPTKPLLESLKNTQFVEYPTIEIWEEFSGTIIDTQGILKQDEEERPVKRRKMSRKA GKMAIAGLLGEYGSEDEDELAKEEPKSVLAALGDYVDSEEGEEDNMDEAKLVEGDALE DVDLDELSDDLEGEVQVDPAVLLELMRAARGGEWVPEGDDAVDWGDIVDES JR316_0004519 MSSHFYEVALFGEFYAPDLKPILNRITLHSESSQPFQSREVVFE PLDAAAQRDAGTEPILLRAKKEISDPNAPWVLFSYLKPESVRVHPEATVRPWATCQVV GDALSFAAALGYVRRSQFYRRGYAFRRGTLLITMAQQEQLDPATELPVRAHADTLWEV EVKTAEPIRNTQETPLNKAIEAVLQVQLLMKGLLDLRRQDV JR316_0004520 MKSPLKRLSYVLAFIADSPSAPKSAHKEQKDNLLSYYQSSIPNE VQSHARSASTPQGFLPSTRPVVPARKMSSTSMSSESDYSYDSDRDCPYDSETNDTKHS LSESSSSVTRRSGAPSRGGADRRRMAIVQMDGTADRAYKSSSETASSASIRSRRGHTS SLTGLALVAPPDAALRTYTQLTPPSTAPVSGDYMNHVLLTATQGKVHSRSSSQDPSSK KTATSRDTSSTQGTQSLLSSANNSKIPRETKNADHNNKAFPNHRTSSSRSPSPDMSTA IDDRDLHHGLLSPPTTAYPSARTIDMFSPIVTPDIGEGKEIHMPVAGPVVVKLDGVQS RRVESTPSWRNEQSPASQAHSQSSAYGSPAAHSSTSAYLYYEPGVHSTAGPLPPPPRT SINLNISTPPPPRPPRLHSPAPARMKSDLEAVKQALQLPPSVTAALAARSPSKLSLSH SEEKKKTVSEQKDNAGGESIVSRPSIHRREGATLATSSVDASSTESSPSLPNQPSPKP PSPGLPVVQEVDKPSSPPENPTDDVPDVTVEAAAPTNTPPSEKIDTAKFNQWLKENPH LAKGLAQEDAHSRESMERSLSPLSADITGDAPSPPPKSFRNSLTNNLKRFSALPRSPS LSSRSRPSSGSTRYSRTPSPSLHHAPPPPPPNRASFQKIHSTNPAALFCHEVNSQHTT IQRCAIYVAKINELYVHDCGLSEWVFETKSRGSTNPHGMQRGPSSQPFVPQPRQTSRS SMISEATFPIRPDASVATDLMQGAYRDITPPGIPPPSLPYPSLAVNPPRSTQPSRSNS SATSGTPPSSVRSLVSSPSTKGAGFFASLGRKASLSSRKERFPTHLSSTSGTISSNSG RSISKNPASTTNISRPVLISNPPSVPGGPRAPPRRAQRSQTFTSSALLNSERDDSMGR RPSLFNLTLDTVIDIQPDPAFTRQVDQLAALLPHADRDVLAGYLRRAGQDMLAIGQYL EDERMGTIRQPF JR316_0004521 MTLIFAYYLLFARQHVLTYSAYQIHQEATFQQAVVRNLNDKNAQ LQKQFDNVVREAAVANGELNLLNSKKAGLRDYLPPKQIVDCVNVTELERDLELERRKV RELQDAARERDKEYAKLKAQLDKFKRKTLLGPASGNENATPAPNSFFDDQRTKPRQTG NTVANVSSVNSVVGGMESNGSSPPSQTNGQPEDFVPLFQDSK JR316_0004522 MASAFDPRHANHQQFCFPSPPSSGNVTALSSPRLSPTRSHNEPQ FVDSLFTGPSFRHSHSLASVRSGKQRAMSNSTDSDGYNTAKTSVHNPSRRRSRKTISR ALTLPSSSTPVLQSFPSRSPKRSSQPLSRTSSISSSASSSSSSSSSVTPTHGEDPPPH HATSGIGRKVAATLQLFKETAPLDESPAGPLSSRSESSLASRRNHSFEDVEDVAEAFE FVKRSEWPDREAAAVRRDKSMTTLERVRTRDSDHQLSDKISSAKDISDVSQWRRDVIS SRGRRRERAIDDIVRDAEPHSDAPLSTINTFHETSPIYIRPRSRAYPPSPSPSRSPTK RVSRHFPDSTLQSCPESTLPVLPSIATTPRNSRSPTPVRAANRIHDPYPKALSPCDPP SPWSTDDESAWDTTSVASTAASNASTYGNDSDSHTLSSALEHRPGDACDIRPTSRRFS TLDDGEVLNTTRLKSLDDDTVAINFAVPEERLPHIPLRPFRNQVGGHSAIYKFTKQAV CKPLVSRENLFYESVEREAPPLLGFIPRYLGVMLVSYRRVPKRTNANGARHAHDSSTP VPPSRQIVPHTTSADTIKPFTRPVISDGDQHSSVFEDKFGTDLDDAEMPEVVLDRNRH IIPEWILNGGRNRSLSYSTASGSSFIAQRKLQSTQLPRGTASSPDLAIPPRSEYTTLR PSPLSSYPTSGSNDEMEIDAPTPVNSPSQNTHAFPARLTERSDTHPLSGLSEEGHLQR PSLRPFNSDQASGSQWFGGTGSTVVNTKLKDHVFSTVIRRLRKRLSHHRPIEESRTED EGDIADGETESASRRLQARVRRKLFRHADQGSSNNSLRRIQSDSMLRGPEGSSLSSEG QDRPLFGKDRQGSTTQVDNDHVIPPSIRKRSRSRSLEAPSRVPVSYHRSFSEQGVIHE NVEPEAPVTRQNHFILMEDLTGRLKHPCVIDLKMGTRQYGMDATSSKKKSQRKKCDRT TSRTLGVRVCGMQVWNHATQSYVTQDKYSGREIRPDEFDSVLKSFLYDGERLLAYQIP VLLQKLYALARIINRLKGYRFYGCSILLIYDGDREAQEVLRSSVLENPSSRSKRGESL ERRSNSQSHDHTPLRRSHSEDLLVGSVAKRSNGRRKRGEINVRIVDFAHTTTGRDWLP YSELDTGERRHEVFSSSKGYQAEVDPETGLIYARFPPHYPDQADRGFLLGLKNLTSSL EGIWNAERIRRVKAARDDPFAAQSQLPPLSLEGKEIFEEIFGGEEEDSEFPDLNTLFP IPYKDWFLGGWAFFSPNGRHRGPITGIDIPGRMVWTPS JR316_0004523 MLLSIPSIPSSSPILPSPTFAKSDKLPSKFNFLNLSNTISLIPT YGNESSIDFIEIANSKSLSSFVLETSAHYCPEVPAFTRKASYTGVTGQSNDNLTTRLN LQHLSPFNSYQQPGIFFQGSTASSLLEAEISRPSPTVTATGSNGDIESPIQGPVRATP NFHGPGTALSPSTSSSNVKQARKPEEKVNGSIFLKRKKPQPELYTRPPKRIRTLHGTQ NVAGTEEINVAGPSDAAHNGSCSSSSTGASLNLFVDTSITAVQNRVIVQSPEQQSPFG GEDGSLFSETEAPPVSDTDVSEDSDDDNDDLFGSDRDQRANESDSSQNFDEENVLTHN EEKGKPLTVAPVPAPPLVDGFKVARWVEILERLAAFAATENLNPKFARQLYDILQQIN MYKGDTYFTPQVLLESRLAKTLKQFRHSPYELQTRRIANEVTKAWRKLCNES JR316_0004524 MDTRTRTASPTTEGAHASHSPPPPVQDKISAYYSLVFPHFTFYI QTLSITIGRRCAPNAATSSTSETPQVDVDLGALKSVSRLHAKIEYDQEEDRFVLVVIG RNGAWVDGVWSAAGTRAPLGERSQIQIASRTFHFVLPPPPPPEDTPSPSSQSSANRPR SPSVDITSISPPSSQPSHSPPPVQVKLPPPSPEPELSPELSPQLSSPPLQLSSPPPLP KPKIKPPAPPPRPPPPQPQLPNSNSIGQSSKANSKKRKKNDVEVPPILERPKPEDMPP KPPFTYAQLIYRGIRELGGKATLQEICTWIMNTHEYYRYAEPAWMSSVRHNLSSNNAF LKMERCGGDRGKGFFWSLDENYSQSLEEQEFKAKQAASAAAKGVASGSAEVVGKNRKK EKGGFLEPPLKRSVKGDTKGVPLPPPLTFSPLPFKTALSPAPTLSTSSAPAGGPSIPS GTQTTSSIKPLSVATTGIFAYPSLPHTMQAISPAQQSSTVTSSYSGTSLSGSNPYAPL AHSKWHMHGNVNPSPSTTATSSTATPAVSTPAPAPTPAPATPQPAQPGQTAVPDVVIP IILGPIPPTHPDYSPNHPNNSAKEGYMILHERKLILDPDIFAELTKEMLEKLEAMGAR GALGVLTEHMIRALKERRARERGKERGGRRPRGNGRGGAARKAPPTTTGPFTNVPLDH KRKAPGAEVSNLDSSQPAMKSLTQPVPVPGPSATQSSTTASTDVASGPPVADPGSPII IIDDVSEDEGPAAKKRKVEGGESVASG JR316_0004525 MASTEITAKAAAQKVEELAERDADTTDSDIRYLAYGARLRTALR AGTRYIAYTSDIGEAFRPVVPPWVVTAAYGVSWLYLSGDVAYDAYKTYHRGPSPIEAA NFSETTRVGIAAVQRATFQSIASMALPAFTIHTAVAQSRKAFRHATNPKVKTWGPTVT GLAIVPILPYLFDHPVEYATEHAFDWIREKLIERNQAQNKESNDRKEL JR316_0004526 MPVPTIAEPAATATPKPQGQPAQKSMTKAERRELQEKQRAAKAA LKGQPTQQKESSQGKQGGSSIAGQTKAKAPSTPSKKSFTGDIQGSKASTSKDLSGAPG EDMPPKQLSHGLRIFSHFGQPKPVGHTVKGDIHPAIIRLGLLYSDFKICGANARCIAM LTAFKQVIQDYTTPSHSTLSRHLMTHLSPQITHLVSARPMSVTMGNAIRELKLEISGI DIDVIEQDAKELLYTKIDNYIRDRIIIADEVIQELAGKKIKDGDVILTYAKSSVVEKV LLEAQEEGKKFSVIVVDSKPLLEGKVLLRSLTSGPNPIPCTYALLPALPSLLTQVTMV LVGAHALFSNGAVYSRAGTAMIAMMAKTNSVPVVVCCETYKFSDGVMVDGFTRNELAP LSVTQKITKLQDVKPTLNLDNLNPLYDLTPPTYITAVVTEVGLIPPSSISSIPLALGK ASL JR316_0004527 MGVPALFRWLSKKYPKIIYPVVEEEDTKIVAENGEQVIVPVNMA SANPNGMEFDNLYLDMNGIVHPCTHPEGKPAPETEEEMMLEIFSYTERVVNMIRPRKL LFMAIDGVAPRAKMNQQRSRRFRSSQEAKEKEEARKESVLLWEAMGKEVSEDEKNKVS WDSNAITPGTPFMDLLASSLRYWVVQKMNTDPGWKGIQVLISDAGVPGEGEHKIMDFI RRQRSNPGHDPNTKHVIYGLDADLIMLALATHEPYFRVLREDVFANDTSPTACRMCGQ EGHYAAQCTGTKAEIQKKPPAEKKPFIFLDVAILREYLEVELDVPTTSFPFSLEEAID DWVLLIFFVGNDFLPHLPSLEIREGAIDTLLKIWKQELPRMGGYLTHHGELELSRAQI ILEGLAKREDEIFRRRREAEERQDQNAKRRKLEQQNRNGISSGPSQTMALKTSSTNVM TNGSSKSTLHPSLPQRPTSNHDFGANADSIGLGAAPTPESIQNIPTAAQALAGSNRDV VANRRAIRMANMSAAEVLKAELSGLVPLKPPASSKPSAMPPLPVSNDVSVQETSDIPG LGANRLKGSSSEAAPPAVRQETPLESDADADGEPDPDTKMSSDETTLDGNVSQSSVGA KRKFEEGPGSDDITDDVVVVEEDDEDAPAVPALKVNADGTVEQEDTVKLWEPGYKERY YRQKFGVELTDTQFKKDITKAYIEGLSWVLRYYYQGTPSWQWFYPYHFAPFAADFEDV DKMDIKFELGQPFKPFEQLMGVFPAASRQHIPEVFHRFMIDEDSPIIDFYPPSFEIDM NGKKMAWQGVALLPFIDQKRLLDAMAIEYPNLSEDEIRRNRWGNNVIFASVDHPIYPF YEKLYGKRKNDEKRPVAAVVAGVVEDLTVVGPVEVTVLTAAMTNFNPGRTPMVSRMEM IGQDQEAEEVTITPTAMEILAAMVVEALADMEVEALAVTVVEILAATGAMEAGMEADT EDEVAMEMEVRINKIHTLLALPIHHSHRTIRTVILVGEARMVDMVANLIHPVPTTVVT MAETVEATMGNHQHKILMALTAAGEVIIMVVMGGTVQDNILLLHQEVEHHTTWLLVEE AVLGDLVIGAMLWS JR316_0004528 MVRSTIIVRASDALPLAASVDDEQTEQTLQEHKQQAKLIFRRIT PNAEPCCSIESGPYSLHYLISDNVVFLTIADKSYPRKLAFSYLDELSKEFANTYGPKV ETVRKPYAFVGFDTFMSKTARLYRDSRTANAASSNLDKLNDDLQDVTRIMTKNMEELL WRGDSLDRMSQLSTSLKSDSEKYRKAARNINFNAMLRQSTSPFGPPLLDRSYDSQQPQ PATSGQVPPGAFIVVCNPGPDGRPTYQQHKSVFASYQTVSGVLSGIQWVPSEAPSFIP PSGSHHVIVTGHDPNIWAQTYLNAVVDWQRSDAKKRKQGDKEANRYREQDGRNSYDYD RVSRELDPYGSKGRERSRSFSAGGATAPASIPFPGQGPTSGYPTHPSVAANGYPTNPY GSYGTPIGPPPPPVIPSGYPTPYSTHTRSRSGSFVDLTRQMKDMELDRNKEYSEARSR KSSAASRRDRDRDREYNTSESTYERARTISGGYPDRSNPNSYPPVSGVYPASVQPYGV PQTQGKYPPDPYSQGSRYAVPSPNMRPLDISSSYGAVNSGGYAGSDYSIAPSRTSGDG ALRSTTPFGNPSTQVYPRGHILEGQPIINGVAPLPRSRAPSRAASSRAPSPSAIGKPS SYPSKSPHIPLASIPPEPQQLAAPEAFSRPINASNTFAPFEMIKVEDMDDICDPPYPK MPPVLTTHDIYHDDWKRCMQDLGRAWTGQLPVPSLKQNGLPPRKSTLAVDLISLWNQS FFYPRGVELLLYKGRERRTGPQAGFATVRLPKYDDTADSSSSSSDSSSDSEDSGYRKR MVDPYGRPLMGQAEMETRRRREEKYEEKRRRKEKKARKKAKAREKIYTIYIACLPQGP TAIPLANRAFGAGSAVSAGYSTSAYGPSATPAYGTPASITSGYGAPSATSVYGPPNAN SVYGVPNANTSVAYGTPGGTYNASNGPAYGITSSGSAYGVPANAPGYGVSGATPAYGA PVANPAYGVPVGIPTTRSYGYGGGY JR316_0004529 MPLSDIINVEKQLAFYGAYHSNKINVLIHVVCVPLIVWSFQALV APLPVPSFFPAYHHVVNNYMVFDVNYATVMGVVYLAYYFMLEPIAALLYTPMMTVTVL TATAFSQRPGFTSQAIAVHAFSWIAQFAGHGFAEGRAPALLDNLLGAVVLAPFFVHLE VLFHLGYRPTMQKRINNAIGVEITKIRKADGEKRRKTQ JR316_0004530 MPGRLQNKVALVTGAGSGIGLESSILFASEGANVLLVDINLNNA QKGAAIIAERYPNVKALATKADVGKEVDVKAAVEKAVKEFGRLDVMVKKILHLLCISL FVDSNFPSQFNNAGIMHPEDDNALNTEERIWDLTMQINLKGVWWGCKYAITAMRENPT DESKGLHVGGSIINTASFVALMGAATPQLAYTASKGGVLAMTRELAIVHAREGIRINS LCPGPLKTPLLMDFLNTEEKRQRRLVHLPMGRFGEAVELAKAALFLASDESSYVTGAD FTVDGGLSSAYVTPVGEPVLSPPASLI JR316_0004531 MPLLGEAPITLYMEKKPKANGDSGNISSKPPLKKRKIETSGHNK DMMREHKTSVSRTKPTILTFFGSSKAASDDDTASGSQTPGHITPKILRKRTKGVESSH IQLRTPPLTNEGSSQQYRLTSLPSDRPELSCINGSKKSTRSRSTLYSSPTTMPRQTLD PCSRNFLYTQETECKRTIDQSTFSLSILDPLNEEVPSSQTQPLAIKIANSPSKENPAP QEREEHSFTPADDVDFLVESSQSQPPLSVSEERGENLVDTSKDGHGHAKDSASYECIP SSQSQEIELSIPDMTCNQNDFTLERTKPLSHALFLKKSAGRINRSLSDELFPVPIQYG VLDQNFKSENSSLCIEQESHTLQFRQGGAEVSGTESDSQYEAFVKQLRDRSKSTREYV NKTITESSSQCEEPVRHHLSFIPKLYTTSSVKCDHPSHDSQILCPIKHKPIPLSQDSG YEASQGEDFNDGYRLADSVTQPVDASQENSLQSSPVDLLAFFGNTQDSYPPDFPMSLR JR316_0004532 MFAPTTPTWSVNDLLSSYPSPRLSSTTITRLYDLSALIPPQEGT PQFEAVKSDLEEMVRLVEAVRLVDTSSVSVSGRGEKEDADRNIAPIDPSQLGEVGQQL LKHASQTKDQFYVVDSERAR JR316_0004533 MQLRNITIGLLFAASTVVARNCGTVISDAQVKIQEAHFKANAVA VKANAVYPPIEVYFHVVSSGTSLSAGNVPDSQIKAQIDVLNEDYASTGRSFVLKNITR TTNSNWFNNAGPSNSYQTAMKNALRQGTKATLNVYSVGFKSSSTSGLLGYATFPSSFS SSNKDDGVVLLYSSLPGGTTTNYNLGQTLTHEVGHWVGLYHTFQGGCSGTGDSVSDTP AEASAASGCPIGRDTCSSAGVDPIHNYMDYSYDSCMTEFTPGQTTRMNSQLATYRGI JR316_0004534 MPPLPWGTPEQIEFLSAKVPEFQASQRTKTTPNFWTKIYQEFFV LWPTPEAEVRPMTVPKKKKKKKNAPVVLPQTKTELSHAEWVKLRKNQIVNWFNNRGAG TQHRRGPTIVIGGNNAPRLLSETNLYSKKYYDERIRPRVIEALRTNPEGHRIAIINKC TGEAWNDESDEVKAEIRAELAKLKELKGQIPDMPEVMSPEECAINLLTLPETIQAFID EMSSRTGWVFTVIAGGPEPADQGKIRTVAVHNAQEDRDRQTLNSSKAKPEENQVASTS KVETGDAGQENVLSETTPPVTTQPEIQSNALPIAVNQVPDPAALVPTSSTHAHMGSVA QLSGLPIAINQVPFHPTYTAASVPISSTNAHMGSAAQLSGPIPNTITAAHTPPEAAQQ TAMASMTAAPAVAIGFAQAPVMTQSTVQPAQVQPTQSVASPSPPCYPATNGATHATIE AQNFLPPANPLPQQAKVAPNPVAAFLPYGAAISMTDGVGGQDIDQLTAAVGQDMMRLQ AGDANRGDGLTLNFSEEEWDRIDLALQQYAADPNMMGTALALNTNNTVTLPGLHGQML QSQDMMAGQANAPMLLDPNHSISAPHTIVPAQTSAPVLSATNSAGVLSNHAVNDPIIP ESGMHAPLTAAPDKSENLDASATRSRNRKAAASKDAPQTTAWLLAASEYLFKDIEVEK WQECVRAWESFERMEIAEMDTSSLRLPAKGRPTALGKWLSSSRKYTAIPAIRQDEFQK SWMTWWNSIQPAWRQTKTPNSLPLSFETAKAKDSMASLRKGGPNGLLTVMVGLKWWYS AQASDGLWELAVSDLLNTFNTFQKAKLNNKRKAAEETGKEKRTKKAKA JR316_0004535 MAQQSTPPPTLPNSTVPPSTAPASRIMSTRVGDVINLLLALNNA GLEVVRTPDGVDHASGLENLAMGELILILQIMGIHVKGNRATAAPPPPSDSRDPLVQE IEQMVISSARRQAINLFFRLTNGPLTVPPVILEELSSTVAPPPSSVEPQATVTDPALL PPKSQSASDTVMPKGFVCMSCNTYNPIRPSEKPVYVVFCGTDVGVFDHWKDAQSLVSG ISHACHCRYNSREEGERAFQAALTAGKVRILGNPIAAPGAIVSSLSPNAPNGTSGSSS EASSSSD JR316_0004536 MGRQRKYFSEAEKLAANRQKSKKYYEKCKDSINRRRRRKYARIQ QKRIETARKAQAVEQEPVSKSKPPAATWLDEVLRVYRRFNTFIKSDPIAHTEAICLQF ISNQDMHELSESVDKIEHFLGPISRYKNHIYMCSGVGPEWNKTVALAKLLEKTQSWLQ EIELTAMEDLEFVERNYNAKSFEFQKVSQ JR316_0004537 MAKVKQRRRRTDNFKGPSAVTIEPGIRPVTLDTKSKQDKFLADA GPYYRQAKSKGEKEEFLHEISQLWFRIWPEDPLNTADIDFARHRQKNIMKKIRTRLLL LGAFGIVEGDTLWRDFIAAKMHELHPDKLPSV JR316_0004538 MKRRVNRKKKVYYDEYISPDERDAKTASFGDIHTEYHGRTHHTI RMPLPQGIQPMLPTPPPLGSVESPTPRLDWVLSEESPYRIFDGDLELEEMAECELEAL GLKNYLKPNLKTGDKVQADPEEEVPQKKRKTQSTHPIHFWTPHIDTYVEEFLRLEGIG GQANLQKCSEIGCISDLEAGAFRCKDCWSGSLLCLACVLRSHSVNPFHRIEASGVGYD DTELLTNLQVWTGHYFRRTSLKKLGMRVQLGHDDCPIPMVAFNDEFVVIARTGIHEIA LDYCGCPSAPSKPIQLLRARLFPSTVGDPKTAATFDVLEHFQLLSFNSKVSGYEYYST LSRLTDNTGTKAPPDRYPVFLRIIREWRHVRLLKRMGRGHSETGVNGTKEGECAVLCP ACPHPGINLPDNWKERPESEQWLYSLFIAIDANFRLKRMNVSTDERDPGLNHGYAYMV ESCKFKNYLANYDGQVADEKSSCNNHDAIKSANSRGGHGTAASGLGTAECSRHDMKRP VAVGDLQKGERYVNMDYFFLSTIAATLLLRLVVSYDIACQWWINLMKRCQLYPKNVLS DPSNLSIVYLVPKFHLAAHIQKCQTSFSFNYTPGVGRTDGEAPERGWATANGIASSTK EMGPGSRNDTLDDHFGDYNWRKIITIVVTFLRKAKEAIQERQEQVEAFIEFDAALPEE STSEWTQMCLTWEKDSSQPNPYVIPKNSSVKESDVRLQLAREDSDALKRGEMTTLHEE VTPSVLISQGLQLEESQARLALDISKLGAHSTNIQQTKILERSNSLKRRIDAWINIQH LYMPAVAALRARENLQAETPVAVQDIKLYLPSYNTETIRFSSHILLKCESQYRYAQAE DCLNNLRAFLLLRSHMLNSKKRHSRGQRMQTRSLTLLAAVEEKIKFATARYNVAYQAL DLLSTPTVYYTWRDILRPLLDTDVRGLSSMDDSGSEGRKKLSWIWKVHGMGEDAEKCT QAALRIEWCKARARAHRWQEECVLLAEEMRRVIAFFAWQAAQWDSRAQDIIDSTDVPN RDAQAINSGKIAYAKKQADIRRDIGDRCAKQWDGVTEKLTTMSEGRNAYKMVECH JR316_0004539 MFSFPLITALLSATSAFATPLNSTIAQRVCGTVISDAKLAKAEA HFNANKVTVPSFASASTATINVHFHVIQQNNTLLGGNIPDSQIKDQIAVMNKAYASAG ITWVLASTSHTTNSGWFNGVGPDTSSQTDMKSSLRVGGPKDLNVYTVGFNSGAGAGLL GYSTFPSDYSGAPSDDGVVILYSSLPGGSASPYNLGQTLTHEAGHWVGLYHTFQGGCS GLGDQVSDTPAEASAAFGCPTGRDTCKSPGVDPIHNFMDYSDDSCMTEFTAGQITRLR SQIATYRGISA JR316_0004540 MASRELIDAQFDRAVEIVQSLPKTGPIQTDYEEKLTMYSLFKQA TAGNVKSPRPGIWDMLGRAKWDAWAKHKDLDSYEAKWLYVDALLKVLRKYSDKTVAMN LVEELESYGGDPSHIIMSRTLSQSDRSDSSGSTVSDGDAPIPRGAPITGDRQNIPRHE EETDSNSEEDSEDEARDLPTLNTGRMSVENRPQSSLSSHRYRTPLAGSLAMSPPPPVH QRVPSQQPLPGFETPSAFADTTVSPQYPPSNQYPGHFSETSRIQAVSPPNQYPAQPSY NFQSQSHPSQYVPLRPPSGMALERAVENVQVQLAALSERLETLESRSLLLSRSNVSIS SRGNGGGSPSWGGERRTSNDRNVPIWDIDDLGMWSMVLNPLSRGLDRLRELSVFFASN QNRTPSMIIIRRLCLDVSFLLCVVSIIGALWRKSGVRRREVRAALIVLWRAIVGSKQQ RLLVDQGV JR316_0004541 MSDTAGKTIKCKAAVCWGAGEPLKIEEVEVAPPRANEVRIHILY TGICHTDEYTRSGKDPEGLFPVILGHEGGGIVESVGEGVTNVAVGDHVIPLYTAECRE CKFCKSGKTNLCGKVRATQGKGLMPDNSSRFSINGQPIHHFMGTSTFSQYTVVADVSV VAVNKEAPLEKVCLLGCGITTAWGAVVKQAGIKGSSVAVFGCGAIGLGVINTAALVGA SRIIAIDTNPGKESWAKKFGATDFINPTTALKQDQKIQDHLVEITDGGLDFTFDCTGN VHVMRAALEACHKGWGVSTIIGVAAAGQEISTRPFQLVTGRTWRGTAFGGVKGRTEIP GLVDDYLKGTIKIDEYVTHHRNLAEINDGFHDMHQGNCIRCVVDMA JR316_0004542 MSFTNCYYKRTAGTPKACYACYKPTTTVLATINTVDFLYTCPIH LTDSGFATLISDGEEKHTISADEIAKVKAEWEEKQKKKLEKEKEKEKEKDNKDKSEAD KKDKETKKEPSKSPPMPGSLSATPSPSTPKGTHERYALHRDFFAMRQAEHRKRRQATQ AKELAPRLPGAPKGDI JR316_0004543 MNKNPFLPAPSFTPQQPPLPPGPPPPQPTQADYSAWWGGGAAHQ PHIQQPPTVGPYNPNPQWAPPQAPRPPAEQSALYANYGYGPQNNQWQRQQQQQQQQQQ QQQQYHQPPPVMHQPPPPPAQPGYNPYQPTAGYPQPYVPQPGPPPQPMVQTAYPHPPS QPQQMYAPPMAAPQPPQHQQHQQPTQPRNHHASPQHLPPAKRQRFDGPNPNNRQHQGQ QHHQQPPQPQFQPPPAPPQHPSGFAGPNRGSIPSGPGGRNGGPPNGPGGRGGSNAGGR GGRSNQPSGNRGGGMAGGRGRGGSFVGGPSGRGGAGGGQPNGPLRGHGSRGNFGGGNK DFHNRRGGGAGGSFNNGPGGGGNYSHQGSFRGRNQGPTGPSRGNRNDAGSSNAFASRE ATATSSFGGKKDENRRTLTDFKIVGLAISDLGWTWGSVPSAAAKVEEVDHPTDVAGAS DIGVKSEVVESDIALATVKDESQPESKTGIVQASRNDDMVDSKPLQESSRMRVSSDTN AGGSQPPPSRIRIYFHTPVTADDSRPIPHNSSYGDAPSDSRKGKRKKLEDDDGDLEER RAPPPPPQMASSVNDDRASAAPSVAETASEADWLMAAIVEGEEEDADAAGNHDHVEGD EDDVQLHVNQIAKDDDHGEHAHHAEAGDAQDEDEAFLGGKQTFTVRDAALGVEVPPLS DMTRGGISPRAHTADLFAFIQGASHDGGDDVNMTRPAEGDAMVSSMAAGEAGGEVATE PAGVAMDTSSLVGNEPSAGETTLLPAVAGEQPSYSEGVLSVDEHSASQSAAFDSSSHN DAHASDSVADPTADHDEYSEMQVYPGSSQPYPPKPKSLQAASAEQTLLDVESHEATQI DDSQMTQIIGTPPPQSEHLPEPPASPYEETPATVTKTDAKIGKTPSANRLSISYARGI RRLVVDAEVVESLKLFRQEGRIEVSLKLSKEKDDTLKGVLVEGLSDVTKSYLVLPAPS DPSVEPDPTVPPFGNVETPATLQLVVYLDTARPLSEPKWAKSGDIQDWLKSMFGRMFW VAGEAAEGWEKKIHVVDPDPPPTIWTVLDGWATNSPVGALNERQRFLKTHMTESDNIL EILLRLVRGERATAFSQSTPTISAPSVSGPLLSALTHGSAHGAQQTHVSLAVLAMFRL CVDYAQKALGDKGKTDVEEHMGEIIRCLPSHLVYKSLDGIFKEWRVEKKGR JR316_0004544 MSTFSLDYIKRYFTGRVSSGEPASALLVERLDDTRNIDETEKTL PCWPCTVPSIPSCSSSSPVVIARQSRPYSEETYLTPADESTCEQDLESIVDAGYTLDS SEVLNSVGDEEEVGIQALDIRDTNACPCANPSLLVPCSAYSSSAFCAGESSTEKIFFT GTESEIEEIEDIMDENQSADNTENTRFSSCHETYPIPYSTSKSVVVAPESDSEQSSFT ISTHSVFDSSNHLHDVEDASDCGSTLDGSVGVATKAIIRDEVEVEVEASELEVNFECG LSEVMAKDSIDKNRVGGRFVHVNRIVHNKATSVSEASIYEKKSFLNRSGLFQLHDDEE LVTNQWNRIPLLVAFYSGAEVYHPPTRTRSRLRSGKVWQKPLPLPCQKPDKYNYFRGG RTTSPPATFAIMQIFLGTSSEIATALKFQ JR316_0004545 MLAQPSYIRMPKESRIGMLNSLLRITVRPLTTEPVPFRAHRNAV IDNGKATKPKSYKDLLVCTLRPNQLVQNISQDPLQTVPYRDIDSSESLQTHSVYHSKL SECLSSNFAKITQIPSSKSSEIYYRHLAAKQRGSPLWIPEPNSMLPVEYQKQGIGVGD VGILTDYGAFDYLFNILLPQDNPINPEDMPTDYFPLQPPLSLADIHGYSAFKTDSHLT SLTFESSASEGAILTMPCGCNSKDLRNIFRFRKYAVANAEKWYRYANEIRGRDAKNGD VRLVIGYDSTTAWGMAAFANLSEKRYSRLKFRPVGGNSLGRIYGWEYSGITMAEVKSG PDFYEIEKLRNLARGPDAEEAAVQKSVLVLRESTDDSRATTNFSSGSAGVSSNSGQSL DTCMQDDQEMSRDFSTFQHNSAFVSLPPTTLNIHPSQTINEFLLKERPNSKVAISDDH DWISVLEKNDRFLPPPQTLLDRIRKRYDIYEEEDMASLQPKILPELQTEEFQLVSLDL EGDSQSQPEKHPPFTDFQSTNILTPAQTVCKALPPSPSPFSKANNAPELTIAGASNAM KVFCKSFNWLPLRNLKKGDYQILKSGIPMWKLSVDGRGGHWVLILEANLRQDGLLNTR HALAITAEEIDGDINQMPMTAPRKDKLVQVTSVGMIVIRDDNVYKLPWGCW JR316_0004546 MLSDRVTLYRGLLRELRLSLKAPRKVNKHVVAPFRSIAEKLDDS NPRARQDYDNAINFIRAQREHKRLLERYNPLFDLTAQERIKATANRVGFNMPKIHNEQ JR316_0004547 MTRFVCPTSSTVSIIEERPQPTASTSKPARNVYQVCVCGIHAVR SAQISRSVAALSAQDLPLLKRPPNPNQWHRPVELCQSNLWKRVIFNTDKGKGKALKPN QRANKKEHIIDARRKDQLRHKLALDRNPTNYVFVGNLDYRLTEADLRLGFAECGHITR VIFRCSQGRLHPPTNKDSKRDSASTRDRMYATVEFRHFQSVKKALQCNGMIIPGQQAP IKVCASAADLPEVNEIANYYIRKMKNDSTPTPANRWFNMASKNLESIEPRD JR316_0004548 MARSAALNPASMPFFPGGYRGSDDERGTGAVFGQHTAPSFREQD RSSALSFQSVAPTEFRSVRSSPSPAESGIQEPRHQSSPGPRDIQRQSPTIRQADAGKP YPAVEARLTREGSMIGTLGTLAEREDTQSPGHIEEDQAPESGHKTPGSSFYTIQQQQQ RALDPATTNPFGFSTGLGSISGVAYTSSSPVSSQDSNSRITTAADLQVQNFEAQLKAS PLISDIRDRLIRCEYNTSQIHRDLISISHKVDMLVERALASGVNNTQPEFKDPFASNS APLTVNKHRPSMGNIAPNQASTSDDMSSISQRLNVLTSSVGTLLALQMQNNASDGRNG SIVSLNTPQMELAPNQSLPPVNSTSMLGVGLPNRPDLRPAPRQPNPPMRTWSAGNLEL PVRSPDQGLGRQEVAIRDKRRSVSSLARRDSSGVIDPQGDSWVGGSRDNGPMVSKWDQ LSLAPELLISLGKFGVGPPNKIQQRALPFLLRGSDIIAQAPPTQERIAAYVIPAIQVA VTNMGTRPLRGPVVILVSTTVDQATQAQRMIRDLGGPIGVKSALGVGAASPGADLTQE LRSLQQNMPHIICGTPQKLHALFTSPGGLVGSEVRFLVLDEVDQLIARNLHEFVFNIV KLLPPPRSRPLSMNTPTVTTAPALPAPVSQTTFTSPFEPGNPVIPPFPVQASNSGRRF SAAVPSPNPSEGASTAVPQPIERQTALFSNTVPQDVLNLATAIQLREPVRVLVRRDGN VVNPETSQGSRGLRQFYLYLAFTAGGRSDPMASTAGGGLGIIGSGRSASSAETTQARE WKLDALADIFDDVEVNQAIVYVGGMTALDSVVYKLASRGLEAIPLHGDMNAPTRATAL NKFRNSSSVIMRQPNTKVLVVYDIQLKNNEVPHVPLVINYDLPKAVEEYSNRVSSAIA SAYSRAGVVVNFVTATGGDVEMLRSIECYYKIKCPEVPMNLRDIL JR316_0004549 MPTDLIYVAAACNRFNQAGDVSPSTSLIAFGSSSLVALWDLSSP DDNGVMKTLPDFKGSITCVKFLANEILIAANEAGMLNCWRKKSLDSEWTLTSTDQAHS ASISTLSVQGARIVTGSSDSSIKIWKYTQGVDKDDIIESQTIQLKGKYPLISSMAYLP QTNVSILAVGGTDTFVRLWVCSDDEYLLSATLAGHEDWIRSLAFKSPGTEDAPLILAS GSQDATIRLWNIEPWKKTTNSKDKIRSSEAIDVLLDTFEDSLGDLGENEEGGRQISLK HHILTVKVDSESSQQFSVTFDALLVGHEAGVTSLSWRRDISTIPTLLSTSTDSSVILW SPSSINSSIDASASIWINRQRFGDVGGQRLGGFVGGLWARDSLEVLAWGWAGGWRRWS CSSPTMVEPADEKWNEIGAISGHSGPIKGLDWSPDGKYIITTGLDQTTRIHGPVHNSA NKTSWHEIARPQVHGYDLLNVVFVNPLKFASIADEKVVRVFEAPRGFVQLTEKLGVAQ FSEEEHKRPVGANVPALGLSNKAISEELVGNVDLSRRPFEGELASITLWPETEKVFGH GYESITLGISSSRELIATACKSTSAEHAVVRVYDTKNYKTVGEPLHGHILTVTRIAFS PDDRHILTVSRDRSWRLFQVQQSGGYVPVAADKSHGRIIWDCAWSAEGDIFVTVSRDK TAKIWQQTDDSWKAVTTIKLAQPGTAVAIAPARDGKRRMAIGQENGEILIYSGITSSA NWVHDETISSRVAHVTHIHRMAWRPSSQGSPEELATCSEDGTLRILIVRSGELDGSN JR316_0004550 MTILGIGVDLVHVPRITSILTRNHGDRFAARILSNEELSEWQSL GLVSISHRARFLAVRWSVKESTYKAMYPITRPSWKEVSYHGLSAQRQKPFVSYHPFRS ENKEKIGSIHVSVSHDGDYVYSSVCIEAPMNLCRHTSP JR316_0004551 MSDNLHLRPSASPPIVRPKPSRSTTIGVVRGRTSPTPGVGEQPA DGRPPTKRARKAINCEPCRNSKLKCDRNRPCSSCVLRGTSAMCYQDARAHEGSDPHTR GDDQPTYTRIDPAQEIARLRHSISLLEAYVFPNQRSNAPQRRPSDAHALVVPKKETVE ADVTEKSASAIGMLGSQVQGGLYAGPTSAATHLIMNEGRDSEDSDAHSRQHSQDRVSD DFASVTQDYDRDLLSLLPTLEIIDGLLDYYFEYCNWIYRHVNQTTFTHQWEKYKSGVK PDRVVLSTACALMAIATHYLPTQHALLDKFNETHEEIGIKFFEVSTTSLQKHTSESRT YTLELVELLLVRTHFHSLAKTDSEEIWHVTGELVTIGTAMGLHRDPGKWRMHRDVAER RRWAWWHIILLERWQAFLFGRPLSIASHHFDTQLPTYCDPALDKTGRLYLPNIALFRL AFILGDIMDDAVSIRPVSYDSVQANDRALTQWMETLPPELDMDEFRVARSLASPSVAV RRLGVQSVIIRTSYYHIRFTLHRPYASASTNPSNSSKVPTVDPAKSAQSLEIAVSAAD KLITMVGQSRPDFLANSSLAVPGHMNWQPFHCFSAAMFFSFQLIANPDQPGAGLFRAS IRKAITTLEQARGIVVADKALDILNALCPLYSPDFPLESVESREKKRAHVLGTVRKLA FPYHDSHDPRRFGDSPGARGAMSSPANSSSVSPPMPVIPALPQHSYDQPHNSVPSIRN AATTLYQNHPQQSHPLPQGHGGQNIHSPQNQPQMVSPHSQNLTPTPTYQTYNNPPNNM SHQSQQMYDPNRYSYVQVEDAMWGAAVGFGHAEWSQFLDSTRTEGQSSRHLQGS JR316_0004552 MDDYLVVSTHLSALDSTSASTVIVPTTRRNAPLAVVPDSDLPLE HATSSSVLTTTATGTILMRVIHGGLIVELVSLSTPVPPLRFVLPAAIIPTPSLFLFEE SEIHIILITEIGSLFRLIIPIDGLKLWTDQADNIWPREYYVQNLPTEHAQECSVHALG THSVAVSLPSGVLLRLEAETMGYDVHDDEEWTETVSHHGSFLSSLTSFLPIHSSSPNA SDIISMSSHPWPTDIGSIWTLSRDRTLRMWKARLGCVTSKMLPASPNMKEPSTSASAS AKYLLLDAERQNLVKVFSVASEDETRLDVYVLVFIPTPSSTSGGFFCLLDTSTDHFVE VGIIECPRNTAHCHLQDFTVSGNNLYALWDRQGQSVVERTTINFELLLSHDLAPTIWK TSEYMQQSELTPTNMEEQLLLPGSLTEKFLSAIMKPGVFSSLTLRTALDRYIEACLTL PGPQPPQLSAVYSSLCENIAAVVGCTVTLNRDHQTGGFQHANYWTALKRDWEGFVARC REIERSARWPLAIAAQGPQGVIVVERERVGSLVVEDVPISLCRMLQCDQPPHAQYDLF AIIWALRSKLGPQILSSLESRVVDIMHQEIAFSFAEILQDQARRIAFVENLEEGAASW FVGRLQSVADLDRATRNALDAIGGLDLAIKKEYTDTELLHPPPSSQWLRSQSAAFTTI TVEARYDLCLCLIILLFFCSEELSGWDASLLAEVFAVFRGLAILRYISSQPAETQMSR GQVDVTSPDDVATQLRNMNVSKNKTQVASKSSLVYLLSQSPPPDGIASAAHNFLDSTG LLQSISPAHATRHEILFCEKVRTLGFSGVTSELLSWLPRTPGATYLQSQVFLSQGRID DASQLLESLAGAFGVNNITLEDAEALSAVLPNSQLIDSQYSFYLFAADLFKNGYVYHE AYFSQLAIRVAPPSADTTSLWNTVVKGLTDLALYDDAYAAAMATPFENEKRELATQLA VRMCEGNAVAKLMSFDFSGIASEVEAALAFKARNTDPRIQPCYSRILYTWHIRRGDYR NASLSMFQRARKLRDVISDSTSFIALAEDQLESLSVAINALNLVEDKSAWILMPAIPD PTGKRQKVSKHIPESKFVTGKYDAEIISLAEMEYEYILLRAQIDIIKRDPSILSSPEY LLPPALIVMRLAQANQYTQAMATARSLKVDMTDVFIHLTNQCIRLTTNPGSLIREDTS GWLLTDIAASWQGAPSDRGWRYLQQSLKRHDGHENDYRYTKASFETILSVGKSSASPP WLIDSLEKYQPEYLIRISLKYENIADAVNFTYALVLKSDKHVSRESSRDASSTWLPYA LIDQVLIAADAQITHPQHLTQLKTALNNRIKRMQKFSQNVS JR316_0004553 MSQFTVNYDAILFPSDGRPPSIVQLMTSPMDSNASPPSRMPHPE VYMDYIAEGYGSRAWRYQLIEALDGMNRTFALPYIIFYPTVSRDNMPFPINRTIREIQ GGSFRAEHAWRGNIIVARYTGNMPFSCMVDAAMSDFPLVKNYFITHGAPPQA JR316_0004554 MSWISELSGGQPPPKFVDNSTDKVKDPSIPGRMVSTKSANRPFL AHREYREKQEALHAAWLEKKKIHDEKIAKGEKVEPLEPDPTAVQEVGLLGLLKFIVIV LVCIALAGKFFTGSFMWESNSKWLKLDTYFASPHQRLFSEKFLAEFNGKDGRPLYLAI DGDVFDVSKGKAYQPGGSYHHFVGIDAARAFGTGCFQTHRTHDTRGMSEKELASLAHW KKFYAEHKDYVKVGRVNHPPIDPSTPIPEHCDPKKAKAAKEAEEKKRKAEEKEKNNAK KPEHEEL JR316_0004555 MPSLPENEPQATSSHMMKTTKRGRPFLKDTLDLFATLIVSLQLG PHKQFFRTFPHSFSTDEAAQNLASLKFSQSNRGPDPRDPSRVVTTTTTTTFSMTRDMA KAMSQHFMDARLIENATDPTSNLFKDRGTYQLTPKGLHVLERFISKNGINSDHLQPVF SSQPICIKLLHLERRSSDDEIIVTQSVITALFRRFVGRSPNYPPPPDKQLDIFQKYNE RSKGITLSDMTERAQPLLGKAAQVHKHCFAAVTALEWLCDFTSVVGREEAAEMAAQFV RFGLITLVSDKRKNNDSAIIFTVRGSAPGGNSPVSQQGEFRCTAKAIYKITDEGRRLA HWDVSPRPNGIQDSPTASSTNLTAVRSSEDGSLDSGPSDIKKGNMADAKIHRRISLAE KLNANYEAGEKKNSKESNTDRLRYILEEPGLRSLFREFLRGNFCEENLSFWVDVQDFK KKFNITSSAMAVSPVSRPGARNTPGQAAMERHHESLINTAFVIYNTYLAPSSQCELNI DHGLRNELVKYLEEVVTSLTGKAFQGRVEPEQANAFNATQLQTMIRLYERIQIHVFRL MATDSVPKFIKTPKFLAMRNWVEEFDPTENDIHLLSVGPSSPPGLNNSSEEVGGAYMT ISQQASEREHRQQIESGAIHAS JR316_0004556 MSLNDPAALLALLEQLKASAAWHHASGSSQAQSDPAPIPSSSSS YLPDSPSARSSLPSASVASLLSQLNSPPVDQPPPAFAQGYLVPQPQETLQDRSKFSFR QSLPVVSELSDNPSFSVAVKRIKSEQDDLERRLWADREAIYAKYHEKLKNAQTKARMI GGTVSQHELNMITDAFKKEIQKFDIERVLPAWDGLISRQQLELSQMGVPTMFVTANVD DRQRQQQVVGLLETLLGPKAAV JR316_0004557 MPFVDIHSADDYASIYYFTNTTYSNVGAFDPEKPTVVVLHPVFL DSTWLDTQLGDPRLHKNFNLIAFDMRSSGKSICRPNPAHDSWVDAADLAICFQRLHLP PSHILALEGTSVCCALRFAVLFPELCLSLALVNIPAPTELKWVYNNIDHLVHAASFAE DLESFEHAATELIHFIFGQETDPDLVEELVQYWEINYPPSRRLRATETANVYINRAPL SSDALASITQPVVIIHGDKNEICPVKYAERLQKELKGLEKQAVLYMVKGGSSMISIIN GCASIVNNVFLKFLDQLPPARSDLEPPLMPVEDRMRAALQTLSEVTGRDITNLDPMSC ISFSCLSQEALKIQTLALKHYQEDLSEAFTPDPVEGRALRSSGKEPDEWSYVEHGRSS INSTVIQPSERIKLQDLERGQQQRPPVRVETQHLPPDAAPLLKGFLVAGPVPNGSTTN LKKHKPRVNDNPQSATVPLQRMLASPF JR316_0004558 MPAAPIRTLDKNGVEITTVGFKRFGFDSEVDIDVLRSLYLRPHY AVSSEASSSDVDDASNGKNAYLSKSIYHPDTKSISYTTLSRFTPVKLLPPSERKRILV TGGAGFVGSHLVDRLMLLGHEVTVLDNFFTGSKTTVSHWIGHPNFEMVRHDVVEPFMI ECDQIYHLACPASPPHYQFNAVKTIKTSFMGTLNMLGLAKRTKARFLISSTSEVYGDP EVHPQPEDYWGHVNPIGPRACYDEGKRVAETLTYGFQRQDGVDVRVARIFNTYGPRMN PYDGRVVSNFIVQALKGEDMTVYGDGKQSRSFQYVHDLIDGLIALMNSDESRPVNIGN GDEFTIGEFAELVREIVEKVQAEDGDKPTRRVQIVYKDMPIDDPKMRRPDTTRAKESL QWQPRWTVRMGLEEMVRYYKAKMAEGSL JR316_0004559 MPRATKSSGKSRHDPLLVQLDEDEAHARYGRISQPGKRKKSARS ADPDDDDAEVILDPKTSRRIFELAKDQQDELEMPDDADVAEEDLEDTRQVLSKPRAQV AFDDEDDDDHFDETGEEIEVEYEIDAGDMETLDALLPANAGERKTLADLIFAKLDSGE VTSTAAIQKVHQDREAPDPAAGLNPAVVEAYTKIGLLLRGYKSGPLPKLFKVIPSLPA WARMLALTHPENWSPHACYAATKIFISNMKPAQAQLFLGVVLLDAVREDIRENKKMNV HYYQALKKSMYKPGAFFKGIIFPLLDQGCTLKEATIIASILARTKVPVLHASAALLRI AEMDYTGPNSLFIRVLIDKKFELPYKVVDALVFHFIRLSNSYKAKTRGDAQKLPVLWH QSLLVFAQRYASDLTPDQKDALLDVIRATPHPQISPEIRRELVNSVVRGAPRVDQDQD VVMS JR316_0004560 MNTFSRFIPSFASPPSASSNAQLRHGKPQQQFDHLARINTDDHV NAEFAGQWDADECEDELLGLQRVMADAQNPRRPVIGSWMMFPGANLARMVAQLGYDFI LVDCEHGNIDDAAMHASVGAIAGEGVSPLVRIPKLDVGTVKRALDCGAHGILCPSIST VDDARALVSYSKFPATTKPLTNQSSKKLLSGIRGVGSPFAPSVFRQSLAEYIRTANRN TFIAIQIETTEGLSNCEEIAKVDGIVLKNSQVTDHQTNSPNDLASSMGYPPLSHESIP EVQEAIERILAAAHKAVDLMNLGGDVVALMEWNNEQLARLDDITGKKSTSAMYY JR316_0004561 MTETLPSAPRVALTPTICLQPPLSRRGTGPGLVLFIPDVNFAPG VSKPLDPEPVLKWAEEGFAVAAITSSENSEIEKSLTLALEALVALDEVDVKDKFAVIV YDSSLIYEVSEAVSKDPRIVSFTGYGSFPSTACTIPIILHLTSNAPRPRNPPSEVTTQ YSYRTTSTQFVLPQSPTYDPSNASLAHSRTLAFIKKHIGGPFFDLEAIWEEHTLFEFV DRSVEKTMATMVAEPYVNHIPTMTGGVGREALTAFYRDHFIFSNPDDAELQVVSRTVG PDRVIDEFVYHLTHNRAVDWLLPGVPPTGKKLAVPMLAVVNIRGDRLYNEHIWWDQAT VLSQAGILPSHLPFPIATGGIGATIPGQTQLLRLPVAGAESAAMLVDETNGKSNEMLG PDWGLQPISQE JR316_0004562 MRVGTLDAIYERLSWEFLRMTAPFHRKPSHLPRKRKPEFPNAGA KHLERNSAQKSATTKRPLHAAKTGGKAKGVHKVTRKKSPLHGGVKKLIKVNAAKKAAA TKATHTGGHSASSKKVHHAGIHAVSRKTVPHKPARVGTHSTLKKTTGKASHASAHAAS KKPIGKVLHSGHKRVQGKSVHHAAAAKPKTVHHTVAAKRKVVHHAEAAKRKTVHHAAG ANPKTVHHASSAKPKTVQHPENAKSKTVHHSAATKPKLSQRPAAAKQNPVQHKAVAPS KPAKRVAGKRH JR316_0004563 MYYRKLEWSSPTYPSTSRPQDEFEAPRHGSLGFLPRKRAARHRG KVKSFPKDDPKKPVHLTAMMGYKAGMTHVVRDLDRPGSKMHKREVVEAVTVIETPPMI VVGVVGYVETPRGLRTLTTVWASHLSDEVKRRFYKNWYRSKKKAFTRYAKKHAEDSGK SVARELERIRKYCTVVRVLAHTQIRKTGLQQKKAHLMEIQLNGGSIADKVEYAQGLFE KPVEVSSVFEQDENIDVIAVTKGHGFEGVTHRWGTKKLPRKTHKGLRKVGCIGAWHPS KVMFSVARAGQNGYHHRTELNKKIYRIGSGSDDANASTESDITKKVITPMGGFPHYGV VKNDFLILKGCVPGTKKRVITLRKSLMVHTSRRDLEKVQLKFIDTSSKFGHGSFQTFE EKAAFLGTLKARA JR316_0004564 MGQAVEENTVLEHPAPSILIDGRKESVQQHAKAGEDHLLFLPSE VSTVATSPALNQPASEVGSQPENKAKRNVNEIRRRPERQAVQERPTYATGRPWSADPI ARVVIPDKINHLLRNIENGLKKAHATYQRLLKEKEQRRRLARKSITTRSSGQTTESSP EAADELAEFANLPPLDIITHLRENLDTLGTSDSVDDSWASYTYLLDTISCHPIIYNRI KCIPYSHLHRFVRLLSRNRPRTHLQYLRLLSVMTYIIHVGGTLKQPEWNALIDHIGAG RRTTVAEDMQKALAAFKSISAGRLPGSSDFEIYDAIPPSQFSFEPDVYTMTILLSLAA RAHDSGTLKQISNAMVQTGIMPNRITHLSLLRYFTEKRDLSGVRRTLQKMKQNDLELG VDGLNACIWAYGYNTKLDMSLMIYRALRYNTLPPGKQALENIQPILLTLQDEYIVVEP GMVPNEVTYTALIQIMAYHGQFVPAIKIFVDLLSTNNVEYGAPLESLQSDAGKSRPLP YTPTIAIYRAIFLGFSKHGKHPSLVRDPDELDWTLKNLFELFNRFLTMPKDTHLTHSV VYIIITAFVQTSGGDMDIVRQAWTALDERFGIVFHKEHSQSRLMKIKQQLFPDAPQK JR316_0004565 MSLDPAKPVAHDTPQACTREHCLHAFDALYCSLTDAEPIPPRFP DEKYPLFVTWDIKQRGEWHLRGCIGNFEPMPIHDGLAEYALVSAFRDTRFRKIDRSEL ERLRCSVSLLTDFERGDTYLDWTIGVHGIRISFPHPSLLQPSSEAPSPLSSSGSLPRV SSKQTFSATYLPEVIPEQGWDKIEAIDSAIEKAGWRHRITEDLRRSIKLVRYQSSQCS VTWDQYIQWRHEMTH JR316_0004566 MDDYPSLDPYSRSRYEQGIDSKIVIMGNSGVGKTSLLHRYTQNK FDPKNTTSTSGAFFVTKKVYVNGLKVRLQLWDTAGQERFRSMAPMYYRGANAALLLYD ITNASTFEDIRGWLEELKKNCPPELIIYIVGSKADLHRHRQVTSDLARLSLHNWFPPP KPPPPPPPPPAPSTLSYIRPRFTSFPGLRSPPFVTPPTPSPLSPEGPAYLDLPPNRSS ALTRGKPAAQVRTKTSASVTRSSTTSTGTRTPQSSRFGSSFAHVSGWNDNNDNSSNSI EEADEEYDYDDDREWGLSKGMELFEVSAKDDMGIQNLFEHLISAIIVRKDIIEKENEL KKRDSVFLSSTSTPAWSAQADEEEALEKAQQQRSGSWSCC JR316_0004567 MSTIATKIFRTANAPNTQPDETEKSVAQALIDLENNVPELKTEL RALQISAAREVDVRGGKKAIVIFVPVPQLKAFHKVQQRLTRELEKKFSDRHVVFIAQR RMLRKPTRNSRVKQKRPRSRTLTNVHEKILEDLVYPTEIVGKRTRVAVDGSKLLKVLL DSKDATSLEYKLDSFSSVYRRLTGKDVVFEFPVVSQE JR316_0004568 MSEIGPLPKPKLSEEDALAAFDSVPLFMKALPEEDNLDPAMSAL QSLIYEGTPDEIAQNFKEQGNEYFQGKRFREAVGFYTQGLDAKPTEQPIIEALLCNRA ACNLELQNFGSVLRDCSKALAANPKCSKAYYRSALALLSLGRIEEALDCCDRCLSYDT KNTGIKNVQERALKAKAEAERKEREKQERIRKEQLEVFTMKKALRDRNLIIFNKPGGS VSPVAPHFDSEDPTGNTLVLPVFFLYPQYATSDIISDFVEDTTFAAHIEAMFPPQVPA PEWDKKGEYVAGNLVVYAPTYRKRLLKVGKKMTLRDVCNAAKAKEGQPMDGLQIKDGC LSFVVMPKGDVETKWIEEFKKTREES JR316_0004569 MRSVKGLTRALNDSGRFRYKDPEAVVDFLIVGGGVVGLAVAQRL TQCFPYKSTYLVERHSRAGEETRYASLKAQLLIACITSHVTQKYDLCARSYPGLYYPP ESLKTKLCLRGRDLMYERCITYNIPYKQTGKLVVAKANQLPYIQSLYTKSLKLKWPPY SSTEAQKEPVLPTKLLSREAIEKLEPDLSTDIVAALWCPKTGIVDSHSFMESLEQDVI DSEEGQLVFNSEVVRIDRYRNPSEKKYVGGASSSEEGWVVQVRSEEEETYSLLARTLI NASGLSSVMVLNSLLPQDKRIPMFYARGSYASYHGPGVSDIKHLIYPCPHTGPNAHAF ESLGTHLTLDLQGKIRFGPDIEWIDIPEEDIKGTEEDTDFWTKWLKPDDSRLPDIHQA VTSYLPGVTLEGLQPDYVGIRPKLIPPGGGFQDFLFRTDYPDEFTQRNGSNPMVSLLG IESPGLTSSLAIAEMVVDGMLKR JR316_0004570 MVSLQRTIRNMRRVGLREWWRQMQYIGDAKAGTYVGKDQFGNRY FENRNPEEEVPGRHRWVDLAQHEYNATQVPPEWHSWIHHIRKEPPTNDPVMQASSPPW KGTYVENLTGTRGAYKSYNTVVPKIKAWEPKVKARA JR316_0004571 MALCSVWTMTILYKRMYGSGRENSGFTLDLNLPIRVMCFAVYII VAMSLSLLSVTSPSSPAPDLVIASAATVVILIFGTQKDILGVIVFWRRPAPLENQIRV DLKVEFEREADIPPKVPEKDYPTTHV JR316_0004572 MVPGEDIQYQDWEFMVTTPPSSSGSHWTASDSPSFAVTSPNLTF DSTAPMADNIAPNEPTNVKIVENWWSENTGFVILEAEGRLFKMLATLFLRKSPVFKAA YDGSPTSPPVFCKKIYVGSGSEIIQKTQGKDIIVFEMLDVTAKEAEEFVHALLDPEHF DRPPKKEKFEVILAIFKLASLYEVNYLRRNALAHLELAYPCTNIEDWDSREQRSTFSV DRSAEFYQTIPHTLTNTFSLIELCMAHRAFWILPAAFYECCLYNVDKILEHPKWAECN DDSLLPAIKNKIITGFISQKEETSRVLSFLHVSLPQCNSSRKCNEIRQGELANIYITR GNKRRDMDFLGTVWDKGDWDEFGEQGMCGQCLSMCRSYHNNFRVDAWENLPSLYDLPD WDSLRELRSHVMKY JR316_0004573 MASRCSPVLRALSRPAPFSRYARTPNSSRWLATASETKPSSSTP PPPPASANPLITRIVDDISGLTLLQASDLVTQLKARLNIQEVAMPAATAAAPAAAAAP AADEPAAEKPKEKTVFNVMLESFDAGSKPKVIREVKALVPNLTLMDAKKFVESLPKVL KENLSKDEAEKLKKAFTDIGAVVKLD JR316_0004574 MAARKLQTEIDRTLKKVGEGVELFESIYEKMQASTNQTQKEKSE LDLKTQIKKLQRLRDQIKTWVASNDIKDKSALLDNRKLIETQMEKFKACEKEMKTKAF SKEGLIQAAKLDPKEQEKEEAISWVNHQVEELQMQVEQAEAEVEALQGTGKKRNKGGQ SNLGRQEELEHLNDRRKWHISRLEIVLRMLNNGTLALEKVNSLKEDVAYFVESNTDEG FDEDEGIYDELNLDEEEEKFGIIADDDDSEDSDDASEDVPPRPTKKHDEESVTSSKRD DSPILKKASVSLNLRTKPPPNLNLSQQPMATILKAGLQQQTPAPRPAAPAVLPPIRYA AAAAAAVAPPPPPSASSSSLAAASVPGTPAASTSHPPPPSSTAPSIPIGLTSLPVSQE QHSVAVSSPSLTQLSVTSPMLSSAASASQQPDGSFYSGQESPALSEAVSSSKLVPSSP QQNITSSKDSVSSPILSTQSPSVANAAVPEGSQQPPAQAQSNGSQSQQPPPTSGPSPL PQQLQQFTQRPKSPPPVSVQVHQQPMFPPGVKVPQAEQQPVGGSVPTATQQNIGAQRP SSAAQSVPLQQQRAPAAFPGSLSDLVVSFENVKQKALRANNLDQVHKMLEGGYANAPQ PQDTEKPKYYVPRNPFQTAPYYPQAPHPLLQTPGIFTQLDVETLFWIFYYLPGTYQQY LAAKELKRQSWRFHVKYLTWFQRHSEPQAITEEYEQGVYVYFDWEGSWCQRKKSDFRF EYRYLSED JR316_0004575 MKSLRKSLNSARESASRPQISTPVPLPAVSKPPSAILPPQKVIR ALSSYRSQAPQELSFVKGDFFHVLKDVDDTGAWYEAHNPVSGARGLVPRSMFEEFGKS AAPSRISQAGPSIKSVGSPVISTTRSEPPPTPSGPRHQVFYAVVLHDFTAERADELDA KRGDPITVVAQSNREWFVAKPIGRLGRPGLIPVAFVEIHDPASGQAVVDIDALMDSGA LPKVEDWKRAMLTYKANSIALGVIDAPAKPVENSPYSSQPPTMNSPQVDPRSDTPDAL PEGILLSADVVSFHYEMEDYWFRIDAVFQPYNLSGARLPPSKTLILFRQYNDFYDFQV SLLDTFPREAGREPPEPRTLPYMPGPAQDVDDTLTATRRVELDDYVHSLCNLGKTGGR YILEHLVVRRFLALKPGDVENEGEPRIKEIQEIDALSNTDQISDDTYDRDDYDVRQQM DRLNIQERPVSNGSEYEDEGYAPSPQRKNYDKHPYHQGNQRHPDDNLRLHAHAQNHQR SGSSSSFNNTNSSYQSNSRSNSPLQRNGSPQPGRNGHNSNGHSRWNDNGYQPSDMTPS TESYRTSQASQSASSRSRSHSNAGNLNTPPISASNPQTAFVKIKIFDRVADDLIAIRV HPKVTHAELMDKVQARLGGEVGNLRYRDSMTNTFVGLDSDEELRAWMEGTDKHVLYAD JR316_0004576 MSTDPCFGRFSEWKDDLILPCPEAHAYGLCANFGAAYIGVVAAG VLYGVSFVQATYYFIKYRQDVWYIKTLVGAVWFFETVHQVLISHTVYYYVITNYNNPN TLGDIVWSVLLEVLFNGLIGLLVQGFLTLRVWKRWCLSAMRVMHVIEEFYSQRSQRST DASCAFTVQSMKLHTWVELGELKGLSMAVNLLGAVADVVIAAALFFFLHSSRTGFKNN SVLATLNARESIRKLGEDSDELSFSLQSLAKSGQRNPNSARSTNISIKIDTMHDYNRE HGMDMAEPGRMEY JR316_0004577 MSVAASLFLRQAATKRPSLPPGPKGLPLVGNILDMPSDKEWLTF AQWGETWGDICSVTVLGQPVIILNSAKVARDMLDKKSAIYSDRPVLQMGGELVGWKNT MVLLPYGDRFRRYRRLFHSLIGSQSAVKRFYPAGELEARRFLRRLLIKPDDLSAQVRI TAGAVILRISHGYEVKECHDPFVEIADLATEQFSLSTAPGGFLVDLIPALRHVPKWFP GAGFRRKADQWSATLSEMVDGPHNFVKQQMASGTAQVSFTSTLLEGKCLSAQEEFDIK WSAASLYSGAADTTVSMVNSFFLALALYPEAMKKAQSEIDTVVGNERLPNFEDRPNLP YNNALFLEVLRWHTVVPTAVPHRLMQDDIHEGYFIPKGALVIPNIWKFAHDPRVYSNP FEFNPERFLPAKGRVPEPDPREFCFGFGLHLADASVFISCVMSLAVFNVSKCVENGVV IEPVHGNTTGTISHPEPFKCSIKPRSEKAVSLILAEP JR316_0004578 MPIDTPAALVDEFKKTGEFERLRRELLAQFQQDESYPSFKEGIE RIARKRMTNDQGMHFQTVAGALHELNQEVQRYPIIERAVANVQPNAPILESMQQTLQK ILNDEKNPGSAQSAPKVAQNGTTTSTEAALTAETKPENTAQDQVDGETKAADTAPMVT AVSEPLNSEAPAETPTNAADNLDVTTQNTSSSKETNDPPIALPEPNVMNSETSSGVVS AEKSNVSMVVDDQPESTTKDIEMEDVQPS JR316_0004579 MSSAVDFPPLKNDLLLRAARGEHTERAPVWVMRQAGRYLPEFRK VRESHEFFEVCRTPALATEVTVQPIRRYSGLVDASIIFSDILVVPQAMGMEVLMNPGP QFPDPLVTPADISKLNKTVDVEKELGYVFRAITETRIALNGEVPLIGFCGAPWTLFAY MIEGGGSKTLQKAKTWLFKYPEESKALLLRIADVCVDFLVGQVKAGAQLLQVFDSWAG ELSPYDFNQFSYPTLKHISSNVKRRLSEENLPVVPMTLFAKGANYALGHLAQNAGYDV LGLDWLIDPVEARRIVGDKVALQGNMDPNVLYGGRDAIEAAVKRMAEGFRAGKGGWIA NLGHGITPGVDPEDLRWFFECVQKYSAL JR316_0004580 MAPNCEPLCVFGDRLYFTTFPHPPPPPHALNKQDSEHGNQPRIR SRPKGSSSASTSDHYASYYYFTIDDQLLYLSFFQDWGPLNLAMVYKACILIHELLEDK DLASHRLVLYSSDDPKRKANAALLMALYVMIVQRRAPWEAFHPIAELEFMPFRDAGRG PSDFNLSIQDCLWGLWKAMQHGLCDMNEFSVEDYEYYEKVENGDWNWLTPNFIAFASP VDTNWIKREKEAKESTNSSNPGSISRTPSSSGSNLALQRKLPTPYLNCLDYFEKRNIK LVVRLNTELYDRNTFLDRGIDHMELYFDDGTNPTDEIVRTFLDVADRIVESGGVVAVH CKAGLGRTGTLIGAYLIWKYGFTANEAIAFMRIVRPGTVVGPQQQYMYLKQLEWAKWA AVDEIKKAQAQAQAATSPVPIPIVTPATPPAEADDDAVMQTTPKSQKIALPPVTPSRH VAAAAAQAKAIAPPGQPRKTPNAKRVAQDSDDEDEDESSDVLPALGIAPPTRKVKTVP SRGVTASDQRPSRVTRSTANASVIQKAGTGAAAPDSPIKASRQGPNKIPRLATTKTTS AARALAAANVQQIQPRTLRNNANAVPPTPSRLPTLAGKRAHTQNSSSLTDVAAIKPSA DKKANAEGWVPNNVASVVVPASKSERPGLRSVRRRRSSFSAADVVA JR316_0004581 MIHDGYSKYYGGAFKVSMMSKWMVVITGPDMINDIRKASDEQLS SRAAIAETAQSDYMISPRISTDPYHVGVVRTPLTRHLGTRFNDIKDEIASSFSDLVPC KGDEWASIPVYKTIVSIVCRTSNRVFVGLPLCRNPEYRELNEQFTLDVVAGAQFINMF PKILRPVIGRLRTKASRRIETAISLAGPLFQEQLDKETQYGKDRPDKPNNLISWLIDV AEGEQRDIRDLVIRLLSVNFGAIHTTSMAFTHILYDLATYPEYVKPLREEIESIIATE GWTKVSTVNMHKVDSFIKESQRIAASGLMMRRVAMKDFTFSNGITVPAGTHLGFATSA THMDESKYDNPSEFQGFRFAELRDKEGESKQHQMISLSLDYGVFGIGKHACPGRFFAV NELKTMLAHVLLNYDVKLVKEKQRPENWWMSAGCIPNMTAELMFRRRQA JR316_0004582 MCKGIAVMRRRSDSWLNATQILKVAGFDKPQRTRVLEREVQKGE HEKVQGGYGKYQGTWIPLERGLALAKQYNCEILLRPIIEYQPAAKSPPLAPKHLVAAT VPRPARKAPPETPSVANTRSSRKQVETVEDDSDHDTLSLRGSEDGSMTPAPSERSSIS HTPSPINSPGPSYESNGVENRNAVSRRSRHRPTLDERSELLEEEDDRSYADQVLEFFI SDSNQIPDILINPPPDLDPNMAIDDDGHTALHWGCAMGRIRVVKLLLTAGADIFKVNK AGQTALMRSVMFANNYDVRKFPELYELLHRSTLNIDNYNRTLFHHIVDVAMSKGKTHA ARYYMETVLTRLADYPKELADVINFQDEDGETALTLAARARSKRLVKILIDHGANPKI MNNDGKSAEDYILEDERFRASPVPPSRISTMSFRNAQAAYPPSTAALGYAYAPANGDK PPLHHSIAAQKASTRCVNDIAAMMDSLASSFDQELKDKERDTTQAHALLSNIQAEILE SQRSVSQLKQQAEGLVTAKHHLRDMQKSLSDKMGRRYRLGWEKWVKDEETRESIIREA ANGELMLTPATATIHIEEETEMDISPDGEKAKGKRKSTAQEDVSDLVDLYAHIPEDPE ELRQQCEALREEITQFRKRRKVMFDELVTFQTEAGTSGRMGDYRRLIGAGCGGIPPAE VDQVLGMLLETLESEEPSSSSIAWSGSRPVAVG JR316_0004583 MSSLKYFTKKSVLSAATQSSVTTHFNHLRPIPRAWVIRRSKYDP KIKSVRPADRIKWWNIAPGDQIRLRGDPESIIHEVLSINRLSNRVFLKNTVEESSAEG QPPKSKNYHYSRCQLYLGEFEVPTPDDPSGLLSFQHVFALRIGTTQPYWDYRLHRYVW KRYAVKTVPKLQPSEYGKKIFIPWPKKPERKYPEAGLYDTLAEDVAKITYKLPHFNPD KLEPLPPIPSEAEYLDHIYNPHRTPYYDPSAPFEVYLQPDLANPHSRAKKLERWKQYQ AGIHNLLKKITAYELANLDGRTVKQARSDAAFKWREQVKEEQAKKKKARWMHSAQMLK WERKNAKKEKKEDRQRRRLTELMLEDEPNQVIPKALAVKKAKQTKQGLKA JR316_0004584 MPPPSLGSPEALYHVVPSASYDANPSQIELDREGGAVETGVSTP PLSHPQTVLVTAPIRWIHFILGCAVLLSWNGVFFSFILFNGASQAVVGAYLQTSVIAV ASLFGPLAVQAMMSGQAAVAVAVSGVQVISAAASVRGKTRVYISDGSAEERSAFIFFS LSTLFLVVSAGAHSWMTKTPIYQQVAASLERGRKRTAGEIGHADEREGLVTHVSSTSA IEDTANAFRVGRANAIYEVAVAYVFIVTLAVYPPITTSVQPTNPSTHPLLFSAFHFLV FNVGDFLGRYICSFPIFLIWSARRLLALSLSRTLFIPLFLMCNIQRGPTAAVTSPIIN SDLMFMIILFLFGWSNGYLSSLCMMSAPSLEHNPRLKGRAEDVDVAATVASFCLVGGL SIGSIMSFAVKGIFCGCNPFTN JR316_0004585 MAAYSAGYENYDPYMSRSMSRSMSRRQSIGYPGTPYYGQQSYSE GAVGTEYPQPYQTYPNSALVPLNDGISGYDMDDPYYDDRQMSMSYPSSMSYSTSAVMP RSRRHSTVSFATRPQGLDAYRVPSALHLKFKRKGSFSSGIGLDEAQQRIRLSGNDAYT FHDLHADSRGRIHLRVKWTGYSSLTYEIPLDGYDGRVDLQTLARRVSRACVHYLQNQQ ANVIPILWDRVLLHHLEEISYGVWQPMLSTR JR316_0004586 MDIAQFAQTIKGDVITPEDPTYPKAIFRWAANAVRQAKLVVFVK DNGDVVNALRFARDNDLPIAVRGGGHSVVGSSSVENGLVIDPSRYLNGVTVDPAKKLA YVGGGAIWETVDKAAIKHELATVGGTVNHTGVGGLILGGGYGWLSGAYGLAIDNLVQA TLVTADGSILTVNKDENPDLFFAIRGGGGNFGVVTEFVLQLHPQRPTIYSGILIYPPS AIEQVLEVTLKWMETMSEKEGMIQISAVGPYGNPIFAVIPFFNGSEAEGRQKYKAFHD IAPLADLTKEIPYEELNAISNPMAVHGSGVYQKGVAHKRPNPEAIVRAHNKFVDLVKS DNFNGAILYEYFPLQKINSVSRDATAFRREFASSVLVNLTWDNSTGDRTQEARKHTYE LASIISRDGKDMTTAETLGYSNYDPEAFVDNAKGVTLVQDKAKLVFGENYPRLQTIKK RYDPDNVFNKWFPIVPA JR316_0004587 MGVYLGIAPSISYLEDDVTLEDNVPNQRQTGSAGKPLQHLHMSP TRLQLLIAAQKFCESFAEKKSIDEILAHFSTTHEISVIEYGAPILAPFLGRPFVGSQA VKQYFDLISSLLSYKEMAFSEYVVDTEVLKASVKGRAEFTWLNTCQSWNETFTYTLDF DEEAKITRYQVWADSGSAYLASHGRLNKPET JR316_0004588 MFEAVESNIIRSSPIHSTEKQFAGKMLFKSTFEYSHIAVDGIDH NVVAELCSSKFLNNPFAKSKYQNIKNDPSGKAILTKRIANIIRRSKYSVNVAISALIL VERFHEAIIAKKIPFSQEVEDAGHLFFIAYMVAAKVVCDNYPFICFWRQVSNYEYSGD DITRMEFQFYDVVGWKVQIDAKAFNRGLIGMKESYSNQIGYKLPSAPAPVYRRLQKNQ TARTITKIGREEYIPNPILEEENNEVLLVQASPVTKYRGEDDPFEHQVEFFHIVLQAT QFP JR316_0004589 MRSFSYVALLTSCLVGKSLVGALPSRDYAYSIKEEIDLPGGWVK HGDPPANHNIVLRIALPQPNFHVLEQHLYEISDPDHERYGQHLSKEEVEELVAPHSES LDLVNEWISSFGLTEDDLVRSPAKDWVTLKLPVSLVEKMLDTKYYVWQHAQSGDYLVR TTSYSLPSHLHDHVDTIQPTTSFGRFRKERSTIFSATPIKEVAASKSEPVHDPASGVT VDASCNQTITISCLQQMYNAVGFTPSAKGNSIGITGYLEQFANFADLQLFFQDQRPDA VNSSFKVVSVAGGLNNQTRDEAGDEADLDVEYAFGLSHPVPGTFFTTAGRPPFIPDSG ETVDDNEPYTTWLEFVLSQKEIPLAISTSYGDDEQTVPKNFALRVCAEFAQLGARGVS VMFSSGDGGVGDGDPNPATQNCFTNDGKNRTAFIPGFPASVTAVGGTTHFPETAVTRF FSGGGFSNYFARPPYQEKVVPAYLKNSLPKGTYAGLFNPNGRAIPDVAAQGDLFKVFI EGQAFLIGGTSASSPTFTGFVALLNDVRLKAGRPPLGFLNPFIYSKGFLGLNDITVGH NSGCGTPGFNTSKGWDPGMGVYNLFPPLRDLLTPYSSSYWLRYPKFRDTQGTSFGTVT DNDG JR316_0004590 MSTTTTTDVPVIKKRSRPQPRVRQLSIEMEEEEVTVIETEEAKL ALAELIELRKLRKAREGIDVAKLNKGDLKKKKKRPRDEGEQGGLRKGGGHDDEDEEED TEARTRRVIRSNNFTQQTNTLDVDKHMMAYIEENLKIRSKPREESDDEDKRPIDPQEA LYNIADRWKVEKQKPTTDVGSVTNSLTMLTAIPEVDLGMDARLKNIEDTEKAKRVVAE ERQDRKKVNKDEEHLISSRFYRPNARAKSDADILRDAKLEAMGMPPQDDSPRRSNNDR AQMATDELVMERFKKRMRK JR316_0004591 MGKWCVSSLSYHATVVSTHLDPADPHQPSRTPNYVEDILHSKIS SMVSGAIRRASVEKEPSITYENFVHELDAGDSFTTSIIDVLVKEIADRHTRPFSDRKF LSERTITTLRQLSRHARSYPSRIPGRSHSRRGINLAEYFTASPNELDMEDDDDDFVET MPLPGGSDPESARLNPMHAEFHANVWPPASTRRITASPSPVSDEWPLPPNPWAATGSS SSTVAPATALTRQPSIRRSPPRTRLVDFNDYTTRRRLATRNALVSRLDVTETVTEPRE GSSSQTLRRFFPFPRSRRHQPSTNPAWSELSDSLSPDSDEPMHYFVEPPNVATWYDYD PPEPRLSPEVESREETENLLRAPRLRRGGIRPPESMLSRHASPVIIATPPPPTAPAES TTPAPAPTLQNTESSSIPVPEEPIAYPTPGSSENENAA JR316_0004592 MDMSRSSTPEAPPQDLWSSILDSVSSSRSIPAKQILLLGQPSSG KSTIASALLQKPVSDEQKVDQRSDFAIGYDFADIIDTLARLSVYTVPSSASSYTALLP HFLPPRTALLQTLVIITLDWTRPWTFVEELETWLSWVEAWSKGDGSRELEIIREESRE RLQSHLQHYTESSSDSIPSNSTLSNTILPLGPGTLTHNSAGVPIIVACTKADLIDDGN DMVAGTSGMGSMVKGKGGEWEEQTDGVMQVLRTICLKYGAALFYTTPQPANLQVLRQY ALHLLFMPPAPSPAMAASTEVQAPVRNIFPFNQKPNTLDRDRIVVPAGWDSWGKISVL RDGFDAKMWGEAWERDLDTEDEQGEETGAKKAYANLVPDQGSKPPPLPPFNNPTPEQA FLAKNYDENSKKGDRDPRGAFRNPQDFAGAAAGIVGPLGSSSFSLPNVERALSEMETG VGGIGITTNLGSDAARRLAAASRTGPTAGGRATGLSALGTSTAGSLSASRSPVSPSGS ATSPSGSGGASQHEVLQNFFQSLLSTKDRGTGGSTRSSPAKPNGNSNVPEES JR316_0004593 MISDPDSLTRLIMSTAIPEHKLLESPNSRSIAVYDWLITASTNP ISNAPECDALQASLGIPIPEMTFGNNYLTLEHKPSGFIYSFTTPKALEAVKKGQLGEG DGGVKVGYADKWMQSRHGFFHHEMGST JR316_0004594 MPPTVATKSYDWTYTTMYTGHQESGQAEPVAWSAADPEDPSNAI PMAELSRPDPILFYAEIPLFEDELHDNGSSSVLVRIRVMPTCFFILSRFTLRVDNVLF RTYDTRIYHSFASSTPLIVREKAGWEAPYERVQRYLPKREDMTPLTDPTFIAKILTEL PKQVSQREGAKTGWRGMGSRVEIARLPVSSA JR316_0004595 MSANPNPTAGPSSGTVQPDQQSVEVIKALGNIIKTQTGEPITNE RISTLLLQNMAQLVQQGKLTQRQIIQLKEFADQHKAQPANANATAATPTSAATPGGHV PTGANTATENTSKPATPMPIQSSGMQSAIKAGTSDSLPSLAASPADFYPTISTTLNTT NPGPVPWAQTRPTLTGGIAAGRMSGTPAQVARPADDPSILTTDDSRTRRKSTPGDQSM RRTIQDLVSSVDPNVKIDPEVEDLLLSIADEFIDSVTNFACRLAKHRGSDTLEVRDLQ LHLERNHNIRIPGFDETRISLAQSSAVPSLPAASGKKGAQGPHLTLRSQRLAQVQQAK REAKLM JR316_0004596 MDVSNILASHASKFKSVTVEKETPLEIDTGLLLVSDPNPIEQDS YKENLEEHLQSLARDGAQALISALFSLPTKQSPDGPLAVLPPPVFQLPRAKPLPKPKP QTKWEKFAAAKGIQHKVRDKKVWDEEKQEWVNRWGRNGKNREVEEQWIHEVPLNADVD YDPRKAARDERKQRIAKNEKKHQQNLARAGAVSRDERKAEIEKTLATTRISTASMGKF DKRLEGEKKIRGVKRKFDPNEGSVEAEKNASLNLLSKMESDKRKMRKEPQADEGVLNV RKAVKIASKGRGGIALGREMSGGKSRGRGGKGGRGGRR JR316_0004597 MAYAKPFPLAAPQQKAPAQPVFGPDLAVRLICPECRDPNPNIVE EFGSGDLVCGNCGLVLGDRIVDTRSEWRTFANDEGDDPSRVGAASDPLMEGIEQLDTV ISFRDGGSGIARELQRASSRSVNARSERNILGAFRDISSWCDQFSLPKTISDIAKQLY KRADEEKLLRGKPMESVIAACIFIACRQAHVPRTFREICNLTHVPKKLLGQCYKALEQ AFNLSPGATSAHSASSPGAGPENLLVRYCNHLDLPPNVQAICSDIIIAARQHGIADGR SPVSIAGGAIYFTCNLLGINKSVRDISTVAGVSESTIKLVYRLYYADKEKLVKKAWID EGKARLDRLPFDATK JR316_0004598 MESALHAMDQLERDIQQNYIYNRRAPSNPWAPYLPSSRGGYTNP PSGSEYFQPRPPADIRINRSNASDASQSSSGSEIARWPGRQEERYEYRTTEHGGYTGY SGRPDRHYHHHHRERPAASFHRPSVPRSHSHSHSQSRSRPRDVYAPPITPHNSTYTRH YVLNDNPAGFPEEPPNPLVNQQDSPRSNDGHRLLIDYGGSQTHDLGADHDSIPPSSPN ALTPEWSMETTRGSENLSHNSSLGYHSEDSGRSGYGYGRSRGYIEPYSHDHSLEDGYH EYLDDGEHGYASDGVASDEGVYYSSDEGPYSDGGAYSDGGYSSYYSDYYEDDDDGLYN DASDSE JR316_0004599 MPSVVPHQAARAVSKVTSLKPRAPTTAAPPKKRRVVRRRGRGRG DLDSDDEIEREAATDSDSDDANLSSDESATDDSDTEPASEDAIPNDRAHLPTPRNSKS PEAVVNKEMPKVNGELPSFFVPGGDWSEMVADEKVNGPADLPVIEFSEFSSQAIPQNP PSRKPKKSKAKRSAPSRAPPVDSTHEAAPSTANDTASAPAEPETRPSQPNRISSSQSA RQAYQHKLETDPSFVPTIGNFWGHDDRLIDTELRSLSGWWRGRGRGRGRGFGGRGRGG FQGAQSRTGVEATPLNSEELPPIERAWTHDGFEDMKRKEEKRRAEQQAAREEQVSPKR GGHVGARGGFVAGRGRGGFGRGGFNSSTMSRNGLHFSQPGRIRFASKPELVWTTQHEA FLFFDPILKPRNGHGPSYRVKIPGHKSGIARTPAVSRESPKASTSKAPSETSETSDKQ FIVRLPKRLGKERQVAVEEKRDETPIDEVFTVRPMLVTVEPISLPEPTQPPTVTIETT VHNSSSEVQVQVLPDPNIRSQLEQLSLEPRALDPERKAKMEEAVLRHPTTEVIAGVDV PPTDDRPALAPLQTGFTSPPPPPASQLVSQPSPAFGSPYGYHPQLPPGVAFNQHGMPY EVATGHPVYLQPPTMYNPRPIMPPHFNPGMPFVPSHMHHPSAPPPDLMPSHTPPMNGF IDPATGTPIFSFPRQTSRIEIRAPNEESGKPKSTPRTPSGLRTAAPSFQPTRSAPTSE QAYYQQPNSPEAAGSSYENADGQASVEGSNRAGMPGMVHYPAYQQAYYYPEPYGYPQY VDMSHAGQYDMYNMDQPPQGTVYY JR316_0004600 MNPSQPESFNHRTETLSTGRTYHFVDQLPDAYDPKRNPTLLCVH GFPDLWYGWRYQIGPWVRRGCRVIAPDMLGYGGTSKPVEAEQYSTKRLCADLAALLDS VGVRKAVLIGHDWGSHTVGRFALWYPDRLLALIMLSIPYTPPSREYMPVEEVARRAPN LGYQVYFNAPNSNLEILAHLKKFLPLTFSPPDSKHDYFTEGSLQKLLLKTREIKTESF LSDLELNYYYSELQKGMFGPLNYYRTAKFRHDEELAAGLPATLRPDLPFLFMWGTKDA TATPFSISKSRKFIPKYQDVAFEGRGHWLMVEAKDEVTQTVINWLESLTSKPKL JR316_0004601 MSQPLPAASSKEEDEIPIPERPPSPPLHTTFAARGIHPPTFSAF KPRDYRFTSSQAMTHVAWSCDGKKLAAVGIDKLTRVWNPEKSMDSRSASVYSGGHSDD VDYVSWNPTHPELFCTSSTRDRRIVFWDARQSRYTQQVSVKVPPAQTIYTPDGRSLGY ISAMNQLFFLNYGKSSEESSKEEWHMSNLEPQTANSIVFSATGESLVLAYHGNHTLCI MDYPSLNVVDKPPAHVGGCLAVALDPRGRYLASGGYDSIIDLFDLDEWICARTISACE NVINALSFSHDGEYLAVASAGSYIDICATETGAPLHRVPALAPSPTVSWHPSKYVIAY CGQTKAKENPTVAPVAVISMFGLLE JR316_0004602 MPVPLIPALILGFASFIASAFVILRIVVPVLPPHPLSRRVSPVA KLGIRTLPILSPADKGHLWLSSLDLIALVIFFWQIVNESTTGPSGLALAFDPGSAIRL WIATTIRQTCLLFVASATLLQVRMAQSVTFGSRQWMIWAPTTLIIAVSATTAGLLSVT GISSLFYGLTGYSVVTAILTSVSFGYLIHTLFAIKKNLAVVAGTNPWPSVREVEEKPR PSFATEEIDALRDGASWITSNASSRRNSMSTWSFTTHQTATTVAQQVRGLRTSKQSDM QIPPVPPLPSPYGPLSPVDSDPFRRDLPPFPDSQQQKPRMGSQSSWLTSSQGSHTTMT SWSYPTASHDGTVRLPSTQDYPTAYSPALDTVLTATQSMVAIHDLGAEGFPSSGMEVE KGLAALAPLGTSIEISMLPAYGWSVTIWLPLCFSLPYFILLSQGSTPSPVVEMLFVLS ITLSSPLLAFNLLFGSPIPIPVGLFDLPPNLPVDPHRPLIHGSLPMPKISQEYKRSTS SLSVTVVEGRRSGDVWISKGDAVDGKGKLGRAFGMLSALPKLSVLPPEENHDECDMPP VPFRDDSLPVHVNGTPQSDNSVQFGRFRADSRANTRSSAADDSSLAFASRIMVAQRHY SALAQTVHVAALGTSVEEAREFPGDADIPLSVASGMTINKRVSQSSHLRSRSVTSTIP GTPTAQQRFSISPPPSFPLPPTPPSVRAARLAMLSHKKSFSSGQHCTLATIDDLNEID AMTAGVLPLLVPGLTLGDDMKIKKDDYSQLGTYSKSKGRKIAKKLSEFGADFAPDDVH TIPSKELHERGRSGHKKNHFSLPSLSLPKGTMRSLASWSSEFRQGLENKVSQYATLSN NDVTGHRDTVSEVKPAVVNLRSINDEKERNSIPLARTTSTRSLGLHADVPHNVDTARS SVVSISNIPPSAASTITLFEDFEAGLLSEPLGESTPHQSTLTQGSRRQQSAMRQKRQS AIRYIKSDEEPRSDTRFIDQTTPEVATTPTTSSTWSLRSVKPLAQSTNRSARNSPDGI LPKEGLRTLTLVKKHESLSEKTADISGTSSPSGIRPLNLGKRQRLRPVNRDENSNPVS TSATPRNKNFKELTLARSETSKIRGILRKTEILPDVVVRPPSLSERNSFTYNFRD JR316_0004603 MYFLSSLGFVASLATVASVVARLPDGRLHGNMMRPANVPFVSPP DPGVPVTSRNGTTLPPYTTVYYFDQLIDHNNPSLGTFKQRFWHTYEFYESGGPIILMT PGETNADGYSGYLTNRTINGLIAQQQNGSTIVLEHRFYGLSNPYPDLSVKSLRVHTIQ QAIDDLEYFAKNVNLPMPGGDSVTPDKAPWVLIGGSYAGALTSWTMVNKPNLFAAGYA SSGVVQAILDFWQYFEPIRTNMPANCSADVQAVIAHVDKTFSSKNAAAINALKENFGL GNMTHLDDVAGALRNNLWDWQSLQITSGAGTQFYRFCDALEVKNGVKAPASGFGLQNA LQAWGKYWRTTYLSGLCGQQDAETCLGTYDTSLEFWSDTTIDNAERSWMWIVCNEVGY LQEGAPLGRPSLVTRLVQPSYDLRQCQQMFPAAFPRPPVPNTLLTNLKYRGWNVRIKN LFFANGIRDPWRDATISSTSVSVPSTSNQPIGLGDGFHCSDLGVSAGEVDPTIAAIQT AALASMKKWLAAWKPTGRGGPIKTPRSSPQTVPRINPPFTFKPINAWFKNSGNL JR316_0004604 MKYVWLDVDPGHDDATAIMLAVNTPTINLLGVSTTHGNASSEWT AINAARCLLAFGGSPNIRVFPGSDEPLLLPAKHDPQIHGVDGLGGVEGLPDIEDPKVL SLFATDEDGSRIRALEGMSKIIKDTWKKGAGHQVTVISTGPMTNIANFVSVYPDLLPA VEEFVFMGGAVGMGNRSAVAEYNILCDPHAAQIVLNAPVKKVMMPINVTHTAIVTRDI HREILVSGSSHESSRSTELPKASTNLRHTLSTLISYFAEAYKVTFGFNDGPPLHDALT IAYVAYPDLFKTTRHRVDIELTGTHTIGETVVDMWHYRPCDDTWGRNGRNCIVAEAMN VKRFFDIFLETILRCDQVSPLNMNTVP JR316_0004605 MAIPTTTVQYSFPQMGSYENLVKSEAPTSKIKANDVLVKIHATS LQYRDLMVSKGIYGTGLPANLVPLSDCAGEVLAVGEDVTGWKKGDRVCPNFSTDHIHG RTTPAIMATSLGGQAHGVLTQYRVFPAHSLVAIPAHLSYEEAATLPCAALTAYNALHG PVPIKAGDFVLALGTGGVSIFALQFAVAAGATVIATSSSDEKLKIAAKYGAKHLINYN TTPNWDEEVLKITNGEGVDHVGGQGTLAKSIKSAKTGSGYIHIIGHVSSSEGDPSVIF PLIRGAVTLRGILIGSVAQFNDMNRLILANPDTTRPVIDKVFTFDEAIAAYAYLESQK HVGKIVIKVA JR316_0004606 MSAVTSNSSVPSLSTPDHDSGDSSIQPSISTIDNAIQLPPRYSV IAISSPIAASGNYSRTLNAFTNPPRYSTVFYSRARPRADRARARRSRLGLSVEQDRNA LPSASSGLQLREFHITTSAKSKPWTNLRIYGPSSGNGQKVLRFSGNDLITGSLDLNLE TPQTINSINLSLRGRVITTSYEDGACTFLDLPIVSWNRNNGDPRSLFLLPGETPASLA ARTKKFDGKFVGKYSWPFSFPFPETISLPGHHGQPNIQTPTPPTFLERGTQGNIGYEL VLRITHGILRSDSKLHATIIYVPDIKPTPSSILRQLAYSQQLQLPGPDVDPVGWHSMP PVTVSGRLSGRKSVKFDCTLSITNPQAYTRGTIIPCHVSIRCKDLEDLDVFARPETLC IRLARRVQYYHDGGQSFLSRKQKQAQVLSVSVRGNATDVQKGMLVDVAEVERAVWWTS SDNERAQSQPINGVYCRDLNGEIHLSKELQPSCSFSLFKVSYTVELIAFKSALFHPHA LKATFNESNTEGSGTVASLPVTIATLHGEGPIPIAVTKPKPRKECVDLRNTTDFAVLD MYRTL JR316_0004607 MIPTFLVAVPTCSLPPKKYKDMDPHSRRSQFNHRTPKAGPLSRN IDSMPPQPSQKPESSKVPPPRKTASHSSATTNLLIRRPIATNSRVKQDEMFKRDMYLS VVTNALQQKANGVSDTFDELVSQFNISPSSSSQHSSQFDPAQIRLWLLALSHVVSRLE KTHSALVDAIVNMPWTTFDSATVKSFTVFIGMLLSARPEYLSLVLAKIAHGFTYQSGL QALDAGIPSTSSAPLTRRVIYDRVHFLLRHILSLVPTLPSTLQPLLVRHFPHKRQNQV AQTTYIRNLLRVSGYCPELADKILATIVDRAIQIDVEIQIELEELEEEENEGDEDVFE LDPFDIVLGQEVSSSASDTDDSDDESDDNFSDLSSEAGDMDDFDLSRRIEVPMNVKHI QEMVKKLDAILTLLFEHFERSKGASSISTDSRPMSPLELPPLPPLESNPLTPTDFLSS RQSLDLTASTEISAPTTETSSSKVKLSKLSGTKTSLHSQFQSLLSIFDRTILRTFKSR YTQFLVFWFASLDPEFADIFQGMLVERALMPSSGGFSPHTHNDSFGDGEESTSNSQPH TMTPELTRAAAASYIGSFVSRATFVDRDGTRRVVSVLCEYLRSHLDGVEADVRLGLGF GSESAPSSVNAAAALSAILASGQHTVFYAVTQAVFLIFCFRWRDLLGGDDDLDDSYVD LDLDLDGRTRKTPAKDKWMPELSVLKRVVTSILNPLKVCSSNVVMQFARVAQATDFIY CYTMLEMNKRNDMSFASISGTSASGPRPRLSNGSSESLLSSLTLLQAKLLYQPANAEL NTFFPFDPYRLPKSNAFIQGVYREWSSVAIESDDDDDDESDVDEEEDQLSSDQYDDFR SGGLDVPREKNAQKSDDDGGLGESLGAMSISPARMSISVSMRG JR316_0004608 MSSPATAFSSAHRLYVKSLYRRSLKNALDWTIRRDLWRAEAMQI RAEFEANRDIHDPRLLARVLEQAEARLAEFKHPDPVIAPTAPGGTKWERNLPPSTAPV YDHEAGGHH JR316_0004609 MNYIRGAVSAISAPYQYYKDLPPINPSTLTGAIDVIVIRRPTDN GESELVCSPFHVRFGKWQVLRPSEKKVNVFVNGNPIPFNMKIGEAGEAFFVFETDDDV PEDLITSPILQPTMPDETPTGNASNQDPVDTDRFAAKQDEESQNLQAPSDSVESELGS TRVEPDFLDLDGRTQEEDTSPDDVRTTPKQKHNLPSALRKSGSRATINQSSVTSLPSP PPSPGYEIERSRTPAMEAQDARVDVALKRLNSEVHVPEVEYHHDVTLDMEGYKSGYHD RIASDKTVRSSGSLSPSKPNSKEQVAFPRKTDSSTPSLPSDTPYLVLPHTQSSIQSSS VSSPSPSPSPTSAGSRSPIASAQPFFRATSEPPPDIESENEPVTSFSLSPQTQAGEHQ MPVQEYSWEWGAFPQPSPRKASFGKGGRIELPHWRSAVRSKSGFNDKKGGSIFLELPP MASRFGNGIPEDGMDEIILRIPGGNGSTGRSRSVPPRYLDNSAKNERKGSREYKEYED ANSPGEENEERGRSARFWEKQVVGSSEPEEDSNTNGVYGVGGTLSPSKNDPTMFVLSI EGRKIGFQLSLVPLGEISESSKDTSKTKQRFQDEFEVARQFDRFRVDWPRFIEDESIV SDPRLVIRWAGNHQYITRSDGSPLMDSLIIWRSSVIRKRESGDSLRPVSPPPPPAETS VVSEDELSYEPSEQKSHGRAKSESPSAADIRQQDEKAEPASQGQGSAPKPTSSSWVQW WNRSRRKDASDAKGYSNVLNPKNDTSTSSLPLPASNTFLKDNRKSISEDVVTKSSAAS AFMQQTPDGGLRPSHAKSPSDAASVHSSSPPNPPIPSKRFAKTLRLTSDQLKSLNLRS GPNSITFSLSASGAIAATARIFVWDSTDLVVISDIDGTITKSDGLGHVFAMIGRDWTH LGVAKLYTDITRNGYKIMYLTSRAIGQADATRDYLKGIKQNNYQLPEGPVIMSPDRLM ASLHREVIMRKPELFKMACLRDIQRLFGESARNPFYAGFGNRITDALSYRSVNVPSAR IFTIDSSGEVKMELLELAGYKSSYIHMTDLVDQMFPPIHRKWTPEYTDFNYWKTPVQD FPLPDMSPPSPALSARSDTSNQSALARLRNFSLGANNNPRPYATDSQPLSSGDGYRSS HLRQMSSFEKLSSTLGFMTRVNGDPYRRSASPESSSSYIESDDEDDEGELGVDGQRRK RNRRRSMTSMPGTLDESHFGMDEDDGEEGEQHVGHEDDDDEGAYEGEENPEEEAEEAF DDDLLAAGEMQNVPFL JR316_0004610 MPDTVIVPTVLPTGTLHFAEVPEGSYAQEVIDVLLQIDGLKEEI LGHLEDEKWALQMIRSEQNGRVWEEEELNALGDGTIEANTLIAPILDNHNRTPESARR HFSSFPLTGHMHTPAFRLVSLHPHLSLNFSFTRVPEIHDGFEYKLYISRSTTVAVAIT TIMEELGLAKSLPIPGAGNLEYVIEEVWYTDSAERASKLPEATILFDIVNFPYSANPF KSKASRRFRFCVPDEWYRRSKSRFASPAVAPSDSTINRLAALQESDDEEEEGTAKIGQ QKVQERPKSASTVEQRGIVTQSRLSNLFEGWLGSPPSSPKRNSVVFSPENRKSVSEPR LLDKSSTTVDDNISESSDDGDEETFEKEFEEMLNDLGLKDEGRARMRVLPSDRKKYLL RQNKQFKATHQQVNANGTHPVHAASFGPSNAQALLPKLIPQLTGDAGLIRRFSIMGWG SGSTVSSPGLSTDSNSLNSEYNNNKPTSADQIAKAAGDIPPLQSQNTGSLWANWWTSS GGDKSLTTVDKYGSKEVAKSAKWYIDGLRIGKAPDMKLVKHLITLRVHLSTAKVAFIQ DFVVSEKGLIALGSLLASLVSKGGKRRVLSELEITVLLEVLKCLRVLLNTEPGFNQLL VSPNVITHISYALHTSSLKAHTLAAELLAAISMLAIDDGHKAVLAAMSDFRIAFDEDF RFETLLSTLRLPQVDIDGESDDGFGFGNEEEGIWEARIATMALINALTNCPEELEDRM VLREEFSRRGLNELIVALRYIKPPDSLITQLNMYTEEKYEDEEDMRERKQSSLSQQSW GHERTLSDSDVVLQDLVQLVKQHGELYPVMLDILKHYSRLLQRDIEFQLKADLMTVLD RFVEQAAMLDTLDANWKVFLKRFSISVQHITGQEVEVKSLDESVSRDFIEQEVESLRI KVDELSDERAELRKELNQQIAETNALKYLPTGIQTFNPDNTKKTGPEQNFHGLVQRLV QKEKQVLHLQTELDQFKTQNRGESRESDERAKRERDRIKWNTWMEEMTKYKTKITELE NMISIKDKEIIYLKRALESVYTRFLSREEARDGVKSADVDAQMMANHTIQRLTEKDDQ IASLKKQIDELQLRLAAKPKTEQEFKAKSPPPPPPSKPKIHTLSSSHSMSTPSPPLPP PPPPPPPPPLSSTIMSFIARPTFSDSSESPSKIPPPPPPPPPPPPPALRLPNQSRVEN PCADASPSPSPPPPPPPPPPPPPPPISTQSARVQSPMSPLLSPVPPPPPPPSAFKLGP QKAITRPVKKMRSFFWNKSTISSAAPSVWNDLPADIQVDLSDLESTFILDANPTNSPQ KEAIKKQNVATVLDITRANNVSIMLSRIKLDCPQIRRSLLEIDDAALSLDALKALSKQ LPTAEEMERLRSFDDISKLSKADQYFHEIMTIPRLSERLNCMQYRRNLDLDIEEIRPD LNVLRNASLELRSAVKFKQILRIVLLLGNTLNGATFRGGAKGFQLNSLLKLIETRTTK GGPDCPTLLHYLARVLLRKDPSLINFIDELPSIEAAARVSVQVALQTVNTLVTGLGQI NEEIQNYHGNPSIPKNDRFIEVMQPFVHENAQTVDALKHMGQAIEAELRLLLTYYGET PDSPDAPKPEDFLGLVTSFSSSLQKCALEVHEAEMKLKTLSPPVLPTVAEDTEKTPNS VRITSNVILHMVILNILPQTLKVISNGEAKARLEIPEDSQQGLTIGKRSFGRGDLDQA IKDMKEGQRRMRPARPLSKIFFDGANAGGRS JR316_0004611 MDSDAPSSPSAMPSSLPPSSAPDLVPSADGGSPRRPIADALAMR IDEAEEDNEEGAQGRRRKRPRQMNGDVPMVKDAVGESLAESFETFLKTFTEEISLAAT PGSDGAGIDAQGELIYIEQIHTMREYELTTLYVDFGHILQRDDVLADAIQRQYYRFLP YIRRALLNLVTEYEPEYLKINPTAATTDSANLQSREFNVAFYHLPLVSGIRELRTDKI GTLMSISGTVTRTSEVRPELLFGSFICEICNGLVHDIEQQFKYTEPSLCPNPTCGNRT AWQLQIDTSKFTDWQKVRIQENPSEIPTGSMPRSLDVILRSEMVERAKAGDKCVFTGT FIVVPDVSQLGLPGGNKAQMQREASKGNASTGGVGGNGVTGLKALGVRDLQYKTAFLA CMVHDADGRAGTNIRGEEEVGDESGQAFIQSLTEPEFEELKSMIDSDHIYSRLVESIA PTVYGHEIVKKGLLLQLMGGVHKQTPEGMHLRGDINICIVGDPSTSKSQFLKYICSFL PRAVYTSGKASSAAGLTAAVVKDEETGDFTIEAGALMLADNGICAIDEFDKMDIADQV AIHEAMEQQTISIAKAGIHATLNARTSILAAANPIGGRYDRKKTLRANLQMSAPIMSR FDLFFVVLDECDPQTDLNIAKHIVNVHRFQDEAINPEFSTETLQRYIRYARTFNPKMT PEAANVLVEKYRILRQDDSTGAGRNSYRITVRQLESMIRLSEAIARANCTSEITPAFV REAYSLLRQSIIHVEHDAIDFDEEELEGERNRERQVLTDNGEDVPLIADATTDTVDGN SIPIRVNTGGRQAAPLPTESFSRAGSNPPAATPAPAPPKKRMIISHDKYIELQTMVVM HVSNHEAKTGHGLDREDLIDWYLEQKEEEMQDIDQVEYEKELIVKLLRRLVKENYLLE IKGDAQDSLLSADESQLSSAPVEGDNVRVFYMVHPSVDTESSMTSGY JR316_0004612 MRDLDPLDPDYVQDVLSKPPFVTIPGVINVRDLGNYPSTTEKGL ITRPGYLFRSAELSGITEDGKVKLRELGVTKAFDLRSDTEIRKYNTPLPQIDGVEVVH TPVFQTADYSPEMMAKRYQLYASGKTEAFLELYSQILDNGGRAFGAILRHVRDRPNEG CVFHCTAGKDRTGIMAAIFLKLAGVDNELISRDYALTRVGREPAREMIMARLSKEPLF ASNNEAALNMFTCRHETMQAFLQHFDEKYGGAVTYLKEYVGFSDEDIVTIRRNILTPG LPRL JR316_0004613 MDTAIARQLIDKIYEKRKAAALELERQIRECAQQGEQKRISQII DQLVEMFNNASNPLHIRNGGLIGLAGTAIALGVDIAPYMEKFVGPLLDCFVDPENRIR YFSAECLYNIAKVSKGEVLVYFNAIFDALSKLAADSELSVKNGAELLDRLLKDIVAES ASVYIPLYPETEKVRDEREELPGVLVPLPDSSPGDVKIKKAFSLAHFIPLLRERIYVV SPFTRSYLVSWISVLDSVPELELITYLPEFLDGLLKYLSDPTEDVRVATENLLADFLR ELRDVTIVSRQLNQQTKSKAPTDSLRKADVDGEKLPDLTRENAERALYMLENDEHRSA NNHLGGGEDYYSDEDDRDIGAWIPGQGVRVDYAAIIEILLEQLENDHDEIQQSTALRW LAEFLTFAHEVMIPFTPRLLTAILPNLAHHAVMIQSAAIRTNKLLQNVIQKLPSPQDP PPHKPPVTEKSNLPTRTPRSPEPVPIASTSTNNPTNSRQSTLSSQNSRETSSPELTLD SNTSYLPTRGRPSNADLTLTPRPGQPAEILPTAGSRPHSPVSFASSGVNGNAVNVHVQ EDADPFDYQKTVDELTVQFLSEFEETRVAALKWMIMLHQKTPKKNLAMDDGTFPALLK ILSDSSEEVIKHDLQLLAQISSSSEESYFKVMMNLLGLFSTDRSLLETRGSLIIRQLC LNLNTEKIYRTFAEIIEKEDAKFASVIVQKLNIILITSPELADFRKRLKSVDTRQDGQ ALFTTLYRSWCHNAVSVFSLCLLAQAYEHASNLLYIFADLEITVPMLVQIDKLVQLIE SPVFTYIRLQLLEPEKYPHLFKCLYGILMLLPQSSAFVSLRNRLNAVNSAGFLQIAPK SNAGSVSGRSKLGRDEIKWQDLLLHFRTVQARHEKARRQALGNDNSPITGFPEDRIGE TPDKVGKVASPGARPPMRRRVTGDVSMPNIIPMPPAPSRAGALSPLNPKSRGAGGLTS LMGSNNVPNLSPNLNPAAALTLAQKQRRPPLELNRK JR316_0004614 MPTRWLCSLNVLSDLSQPESTSLSPTNMVLPTGLLLVAPFSPYL FNGNPCVSDNFYGNYRDGEVLYSVIALSASTSSSCLADYRWASHMTSAFYNERPEPGN QLVWLEKNAIDDKLQVNAAHDSAILENLLLSLEAPHTHEHQQHLSPIDHRRPPFKLHF RTTRAALVSLDAERAKSIDTMLPIYWKSTLLPTAPVDYVPVPRAAVEPVKQLLANLKF DPLVASVVNNISISQIKNDIRFLTGEDEKSGIVSRHSFSEGALTAAHWLKDRVAETGA SCKLVPFLSGFAPNVICGHYDSRGSFGSVRAPGGDDDGSGTTGVLSIARTIKRKGVKF HSNVELAFFAGEEQGLLGSRAYARELRAAGTNLTLMVQADMTAYRAPGEPLQLGLPDL IGTPEVTQLVANISAIYSPELQVGFTPACCSDHQSFHEQGFPATQVFERAGPIADPMY HNSGDLSNREGYDFEQLYAIAKVQFATILHTAGFEL JR316_0004615 MANLKSLQLGTPDGILIQLRAFRSLLETTSDVNFLDLSGSNPDL LPQFVSEAHKNGVKALVSIGGWTGSTGFSTNVGSADNRTAFVKTVLNLAKKYKLDGID FDWEYPANQGIGCNAINPNDTANFLAFLQELRKDSLGSTLILSAAVATVPFFGPNGSP LADVSGFAKVLDYIAIMNYDIWGPWSSSVGPNAPLNDTCASPANQQGSAVSAVQRWNQ AGIPFHQIVLGVAAYGHSFKVSKADAFVQGSNTQLVAYPSFDATAHPSGDAWDDGAGV DECGNAQAPGGDVDFWGMVAGGYLKADGTPNDGIAFRFDSCSQTPYAYNSTTEIMVSF DNAQSFAAKGNFIREANLRGFATWEAGGDFNDILLDSIRKAAGF JR316_0004616 MSVNSPPNFSHNEIENEKFHRSQNPEEAQNTVVLQVANADYAAA LATGPQLKATSLRSIQLFAIMLVAFMGSLSNGFDGSVMSAVNAMKQGEGGGVGTTTAI IFGIYSIGSIAGVVIAGPVTDTFGRRGGMVSAIVVTLAKDVPYLLGGRFVLGFGVAIS TTAAPAYVVEMSPPQWRGRLTGLYNTFYYSGSILCTGITIATGKIDSAASWRIPLGIQ AGPAAILLVFSFLLPESPRWLISVGRKDEARAILARYHGNDDPNAPLVLLEWKEYEEG VRLNASDKRWWDYSELFNNRNSRYRTFMMLLMGFFGQWSGNGLGYFLPILFANTGVTS QNRLLTLNFANTLVSASGAVIGTSLTDKVGRRTMWFWGTLACAGMLAVVTGCTAKWGA TGANPSGANAAIAFIFLFGFIYSITYTPLQALYPAECLDYNTRAKGMALYALAVSCAS FVNTYAGPIALANITWKYYIVYIVWDLFECLVIWFCAVETKGRTLEELNEIFEDPNPV KASTRKLKVAIIEKGDAKAVVAADDKSL JR316_0004617 MNIDDSGSHVIATADLGQDSVIVECPFQLIITKESAKKAVLNIL HGDVPNIAYETWTERQWICTYIALHWILGSSEKRLLHFEYLNTLPTPGQLKTPLHFSP SELDLFKGTNLYSATLDRQREWNEEWSSCRSIVSQANAETGACFEWELYLRAATYLSS RAFPSSLLAETPSLVSTPFTGPILIPGVDALNHKRGEPVSWLVNHTSDSTTQSKISLV LHSSAVSGQELFNNYGPKPNSELILGYGFSTPRNPDDTMVLKIGGIGGQKWEIGRSGE RVDGLWTEILNTIVEAEDNKPTYEDILDASGMLQEMVQTLIERLPEDRIPEDSEVRPE VATMFLDYLEGQRDILRSLMTFATQREHDAVEIARQQGIDLVLED JR316_0004618 MLTCHNVKVLELVKRGHESGIPFNGPEEYIDTPELRGLLRTAAA DAIVLLKNDKAILPLRDQHKKIAVIGPNAKHAVTSGGGSARLLSTYTVSPLEGIIAAA KEINAEVKYTVGATSHKYLPLLDPYIHRSTEQRGACIEFWNEAPSTDFLATSPDFGSP LPPAAWSTPTLGTNCFLMDGIVKYSTKFVPDESGDWEIGMNIAGRGNLFMNGKLVIDL STKPSRGEAFFGLGTEDVRVVVEGLKAGQEYDLEIRISNTEFAARGTPYVCWGGIRLG GIRKVDGRTAIQDAVQLAKLSDVAILVIGLNHDWESEGHDRSDMALPGLTNELVFEVL RANPNTIVVNQSGTPVEMPWIDEASTLVQAFYGGNELGNGLADVLFGKVNPSGKLPLT FPKRLEDNPSYPSFGSKVQERGKVYYNEGIFVGYRGYQIKKIEPLFPFGYGLSYSQYE YSDLQISKISPAGDFSVSFRIKNISNMDGKESAQVYVSDAKSSLPRAPKELQSFAKVT LKAGEVKLVKVALNRVALSFYEHENMHWIAEQGVFGIHVGASLVDIRLEGEVELEKSF TWVGL JR316_0004619 MALTITLKARGKRRIIESPSPELASLSSGSEPPHPTKRARRAET RPCPVCNEQIPLRLLPMHAQLESERVEEVVKRVGSLDVAYEDLVDEPGPSSRARRSAI KARKSMTTTRTHDSLDESTRTIQSVKRRRKRRNAKLKDMLKEEEEGHSSRTSWLRRFT GEEINCPVCNAVVRGDQDVIDAHVDACLAHESSRLEEARQREALHQSALDEENWNNPD DNGGYVGDIRGAGFYRRADDECVDEDIDIDGDDQAVFGEVQFTESDIVPVQRPQEDID EDVDIEIEATDDVEPLASVQTSSQRQIPGQTDETASPRLDVTGMTELTKSELAVLSAR QRRDKAALVVALENKIRLMVSHTITLALSFSELQNPSRRNPPLHRRVLCYAEFV JR316_0004620 MPLGPTSSAININGSTVSDPAPGVPRTPTNITIHPVALFSILDH YLRRTDTQDRVIGTLLGTRHDNEVEVRSSFAVLHSETDEQVAVDMDYHRTMYDLHHKV NPKEVIVGWYSTGSNLNTYSALIQNFYSQETGPHQAIHIAVNTGVEEGQEAGVKAYIS SPVGVSPKPENCVFVPVPVELRFHDAEKSGLDLLANTANLPSSTSSQPIADLEIIENA VQSVSDMLDRVLAYVRAVLAGEKKGDPAIGRYLMNTLGASTEDLEKGGFNASLQDTLM ISYLANLVRAQAEVSSRLALTAAS JR316_0004621 MSSDHNDLSETYILLLLSDSNLPTGAFVASSGLESYVKHGFPSP ASSPESATIDFVRDSLASYARTALPFVSDAHRAVEEYALFQAQDGNQGEAGKGGGGLD GILKTLTDLDELYQAMTLNHVARRASMSQGVSLLTLYLKGFSRPPSQPVFSDAKSQDH EARTRTLFDKFKLKVRREEVSGHLPVCWGALTAALGLALERSQYLHLFLHARSLLSAS VRLNDLGPYGAQQILLHIVQPLVAAEVTKCQNLRTGLLDSVFDEVSMGPANTWPLGEI LAGRHDLQHSRIFNS JR316_0004622 MNSSLAVEPGRLPNLAEQEFFANRSANPTPTNHTPSQDPFQDSG ALRGDDHQTRIIQKLQDLHDKLLNYVPPEIPEESHSNSLLSRLFSRELPLPTSPPESA PKGLYLYGDVGTGKTMLMDLFYQTLPPSVRRKRRVHFHAFMIDVHKRLHAAKIAMGYQ GGDPIVPVARDLARDASVLCFDEFQVTDIADAMILRRLLESLVNYGVICVMTSNRHPD DLYKNGIQRSSFIPAIELIKANFDVTDLDSGTDYRRVPRALSHVYYSPITPENNREIE KIFKSLTSENPDDPPIRNRKLNTWGRTIVVPESSRKVAKFNFMDLCGQPLSAADYIEV TKNFGTLFVTDIPKMGMHQKDLARRFITFIDACYESKTKLFVTSEVPVFQVFSDDPTE EAKQIHISDHMRSVMDDLGLNHTAVGGSSMFTGEEEVFAFARACSRLVQMGSKEWAET AGQM JR316_0004623 MQLKLSVATFVFATLAAATPTRRNEPASQCNTGGLQCCDSLTTA NSSAASKIISLLGIVVQDVTATVGLTCSPISVIGLGGDSWYTPSYMLCSDLNSELFFS STAQPVCCQDNSFHGLIALGCTPVDLNL JR316_0004624 MQFKLSALTALALTTLAVATPLRRDQCDTGSIQCCQSVQSPTSS AVSAIFALLGIDAQDVTALVGLTCSPVTVIGAGSNSCTAQPACCTDNSFHGLVALGCT PISL JR316_0004625 MPSALTKVIYKPDSQSTDEFMIIVNPDAYKKWKDGAFTVFHTGQ GSQGILRQPSKQQLDTVFGTHKDIDVVEFMLKNGKEQSGEGIKDAFSLNLARGSNIVD SRGKGLTGI JR316_0004626 MRSLLRKSVWGSVTRTRRSYHASVLPSLISKSTPEFQAKSAAMD ELVRDLEEKTQAARLGGGPKAAERMKSKGKLLPRERLNTILDPGSPFLELSPLAAHDV YPGQSIPGAGIITGIGRISGRECVIVVNDATVKGGSYYPLTVKKHLRAQEIARENGLP CVYVVESGGAALPYQANVFPDKEHFGRIFYNMAQMSALGIPQIAVVHGMSVAGGAYVP AMADENIIVHNQGHIFLAGPPLVKAATGENVDAETLGGGLMHSTESGVTDHLARDDEH ALAIARGVVGDLGNAGRTISPPAATPEDPLYPSSDLHGIVGTDVRQPFDMRDVIARIV DGSRFREFKKEYGTTMITGFANIHGYEVGIVANNGILFSPSALKATHFIQLCSQRQIP LLFLVNVTGYMVGSKAEKGGIAKDGAKMVRAVACADVPKLTVIVGGSFGAGNYGMAGR AYSPRFLWMWPNAKVSVMGSAQLSSVMKTVSADPSQHSSLQDEIEEQSTALYSTARLW DDGIIKPTDTRDVVGLGLAIVSRERKTESRSHHKSTTWDGDGKGFGVFRILLKVVFHL RWPSMSETLPGIERLPLPTQAKLRSTQILTTLPQIISELLQNSIDANATHIDIGIDCA HWACWVRDDGHGIAKDDLELMGQSGNAGRYSSSKEYVPGLENSHSTFGFRGEALASAA DIACLEVFSRTSKSRNTWSVILKGGEVLYKGPAVRWKREKPGTVVYIRDIFYNLPVRR RAHTPPHRTWELVRREIEAYALVFPQISFTLEDNGRLDDDASLRKDRTIRIPKNTGTL GRFQHIYGRALTEHIEPIDITRGTMRMEGFISLIGAPSKARKHLYLSNHYTDINRHPI INDDLIRIINHAFASSSFGKNALDEEGETNTRSITRRSPRKIEKRAVYVINISISPAD VDNGFGPDKTIVQFRNKSDVASLLASTIQSFLEKHNFLNQHCNTLENTRSVSPSPRKR TKQDFDDSGYAESESLHDLCPTTSCNDNSLFTEPLNNAYVQNPTSHEIIWEDPCTGER FAIDSRTGNSYRQIGGHSQAEKNTSDSAVVIPYEGRRCTIRRYKPSNAANATTDDPGR ESVPSWLQKALENNRAYPAAESKIPSVIDPLVPYQPTSDLKYSRPHNEHENDVFLSTF PRQSLAEQFFNPSSASTQQFTKHDLRHAEIINQVDRKFIACRILRHSAASQPSSVVVL IDQHAADERIRVERFLKELFLGYLNTGEPTEGNSVRGVQTRELNPPQLVLLTYHEALM IKRSQDTRDALRNWGVQFAELSKVVPNGDETSESGGCIGYSQLLVSSIPEVVSDKLLQ GDELRDFIKGFLAQIQRGELISDTSLDFPSTEDQEEFLWLKAVRRCPRGLLELIKSKA CRGAIMFSDTLSISQCEHLVKQLSYTAFPFQCAHGRFVFMKYITLKFMYDNFAAYPSS RPSLVPLAETRMLNAKSYTSASRRSDWARFTAMTDA JR316_0004627 MADQVAAKKILSELSKREDLHNKSCADCSNPNPQWASLSFAIFI CLQCAGVHRGFVRSISMDTWQDEQVRRMQLGGNLSFKDFMKSYQPADQGGYKPGASPY DTYHCWAAAQYREKLDAMLAGRDWSPSAPPANFSAGSRSASPAPSAQALRKSRASTRT LTNRSDSGSPAPSFRSSPNSTPSQGLDQKTMNENYFASLGKANESRPDDLPPSQGGRY TGFGSTPTPPSNQNPAYGLSSANAPSLSELQENPVAAISKGWSLFAAAVAGASRVVAE NVIQPGVEKVTDPNFQASVMGYMTEAQKKAAMVGGAANEWSKNQFGVDVVDTVGGVVG TVKDRVAGPSRSGYGSLSLTSPNDMGESSGLYDADDDDLFTEYRGTGAYSPQTSALHT PPVQTQTKAASDPKKSSDWDDEWKDF JR316_0004628 MIQRTDLRQPQSSAAAASSSARQDGPEIWGQWSGRRHYSLEVVQ HPLRARMCGFGDKDRRPLAPAAVAKMIVRREDDSIVDVDEVDSSFFLVTVDLWSADGK HEMNLVLHPSATDRYVPSHLSSKSKRRGTNDSAPASRLSGNQTPGGRTTPTPSQYRPG DQVRLKHDCAHTSYVGQPYYGPQPPSDGVNYSQGAQYAQQQQPDTSSWSYTSQVDRSA SFPPPVLPSIHSFGRSNSTASTDTWHSESEPTILPYRPWNPDASYTAPEHHVDPALRT PSSTTETRDQTGWSQSNTYNSSSSNPETEQSVYGHAPYHQGQHTPYAQYPQPAPGSPV PSHAAAVNSSTPRQPYYTRTLVGPLSANACRLLDEHRKPGIFFLFQDLSVRTEGSFRL RMRLMNVGAPPAPEIGAQRVHNDVSPVLAQTFTEQFTVFSAKRFPGVPDTTALSIAFG NQGQKLPLRNRHGSNKRRRRGDSESDDDSDGA JR316_0004629 MAESIAASVIHTLPRHITGKGKAPLVQAVKSASRPSLAKNFFTP IPRRAMDQTPADQTPLDSVLDYRAWAYPMSKQCVWSEDGSMRSLLLTELLPKPAHPAG RRARRASQSSRARSRKLSSLSSPVDRSKFSTSAPVHAQLQPKPHIPLAHRKPEEDFDS KSALSRFREHLKTRAKTNKELQSLQTIYEQARNEGAFSSLPHPELLDLASDFANFIDH LCADQVHADVIERWGLRLQELLEYLPSGSTPTPNDDFRNSLSSRAWSYVGDLYKAEQY IRRSPVPTPPRFTNHLTDFQRASLSYILSFSRHKDLYHALHYAFVLRPSYFSGVSDNT LFQTMIIRSLSLLDVPALASKWPKEAYNTMVIEVVKACNVHNEFKKSIHFLEYQMHPQ IYTSPIMLRTVSGLATSGNIKHAQRLYDMIPSGVVPEDNSIKLLLRARGSDFASAEDM LAKRDPGKISDAQISHVLYPYAERGMVRELEAVFDRFFPLGPDGVRTRKPNVFHYSTV VQAYARKGDADGLGALLEDMRVSEVEPNIQLMTNILSIYRKKGDIAGALNTYQYMRRI GLSLDTVVYTVVIALLANAKDSVTAAQIYMQAIDDGIVPDDTMTNALMNAYVETGTLK EAVAIFHHLTALDADLLPPIDTFNTILKGYVLYGAPFRLISKLFFKLQKVGAKPNTYT FATLVLSATDAGELDIAHGIYEEMKNIEEESQTSLISEHVLTILLSAYLQRRIMDKAR DILNEMTERGHPPTPVTYRAIIASYGGKHWESKMQIAEEFVKKVQQEEHVDTNYQKRP SLVHFYMPLIVQYCNKGNVAEVERLYTAYLDAGGEPSYVLLEHLLRCYWQANDVQNVM AVWQVIRELAESETIDDGVESGSKMETPFGKIHFSLSMFIDIMSKSGLHAEVAKAWSD LQRSGFEFDSHNWNHLTVALIRAGQVERAFEVVETILLPRGREVIEAAELLQSQELPD LSAKLQIAESIDPTPAEPPLRDRKKRTQVVSEDKLQRFRQVGLVEDDEAIDADFVYPL QILQIISPQFNTWRPHTVVLRTLLIVVLQLQRGYPIRPIRTGEVFQNNVSGSEMTEQD FTATEETLHAIYTKYPETSLRVRNFQARETQRISKESFDAIYLRR JR316_0004630 MSNTNLGPTYEIVVAQTPEERQHSEDKAVHFLLRLTPSLTPVGT IRAVKFPEKNYYKLTRLVVLEEYRKYRYGHALVLKLHEWVRKDALQSGNTTSFVRIVS GSQIPVKGFYAKFGYEPEGPEYDDEGQPHQNMVYNMPLSQPST JR316_0004631 MSSPLFRPNPSSPAPAMTVAMHDDPHNDDALALHDQMLFGPIDL DDASPVQHHPHNFHWDSYLNIEPDIKPSFVFPTMANYEFAHPQPPFYDPAALYSPSDD PASAPSLDDLATWINDPDLALHSPSSPIPIPSPSDTNISASSSFIAYQDFPQDAAFSP TAFAALHPLPRSISPPSSFDDPRAVRPRVHSVVSPRDMSMHTPSWASQLWEAPSAHRT QNLVTRPSIRHSPLTDVTLRQRLPQRRGSLSSGQLFQSSSAPSHTESLVPAMTRTYTR RADSLSVTDDRDATVRRKKRSPDEDIQIPTSSTKSADSPLKSVLRPPKLAPSAWQLYF TDWIQKQQASGTRKLNVAQAAKEAGQEYACLSPAEKEPYKRRSQAMKEAREKEHEAYM RTLTPEDIKRENLFRAAQRKAGKSRKSNIKDPNAPKKPLSAYFMFLQRIRASPRLVSD IFGDETETTKQSVLAAAKWRSMTDAERQPFLAQAEQEKMEYEAARRLYEEGTTGFGSS INFSILPGSPHFPVVKMESESESEGFTTDDGSERPIRS JR316_0004632 MPPAGGFESIKYKRNLPFRGPGAYAILGGVTAICAYGFYRLGKG NLERRPKLEAEPSDDRELEREKMWSRIHLVPLLTAEGDRDQYRREQAALAREREIMKD VAGWEVSARGKGKWVMLTNPAGGEKRIPQPEIRDTGDSSPLSRLVYNEPVCFFL JR316_0004633 MSPVPSPAPQPPPSISLPVRHKQKSAPVGIAAGAEDAKYHVKYK ELKRKVKDIEADNDKLHFKVLQAKLSIRRMKMERAVLYERLSVIPPSPTIQDRHPLPP AHPVAGGPAHQLSSRPPPGRDHHREIRDHLSSMDPDQSHLPQEHIRSQPALRLPPDAR SAPPMDNSSVALSPHMSVRSPRRMSSAHDSTRHHLPPMPQIPAGHLHQYETARGHSQS NSHASPSLHHTHPSSSHSRNRSHSSSHSRSQHGPPQTYRDVPGQYQHHPESLPSVHQP MHSPPPSERERPRRGDPHEFADESHLYDRHQPLLSSGRIHSHQRLGPGTYITRDEFQD KRGDLEREREWEREHEREQGRNREYTRSREISSYQMHSPPHSVQRSRSQIDRGDYDPH MPPRSREDPAYYHDSHLSSGYPRLARSDTPGSGSASGSGAGVADVPSRPDSRSQYYER DRARNYRLRPVSQPNEDVDFLHEDSRSQSGADRGAVGVGGGGGTFAISDQSRPSMDSR KRTRHDMEVESDNDVGDGPSGSGSLYPSGRPQEDRGSSKRYHREHPRRSVDTHEDSRM AAP JR316_0004634 MKSHWSSSHYGNGNGGNHSGILEAIILKDIRGISACQSQILKMP APRFVKDRNIHCLAGVKTNYDRGGNRIPRALSE JR316_0004635 MFSRTRLLVGLQPRLVLNARPNAASAALVARRLISNTSCSHAEH DKHRHQHELSSGIDARTSHSSHILPAERDAYGNDVNPYRDGPSALDKAVHLFFFTEII RGMWIVLENFFRPPYTIMYPFEKGPLSPRFRGEHALRRYPSGEERCIACKLCEAICPA QAITIESEARMDGSRKTTKYDIDMTKCIYCGFCQEACPVDAIVETQNQEFSVETREEL LYNKEKLLANGDRAEAEIAANLHSDHVYR JR316_0004636 MSASSAKSKAKAPALSDQEIQQTYVRLQNELQALARKIGELESE ADEHSLVLTTLDEALAEDPDRKCFRLIGGVLVERTVKDVSPALKTNRDGIHKVMANLA EQYKSKEKDLEEFKREYNIRPRGHHLPPTHSIASRNTLRQIDAFLDAHNIVRAEHNAT ALKWSLDLAQKAESWVDQCRFEHTNGVLSEQPYGENIAAGTGVFPISAAVASFVADKD QYDPANPTYLHFTQVVWKSTTEVGCAVNQCRGIFDPSLGSASLYVCLYNPVGNVIGSA S JR316_0004637 MGNINELQEREDKSIEDPNTSNSDQDSSISSDSSNQSLTLVCDR QTMLPYGDAAEITAPPLLQQTNNATIEIASTIIEAVEDTEISIDNTADDSFPLSESAI PASFEILEDLSPVPSDISDTFLTPDISNSDFGDGGRTSQLNLSSLDPVDPQVGKTNGL QIRAQSNAQTSKTELTSPNSTKIAHHDSVSEEIDSIASLLRNLDLDEESSENILMENH QTSPPFDDATASELKEYAESYELKNNYTQDLATPEKFLFAMLTGDSGQAESSAKYDSS GLPAESSTELSSALVRPLCQSEATPNPAICSKLDSPEERDAIPFTVPSEPFEDDSTST SKKSPLDMNSESEVQQFQIITANYESTKDTISETINTSDDVFKDPANSLPDKISSNTP GDSCTTFESAATQSESIDAQENRSGLSVSSENFFLATGTESIRTIPLDKSHRTLVPES NITSAPVGLELFTASTNSINTCAMADDILEARVEHTSASDITSSARTTHMATSIPIEV PSPPRHTAEKPNWALAPETSPKHEAESNKKRRKRAPRRGRNIKPESGSQKPMPQSSKK NLLGAQKMPPKTEREHLKDSELPGSFVSTNGGTSRKKKSPSRAPDSAVVPYDNTPKGD EAAQHPLSCLDTHVESISGQSFSLMNSRPTVGPDNSLRTDTFTSSGPKHKPNVVSLSQ VPSKNITGRLKEPSANQSMIKDETRRYIPSRSFKRELVGGKKECNPHEPVKKPGPKST NNQRPSISRSSEEESNRIGLFQSSINGLTRQSTHPLDKHLPAGIKEGLPVPTGNSQSE AEPSKTTLRPVQTNANSITETTIKTHDEWRFGEFPNSSQFSTVLPAEPFSQITAKEVY TSVGQPLLLGGTEAGRSKHSIARLTSESSKGEEKMAVRFLPVGSNNAFRAATKSQHGP SNEPLAGNDGAPTVRVNLPQRRSGSLPESGRIPVEGQHGYRRSFQSNIPVATKRNASK DHAATPAPGPLLLQQALYGSDLGQGDRSVCPDIMTAPSPSQNTFHTSGMTHTSLPTAQ LYSRRATIDTIGYASNADTLFQNRLTNSEQRINRTGPIPRSHAYGTNVGRQGMMYVFP TGPSENQGSMHRHQHNAAYRTQHRQHQFGNPQPPDNATALGQPQTARFPTDFIYRTPQ GVPFHRELSGSYLQLPDYANHSNQGNLR JR316_0004638 MESNHRGGDDGTPIFDNLDLDLIIQVLANTAFSPFFVALMPVFY VFQGAKVSDGIVVGGVVYYLAISLFWFIKWYSRLYRNQGSFILRPKRLEWSDQIVVVT GGASGIGELLANTLAVRNVTVVVLDINPIVTENYNIAYYKCDVSRWEEVEAVSKKIIE EIGEPTILVNNAGVVQGKSIVDLSPEDIQQTFGTNTLSHFWILKAFLPGLLKRKSGHI ASITMSSAMGLVGAAQMSDYCASKAAVKSLHESLRYELDNRYKCPEIRTTLVCPGHVL TPMFKTVSLPTYLGFSFLAPSIHPVTLVKKVIAALDDQHSQIIFLPFYVNFVPYVSHL PSFLRDAFQWISGADYAMENFVKISGRREDEGPIPAEIKTKA JR316_0004639 MSPSALIAHRYRLSTQKESLRMQISTTAYQISSERPVADTWSRS VTFEDEVEDKDRKLKQRLEESSIVRHILCNIPPTIIDHTEQSVDILEDNARHASNYPA LSLVHLIILGFAGIFNAPWPKIVLQGLAQHLWRLIGFYVVMLTYICEYIDNIRKSRVD PKTMVPQKVFSPSAFQEYVNHTNDERNHPMTKAAMDVTHRLLLRLEAIKASSIATEWR SRYDDPQFLASVDRRFSWQWNDPADPIFHHLGPRPVNVTVIHSTFPCSAPHIVIHGTE PNDPWTLWGNSTGVQDCAYGNALVVISTKTKGVPLAYINTPGDETDSYSSILASPDHD EFGVSEDGSSFCSNTSGPGTPTELQRVGSGFFSEAIDEDLVKCRYESYSAYISGLHND IAINTAEDDPNSLYTPSHTSSGKFYIADDDDEDEDEGPPEYDEWYISIANRTGLTEQT GTDLST JR316_0004640 MQVTISLLPVSLSLVHIPRSRLDSLSHPVLRQLLHPNPAFLNIT CNEIELSVFAEHHMLHDFDPIARKDRQRQRSRSGSNSSRKGAPQTTPAYEPVEISYEK WSVLQIDSHSDRMDNSGTRVYDLSAPLAAAGISILYQSSYMSDFIFVKESRLQEAMEL FASAGFHLYASDCNPSLSPSVSPSSDEYSIAASLPPASRSAGTVLTRNISDVDVSRPL ASQEKSSADTGPQSSRNKSHSPSSGEVKILRPNLACVGLSEEFGVDHWGLKLIKLVAF PDLIPPSPRKSLSSRSESATAFSISSPPLDLNIASLFLSGLQSDSSSSSSSDDDGYFS HSPQNISATTLTVNASRSHSDLRSSTYSPSVYHSPSKHHMSHISSLSQSTPKAHLPPL HTQSTLHAPAKRSEHVVPFFSFTRTPEGSSLTADVNVLAKLFPPHERHMVICSGELDA ADLRMEEGADSSDEDDEVDDASPRSSNSLKCLQIDLRRFGLDKHGLVNRFSRVLEESG INHMYSSTFKTANLLVDKRDALCAQSLLRSC JR316_0004641 MNLERTSDNSSAHSSGQPSNASEQPSIAHLQSLGISVKDFAFDS TLPAVRPYRRQPTQIQPSIRRPLVRQSTEPDEGLGSQPLSQATQHLERRITEPAPLPA PPARMPAYEDLNVQTTALEGGRVVQSDNGNVLNTPNNHSFSLNVSQARAIFSSSPLTP VPSSPFPPILQANTSCSSVVFDSRSPAIPRVLQPRYSFRKRPTPTNIATSKPSKRARI NTSSESSNNSRTQVGLATNNKKSLTRHDSSPRKRVTSKRSSGNSEKGKRKRA JR316_0004642 MLVSTLPPPIFSTQHGQDDYRPSKDMDAFNNLLPPPIEFVEGSS SGTLAVAEGKYEPINASPKPAKAEPADISKKIPSTPAKATPAPPRSTGVKTTSMYPAA IDTSWPMSCSLGSGLYNSGNTCFLNSALQCLLHTPPLLRLLILHKKEDCRLSSSFCMA CSLRLVATKAHVGNRSAFSPFPITNNLQHIAKHLRKGRQEDSHEFLRYAIDALQRSCL AGLPPKIDPKLAETTWVHKIFGGRLRSRVTCRSCGYNSDTFDRILDLSLDIFRCDSLK DALRKFIAIDYLKGSDKYKCEKCQKHVNAEKNFTIHEAPLVLTVHLKRFSPLGKKISH PLSYDQELSLQPYMSDGQFGPTYSLYGVICHAGGGPNSGHYYAFVKSREGRWAEMNDE SVSSTSLPTDKRNAYMLFYIQNRGQGLEAAVKAPLANKMLSSSASMKNGLTNGVKKVE RTSSSDEDQGVKISAPFIGPLLPSAEISVNVANLTPNPPTDPQAANLKSRIQAAAKAK ASSALESLGNYDSEESDNDKESSASWKTQDKMDVDVKGKENDSGQGSTNPDLSAMPPT VESAKAIPASKFYSNTPSNAKKRKMSDSTSENFRVPSSPLQARTKGYHSKSVNPFSHA YNAKRRRRSGI JR316_0004643 MPKVEKKTDNRQWICSECPKSFGRKGDLTRHELLHLGVKPHVCT TPGCGKAFSQYSGLKTHQNVHTKAKPYVCQLDSCNASFGDPSSCARHRKETHRRVSGY FCPVPRCNSRIKRRSAFTSHIKRHGIDPATLDIDSYAPPLLPVAVPVPRSGRSSRDQT IVPHVDTKANVKVPERPKFNEAERDLYHSLRTNTTTNLDDVGLYGSPYSRQFEAYHGP YPSSLHPSVTSTYSHLTSPNSAFEYNVDTGRRLEDLYIVDSTMRRSASNVNIHRTPPS SPSRHIFTSALTPETSSGRDLQTVNITHGSLTFDHFSFPASSSVFEPAHKPYGNVTHD GKLTDMSPYYNIPSSETSWTFV JR316_0004644 MFFERLPVDLIAEILGELDLESLIQMAYVSKRFYSVASDPSLNP WRRPIMRILNMHMYEPALKHLSVRLIVPRRNWIEIITLARPSFVLYDATLPNLKSEEW EECFKRRFLPSWQKWKKESSWKEAFLKCLGLAVLMEILGYCTEFGIAVSVLVQATKHG PKYYRQQNNLSHLETRIRASYRVSSINHKC JR316_0004645 MGNFGLIDLETPKEALIKKSMKDGSDMILVFSDEFNEEGRTFYP GDDPYWEAEDLHYWATNNLEWYDPEAITTSGGALVITFSEKETHDLGYQGGKLSIWNK FCFTGGYIETAVQLPGRNNVVGMWPAVWTMGNLGRAGYGASLEGMWPYTYDSCDVGTA PNQTFNGGPLPALVDGDHAYGGALSYLPGQRLSRCTCDGESHPGPKHEDGTYVGRSAP EIDVLEAQVGGTPPTGEVSQSAQWAYISWVSNGTMSWTLNAPGLGPDPSVEISARPVP QEPMYIIVNLGMSKNFGSIDFEHLTFPTSMRIDYIRVYQDMHRVNIGCDPTDFPTADY INTYIEAYTNPNLTTWRGDYGKPFPKNSFIESC JR316_0004646 MLSSRTKEINAYGKRARRVVDATAAPTLTTPESHIISIFDDLPP APEQTSLKNKMKKRENSVPSKAKPISVKVVGLQRKKRLSPVISPHKKKSIMREAQIII PAGRNKNAVETNGLKGKHTEDAGNTDVTLTGTSGSPPRAPLSAVPLNIPGSPAISQGR FSNLAKKHLKPKSFSPFVDVDIIVLDDDGRTVRSEKRVNKDRANIKAFKEPRTSKPYS KSGLSATTLQDNDDSDYEPSGFVKPPKRRTTRKPIVISSDESDSEEHITSRVLKVGFN KETISSKTSIASSSKLSRASSMSIVEVLIPPAPYKLSRPPSSSDSYTYNEKIAAGIPQ IVEQAEPQRYPAVASPNMKPRQLTPIRGSRKRLFEPPSPPSPTTTTDIDLSIDLSELN LNLGSIPQIQNYVEIPEYLLPLLEECGQEAYGPHNFSSFIESFPCDPILQCARESRGF DMKFKKIGEASYSEVFGIGDVVLKVIPLRDESKPEVTHSEEDGPAPSDAKDVRKEIIV TRAMGEVHGGFVKLLKSYVVRGRYPEILLQLWDEYNERKGSESVRPDSFKLSQVYAII VLPNGGSDLEAYTFHCSSRNGWRQACSLFWQVAKSLAHAEHLVSFEHRDLHWGQILVK NVHTQNNALKSLNINQKAKPKAKADRLWMDDIAHGVQATVIDLGLSRMDAGDGDGGDH VHWTPFDDEVFLGEGDYQFDVYRMMKELTGGSWNKYHPVTNVLWLHYLLNKLIHGKGL KPPTTPRKQKASDPYIPTSTEGFFSEKDCYECLVDLENWLGKSFATLAEATRVHPVSA PKGKTKRKNCDLVKPLAYSGPGCAGEIVVYGVKREWIRSTRLY JR316_0004647 MSLPQSLRASVTPPELELVASQQLIEIVPLISMEKTAFISGAYG PLRPPNKAKIPLWMAVNLKMKKKCHIVAPSWLNVDYLQEHLTHELSQQTFSSLPFRYT EIAKVILDVASDDLDNPDKIRSLLKDLREARQAKSREGLRTLDHSELSLPNLCAMEIN EIRPYFVQSMSILTQLVRDPLRNA JR316_0004648 MNGTPSDAEDVRSLLSVTSVNPTPDTLTKLVKRLRALTLTLLPV EADIESISEPTSRIITPQVISAYKAAAGDFTEALPYCLLRARAEFIWDANHNPADYGE NRGRAIACEVLARRIVHLAEPSRVKDIMAKRYQHRQIDGDESEMSSALEMAIDQHCTI FLSSSEAQEVVNALWTGDLIQKNNENHDIDYVVYADTREHSFWGHFDPSRLSVPRYQN IFRITVWLFFLVVYSRAVREPLERLNDAHRVLDGWELVLYVMALSFTVEDLHKLYILL RFVTWRAFSFWNAVAFVTDTLLISAFILRVISLIAEGEKESVMRLRSFQSNLFNRMKL VTIFDGYKYIGTMQICVARMLKESGIFFALLSVLAVGFAQGLYALDAADGSTEPPSTH YFSAPNPRFLKTKRLTLISRAPDYGKFAASPTGLMLYYLWNAVTAIILLNVLISLFSS AYSDVIEDAEAQYLAFFASKTVGMIRAPDSYVYPAPFNLIEAFLVAPLEFLPFIRLKE KTYAKLNRYVMGFIFILPLTLIAFVESTFDRRKHTWMENWFRGNDEGSEDLPENRDPV VDDPNCQGLEISKIPFEELIKVFPNTVQSTEATILKEIGTVKQQLQELSQKIDRLQQS JR316_0004649 MRKQRLRITMPAYHSSLNEEPDVRQVGNMSILPIRTRIRGPAPI ADPSQPDIIDETLDLFRANSLFRNFEIKGPADRTLIVLILFISDCLAKLGTARTVPTQ IEANKLLNTLSVDTFPIPGDANFPLNAHYAQPSSRAEADYLRQYLTQVRQELAARLVE KLYADGTGKPSKWWMSFQKRRFMNRSLGS JR316_0004650 MSPKSVACADNAAGPEVITEAVKVLETVSELSPDFDLRLESHHF GGIAIDSVGEPLPASTLKACQEADAILMGSIGGPKWGVNAKVRPEQGLLALRKTLGLY ANIRPANLASDSLLDYSPLRPEVARGVDMIVVRELIGGAYFGPRKEQNVEPDFDVAWD TMIYSVAEVQRITRVAAQIALAANPPLEIHSIDKANVLASSRLWRKVVTETLAAEYPQ LKLDHHLVDSAAMVIVSNPRKLNGVILTENLFGDILSDQSSVIPGSLGLLPSASLAGA PSAPSPDFKPTPGLYEPIHGSAPDIAGQGIANPIGTILSAAMLLRYSLGLEKPAHAIE VAVRKVLDTTESGGHGLRTADLGGKVSTKEIGERIVHVLKEIL JR316_0004651 MSTKPLSPKTITDIDEYLKPDVPAIIQRHNSFRKWKDVFERHEG GYDKFTKGYLKFGLNVGSKNEVTYREWAPNAKEAYLIGDFNDWDRTSHPMERDEFGVW KIVVPPLPSGACSIPHDSKLKISMVLPNGSRIERLPAWIKRVTQDLSVSPVYDARFWN PPTSQRYTFQHASPPRPADVRIYEAHVGISSSEPRVGTYKEFTTNILPRIRDLGYNVI QLMAIMEHAYYASFGYQVTSFFAASSRYGNPEDLKELIDTAHGMGITVLLDIVHSHAC KNVLDGINEFDGTDHLYFHEGGKGRHELWDSRLFNYGHHEVLRFLLSNLRFWVEEYRF DGFRFDGVTSMMYKHHGIGTGFSGGYHEYFGDGADEEGIVYLMLANDCMHELYPHLIT IAEDVSGMPLLGKPVDVGGVGFDYRLSMAIPDMWIKLLKHKHDDEWDMGNIVFTLTNR RHGEKSIAYCESHDQALVGDKTIAFWLMDKEMYTNMSDLTPMTEIIARGLALHKMIRL LTHSLGGEGYLNFEGNEFGHPEWLDFPREGNGNSFHYARRQWNVVDDHLLRYKYLNNF DRAMNHAAARYGWLGAPQAYVSLKNELDKVIVFERAGLLFIFNFHPTQSFTDYRVGVE EAGEYKIVLSSDEKRFGGFDNIMLDSKFFTTPLEWNGRKNFLQVYIPTRTCIVLSK JR316_0004652 MRVTRSATNKTTPRSEEENSINEDPWSEHDSDSLLTVIEDHLKN AQSELSKFKLQVEILRNEKRDLETELANVNALISRENMRKKSSRLSKTTKDADSEHEL RSRIEELETENQKQKKYIAKLKKKALQDEVDDLLPKNSGKLKGKDVEVDSEHRMRKLL RCFSDLMMLTTLQDDLTQECPICFEKLQLKQCSAMQCQHLVCDECLPKISKEADDTVK CPECRDPTDRDDVEKVYMTEQQRWDELLRVARDWVKIDHRGEEETSEEEAEEEFIDDK TSSEVSSEGVNEFKSPQHTAFDVHSPCGDGDDHPPTSSPTNSRLFSESPTKEKRRRLE QLAEERANKRKR JR316_0004653 MALSSSPRKLVVPLASGDEPRSRTSTSTPLVTRRAFYCGVVVEG SENGRRLPEEIQDLVLSLGNPLPSESSEEILATQSNQSLVDIDRAAQRKRALLDPSAL SSVINELLTSERTYVKRLQSLKVDYADPLRNFARNKDTAIIPAYEAKTLFGNIDVLLP VNEAFLTDLELMLAPNGHLSVGGVGDVCLRHFKELRGFEQYKQYYVKREDAQAIFEKE ISKRSSRFASYIDHIKYQSIDSRNRVGLRELLMEPVQRIPRYTLMFRTMLKHMSPDDP QRAKIIEADEIASKIAQAEADEQTKRAAIFYCLIATIEGFPPDLFSNSRKFIDCIDVE DIITDTQLSSSTTGGGISITSLHCTLFLFDDKLVIVKRPGNGEKGGRTLSGLDAVEKV TKAGGIPTGKKKSGMTCKGVFDIMDIAATDIGGSEIHLFLENPPQDQTERWTGRPFRS LSVVIPPAPINLDPTQTENEKQRFLENLWRTQAIYRARAGQSVVLCSDEQEVESRSGR TTFARTYFNVYQRTAFLQETKKCKVVVHIDRDRHASADPIPFGQGGPPFVVIRVQLMD GGLCRYSVTSSEPNDDGEEDIVQTSRVPARVVHTIHQFGLFEFKTGKNSLPSTPTAKS KVAIFGLDAISRNLFNGRPPSVGDFFAGSISGHRRHRSRSTTSRSSAYTHTTTTMDSM KSSHRSTTTAATTISSMEDDYYASRSSKSTKLSRQISAGDSDSETPMYRRSGSMSRPH SRSSTRGTEIEYSDVEDDDATLLAQSKEIGTSDHQLALQLELARQNSLAQYGNHPVPL QMDGSVESIIYEEEPPYPLRKMREGSTLPLPESPPKRRESPPRTSRPLSIHTSERRPT GPRSPSPLPPRSPQPTQEDLPTMEDDIYTDSNIRHENVSEQTATPGIKRSQRQSFFPA DNTESTPKAASTSTITAATPIEPLSIKKKTSVRSDMSNSPTPVRKAHTRSMQRVVSPR KVSPQIRKPKAGPSNLYKSEDLDKMLQRSVTSKEDIEASRRSLKRLKTQIEVIKSTLK TPGEDASSRPSSPDKGLRLPQLQTNVPMTKAAQERLEEMRNLIGRRQGEGVGTPRSRP RSGTLDTPSRMSAASDTGNLVKAIELLAMEMEKDLTRAYSNQEALQADLSRLTAEYKE RIIELERSRLELQHSRRQCELVKSLLADATAEKEIMYEAFNEELDGMYNDANLPENEA LHALARDLQQTKEVKNNLSRENSALKRKLAETELEKEEWGELLRAHGLIS JR316_0004654 MPFVCSFRVDPPHSTPEHSGPTNYSNNSSTSLLLLQQSSEPLEG ASTAMSRARNDLAGAKPESMLLKSTTEPRSPRRLNICGFSPLPPIIASPICTPTPSQS SQSRSGSFFTTTDAKDNTSADTSASSCSSLRVLLSPSTPTWIATPPTPPSKSVRRSNT SSRRRSVFKALSPSASFPASMHPHGNQNVKTLKRSSSLPTFAYHLGPLSFSPTNFMCE DIVECSDALSTWPGETPLDATFSVIDGFLGPDNTLRSKDHSYSNIFHLSNATSQGEEE STVCEKSGACTPDEPSPDGSWSDHSVEDIWEIERHKDALRKYHALKELLATEVGYLVD LKALVTVYLRNLPTLAVRPLSTSSTFSRASSSFATGPWIHSYAQLQATALSSSATLPD TQNIPSSASASIQTPAKVNLRYLFSDSELESLTRNAEEILSLHEHFVRELRAILDPLG IAVDHVDEDHGHHHLARLDDAIRAVSTKFATEASRFNAYQIFCAGHPEAMDIVRKGFQ QFPLELEAFEHRCATMVSDMLEAGTKPPASEPKHTSSVGSDSPTNQSQSLNVDDRKRA MSSSSLDGGVRSSRPRSGLLMTKDSVVFPSESKREKTTPRIAFMDYMIKPIQRICKYP LLLDQLLPSKALRTLGRNTDTRSDVDVVVESAAQAMRHVATSVDEARHRQEIAVQSAL ILSRICIGSASASPSPSAQPLTLEFFASLGNCLLSGSLDLMYHDPSRPLDQSSSIKAK YFGAFLYTGGYLILVKVSKGKKYEPKHWFSLVDFEVSDVEDDGSLLPCSFRLSFGDRH FELAAACQREKDAWLSSIHESLTHVPTWINEPTPSFKFDDKGELLPGSDDGNIEVPQT GLATIRSIPELGGHASDAEFSEPFFASLRGHGKSRKRRPGYETPPPSKQDIPPPPSRR SSSTSVKAIFSPMGSDNETVLIRRSSPAARLQVDQELQDVISQSILTARSHAFSHEVE LFQAPKTTRSGFSRSNSAIGMAGMGRLSKHESVRVPRRRTTESLDGLLNRGSSPLNKS ASSSSARRNLKKLSLTSIDYELPSLPVESPCPSSPSSQASSRVTSLLVADNNPPVLDV PTTFISSEKLPAKSRSFVRNVRGIFHLRPNSPMSVIVSHPSQSSVPLSGPMPGQPMTH YSMLHRWTVRRRARSVHDEPRPSLTMFDDNKKSYTVTPSRTSTPLST JR316_0004655 MLLRKDPESAKSFHEDMMKITNRLPSEEEYRRVRHLILEQAQED VSDEQLAQVLALCPHLETVVLTGVAETTDRTIVVLAEKAINLLGLNLSGCTQITDVGV LEITNKSLPLQWLQLNGVVGLTDPSISAIAKTCSRLIELEVSDLPLLTPSAVRDIWSF SRKLRTFRLANNPLLTDKAFPSPINSVAYTELGQNDEKPLPPRPITWLEQLPPLILRH TAENLRLLDVSFCKITDEAVEGIVSHAPRIQTFILSGCSLLTDKALESISKLHDHLDV LMLAHVSNVTDRAVVRLARSCTNLRCVDVAYLSVFELAALPSLRRLSLVRVHKLTDIG IFALAEQATGLERLYLSYCDHLSLDAIHLLLRKLERLQHLTATGIPSLSRRGVRRFSE PTPANCDPDQQVAFCVFNGENVVRLRRFLDKEDKRRREAEARNVPFITRSDDKLDLY JR316_0004656 MKIKLAQDEYCSKAEQGLWHTLGGPFPEDLRWEMLVEVLRGRVK ISTHCYEVVDLDAMVRLSNEFQFSIATLHHASEAWLIPKVLKRMWGGIPSIALFATNY RYKREAYRGSEFAARVLADEGIPVIMKSDHPVINSRYLMYEAQQAHYFGLPPHLALAS VTSTPAIAAGLSHRIGILMEGSDADVVLWDSHPLRLGATPVNVWIDGILQIPVPPKTG EENHIEVGKGKEGDEWRQVPETPNWDKERDNAIKWDGLPPLEGRKIEGKVAFINVKEI LRKSENGLIAHSFNSISEDMAMGSVVVMDGVITCAGVCNNTDLRESSRIVDVQGGSIA PGLMTYGSPLGLGEIAGEASTTDGETYDAFKRDVPKILDDTGAIVRAVDALMFGTRDS LTAYRAGVTLATSSLAKPIYMAGPDAHIIAGLSTSFRTGSLHAMQRGAIVQDIAALHV VIGKSHPEKRRVSVSTQIAGLRRLLYGWESQDKETGFWFRKAAEGVVPLVIEVDSADI MASLLILKTDVEDNIGSRMRMVFSGAAESHLLAKEIGKLPGPPLSNDTALVKLVEQGV VVGLGIRGAWEARNTRFDAEWAALESNGRISKNQAYALVSNNLEKLLGIRGIDDETTD LVVYEGGSMFDLSSKVIGVISPGRKLVDLL JR316_0004657 MDSKRHRLESSSAGVRPIAHAPFSVISSTIILAAFATILGTFFW SLKSDTGHDRLTLLTDPLEPDAISKKDILSRCASLQVIPSPPAGFRSREKSDRFEDGT NSTWIRNAVIFTGKDNGTEIIHGDLLLHKGIIKGIGKISGRVLDDIPNLTVVNASGAW ITPGIGTVTTNFKKCVLLIYLYMAVDLHSHLGVLSLPILGGKFIFVALVICPDVFFTV LGAVDLNTQKGPVAPWLRSADGLNTHDEAYQLAIAGGVTSAQILPGSSNAIGTLCPIC SLKRQFTFESRWSSLFYKA JR316_0004658 MDAFGIDPSAINAESSLHREKKKKKKNKRPHEDDSHIEDQEFLP HPQEKQKKRKHAHAEIDAALTPEQSSAVASPDNLSAEPEASAKPKKKKKDKGKSKATS LSEQTDAEIEANSQASAAALLSAIVAASVTNPEPMQPPVPPPYHPQMVPSPGQQFMPY PPMQPPFGYPQQPPPPGFDPNGQLSSLFPVPVGTGGGAAFSELTFGSNEDLLRALQDL DMSKIASVLKNLGETSASNNNQNPTPLSYVPNHLMQLPPAASGQYAPPSDPMLGQGPP PLSHLAHKRTINMSLPGNEQHTNPEHAFILANKWLNPNKLAELVRDEGLVYKKGKFSA IEEQQLKIAIHKYAHEKQLTLQELNDKIFLKHGNSKDDAFWSEITAAVPLRPIIAVYH HVRRAYHPLKQQGTWSTVEDEALKQAVADLGQQWEKISDRVGRMASDCRDRYRNHIHG REIRITGHWSKEEEDKLIQIVTDMTIKQGRDLDNDVFWGRVSELMGGTRGRQQCRIKW TDALSKRVKNEGQKPRWGQQDAFILVHKIDSLNVRDDTEIDWKVIPDPDWNLWSAHTL QRRWLTMKKEIMDILRVKKAHLPAPPPPSTRKRKERKVTSAPAVNEPDTNLPDAGSST GPGTVEGSKDSSSKDANAHESVSSSSSSGDSD JR316_0004659 MPAPVFDKNPSLKYLGLAESFEPSPERDPIAFLSQYLTHLPPHL LLHYSYITTPKQRSVITSIRNRRLQYVNKNPPELSFDAGRSTWPNLWQGRERRGVEEG NEERSWAQKDFLHGSKQHVGKLGNLLAGYEEEREAERVREIRRNNRDAVEDDFVPEED SDEDVPPEVETAEQARASFERLLRESFIHGLLDNIDYDGVDWDETLDVEDERDAEERW FEED JR316_0004660 MKLINKFIDKHGAGHVTLRPEDDEDMWHLYNLIQNDDFVRSSAI RGVKTVSSTGSTESHRVRLNLTIQVKRVDFAAGGAPSNQESGAKDEDVSTASLHVSGP VTSENPHVKLGAHHTLDLEANRDVRIEKYGGWDSVAIARVEESIIPGKGAEVAAIVCG EGVAAFCLLSQHMTLVTHRLNVQIPRKSSSGASQHDKGLGKFYSALYESFLRHIPYAN VALRAIVIASPGWVRDAVYDFMISEATKRGDKVLLKALKEKCLKVHISSPHVHSLVEV LKSPEVTSQLKETKFAREGVALDRFFKMLGNDELRAWYGPDHVCLAADRGAIGTLLIS DDLFRSSDPATRKKYVDVVEAVQQKGGEVVIFSSMHESGQQLNQLTGIAAILTFPLDV EVVEAEEREAAEEEVRRQQETESTDP JR316_0004661 MAKRPLSPAASDSYARVAKRPNSSKSFNPIKIATAEAAAAVDAN TPFSQLTKLLKDSVRHSLDGKSVVYWMRMNDLRLLDNKALSRASVQARKVGVPLIVLF VISPQDYIAHDRSARRVDFLLRNLAILKESFSELHIPFHVVVHTPRKTIPQFIVSFCE EHGSKALYANMEYEVDELRRDIQTSQLAFPKDIRVEFLHDKCIIEPGVIITKQEKAYT VYSPYQKNWIATVNANLPFYLEDCSSPVANEPSVRALKSLGPLFESQIPKFIVGFELT EQDRDKMKEVWPAGEISAANVLERFLTTKKRSSQLGGVDPLASGPVACPKHNRIAKYG NERDRIDSDTTSRLSAYLTSGIISARQCARAIMLLTNAKKVESDKTSGIGRWLQEIAW RDFYVNILVFFPRVSMGRPFIEKFSKIVWEDHQVPSDFAIGQGGNISGDSENLQRWKT GMTGVPIVDASMRCLNEMGWMHNRARMITAMYLTKDLMIDWRVGERYFMEMLIDGDLS SNNGGWQWSASTGVDPCPYFRIFNPYTQSAKVDQTGDFIRHWIPELRKVRGPELHNPS ASLASKLGYPLPIIAHNEARQRALRRYKNPGEE JR316_0004662 MSYSSSAMSYSFIHTASSTPSFNLFIPSSASASREMHETYTEFG LVLRPSNKQRQTTSSNGSIKSSKSSVSSPSTRSKPSSLKKWFGDHISSALLAVKTPKI SSTSCDAKLGNSLQLRMPTKGEQKKRHHSL JR316_0004663 MVSSSVLGFPRIGANREIKKAVEAYWAGKISADDLTKVAADVKK ASWTSVKAQGVDFVPSGEFSLYDHVLDHSAAFNVIPKRYVGLGLSPLDVYFAMGRGRQ ADGVDVPASEMKKWFDSNYHFVVPEFSEETNFKLNFNKAVEEFKEAQAAGVTTRPVVL GPISFLVLGKASKDAKPGFQPISLLPKLIPIYETLLADLKAAGAEWVQVDEPVLVLDA AATLESPFIATYAALAKVAPKILLTTYFSRLDSNVNIVAKLPVAGLHIDLDRAPGQLE SVATTIKGTNIVLSLGLVSGRNIWKTDFAAAVKLGQKAIEILGQDRIIVATSSSLLHT PVTLANENKLTAEQKDWFSFALEKAGEVATIAAVLSGSQDSAIAAALEANKVSISKRR EFESSSDDGVRKRVAAITPDQLERKSPFTERKKVQAKHLNLPKFPTTTIGSFPQTKEI RQARAKLGKGEITEAQYEEFIKKEIETVVRFQEKIGIDLLVHGEPERNDMVQYFGEQL QGFVFTQNAWVQSYGSRYVRPPIIVSDVSRPHPMTVKWSSYAQSLTNKPMKGMLTGPV TILNWSFPRADVSRELQSKQLALALRDEVVDLEKAGISAIQVDEPAIREGLPLRRSDW DEYLKWAVDSFKLATAGVTDQLQTHSHFCYSDFDDIFPSIQRLDADVISIEASKSDMK LLNTFKHYGYSNQIGPGVYDIHSPRVPGSQEIKDRLKSMLDILPDSLLFVNPDCGLKT RGWKETEASLVNLVEAAKWARENYA JR316_0004664 MARVAQSELMPDFTGRVLNGYHLLESLGSGAYGKVYRASDTTAK ARFYAIKCLNKPAPGSHTELLQQREFANHKLVSGHPNVVAFHHHFNDDRFVYVVLDLC CGGDLFAAITERQIFQDNTELIRSAFLQLIDGVQYCHDLGIFHRDIKPENVLCSRDGT DVRLADFGLSIQSPVCSDFGCGSSYYMSPECIGKGITSGIYSTRHNDVWALGVILTNM ITGRNPWRYATSDDECFSAFIHDNNFLRRVLPISEEINTLLKKIFTMNPLSRISLPAL RAEILQIKEFFDYEHQETSRAQEDIKKTPSAEAGRPVVSKPAAERSIFSQHSRGSDEC YIFPSPVVDHPYIAHARKVPSSFRDIDPVNNALGIFTIGDSVESRSEPSSGSSSGPES RGPITPAANAVEPSVAVPDIPEEEGLGEPVRLPSTTFLSKFRPISVKAKRSRGGDFFR SAIQRLRGLSTSKSA JR316_0004665 MATDNPSFVLRAVEDVVYEQRPVPEIRENEVLVAVKKTGTYLIS SSLIFLVHYLVHGRIGDFVVKEPMVLGHESAGVVSKVGSNVKTLKVGDRVAMEPGATC RNCDACKAGRYNLCTDIVFAATPPYDGTLGRFYRLPADLAYPLPDNVTLEDGAMMEPL AVGVHSVSNLGGFRASQSIAVFGCGPVGLLCMAVAKAIGASRIIAVDIVPARLEFAKA YAATDVYLPPKFEEGEARIDYSRRNANLMKETLGIEERGSKAIDLVIDASGAEVSIQT GFYIVKSGGTFVQVGMGNPHVTLDLGQLMTKELNYKGSFRYGPGDYPLAISFVAHGKI DLKPLVTHRYKFEDAVAAFKATRTGKSEDGKGVIKAIISGPDAED JR316_0004666 MSYSTRNSFYLRISKNIVLPIYVYLDERHVNWMSDTVLQHVLSD LRPHILPKLRTEADGLKSGSSIHTNATVDTHRGDSYQFCYFIRKTEPHSVVIKTRNFR TAPRRTPSTISSNVAPSSSKRQAKRRDISTSNQAKNKRRRTESNIQEESDIAMIGEDD INADEASINADAEIPPIELEIEEEEAKPKPVLGLTYQGFSIYGQCLCVVVEPWPVVRS STVAPVFAKATQSSQPIVRAQTPLFLPEEQEDTENHQQPWRSNINQAYLHQVLKEVDP SDNEDDMGGMLEYSQVLKNISGDRAGAVNDDEDIDGSILFGDADEFKEL JR316_0004667 MVLRCHLQGIRQAIIYCVHRQYATTSVQHPSKLRNMALVAHIDS GKTTLTESILLKSSYLAASGTVDTGSTTTDYLPVERERGITVQSASIPVKWKDWTFNL IDTPGHADFGMEVESASRVVDGAVVLIDSVEGVEAQTRGVWRQLDRYGVGTRMIFLNK LDRPGASFKSSLKSLLKHRLHQNPIALTLPVASFNPQHYAEGEPGIQGLVDLVRWNVW KWDEEGQVSKYPLPRNITDLEKDSILPPSHPLSSHLVSARTQLLENLSMISESFMEIL LNLPSDPSAYLQIDDDVVMKHLREASLGCHMLPVVCGAAAKHIGTELVMDYVGELLAS PTDVSPQVWKSNTPVQLLAWKVNWDDKRGWMTFVRVYAGKLTRQTLLLNTNRNQKEKV SKLLLLYASEAKEVDELPFGSVGVILGLKYTRTGDTLVASGASESFRSTLRDITPPPA VISASVIPRSHADLQPVQNALESLTRTDPSLRVDIQEGQILVHGLGALHLEIVEGRLH DEWKVNFEFGRRYVSYREALGPREPSPNWNVWETDIGGKPVTLTAPLKIRALEPDEKG DPVWDGNIVIDEKGRPVPAPESMPGLPLTYAADGVLSALSNSPHSSLPMTRLHIQIGS LKNIGSKVPSLITGATATALRKRIRDAGFGPLLEPSVLLKISVGESNLGKVVKDLTER GGQLLDLEGGNSSNDGSEVEGYSEDGVYIPPDWLSPSGAPTSRVHSRGSHIKRSIQAI APLSQFLDYSNRLRSLSEGHGTFEMSNAGFEEVNEERKLEILREIGRA JR316_0004668 MTTNPLETNALINRHRGRIPNTPLGSSTVAFLLGGVFASGLYTF VSDIYQPSHWLTYQLGFFIAAWAFFHWAEFAVTAGWNLEKCSVDSYLLENGPMYHIAN GAALLEYLISLYFFPSFKSWPYVSQIGILMVIVGQTLRSSAMIHASTSFSHTVAFHKR DSHKLVTDGIYSWFRHPSYAGFYYWALGTQLVLQNPVTFILFTMLLWHFFYHRTREEE RLLVKFFGDEYINYRRRVGTMIPFVP JR316_0004669 MRDVLKTEELEIPSGVEISVKSRIISVTGPRGTLTKNVRHVDMD IRVVKEKTTKVTLAVWQGGRKHVACLRTIRSMINNMVTGVTKGFRYKMRAVYAHFPIN CIIQEEGHAVEIRNFLGEKTVRHVKMLSGVEVSESKAQKDELILEGNDIDHVSQSAAS IQGVCRVRNKDIRKFLDGIYVSEKGTILTDDA JR316_0004670 MSLYSQNLYGQPSYGGPSYRASPPSSNGYYYAQPPSHPPAPPMY EVDAASFRRDFSTRLEQLTFNSRPIIQQLSMFAQDYARYADIVGQCVRAHIRRVPPWM KLPAFYLLDAISKNVYEPYARHFATFVTSLYLETYNQVDDNTRGKMEEMLLTWRTGSP SGKELFGAQEQLAIERGVWGSGSSSSSNVHSGPGSVMKSQVMSELLYALGQKERAVQS NPYDTMSQNHILVLQQLRMHVEAGVSQEELRQILNQLRNLVKSTAPPPPVPQPTVPSA PSWHSPPPPPAFLPQISQISQVTPPPVSYVQPVKIEEQPPASLNVVSPPTLPVPSTSA APPSGNIANILSSLLKSGVLSTTGTPTGAGATAKGEDVPVETQEQVEIDEAVREYRDK ILLEPINLHSLESTRPSLIVELLYEQQGLQCKQCGIRFPDTKLGKRRQDDHLDMHFRQ NRKASDDLGRGHSRSWFTSVEDWIQDVSGAKKGKGRIDGSGPLNAKATAAAETAKREE DLRSQFVIVPPGEEAHIMSCPICKETLKSEFLEDDEEWVWKNATKKDDKIYHATCYAE AFLSTNSLAARLRSEKGHGSRSATPEVHPMTNSVRAMTPPATVRVSSKSPTQSPLSES KVAAGTKPSNWRYSSEPAFLGTSKENDEYLHATLAQIDHLANEDVEMQAPLSEYATYV VVDTNILLHHFEVLAQFVDDVEKFTLPVIVIVPGAVVHELDGQKNRDGLAWFARRASA WLLEKIRNRKSVKGQAQEETCKSSGNWKIKERGEISSGEMFNDALILDCCMYFSRERP TLLCSADNNLCILSQTQGLATICPSRFWSSREIVHTLYGNKVDSSLFGGHKESYRNNR ATPPVPHEPDEDSMAVDDDESSNGGEWQSEHPINMLHAAVVDHFTRLLVELVGAVGGE EVRQRSTPEEEATRSRHAPRRRHYSEWSVAECVEYLTERRKVKLVNPRPEVFLLRAYR RGTPGSRTGREWSRQDWNMGLNNLKEISAAWGDVSIRESLVSLAPHVDNVFSMPLRAT JR316_0004671 MREVISVHVGQAGVQIGNACWELYTVEHGLSPDGRLMEGSPSAN DGGFSTFFSETSSGKHVPRSLYIDLEPNVIDEVRNGPYRSLFHPETLVTGKEDAASNY ARGHYTIGKEQIDVVMDKVRRLADNCSGLQGFFVFHSFGGGTGSGFGALILERLSTDY GKKSKLEFSVYPAPTLANSVVEPYNSVLTTHTTLEHSDCSFMVDNEAIYDICKKNLGI VSPSLTNLNRLIAQVVSSITASLRFDGSLNVDLNEFQTNLVPFPRIHFPLATFAPIIS AEKAHHEQNSVADMTFSCFETGNQMVKCDPREGKYMACALLYRGDVVPKDVNAAVAII KTKRTIQFVDWCPTGFKLGICNEPPAHVPGGDLAKVSRSMCMLSNTTAISSAWSRLDH KFDLLYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGIDSADVEEAEY JR316_0004672 MSKAPKADAQLDHLVPLFTSMGLTQAKALEATKAPKSAAVLQDI IDTNKDAVTGLDEKQATLISNLAVIVSKASAISTEQRDYVVEAVLKSKLKSVDQITAA VKYVESNKLPIDEVEFNNECGVGFSISPEDLYARVNEYVTMNNIAGWASLGTVVNALK ASPALRWANALDVKKTVDVVFLEKFGAKETGKPKAKEAKPAAGKPKKEEAPAPPPRKS VFEEGFLGQLHKPGGNPQIHPHLRDAHLAATGGQVWTRFPPEPNGYLHIGHSKAIFVN FGYAAHHGGKCYLRYDDTNPEKEEARYFESILEMVRWLGFEPWKITYSSDYFAELYDL AVELIKRDKAYVCHCTQEEIKIDRGEKRGQPRPCIHRNRPIEESLAEFEAMKNGKYKP KEANLRMKQDLEDGNPQMWDLTAYRVLETPHHRTHDKWKIYPTYDFTHCLVDSIENIS HSLCTTEFIASRQSYDWLCDALEVYKPRQSEYGRLNLEGSIMSKRKIAALVDEKFVNG WDDPRLYTLIALRRRGVPPGAIVSFVSTLGVSTSASNIEIARFDQTVRQYLEGTAPRL LMVMKPLKVTIENLPEDYCLMIEKPLHPKVPEVGTSTIPFTRTIYIEAEDFRTEDSPD YFRLAPGKTVGLFQAPHPITCLSYKTNPATGEVTELICKLENEGPAKKPKAFIQWVAE HSPSGSPVRVDETRIFHPLFKSDRVPSDFRSDIAPDSLEVVKTSFVEVGFWSLATKSL QEARQDAKARTEKATKDNFIAEPGSESDTPMATSEQLVGNECVRFQGLRVAYFALDKD SRIAALEEGSTVKGRQEGDFIVLNRIVSLKEDAGKA JR316_0004673 MGTKSIDKMLEHIYGPYTEAILRADFPRPGVKLVMNDLRLFFKG DPKPTGFDETEYITSPPVGSRISKNPDVIFMGVIEIDDLEWLSDEGLVYLTRDVQEVA GNEGIANLTLQRLYSARRADAARVKVRLYCRKSLLAHFLTSTTDPDKLLIYSQYEQTK RTYSVESYYWGTLRKSQNFLERYRLRALVAELVEIDRHTPPEDRDIEWAIRQYMDIIL PRDKQKRFFVEPGHQYAPRHLRHIPRAYIRSLFAEHAEWLLAHMIARKQGSANLFDVQ KWISFCKQARKDSQEAKKAGEMWGNYTQDPVEPLARSLARYGETEQFWENQLRLVKKK RTKPIRRSPEPIHDIQIPHPQNDIRFQYDSDFSDESTSETSESEVSEVAKHGKIPSFC FEPPSIPIGCFVWNCPGCTYKIDLLNMQQGQLDALPETIKRILKAPSKGEEDPVHTVL YHLVSYHYESVHISGYRVHASKSKWVARDSYLDQNRQMKEHKVKVEESSQVLPLRRSK RPPCPRRVMAD JR316_0004674 MVSLYKHHAPSTTSLSDDDDNDEETNQFAFNPDHHVLPAPWAFK GQPTSTVVHRPASHSPISTIPAEIMIQIFKHLHSSKDLYTALRVSRTWCQCSVELLWH KPSFPKYNTIHKMAALLQREKQTFTYALFIRRLNFLNHGAELSDELISMFARCDRLER LTLIGCKQISSEALCSILPKFSHLVAIDLTGVTNTSSEAIIGLASVATRLQGINLSGC ENVTDSGIVALAKSCPLLRRVKLSNLTKITDISVSALAENCPMLLEIDLNHCALITDV SVRLIWTHLTHMREMRLSHCILLTDAAFPAPLRPDSQGDAINPFAHSSAKTDELPPLH INRTFDNLRMLDLTACALVTDDAVEGIISHASKIRNLVLSKCVLLTDKSVETICKIGR HLHYLHLGHASKITDRAVRLLARACTRIRYIDFANCVNLTDMSVFELSLLPKLRRVGL VRVNRLTDEAIYALAERHATLERIHLSYCDQISVMAIHFLLLKLHKLTHLSLTGVPAF RQAELQRFCREAPKDFNTAQRLAFCVFSGKGVSQLRTYLTELFDRITEMNNTDDTEYE EDDIDSQEDDTPEPEIYVEARERERFPRPDIMYNDGRPSHRIRNANVEEHIARQRQNF LSNPSAVGGHHVNSSHRNGNGVPHLSTSPTTNIRGATTRLNAQIQAATPLAGPSRHQE EYSDFGALRNRTRAGNTQRVVARPNNTIAGQDGLEQRRQTEGESSRVLDTEVLSWTRT QLRPIADVLPVVESSASPSPNDLVPNDNGSGFFRTYQERPTSPTVSSRGNGALTPDLN YAEIGHGRGAHGGNVRSGLNANPDLTPMPASAPRLSETPALEPPSQFASSDSECEPTK VPEHSTPVAPPAENSWLHNASSSVNRRVPPESSALLDVATNDNNRQHTLVTDSRGRRE QTGNQVQLQGGSDPRARSVKRTFRSTINAAEHYANSFFGRGDRPDAGSSGGPHP JR316_0004675 MSSNRPPSFDEILQSSSLPSPGPDYYAARREIWLTSRPHITHRP PPPSNSRKKLEEVFSRPGAVYNEDIWANGLDKVWKGLSSGGKLRVSLPMGLIIQIIHA GWLRDKTWPAGLEVKDSGDEQQANGAPSSVATMRQSPSAQTFRTSDIMEPMPPHTISR R JR316_0004676 MDPSVTGIQEPFDLIRLSLSERVFVKLRGDRELTGILHAYDGHM NLIMSDVEETIMIVDPDNIANGQNVVNVAKRKMDMLFVRGDGVILVSPPSRT JR316_0004677 MPKHYCDYCDVFLTHDSTSVRKAHNSGRNHLSNVRDYYASLGHD KAQNIIDQITSAYESGGPPPGGFGFGPQHLGAPPPGFGPPPMGYGGQPMPPPGFGGPR PPFPPGPGFPPMMPPGGPPFPPGGMPPPGMNGPPGGFGGPPPFPPSGGPPGAGMGAPP FPPNAQGGAHPPPGANFNGPPGSQNGPPPGANAGPTAPSIHPDRLRMLNSGGR JR316_0004678 MSTQPLLQRTATKRIALPVRVEPKVSFANERTFLSWLHFTVVLG GLAVGLLNFGDKVGKISAALFSLVAMAIMIYALYTYHWRAASIRRGGRGPYDDRVGPT VLCIALLIAVLINFILRFTQP JR316_0004679 MAISAADVRSALSIPEGNATAGPSQPKKHLPQNARKPDGISREL YSLIGPSAPSLAAQLAKPRLKQKPNFGGGTAKTRWELRPFKNGARQDNLELWHWEKVT SDPPTEYAFAKYNTQSTTYIYSQDEYTRFLDDKEWTKEETDYLFSVVQDYDSRWYIIY DRYDFPGGPTRSLEDLKDRYYSVCRKLVRNRPWAGDEASKAQLISSFQFDKEREMTRK KYIISLENRTPDQIAEEEALYIEVKRLEHNERKFKKERESLLRTLAGIDSGLPDIVED DGTQMGISNEKTTTKGATTKKKGGQPTDVDSPATPSVASTPALKRPSTTKNAVYDATH CIIRTEPPTSGVATKAAHQPAYLRSFKLPTPKAAIAPKITQALAELGISHSRLVMPTR ENTAQLEALLDATTALIETKRVMDKVEYDIQVLKNRLGMREASQAATDVEMKQENTGD AEGSNFGETGGENEDGEDGRAQSVMSARSARNRKHPRRSVSVSSVDTASTRAGVKRQK KN JR316_0004680 MSNSKFEDSGHIDLAGDEFVNIWTTEGGQTLTAESTKKDNKDFY EQWVAKSSGTLAIPAVAGADALRALYPDHSVILTQGYSFNILGFPAATFIPIDTTKLV NNLVYVPVARNLGTVPGILANNIVFGGFKVAWKQFEYIIYTIEFPEGFGKLRQYFILH KGPEEVSRLFLLAAGAWNDSLHDEIWVFNQGFWSKDHGLWVEVQKADWKDVILGEDFK QALQKDVYGFFSSEAIYKELAIPWKRGLIMHGPPGNGKTISLKAIMKTCGEKGFSPLY VKSFKSYKGEEGAMADVFDKARQLSPCVIILEDLDSLINDSNRSFFLNQLDGLEGNDG MLIIGTTNHFDRLDPALSTRPSRFDRKYLFDDPTESERKLYAKYWQTKLKSNKDINFP DELVDKVAHLTEKFSFAYLKEVFVSSLVTLSGIEGEKPTLESLLLKQIKVLRKQLDKP TTSVENLKPTHTRISTSPANPFVSTGRGVSSEPDLDPDTNLALGFDYLTSFQGSDFFS DGMRRRQEINASLRERLPRPGVRNHPSRGSLDETFSHIEPEINSIRHIRFRDRGADSS LNRLGFSQSTYPETQRARSTTDREQSYRLAQEVGLHVAQQIPDETMNFPLPAYLDTIH LSSWPALKRGSTSSSASSSIANDSEGMHNPFSTHASWDHRLGTTGSIPSSSTLND JR316_0004681 MSSFFALVAFCALPLVLADAIHVSVPSTPPSNHIVQPNFLGISF ELSFVSEYFGNDTTTIPQPFVNYLSTLRNRIGNQPLRMRLGGNSMDDSLYVPTQTTPM LQLISGDFNFDDQPVHYGPVLWDVLATVATNIGGAAYLIGHDFLGSATQRLGDSLDGF LLGNEPDLYTAHGNRPHIKNYTTAIYIDEFRTSSDHLTNTTAGNILSKHDLGGPTICC DWNLDALLDDGYTSAFGDILKYISLQHYPQNNCFGFNKYKISYYVQHSNVVELASWQG AGVKKVVSNRINNQQLIMSEFNSASCGGIPGLSDTFAVGSLWTIDYALQLASVGYSAA YLHTRERGISYNLFTPPPGPNGAPGLWTTNPPFYSLLVTAEALRSQNGSIVSDLNING SRTNPNVKYSGYAVYDAGDSTVQQLVFFNFANVSSSLNSTALFDVPSGVFNATSGKNV VVKYLVGNSMQEKKNIAWGGQTFANAGNGELVSAGNASWAVPDAQLDCTNGCTVQVPA PGMAVVFAGGLPPKTSSTSTTLLSTSSTPSTSATADVNTSSAAVRLTSVPSRIFPIIV SIVVTIIVATL JR316_0004682 MRHLPHAIPMTSSSTNVIGVTTFTTPVRPARPIGTVKLKNHQTQ ESALFLEEVAFTAYYPAEVDGSSQKGVSWFLRPLRESLHGFAAFLGAREWLLWPIVYL FGAFVKIPAYPNAPLLSTRQTEDFTQWPLVIFSHGLGAGRTAYSQYCCRLAASGKVVL AVEHRDGTGTVCMRRSWNAEGKSEPRKLFYLRETDVHLEDTDTIDTHPIPLRGQQLAF RHHEIYITYSSFCRFIQQDSTLEFDTIDGSPYAQHRWSELNNAGQSLIRYDNDVVLAG HSFGGCTVLSILSTRPYDGYTSIPVERAVILDPWLEPLPSPGPVPLPNDIADAYCPKP AEKTVKSSDDIVSTSSSADSEFKKPHPSILVINSETFTLWKDHYTRLKDVIAGWEPQG DRILTIVGSEHVSFSDFPVLPILRKKNARLILDTITQLSLSFLGGTLEETLHVVPTVP METTVMGLRKDELHIIFSTNYYFKSLTSTTTMNIRVMRVEDMMGMQACNLQNLPENYT MKYYMYHAMTWPSISYVAEDHKGRIVGYILAKMDEESLEPGKEPQGHVTSISVLRSYR RLGLAKKLMLQSQEAMSKVYRARYVSLHVRKSNRAALGLYRDTLGFTVKDIEKGYYAD GEDAYSMRLSL JR316_0004683 MSIYQPLQSQAGPSKTFSDGSYEIVVCKWGWCRSTFSNGAELAH HVIHQHARCAIPVRRRDLSLIRRAEEGIGESLRFSNILSGHTCNNADEQSQKLAKDSR HGLSQASPKVPHSTLPSPPVTSRANTSPLHFNEPLPGSIEHASHEHSLDMDDIISIPT ADIRPLDQDENTHSFAALSSPTESSASFTAPDIPHSPSFSSLVDRSFKRKSDNDFYHK PVAKKGRLYRHHPLSQSANSSTGSHASVEQHLTQSFESSEDGHESGEDLDNDDTSSQN FSHHSKSSEPEDPTHHSKLDHSITRPDAFQDPQFTQSSSTEDSHFVGAHYELLTQGPS QFQTQPPTASFPLHFHRPKTASSSQMEHLSPPVPLSSLKYATSTPQQRQNWYQPPTLQ CGVNQSIDQSGAVENCNLDASNSNNAVACSQRSQGQNSSKSKKFRSGTLQISPAQLSQ NRINEEIPAREQALVHNDTLLHDIYGDILNQHDSQSYMDSSQSVDDFSYPPLQTQAPY ESQNKIQIEMSSGSVKLSSSNNPHDGMALTKFEPELLLLVFIHGFKGTDTTFGDFPKR LEHLLTEIIPQVKTESIVFPAYETKGELDKAVVRFADWLTTLVVEREVASGLGAGKAK VVLCGHSMGGLLAADTLREFVHTRPDKNAPLWPKIVACIAFDTPYFGLHPFVVKNSVT KAAQYANTATTVGSALLGAIAMLGAKNATQPERNRRSATPPAQSSWSGWGAALGGAVL AGAAAGVAYYKKDDLNIGFSWASDHLKFVGNLWDVESLEKRVEALVDIEKEYGVVFRT LYTILPPNPPEFLTSRTFVVLPKYGSRAEAHFLPASNGVASDEIQGHIGMFAGNTNDG YYKLGLDTAGLVRDAVLSSRGIVENVPSPPDGSPDIAPFPVPSKDEHSDLVQF JR316_0004684 MNNSKAASLAARLVENNHPGRLRHRDEEDDDDEALFAELEEEIE NDTNASVREHGLQVLKAEMERMKHKKANHYGEYTEITDEKEVVQVSAREPRCVVHFYH TKFKRCEIMDKHLAKLAPKYTNTRFFRVFVENIPWLVEKLFIKVLPCVICFVDGIAKD RLVGFEELGNDDMFSTAVLELRLAQSNAIQKSSGNALEPLFKVSSSRRQEQKDDDDEF DM JR316_0004685 MNAEVMRKEIPSSVRAQYLMPMDRASKPFSQASSMRSYLSVSHQ NERERPIQITQARPRPVEYFNSRGEIVLNGVPTVSSSSHTPETSNKSSVPTFSCPHTS NGDPCSQCRIKSITSVVSSSGNDHARQTSASTSGSQNGIPIHDASKDEPDADDDVDLE NLELKYPEAKRLKGFLKTEEPPTKRQKLSHPPIIDLTDPTSAALPCPPNGQILNESLD PVSLKPSKKRVRTLKLCSTSNCTGIVSSGSFASRCLSCVKREWKAKREGAKIRTTPQI ITESNLAWNTTVSRPSTSSKVKKKGVSWADEIEGHAKVSTSPEPPVTSPSSDGQVVQF KINKLSSSAEQHNSNAPELEDYLNLDGIVEAEVGLPEAPEAAILIPKESSEVSKECSQ DPLDSKSGVNHGIASSSDCKQDNATFGEAEQISGWDSDLSDLTESPERDSDSESGSET KLSRPSVLTGFKIRIPPRPMGAYTMKCSSQRCEQLLPTGSRWKSCVLCRARNRDYKRR KQNLPRIDLRLEDELMDSQSMGTPLATDLATEMPVYKGVIRLVPGARLCAIRTCTFII PPVNEYRWKMCSLCRIRRTERRKQENLETTLSPSTSSRRKLVVGDANFMLRSLDYLIR IESAGASSRCRSLDCGMMNPTSRDCSQCNARRLWLVNRTPSIDRYPDGPKLLHRGSKK PMERPRGPGPYQRYKCFTAVLLDFKSRLSGFLQVQSIFFLFNQPRSANALFAFDGEFS VVALDFDILKRKEEVDANALKLKREIEYSKETGIAIGRRRHFHPLFLLLSRTHTAAQT KRRTDID JR316_0004686 MAIQFWSWIFIIQTLLFQTGCAQPTPNHNYGVLTSRALESVFIR GLTKAEKARNTYIALAIAASVVLIAGLTGLLVLHLRQKQTVAEPESAGIPAVKPHWWM VEGKNEKMLDWRWRLSHGVSTPGHIIHSSEGTSKIDRLRKALNIPRKTSDVQPILPMH RPQVASPTETLNLPMQTMDNIQPIYPAALERGYKDVQPPQIPAVTIATYDVNKRSRRS PNVPPKAIVIQSGRSTLSRSLARSGAPRSPAGRRWLQRNSFRHPFLPLKDYDVTRVST PADPQLGIGYGSKAHESRIVTLIPAGVPPSSLNPPHQARAPIRKPAALRLLNDPSRRV RVGLPSSPRPVRASPRPAV JR316_0004687 MFKTPIRTFSVSARQSLKIGLIPADGIGKEVIPAAKAAIIALGS DIPKPEFIDLSAGWETFTRTGVALPDETVQILRDECDCALFGSVSSPSRKVVGYSSPI VALRKKLDLYANVRPVVSVAPEVDQKPAVDLIVVRENTECLYVKEETLTEGPNGKEAR ASRLITERASRRIGQMAFELANARPRKHLTIIHKSNVLSVTDGLFRETVRGVTSLPDV NGKYNGVTIAEQLVDSAVYRLFREPEIFDVMVAPNLYGDIISDAAAALVGSLGLIPSI NAGDNFVMGEPVHGSAPDIEGKGIANPIASIRSAALMLRHLGYVKGADRLDLAVNQVI REGRFLTPDLKGTSTTDEVLQAVLSKI JR316_0004688 MLPSPSSSPRAALAPCTNTLRTPQTTKSAKYLPTPPIESKTKRH RPVEHKSVNKSHKRLKLEETELSSDSEQSDYDEEEDVAMKEPESRVPHVKVRKSIPHH LFSRFITSPVMLMALVASSLPILQSFVSSNKSDVFKCHSVGGDTYLTPPYACSYSSDA KAGGKPLLAVATEQGTVHIFDTTRRKDWDCEIPRTTYQPHFNGIFDIKWNLDGRSLAT CSGDQSTRITSAETGVITNVLRGHTSTVKCLSWDPSNTSLLATGGRDGAICLWDLRVG ERVHDTETTASAPVMTIFGAHENTTVKSKPKSRKGKQNPSPRTVTNLLYPGSEPFSIV SSGSFDGILRCWDLRTPATPKKKTAKLSVPTELYSSLIDPTTRTSKRARGILSMTTGT GPSAGLIFAFSADSRIHIYDLPSLNAHNASYSHENLQTSSFYVGLSVSPCGRWLACGG SPNTGNSFLFDVENASGTSSIQSQGVELKGQMGEVGSVDWAAESLATCADDGTVRVWR PDLTTHIKCREQPEESSWDWSWSM JR316_0004689 MGLSISSLFQSLSSLVRWSKDQDVRILMLGLDSAGKTTILYRLQ IGEVVSTIPTIGFNVETVQYKNIKFQVWDLGGQSSIRPYWRCYFPNTSAIIYVIDSSD HSRLTTSRTELLTMLSEEELKGAPLLVFCNKQDVEGALKPEEISEQLGLAGGEKTRPW SVRGSCATKGEGLEEGLDWLVNAIQQK JR316_0004690 MGASPTNVKDSKDVKKSSKSRKKSRKAAVIVEVSEDTAGTSTTP KESTLSTNILEKTGVDGVDTETVFENKKALKRAIRAARVRRPADENAASKKESGNKRK DRAEDAASDEPPKKKHKNRTEFADPRVDTTLNPQSSKALEYAFTQMNRPSKWKFNKAR QNWLIRNIWAPEALPDVYVPLVVKYLTNVQGGSRQKLIESCQSYLKVEEKQDSAQENT APSTISQAEKETTTLKSILKPTPGPLIPGPLIDTPSAPSAGPEGSGIPASGAIVPASE APLSAIALADIRRTRAQTLLDALGGPKEIP JR316_0004691 MSDDELKVVAITGFKSLISSRKLHTPTTSMDLLTSVMTTKMPAE LLPNSPTPKFRLTDLARFERIVEDLSSTWSDGVLSFSRDGASGKMIILELGLNSPCSG AHRPLLGAANPSSNSAGGGLAVLGTRKRKRVIDEDADSAAGDDDDALQEDEGTTVTST STLANLSAEMKEVYMILQKGTAKGRLLAEQFHSRDENFDPICSYITKEDCAKAHRTSS KASNSAPPSICERVHFRPLIRPHTDVTLGHCSYLNTCYSEPTYAQSPSLPPFPGSSNV HGTVPLNTRGPTSLPSGLGAGGRGKEKAPCRYLHYEVDWDGGDGDWKKESPPKKKFHR LRIGLGPNGRITKPLPPQWINCDLRTFDYSTLGKFHVIMADPPWDIHMSLPYGTMNDD EMRKMPIPMLQDEGFLFLWVTGRAMEVGRECMRVWGYTRVDEVVWVKTNQLQRVIRTG RTGHWLNHTKEHMLVGVKTVLDDKGALKFPSWANRALDTDVIVSEVRETSRKPDEVYG LIERMCPGGRKVEIFGRKHNVRPGWLTLGNQLGADQIFEEDLALRVKAKYPDRQINAP MTYKNNTRAS JR316_0004692 MDSQLPPAREIPAAFTASVRSWWHVGEKESAISEERLLRRLSFF RSASQSQVAPSDPVVATSSRVQLTPANHYINTFSMRSTAPSSAAPPPAVVLHGYGAGL GFFFHNFPPLADWAGRHGSSVFALDWLGMGRSARVPFSVKAKKDNVQSRVAEAESFFI DSLEDWRTKMSLNKMTLIGHSLGAYFSVAYALKYPDRVHKLILLSPAGVPRGPNYEEP SRELTDEPPNVSTDSKTSSNERSTFHRATDGRVKSIRQEQKENKTRESKTRRLFTYLW EEGWSPFQLVRSTFVWGPMLIGKYSSRRFSGLTEEETRDMHDYIMNITLAKGSGEYCI SHILEPGAHARMPLVDRIAALPKDMQVTFVYGDHDWMDPVGGQESVKRLRKVGNDNGR MYIISNAGHHVYLDNKDEVNALLVKELERQ JR316_0004693 MYNGIGLTTPRGSGTSGYVVRNLSSLRVHERNNDKSAWDAAPPK HREPDEAILEHERKRKVEVKCLELRDALEDKGIDEEIIEKQVDDLRQKLLANLSAMAP PSHGFKPSDTHGQAAAKKVELSKMARAFGTRADYQEGEAFDREKQEENKIKRMAEREE RERARLENKAKMAEQKAKWEQERKERDMQRKKEQEKAREERADKAAKDMPPPPLPTGP KRDYVDRDRPSDQDYRRSPPPRGPPPRSNTDKDPVVAPSETGDLHLDPHPHPQGTEDA WTHAPPRVLVLVPGHHAHPHVLLRATHLADLVQLQLHLCALPPLRHIADHPLHILEVL AQEDVNREDARYPDHVLELHRVEDGILVRPQYRVQDPSRGPVHLAGDAHPCRRIVQVL PHHLREVNALHLHYPPLVTKNPFEDERGILANEVADHGQHPRGLQ JR316_0004694 MKPSQNLPLHALARRTGKISGSNNNVLLRSRGVATAPSTAYLAP THKPKSPPSAATANTLALGKEYLLPVYARPEFVLAHGKGSYVWDVDGNKYLDFSAGIA VNALGHADEGVLQVLTEQAGKLLHTSNVYHNEWAGKLAKLLVTLTQTEGGLGYAAGAK ATETGSGGAKAFFANSGTEANEGALKIARKVGKERWAEANGKSWDDPACTKNRIACFE NSFHGRSMGALSVTSNPKYQKPFAPLIPGVDVGKLNDLAGLETLVGEDTCAVIVEPIQ GEGGINDANVEWLRALRKRCDAVGAVLIFDEIQCGLYRTGTLWAHSSLPAECHPDIVT MAKPLANGYPIGAVVLRDSVAATMTPGTHGTTFGGSPLACAIGHYVVSRLSSPEFISK MTDTSKYLGERLEALPKWYPGLLEERVRGRGHIRGLGFKDVEVPGRIVELARERGVFV LTAGKNAVRLVPSLNVGKEEVDLAVDVLEGCLSVSYH JR316_0004695 MDKLSSLTRFKKSCPFLGKTKTATLRSLSTASSPRYPSLSALTE RATKCPVMGPALNVRSKEIVAGYASVAANVDVEKIHKEKGVFPPPGATVEMCPHASAA RAAAVMADDLASAAAAKKGSKAKSDAEVAAAAGCPFHAKAAEDARKAAAAAAAPVPAP SHKAKHHTGFDYESFYVNELDKKHKDNSYRYFNNINRLAAKFPIAHTASVKDEVEVWC ANDYLGMGNNPVVLETMHRTLDKYGHGAGGTRNIAGNGAMHLALEQELANLHRKEAAL VFSSCYVANDATLSTLGSKLPGCVYFSDTMNHASMIQGMRHSGAKRVLFKHNDLEDLE SKLKQYPKETPKIIAFESVYSMCGSIGPIKEICDLAEQYGALTFLDEVHAVGLYGPRG AGVAEHLDYDAQAAAGDSPFPIKGSVMDRVDIITGTLGKAYGAVGGYIAGSVDFVDMI RSYAPGFIFTTSLPPATVAGARASVVYQKNYVGDRQLKQVNVREVKRRFAELDIPVVP GPSHIVPVLVGDAALAKAASDKLLAEHDIYVQSINYPTVARGEERLRITVTPRHTLEQ MEGLVSAVDQVFTELGINRLKDWKLVGGRAGVGLADGSEEVAPIWTDKQVGLLDGTTP PTLRNGEKAIVDSDAVAKARAVFDPLLGPISGPIQGTRTVQTGKKEEVVVQARQKLPL IKTGAASVPLREDIPVPPPSVTASA JR316_0004696 MPHNPFVLVTPGATRGLGVALTRQYLRTTNLPVYASHRTGATDN EIKKLILEPIEKVDPERLNLLHLDLTSEDSIASAAHSLNESLEAKGLTDAYIHTAFIT GGMLVPEKKPSDLDWDAIKETFQINTISHMLIIKHFSRFLPSPSIKNLETPAKWAHVS ARVGSIQDNMRGGWYSYRSSKAALNQVMKTFDLYLEQSSAKAMCVGVHPGTVKTDLSK GFWDSAVRQESFEAIDAATNLINVVENLSLQQRGKVWDWAGKEVPW JR316_0004697 MSKSRSASLEIVPPATSRVRENPAVPPASHKHNRKPVEEDPDDA DADAEGDELDNQPPPAPAPKPAAAAAAATTTNIPNAPKPCDRCARTGKPCKGVAGSRC EYCKRLKQKCSNSSGPPRKSATTAPKPAPAPKRPAETAGAKAPAGKGAAPSQLPSKRK APASAPQNGAVDNHDLDGEGSTVEEEHDSGTRHNKKRRVAKGPTRLQLVKAVNDIEAS MKRVQASVAKEVEKMSGIVKILNAKIEEMDDE JR316_0004698 MDPERSQPPCSGVVWTASSIINISALVLNSSFVLQEHPGFSHRV DFESCEGLPSLLRESFKSTAGHSDDILPRTIFNGVTENKERDAKVDFSISLENRPDTS SSSAIRGLAISGPDTTNIDTTLRSTKQAGQSHEEMGMGCAPHHSDIPSSSPLEHIECA QSNPYFTPATIVRAHSPDFRTDVVGIQELVSSSPPPSPSMTSNYLQSSSPISSSNPMD RSIQTPPTSSPTVPEEYSQTLSEGVEEDFYTEQTYTAYSHYPLDHGPYRNMNPHISND FFPLPAPQQHINPQHHMSSISGALVPPTFVQDFLDATAEPPKHVEQYPPPELNLTASE VDLPVPGPSNPPIRPPPPVIEAKSSSAQNRQVVPKNVVPNPKRPTLAGVKQQQKKLSR PFRSPVIHAPVRLAPKPPTPSSGPLISSANSAILKDAAAETAKVPNAAAASSSSQPAD TKIKHRTARASSQFKSPLASSSTTADEAALVRLTPTIQSLERKLQLLRRALKVREDVQ EEVLEGLVNKWSEAGKEVAWEVWDAVKDNANAAEDDKKGKKRAVEESWGWDEGREAKK TKGEEEKDRNWGWDVVPVGEEERQASESAESPREEEGRQPTLGTMLLQLGIAPETFGW SEEEGAFVDKEA JR316_0004699 MANASGKRIANQNESTVKTLRLGMILPTLLSLVLRFLFRKSSLP PSKGSLAIYIVTFFPAFFLSNYLIKQGSPRRDPTTGTLISSGEDLSQPGVTEWCFDIL YITWACQIGSGAFGEWFWWLYMIIPLYAVFKLWTSVISPLVLGKGSGGPAESTTEKEP VGSKRQEKLRKRQEKGDPRVRVQTGRR JR316_0004700 MYQSGHYPNHYPSPATPAATPMAYYPPFQQQLPQKLDPAEFHQD PPEPSVTPRVASKAIQRLVTLELQNAGFERAVQPALERLEVEVATFVQQLFQRAHEYA NLANRASAIASDVLLACEDFDIPPKELYQTKKATSKRKRSADKNIAKPATLIPPPSRS PSPQLLPSDDEDAPTNTPTTLRNLPPYFPNLPPKHTYLQTPASPPKKAALPSLEKKLK TAALVQESLQNLLLATEDNMNQEDGELLGHIVNWEMNVQPRKRWKVGAK JR316_0004701 MPPTAAEYAARQEIPVAQVQSRAPRPTIVGPDTLEQPFPIYLVG PVQKGFGRGGKDLGCPTANLPDDSITPISSVAKTGVYYGYAQVLPPQDHLSDFHNADL KVLPMVMSLGWNPFYKNKVLTAEIHIMHNFKSDFYGYEMRAVVLGYIRPELDYISREA LIEDIEIDKQVALNCLQRPAYQIYANDSHFQIPESEFKASM JR316_0004702 MFALRASSSSTRSALRTCPRLFASFSSTSRANAAGPVIADFLEE DSGPASATPFNIAEPPQVDGPPTAAGGFYAKSNMLGLASRYNQPPRAATRAELPEYKL HCRSSRNNTIVTFTKPDGSTIAWCSGGSTENKFKKANRAKFEAGYQCAKHIFGRILEY YHGHENFKLQLFFKGFGEGREAMKTALLAAEGDPIRPLISRVTDRTPIKIGGTRAKKA RRG JR316_0004703 MSLSTTFYNAFFKRNSVFVGTVFAGAFAFGIGFDIGVSKFYDTW NKGKQWKDIRHKYVQEE JR316_0004704 MSRERSPSPHKDADMEIDSQSPTDKSDSKVVIVTNLTRNVVESH LKVVFGFYGEITKVDLPVFGKSGQNRGKAALEFAESASAHKAASHMDGGQLDGNHDPR PEDPGTGGTDQEAFLDPAPGRVLVLAPDHLPRASDAEVEAQIRATETATVILSAEDRL AVEDPRHAICTAPTTARARVHARLSAGDHLTDRRRRGDVLQATPAVVMGVVGQDQEAI LSAPVALAHARFRALVRGRCHTLVTRVIPEAVAARGR JR316_0004705 MKPIDQNGKCVMRGSCGKKGFFGKPLPCPYDGPPVELKRSARKL LQDVCGPELTEGPTCCSEDQIETLQQNFEQVEPLLSTCPACRNNFRTFFCTFTCSPQQ STFLNITSTQEMSGGKIAVASLDFFVEEQYGKGFFDSCKNVQYGNTNGYIMDIIGGGA TEYSAFLESLGEEKPLGSPFQIDFPLSSPPDIQQLNKTARNCADNDLSSRCTCIDCPS VCPIIAPVLPPNEIPTCQVGSITCLSFFLILTYSLAVLGFLIGYYIPTIQRRRERTNE RVALSVDAASPRIHTRGLVGAGSLAQTLEDDSLGAHSESRHLGRGASLLDPTETVQPR HYRLNGILRRVFYRLGLFTATSPWLTFAIVFLVVGLLNLGWKQFEVETDPVRLWVSPS SESKLQKEFFDSNFGPFYRTEQIFVTSAPAAVEESSNDTVSSQGIVIGNKEPVLTWEH LKYWVNIEAEIQELESRSGYTLHDVCFKPEGPRGACVVQSVAAWFGNDLDNYDEDNWS RQLKRCAASPADCLPEFKQPLAPQYVLGGVPVTEDGRKEYLQSEAMVITYVVSDSLDT KIQAKAMEWEERLREYLINLSDRAGTEAGLEIAFSTGVSLEEEINKSTNTDVRIVVLS YLAMFFYVSLTLGNGSASREEDGIVSSLMEWGRNFPKLFSRSSIISSSLSVDSRTTPR WFPRLPRSLFVGSKFTLGLFGIFLVILSVSTSVGLFSLLGVKVTLIIAEVIPFLVLAV GVDNVFILVHELDRQNFLHGPNASAQTQVPGYAAPMSPTYSHRSPFDSTHNEIDVGSM PLYLIPEERVARTLAKMGPSILLSTITETLAFALGALVPMPAVRNFALYAAGSVLLNA ILQVTVFMSALLLDLKRYEDSRVDCFPCIRLPSRIALLDMPNTGSGLGSIARFIRRYY APFLLKPFTKAAVLITFSGVFVASIISMQHIQLGLDQRLALPSESYLVNYFDNLDAYL DIGPPVYFVSKDVDVTQRDGQRALCGRFTTCNDFSLANRLEAERKRPESSFVAEPTAS WIDDFFGWLNPSITSCCRVRIDDPSVFCRPRDDDDDCQPCYLGKEPGWNITLDGLPEN EEFMRYFKQWRNSPTDEDCPLGGKASFASAISLNELGNKVDASHFRTFHSPLKTQEDF INAFAAAHRIADEISADTGTTVFPYSLFYVFFDQYAHIVAITQEILGLGLAAVLIVTA LLLGSWRTGVIVTGVVSLTVVTVMGVMSIWGISLNAISLVNLVISLGIAVEFCAHVAR AFMSAGTGFPVDHPSGQKERDERMWTALVDVGPSVLSGITFTKLIGMSVLALTRSKLL EIYYFRMWLTLIISGALHGLVLLPVVLSIAGGAGFPQQEADEEWMSNAIRNDYEYTPF LADDDSIVSD JR316_0004706 MESTSTPQASGSKPTPTASKPRKRFIGSKSAKPSKPGVQSAISN QIPQDILHDAQLNAAIKQLPSNYSFEIHKTIHHVRKNNATMVALQMPEGLQMFACTIA DIIERFTSALTVIMGDVTYGACCVDDYTAVALGCDMLVHYGHSCLVPLDQTTIKTLYV FVEIGIDSNHLVQSIRMNFPDDRETFHRNLLDAEEARAQIPIGTHIGVGRNLRIEQAP SAESTVVDEEPVSSTSRAPTRLALVSTIQFVAALQRLKEDLSVDLSQQETGALSNSHK YNLWTGKYDTVIPRSKPLSPGEILGCTAPRLTDVDALIYLGDGRFHLESIMIANPDVP AFRYDPYSKKLTRERYDHREMQAIRDDAVQIARRSLDRPLKDGDESPLWGVILGTLGR QGNLKQMQAVAHQLQASKTPIPYIQILLSELSPAKLALFNPHISTFIQTSCPRLSIDW GYAFEKPLLSPYETSVAVGKASSWMDTASESSLGIYPMDFYAAGSPWATSRTKARFDD A JR316_0004707 MQIRRLSVPDSCMPRIVVLEKSLTFSLGAVGLKFVGTGSMVIII ITQMIMQLRIKALYGRTISNLITIVWVLEVTAVISLGIASLAAIDDTTTMCNPTYLPR FAFLFWVPVIVFETFLFSLALRIAYQNYKEIGTWRGASLLYIVLRDNFSFFACAFGLY IITATTWLAADPRYFTVPGSFSCALTTVMGARLILNLCEAYYHPPDMHGNGTGPGSIW AAVATTGNIEFVNLRRGRAE JR316_0004708 MEDDETGAKRQIRLEIADMRMVWVPAMAVTTGAAIGLMRGGREA SLRFLAENAHRPPTTVQGWYFYKKTKNYKVMLGAVKGAGRDGGLVAGIAGGYMGLEWG LEQVGWGSVKEVGAGIGTAVGFSAISRLPMQVARRTVALGAVYGLVMKGLGWMRGGVT AADGIRGEADGVDSRYNVERGIRNKLWPDPQLTPRPQEKNPFECGPVHPLLSPALFPP ASPVPAAADPTPAHAAQPTTQLSLHAPVFKMQQPPPPKNQLPTTSPQAAISRGQIHVK LIQARALSVRAHNARPYCVVQFEQNEFVSRDPIPESDKEVKGKPVILSRQTSSNAISA LDAIGSRHDASRKNSRGSKDSSPSTSLVSAASKALSFSHQNNNSSGISNGLFGRISPN NPAWKHEVSFDVTSEEELITVNVYDRAVSDQGFLGTVQIKPVLIHDHTVDQWYKLRPL EDEIVSGEIRIQVTYEAYKTKRALTPRDFEFLKLIGRGTFGKVFQVRKKDTKRIYAMK VLSKKEIVAKKEVAHTIGERKILQRSLDSPFLVGLKFSFQTDTDLYLVTDFKSGGELF WHLQRETRFSEDRARFYIAELILALEHLHKFNIVYRDLKPENILLDATGHVALCDFGL SKADLRPDELTTTFCGTTEYLAPEILLDEQGYSKIVDFWSLGVLLFEMCCGWSPFYAE DTQQMYKNICFGKIRFPKGVINDEGKQFVKGLLNRNPKHRLGAVRDAEELKEHPFFKG IDWVALAQKKVTPPFKPVVESDESTNNFDPEFTSADIRDIGMAEIMGMDHDQDLDDDD PSEAWVSQSFNVNGHTPNGPLGSERPKPTSPLGTITNGTSYSSSTHTNGNTTTPRSQG IQIKRPKRAKEPAGSPLTNSVQENFRGFTYHGGESVGAPLSMFAASRRRAAEEEAVAD EEAPEVTTEDEIEDVGRSAGRYANNRRNGRTPMFDDDDMLS JR316_0004709 MYGFKALQSLVFGYQEDPLCKTLRASDVPVTTADCRACADPCDL EEKLTWLALHAGHEAYPRRFDIDMDTQMLGSVKPYHRQVVISTGKSDWERDITDDKLS LAAAIGEVVSGLSPKSPKLPASPKPASPVPTKGVRPVNGLFNTSDSSRISVLNGSHRT VCHEDAHESVLVFPDFKVVTEVPRSVQGALELWESAIDPSIGRDGSYLEKSILKTWVL PYSCVILLSFIKSLEAHGWDADTQLEHPQLTMGLPLEDLNVTPEERDENVEAQLKQSL DSKRALILKVSHIGGHKYAGNAIIYTPSGSGVWYGRVTPHDVDSIVTNTIINGLILPP LLRGGLNLSRPNCQTLNDW JR316_0004710 MEPSTDMNEFRYLLRTSKNIVAVAGAGLSAASGIPTFRGSGGMW RKYNAVELATPEGFSDNPSLVWQFYHYRREVALKAAPNNAHRALSLFSLPSIRNQIAP SSTFTLVTQNVDGLSPRSDKEISEIYPEALDQPQQVQPRLIEMHGRLFDVLCTDENCR HIEFNTKSPICESLAGTEILVEKNTLDPDIDIQSLPRCSQCGSLARPGVVWFDEVPHH MDVIDNIIEQADLCIVVGTSSTVYPAAGYADIVQDNGGNVAVFNLERSQNDENADFLF LGPCEETLLDAFSLVVSQDGKLAVQGSSISN JR316_0004711 MSFSTSRSATSCLQNLSRRYSTGKKSQRASSSGASSSTIQPHST LPPAKMRALIALYHQAESWITPENLAERIDNAFVPLRTASQNIIDPTLSSTFHTRVSL SDLEKAKRNMDVAPKYAQYDRNQSIEYGAASFNWSKSNSKRENKVIEALYGVSTSATG NSLPSLQVLEEAAESGLLNPEDFNKDAFTQESKETTDEKPTDEKTTDENTTGVLRNQI S JR316_0004712 MAPHPIVAYTSEASWSMIEDKFTPYGKETLAKVIKFLEEEILPA VKVVHAQLPDDPVKRWQTVIPIIAELKAKAKKQGLWNLFLSKAHYPEFGVPLSNLEYA VMAEVLAHAGHFGPEVMNCSAPDTGNMEVLARYGSPEQQKKWLVPLLNGEIRSAFAMT EKNVASSDAKNIRTSIRQEGNEIVINGHKWWISGAGDPRTKLHLVMGKSDPNNQNAYN QQSVVIVPADAPGVKIIRPMKVLGYDDAPEGHCEIIYENVRVPLSNLVLGWGRGFEII QGRLGPGRIHHCMRSIGIAQSALNLMLQRVTDPNRKTFGKFLHEHGTVIADIAKSRAE IEGARLLVLSAALQIDKYKAKGALKEIGIAKFVVPSMALRVVDRAMQSFGAEGLSQDT ELAARWAGLRTLRIADGPDAVHIQQVGQRELRRAPELVKRAHELKKKENELLAKNGFK AHL JR316_0004713 MAKGEESSSMAPSKKKRPEDDPMTEDAAAKPLQLQRRRVWRACE SCRRKKIKCDGCEPTCSQCATSGSQCTWLQTKDRAALSRHYVQELEARLLHMESLFSQ IAPALEQHMPTAPSPNGSAPATLPESINPEALSPAAALLRSIAPKVSPPESSSTPSPI AKSEDEVSELFGQLALDEYGHMRWIGGSSTMSLIQSFRALTSSPLHRISPMEEDPQAP GPSVNKLYFPASVFFGKIHALPGPEEVEFPDRDLAEKLVAAYFSRFHFLMPVVDKPYF LRKFAHIMDNQHDLDVIRAETAFLSLVFAVFACAANLVSDSRLATERHDDGGMGMVYY ERALILQYISHPNIQVAHVQCFILMSSFLCSVNCLPQAWILIGQAVRAGQDLGLHRSP RRLAVSPVEKETRRKIWWGVYTLDRMLALALGRPLGINDSDCDAELPVEIDDEHLPEY FTGAPMTQRQPSLMTGTVALIKLYEIGGRVLRQVYALDVCKDHLEPERKADLQKTVES LDAELTKWCDELPAVFKSQSETEEQVSMGAVLCSHYYSVLTTLHRNLLPVKRDQPVTP KSTVKAVSSARSCIRLAPSMKHVVPPSHHLAFFIQHLFSSAVIVLLYAMHASEQRAAN AAMEEAKSTLTALESWEGQWPGARKCKELLTDLINTATEAIAKGPKDRSGGQTNSQAA VPTSAHAMPTTTPTTSSHERRRSVTIATGSTGGPSTGRVVKGRSRRTLSRDTAGTGSN RRLAAVSPYRVDGGQRARSSSRKRGHDDTDALDRSGPSPYYQSFSSPAAAPRAGSSTH SSPASVNLPSPATTPAHAASDQTQNQDPSPRLSANANTYHFTSPLSPAQLPSPHRYEF EFGVQPSALSQSNLQQWNGNSDQQMFGSNSPDQSLYGNSFGNYTSGLEGYGAYDLNAD LNGGMGGLSTTPPSSTFAAVGLPFRGLDYIRNYNPSGYGTAEQDSLWQVYDPGAFGYD PDLPFTLGDTHHELHDTVHHQT JR316_0004714 MTDAEVTQPTTHENTEPTIEDGDDEESNEILLMKQRVAEMEREA TKLRELQAAAAEQANSAEESASAMETDEDKAGADSRSIYVGNVDYGATPEEIQGHFQA CGTINRVTILCDKFTGHPKGYAYVEFSEPEHIDAAVALDNSLFRGRLIKRKPRQASLV QFFFMSSTRNLTPNVALLHPTAMFSNFCRGSVRLMSTSTKSAASQTVSAVYPFSKTAL TLTRPEYARTTPALNKGKGLMDHLRNSLYTPEKYAMMKSLFSRKSSSQLRVGSIVTVL SEQAPTTFTGVLIAIRRRGPDTSIRVRNILQRTGTEMQFFPNSPHLKEIKVVRQPPGG RMRRAKLFYLRDSPDKMTGLAGGKN JR316_0004715 MAPGRPRSLRALTFGSAKREMSPGPPSPTFSDATHASAMNFGPN GPSKIITRGHLKASLQAYEDRQQDSRTRWKDAVGPKLRVRDSIASGVRSPPSNGKPLA CFGTYIPLEIVIKDANVFQSETLDKNFEKPLRQQLENYRDVVNERSQRYERALRERSR IIRETETRSMNRKERNLKNFREALAVLQNQVDQLDQLKASHYQEIIEHEEEVWNVVQN KICVVVRSEMDVFDRFTSKASDPIIEPMLQSVPDPFDSYGPPPAEDQIFSILAPLDIM TTATSSTASPMTGSTPERDTLSSLPSSATMKITSWLPGANGSNYTPESQSAEWATVPS PSSSATPSRSTSPIPSSSPPSVSSRRHSVPAVHRKTESKLRSVLSVIEEAKPRPQTEE RALSGPSPLPSVLTNISAPQNPDTTPVDVGWNFTYGQSNYDHSGGQLTPRYSTLFSQS SPPSLPDSPPTQTLERHGHDGQTHAESVTT JR316_0004716 MGWLLPERKVKTIHKSFFHLKDSYGSTQLLVDHLRGSSWAELSQ IPVESTVLIEGNVVLRPVHARKPGPTGSIDVDVDKVVLLNPANEQCMPFLPSNIHNLP NEELRARYRYLDLRRTVLSDNLKKRSQVTHIIRNVLHGFTEVETPVLLRSSPEGAREF LVPARSAVAAPDVGNADPVFYALSQSPQQPKQLLICSGGVDKYFQIAKCFRDEDGRKD RQPEFTQVDLEMAFVSWGENQVSDLVEKSSNVWRIGGLEVRQIAEDLFGSDKPDTRFG LEIINISDVLHANQTSPLTDSILECIVVRKSTDSDFIKASRALAPEADTNIEHITLTE ANRETWLSNSKAISHVYSNIAPTDLVTVNKALNLKPGDDIWLSYRPRRPTGGSTSLGR VRLQICEQAQVRGEFVITKDPHFLWITEFPLFTRDDADKDHLAHGRWSSSHHPFTAPM WEDIGALYRGEAENVRGQHYDLVLNGVEIGGGSVRVHDAKMQDYIFTTILGLTDEEKH PFQQLLHALQCGAPPHGGIALGLDRIMAILCKTQSIRDVIAFPKSASGTDLLFKSPAA VSREALEEYGIMPRQTSA JR316_0004717 MSLQQLPTELLDAVALQLAPTDLAALSRVAPVFYHVAQRRLYRH LRLDSSSLTCVFTLASCPHIASHVRSFEIRLSPQSTLLAAFYRRLALAIANMEELTSL VLAVDQAASWILRTPPHPRLRRFSSSFHLDHHLAHFLSKTDALLELHLDPPHDSLSPV APLQHGALPLLNQFTGPSQAAQLLVPGRPVEHIHLNSGDLTEDLAETLARSTVPITEL LATTTSHSVSLIGTLTRCMEQLVHLRLVTTYNFSDAPDAVHLLFKHCKRPNVPSSLAK L JR316_0004718 MSKRPPNEQESRLHQAALASSKKELTARQAESIIPDPAARQQAL NFLLGVGLLKGLTNSSGQLSFRAVSKEEIVATKGLTGEENLVLSHIKSSGNEGIWTKH LKAKTSLHQTVIERCLKTLTQKRLIKRVPSVQHITRKIYMLEGIEPSISLTGGPWYTD NELDTEFIQNLTEACYKLISDISFPKRRNGSENALYPISNAPRYPTAENIRHSLRKAR LTETDLSVEHVEMLLNVLILDGKIEKIPAFGSALWNSEAIGDGDSGDEEKSSRKKKRK KRDDDSDDEDLKTKKKRKKLAISDDEIESSDEESSKKKKKKKKSKTKSTDSDSDSETE KKKKKKKKRAHSSSSESSSEDEARHRKKSKKKQKHVSESESSSEDTDSESRRKKHKSS KRSETPNADFDAFDTSSGGSVYRALKEHVASIGLLESPCGLCPSFEFCKQDGPVNPKE CVYYGDWLAARTIVNNEDS JR316_0004719 MEIWAVIYTPNLFNDDVGTLIGRLLVRVLLKGKGISVDEMKFAT TPEGKPYIKSQTNPPLAYNITHDNNLVAMAFAPGIINQPAYNVGIDVMKVRIPGRETF DSFVHTVGDQLTTLEHVQLKAVIPETEKLKRFFWMWTLKEAYTKALGIGLGFDFRRIE FDVVARRICVDGKEPEGWQFNMFNVQDGEDLYQCVVAEYVGDTKTEVTYNVHNPEWFK VYGAVQFTEMAVGLLKT JR316_0004720 MSEAKLQSGWDPITVYEPIPDRCIPEELPALKKVLPSISILSPN ADEALSLLSSPLPASKDIIEKAADDFLDIGIGKDGSGWIVIRSGAMGAYVKCKKNKGV WVDAFWEATDVEKVIDVTGAGNSFLGGFAAGLVLSEDVYQATLYGTVSASFTIEQEGL PSISYLPSGIAIWNGDDPMRRLEVLKQR JR316_0004721 MSDREGHSGGGAPTDDDLSLPKATVAKMIAEFIHLISSEANEIC EQENKKTIAPEHIITALTRLGFTTFAPEVESVLKDHKQQQKDREKKVSKFEKSGMTEE ELAAAQEELFAQSRARYNNGQQ JR316_0004722 MASVNPVNPKPFLQELTGKPVYVRLKWGLEYKGFLVSTDGYMNL QLANTEEYQDGKSNGALGEVFIREAPSESAD JR316_0004723 MAKSLRSKTKRSFRQKKRESGEYAAAAAGRLHRLNSKLLQVTKK DTDGGRLETEDVEANEDGIPAPELDDSMDQPESMAVDSESSSKRISTHGPRGSRREEW RKSKGMPARATPHGMNRQGTVAAKRKAGRSKRRR JR316_0004724 MPTSSRKSSVGGARRGLQKAHIPYRGDNPEVGKKTGISVAHVER KSDGFEPFDELIQQIDGRTPPRPKAKRKQSISTVYRKDDDYDDEDGEQSMQLDSPVRH LVNLRPPTTPTASGRSRTSIRPVARTSDVDFDRIPSPHLPNSTRRGAGHAGPGPSSLR HSAHDLEPEPQSQSESQSDNEPAGDYTGEAGYDNNNDDDDDDAQHDNFDDHGQRLSPK SRRGPRQSNFSAIEEEDEEEAADLNPDPEGVEDEIAQGLKDVDLDMESSAEDSEPEPE PPMKKRKVVEERKTAPTKTQTRSKKENRPHREGVRRSQREHIRPLEYWRGEKVVYGRT THSGPVLVPPIKEILRIPKEDALPLGAKRKRGSTRPRSRSRAVDHHENSIPPALAVHN PEEGWDDDTSAICTVLHFTTKDEVERRIAWTAKMVEPRMAADKNWSFDKIFGDDDFIA AGQLIIPPRGRKPSKAAKDNTYVFYVIEGAVNFKIHQTSMILATGGMFMVPRGNTYLI ENISERNARLFFTQARKVAMNSDEKTAKAEHIAEMQRRKSLMRSSSAGAPSIHSTKTP GNPRAASMATFPPRS JR316_0004725 MVSVEIIDLTTPPPIPQPSTVHLDSSHAVQVEEVTEAATSQKPR RKRKRKSRNSHNSPATTACPSSRSSPDRELDFEAQAKRKRSDPSSSGRTSQENGLQDI TDKQDNLQAEDQDLFYVDVTPVPVPSARQIMAERSNEPVETSGKLLVPAHVTVLGSTP VEIISQPAVDSEDENFIDYLDYDDSKHTLRYFDDTPNEAIALNRTVCKNCGAEGEHKT SACPVMICLTCGARDEHSTRSCPISKVCFTCGMKGHINSNCPNRRSARALMAISEYEC DRCSSSRHQTNECPTLWRMYEYFGTEEQTWTLNVRQSKKDLRLGEGGEGFVGDDEWCY CCGGHGHWGDDCNDQEENRFPEDFSAFSKVNVMNGPFYDPAKELKKFKSNTKSRVRDW DKDNLSWDKDAPSNVGRRARMKSRAILAQQEQHAEADSNDWFSNSAKHRSGLPPKPKS SLSINFKSSLGTAKSHSMPNNNPSLLARISEPSQDKHRSNRSDKRYLGDGCSSSRRNH HEHSRRDDKSSLDHYSGRNRRHVDSGPRYKGGYGK JR316_0004726 MSALPYLGIAIPPLPQGWTEHLGPNGQPYYYNAVTRESTYIRPT PQISPIQPKKASKKEKPLLKTPIPGTDWLKVTTTEGNIFYSHKVRKESVWVVPDELKP ALDALQAKENESRGKSAQKTKETTSIAKRKADDTVPISEVVLNKKAKVDEEGEEEDES ESEEDNNEEDEEDWQREAAQQLAKEAEEERQRIRDTEKQREAEAEAQRTQVALKVAIP DRVDLSPEEGKALFKTLLREKDINPLHPWDKSLPKFISDPRYVLLQSVTARREAFDEY CKERSRELRQSAVKKDKEMANPKEDFERLLKEEVKSTRTSWTDFRRSWKKDRRFYGWG RDDKEREKRFREFLKELGELKREAAQKAERNFFALLKEHPFVTEGIAWKDAKKKIYKD PRYDAVGSSTLREELFNTFIKDRGFQHKPEQNAALQPNSPTTYGQAEGEEREERRKKA VKEREEKVNAERRRLDADIERSKMGIDKEEGERTFRTLLTDAIRESQVTWDDIVPQLK TDLRFTNSPLSLNHQLRLFHEHLSHLRDKQLTGLHALFEAHAPSLATKFHTLPLETIL SSAPAKKLGYNIDQIEADFDKWQRERTTAARIAFDEMMEENSFVEFWGRLRKIGGEDV AGNLQIEGDDIGEDGEDKVDMKALAKNIDIREMENVLKHDKRYITFEHIPDERERWIR DYISRLSAPKLSVHVDG JR316_0004727 MTSINSEISVNHQKTLTKKVLWKLDCHILPPLALLWLANFIDRS NVGNARIAGLEKDTHLKGNQFNTVLAVFYVSYLLVELPSNMLLKKMKPNRWIPLLVCL WGVITTLSALGLLKEVCSQESFVLDPLLEHHLQTSRATAKDISHRVASLSGAFGGLLA TAILKMDGVGGLAGWRWIFILEGLATVISSFIAAYFLPESLETASFFTDEERKFASKV FRHSKLSSGNLCYVCPNIETFSPIRKDLEEKANTEGQFESTSSSLPAQNEEEFEWREV FRGIWEIQVWLTGLAYFGLIVSLYSYSLFLPTIVAGLGYTGSEAQLHTGE JR316_0004728 MDRSNSLSSSSSNAHNGSRPASSGPFAYQTRLLERTSSRGGSSS LSRSSSQSSISILTNSTGSSVGSVVPRRWTPSHRVGNSLDAVRGKWEERSRESYIEDV LNASSTPTKEPLRSRSHSVANNTNASATFDNHNFLDPDRPLTPTSITSTPTRDNHTTP KYLKRQTLPAPIITTPLSPNSTGVSVEVDSSLSSTPQRIHIPHVASTNAIPSFHVNTK DDLSNTFVGQHISSSGSTQTRVHRAHTLDSSTPQWMGTITPRSDRANAKSPQQSESPN LTEYLFVKNSGPGTTAESPVKRSFPRPLSRNGTVTSNSRDLPSPNTSSSSTPGSSPMH PTPYRSSYMANKKADSYSEILSVTGKRRLGNHLPRIASGDADDSWVDDSQPQRTESSP TQRTRALQSRDISTDRHQAKVSPSGVVHNDGIAGLPGRIPLKAPNPNMIAPTPSSRLL GGSWADKQRHLLHAYEYLCHVGEAQQWIEGCLGEELEFGVVEMEEGLRNGVVLAKLVR TFMGDAAVRKIYEAPKLDFRHSDNINHFFKFVRDVGLPEGFIFELTDLYEKKNLPKVI YCIHALSHLLARRGMAQRIGNLLGHLHFSDDQLQQTQKGLKEAGVPMPNFGNVGRELA KEINEEPEVEIETDDERRDRLLLENESSIIMLQSRARGYLARKALVVFKGRIRLAERH VIKLQTQAKAVLVRRQLLAQRKSHDLLFSWAIALQARARAALVRQRWRARLRSLKRAT NSIIGLQAQIRGVLQRRRYQRFKAALRKINFPTKFLQAAARGVLQRRTQKELTKSFII PEIGTSIVGFQSHARAVLLRRRVAQRIRSLQYSSNSIISLQAHCRGLIMRRKMRSQIA KLENVTHVVISIQAAVRTYLARKRLLMLIRGLRKATSVIVGLQARARANLTRQRHVSL QKALVDVNTIKSVGRLQAFAKAAIIRNQHRELDKKLDVAMPDVLGIQAAARGAILRRE YQAWKDHIQRNHPVATMLQALLRGAMQRRAFRQKIDYYRANLNKVVKIQSLFRAKETR EQYRQLTLGKNVTVGTIKNFVHLLDDSEADFQEEIKVERLRKRVVEHIRENQALENDV HDLDVKIALVVQNLKSFEDVIKARRRHGGDSAALAAARVSLLAAHGDPFSGPNTLDQD ARRKLELYQQLFYLLQIRGDYLTRLLVRLSMDGNPESSRRFTERVVLTLFGYGQDRRE DFLLLKLFQTAIRDEIYNAPSIDDIIAGHPMYINIAVHYVRPRQVTYVRDSFQAIIRE LIDADDLDLEADPSLIHRARLDVEEMRSGKPSPLPKNIPFREAVVDPDTRAIYIRHLQ VLQWWTEAFVNAIVQSTKKMPYCMRFLARETILNLKEKFPGLPEEVYGACVARLVFYR YINPALITPEMFDIVPKTINITTAKKNLAQISQVLAQIASGVDFGDDKPSYVPINDFV RKAILQFSAWFNEVADVPDAETHFHAHEFMDATVQPKPIYISPNEIYTIHSLLLQHQD FLKSDHDDALKVILSELGGVPHLDNEELKEARDTAITLELTNRFAYVQDPLAEEKTLW VQAKRGVLAILRVQPAQDLLESLMQRVTENDEALWEEILEAEIENEIRQMPRRQPSTA VNDSAYRLEDIRSLKFAAVKALAISNLLELEKLGKITRDDGFQGILNAIAGDVRSKHR KRLQRQQEMESMNEALRQLAERKKYFEEQIDSYHNYVETAMNTMQKGKGKRRPVLPFS KQYFHLRDLQRTGQAPQFGSFLYSAKKLYDRGILLSIDQYSPRQFDKLQITMSSNRAG VFNLSLESTMLGVTSRIAAEDIRMEDLLQAKYEKKSSLPLFGGKVKVNFELFLFQINK KFYA JR316_0004729 MAAQSWFPKRTLQQGISSNLSFCPPSHMDDVTISVVVEEILTAP GQMHETETRLVDGQLLRVYKNLWPDLRVFWLWAAREHKDAIYTVFEKQRFTFGQVFDR SLQAASIFYHVYGVRKGDRVVICSRNFPEVLVAFWACHLLGAVSALANAWSPLDALRY CISRTECKLIIVDPERADKLEPIAKELTLESGSNGILVLESDEGKGQWEGMKTWKAAF DDYKGDSQEILSLDPALTPEDNATILFTSGTTGMPKGVLSTQRQFLSNIFNASYAYFV TAGGRRAALRRGESIPPPPTGPQKAILLSVPLFHVTGLTSLTMLSTMVGLKIVMMRKW NPEEGRCFLNSIIVLVVPSMVSDLSDSSCSGHPLESLMFGGAPAHNSLAERAKQSFPT ASLSQGYGLTETSSVAVGFAGEDYLARPMSCGLATPINDIVIVINDAVVPPNTVGEVW IRGPNVMKGYWKDPESTHKVLTKDGWFKTGDLGYLDQEGFLYIRDRIKDIIIRGGENI DSVSVENAIYADERVLEVAAVGVPDVRLGELVAVIVSIKPAYHGKVTETSLISQAQKS LPRHAVPVLVIIQNEPFERTPSGKILKADLRKMAAAIWLARSSKALNTTKL JR316_0004730 MEASSFQGLIHEELLPKEHHVFDILHYIKVAFKKHALDDFQESD CFNKEAFRYRESTQSFAALAIQSASLSHSESALFDMDHPSPMGFINSEIEFRKLDPDQ LNLQRTKLGLDEWEKNISKD JR316_0004731 MRFTATLLLSLALLSSGVVAEDAAQPPKVKHNYQSDVARLRKIV INSLYSHQEIFLRELISNANDALEKLRLVSLTNKAAWDGSDPLNITIKAVKNEDGKGG RLIVADTGIGMSPEELTTNLGTLAKSGTSDFLAKAEGGEATATGNLIGAFGLGFYSSF LVADRVEVASIPPKSEKNPNPVQHVFSSSADDSTFDVYPDPRGNTIGRGTEITLYLKP DAEEYLEPATLSALVHKHSAYSSTFPIYLFERWEEEVPEETPEEASAPTDSQDEPPVE PKESLGADSDDDEALVEDVTRDEETKVEPPPQKMVNVTREQWSRLNSQQPLWARDQKN ITEDEYNSFYTSFFKDFGKPLAWQHFSGDAGSGTAFKALLFFPTKLPEDYWQKPLEYK SKDVKLMVKRVFITSDLGDQALPQWASWVKVVIDAEDLPLNVSRETLQSNRFMKQLRA LILKRMIQLFAKISEGDDKAKFDKMQETYGSVIKLGAVEDSKNREKLASLARFSTNHR NETTFDQYLERMKKGQKQIFYLAEIGKKPADLAQSVFIEKLHARGYEVLLLTEPLDEI LLGHLREWKNVPFQDAAKAGLKFGDEDLDPEEEKERMKQLEEDFKPLVDYLKKEIGDT VRDVVLSQRLVKSPCAIVADAHGYTANVQKMMTASQAKGNRGGILHDYMLKAKVLEIN PYSPLIEGLLRRVKDLPTEDEERDIDSEEELQEVTSILIDGALVRSGFDVPDSNKVDR VLRRSLGVSETADPHVEIKPAPPIASKDDEPFDQGGSAAVVEDEDDGKPRVILPDHLK DKISLEMEEIDEDGNVVMHDEL JR316_0004732 MKRIKLFWTAFTAMFFYEIIPAYIFPLLNGINIVCLSTQNAPEK TLNFITNLFGGTDGNEGLGFFSFSFDWQYITSSYMSLPLVQQGLLNHQANPPVLLTLS AQANSWSLAFPMLSTSIFSSNGSIYQQSAVFGTNFQLNHTALQEIGLPALTGSNAWSN LTANLAIGGLVAHVVLFWGSYAVESFKLAYNRTQPDPHYQAMKKYKEAPWWWYLILLV LAFIAGLIVVFKGQTTLPWWSYLVALILGAFITPFSSLLFARMGNGVSTNQLMKMVAG AINPGRPVANLYFSMWSHDVVSTSINLASDLKMGQYLKIPPRVMFLTQVWGTILGAVI NYVVMVSVVDAQREILLSPTGTNVWSGQYPQSLNSAAVTWSLAKELYGFNGPYWIIPM SLVIGMVPTAIQWLINKRWPKIGPINVQSIMLPIIIQYSAFLSAGVNSTITSSIIVGL VSQLWLRKYHPGWYKKYNYILGGALDGGAQVMIFILSFAVFGAAGTGRPFPSWAGNPS VGNVDYCNGNGALD JR316_0004733 MESPLYESKISDPPALSSLAQLDSDPLSTEKKVTDSGSDSIEIA NEKVDLDSTRFDEDEPEYRNGEPVINTGRDVSRFAVDIRDDGDDALTFRSICLGTAFA GMGAALSQIYLFKPIQVGVSTVFLLLLIFTVGNAWAKILPKRSLVIGTPFERLGGILH FINPGPFTLKEHVVASLVASTAAGGSSAVQNFAVQRIWSGRATAPPHGVSFRNGVLVG L JR316_0004734 MSTPAPFSRLQLAAALLEYDNDLDDPTAPYRSAQDSAIFAHLRR NPAARPDLASRKSDLLGVSLPSDSASLAGRESALDTRRSRASKASLDALRNPFAVDNT SEFGEPAEEVEDEGLEVDLASWGLDAFIPKDKSKSSKGKGKQPVPAIVSSRLRRPSTN YDASATLPRRAVVTSKSASLGGNIEDLHVGLQGHQAERRRSFGSPLDLVGMEPPSVPF QRPRVESQTSEKISSPPLVPFPISSARSPSPGAEHSYGESKGGTHGRAYSSMSMNSRM ILDEGREDNAVRQRNISNDTLGMVKPQEDNPFAIQNPSHISRFDPKSVIRARSYSNAS MGSRMMLENDNVSVMTGDPYSRERPLSTLELLRPKVLVMPSPLQPISSHAGVQPPLNV REGFELSADGPPLPPGARSSRRLSSSMSPLDIEKVPLASNSFTPNPLMDLTLSQKTFR NTLAVPGQSMYPEGVNRLPRATEEGQQAELDPLGKEELVDPPIPTEGPTKTSRPAGKL YGKSLIDDLENRKLQMRSKQRVFTGDQRPSMMARSSTLIDPASLQKRPTNDRTSSYGP PNPQSGLSRHPSSTMKPLLSFEGEDDKPLQPSPTATRLPATRSVFGVDTLWQREMAKL KEMEAREQEEQAARRVKEEEEENRKQKKKEKRKKKDKDVSTVGSPEQLEPIEDAQNIA PPPTLPDIQRATRRVPAKPSDSDISSESDDDEPSIQPKQKEQSWNVDSSDEEDTGPRR TTGTGLRYPKQAHKTIAPRMDDVSDEDLPLAATIHKAEARAMLQTSANEFVESDDEDR PLSHVLLRAKSGTSPVNSHKQLRSLTSPDDEDDNRPLALRASRINLGPSDEDDMPLAF HPEQQRRTQYQMLAQHHQQQQQMMIQAQMQSNIMMNASMMGQTFFPPPMINPMSMMGM QVPLSIPSPPPIQDDAKFGLVDRWRRDVVIEGERP JR316_0004735 MALIYSLSLVVAHCLLIVQAQTISTTTPVPPLQWINLSNVVQGT NRPPPLRDAAIGYDETSRSLIIFGGLSENGLAQSQTFLLNLQTLSWSTPSPPGTLQGA PPSRSAALFGGDFAASKQVFVFLGSDGKALSDVWEYDFINQFWSQVQLSVGGPSPRWG ASGGIDIRAIPNQDSTIPGPNNTFYLAGGFNGNSIDPLSDVWRLNISGILSSNLPNSV QGSWERISGISNLPGRVNQAGTIVMNQIVASGGCNSSTSSTTTNTACAKQDSFVINTQ SSSAVSPGPCPAPRISPVLVPNLNAFDSSFASQTFMVLGGFNLSLWEDSNGHSQGEVA ILDINTGSWTRVIPSGDPDSSGQEAFPSPREGAVAFAFTQALVGNARNIASDTIIFGG QDANGVFLSDIWLLRAYTGVVTPSNPIWAGFGDGKLQTGVNADGSGVKVQYLSECASL ISTATNSHSPVPTKTGSNPGHGSSGPSGPAGSQTAITHLFNTSFAHKILATLSLVVLL PDILLFRWFRTNPGWSQTPIKGVAFISVGAFVGLMAYGLGVAGFVLAFTTLTSQSGSA HRQHLKTSHGIVGVIFFIGLYVLVPLLFICITCYDQLYGGGGEAQSQRSGSGHVGSID LGEKVETSPLPLRSETPQSLRPLPPRSDTPLSLPNASPPSSPRPRTLSWDASNMLRPS NDGGLSAESTISKGFEVVNRPNGRKSLPGPSHSTGLHGSSYPIPSTRSLGEIDWLLRR RSLNVVGELDYAITQAHNAQYVANISGTGTSPTFTSPIITSPPPSHAILHTLTQLSIA GLAVVSLAQLWARAPRYLFAILFIILVTYYAVLILLACHHRPTSSLLTMMIWRLRGNS TQTDKHPTESTPPQGPYTHHRPTYRVITHPDELSYSQHASPLSADTDDNDDMMDEDTR QRAIEEEMDRREVSIVTVPRRKLTVVNPS JR316_0004736 MDLDVPSKRVLTDEIRDASQVSDNFARSASSGTPEDLIQRLRNA GARGRKSVLEGYKTTPSTFSKAQSTGSIFTSMNDTLHKIYSNRDSLPSLPSNDQVSRK RARSVMEHDVEIATPEGSEAYPAFGQPVTFRPVKSLPNSRRSLHTTHSLPTSSFTLGG NGQTSQTQSFPQSSNEEEDWSQDTTFQSSEQKFEPMVL JR316_0004737 MEMVPAVFLPQEYAEVVDLEIANISVSDQQNREFNSLYPLLLCC HPRLISTDILRAPREKLEESCNNVISGLVNYDLHIVAARYARYQRSLAIDDPVAQKAA EMMEDSNYVVELELCHNKDGKPQRPFECRLCGYRAEKQTVKRHMLRMHLKSK JR316_0004738 MRLNRANAAMRDQDRTIGLAANITVQDQACDNIWRELVANWKRR TQLVEFCASVVDKSLTENQSALDDETQDPATRRRIQGVVFANEVKRKQVHNELVVESI VRKRSADAFKTRCKYFVPPQTDAEARRMWEAAQK JR316_0004739 MDLSLVYVASINDSEPDFGVSCLHGPEECAGNVQQLCVNKYAPF SNWWEFVKCQNFQGRYNIGTPEVALKCATTAGIDWQMSGAGECAGLDGSGKALEGVDL LRKSTLLGKELKITKSCTVLISGRAVCVHDGTWKDCENGHTISDFVRQIEDEYKKLNK JR316_0004740 MSLFGIGTMIYVALLLINAMAILSEDRFLARIGWVSASRQIRDV NTGFQQTYDHTNAYGTVQGEAGMKARLIDLISAVRTLMRIPLIVINTVVILYELAWG JR316_0004741 MSIQLGGHPMQVFQSSGARLLRRSLLTTTTSFAPACSRSASFFR PLEWVKEKLGPGVKEAQTTEQVQVAKELAKQEGTANLFDDIAEAKIKPVSRGKEGGSE DVVRKSKGISKPKPNFHKYSTANFKISHRKLNMLGKQISGKPIDYAILQMQFSEKRAS TRIMNMLATARDHATRYKKLSEGKLVVAEAWVTKGPRLPARMEARGRGHYGTRTHPNS KLSVVLKEGKTIEQEKAAARKRKLKKIVSAALVREDKPIRNPSPTWGW JR316_0004742 MPKCWLSLSLFLFAANTYASSQSFENTAIVRTVELGGAVVHVTT TYAIKALEDGQKSYTIALGRGEKEITSFLEAKVKGQQQALQVKERVLDVNEDYHLFDI VLPKSLTTNKTLNLVLETVQTHVTRPWPATAGQNEEQALNYSTNLFVLSPYATSIQRT KIKALTPRVNSFTIPDRVKAFSDSTPASKSGATVVYGPFEGIPPSTQSSFIAEYQQPV TVHYNHEQPVLEVLRLERSAEISHWGANLNIQDNIVLHNAGPKLKGHFSRLDHQTQAF YKRPAPHVLPALTLHLPSGIRNAYYYDIIGNVSTSKLRTAPSVPKEKQGTQFSVFEFR PRYPILGGWNYTFTLGWDSPLEDSTSYDKSTGRYIVEIPIMTPILGAVVNDEELTVIL PEGATDVQYATPFPAGSTSVGTHTTYLDTTGRPALTFQYKNLTVKHAQTIFVSYKVSP LAHLKKPIAVGTAFLGLFTLGMIARRVNLTLHQKKKV JR316_0004743 MRLSLSRSLLLFAAFLSVSAHRITVKKIKHASSFQPRSPSNSPS DLQINVDLAESNSFDLNSVHDLIYIANITVGGVEYPVQLDTGSSDLFIKGPTHPIPNL KQTDISLNLSYAIGWANGNIAYAPVEFVGISVPSQALVDADQVNNPALGYGAQGIAGL GFTRLSNIDMKINNTNSAQGRSLLFNLFEANPSEPNFIAFALQRSTQPGDDVEGSFSI GELDPLYSSVSGNERISTWPVHNPYRWNVLLDAIIVNETITVPSTKVNGAPSNKAVVL MDSGSSYTYAPKEICDAIYGNVAGAAFDPAIGYWRVPCSAEINMAFQVGGQVFPIHPL DVNPANAVDPTVCLGSFIPQSFDIGNDFDWLVGDNFLRSVYSVYDFGDFDASGNMGDP YMKFLSIVDADESSIEFHKERGGTPRTNITFVGLDGASVAPSFAISNDISESLELIGK YIPAMLGIVALNALILIVCCVVWMISFCRKRKLRQPAVTARTPRSRQSPIPGSINSTM SSMPMNPRNTYIAGSPQANHSYQPVSMALTEDTFVPPSPAFHSFDREGGKGSPGDRPK SVA JR316_0004744 MAASRNTILRLINASQSSVCPCHGCRPAVTPASQLQSINQLRSF ATPVQAVEKEYAFEVAASNLRFGDGVTAEVGMDLKNMNARKAIAALEAQSNLPFEVYD KVVAEPTEDSWRNAIDWARQHDFSHFLAVGGGSVIDTAKAANLFSVYKDADLMDFINA PVGKGLPIAKSLLPLIAVPTTAGTGSETTGTAILDITSRSFKTGIANRAMKPVLGIDT LNTESCPTAVHISAGLDVLFHSMESYTAIPYTERTPRPSNPILRPAYQGSNPVADIFS LWALQTTVKYLPRIAKNRDDSEARKQMLLASSFAGIGFGNAGVHLCHGMSYPISGLNK KGPKYKHAGYITDLPIIPHGISVALTGPAVFQFTAPSSPDRHRRALAIFNGTTESDPS ITKIADSELGPHLYESIARFLDGLGVPRGLKAVGYKASDVEKLVQGTLPQRRVLDLAP GIGDIIGSDGKEHLTKIMENSLEY JR316_0004745 MNKWQDITDMSVSKESLDMLSEYCSEFLIHAADVEGLCQGIDED LVKKLGEWVTIPTTYAGGAKDISDLALVDRLSQGRVDLTYGSALDMFGGTQVKFDDLV KENAIRKAKNKIAYS JR316_0004746 MAKVKAYELQSKSKNDLSKQLLELKNELLTLRVQKIAGGSASKL TKISAVRKSIARVLTVMNQKARQNLREYYKGKKFLPLDLRTKKTRAIRRRLTKHEASL KTLKQAKKDANFPIRKYAVKA JR316_0004747 MSPSQIFRRQSQSDDLGSQQKAPRSSPPSPKAELKSRPREPHPI PSNNPASQYTLLEKLGTGSFGTVYKAIHNDTKQIVAVKQIDLEDSDDDISEIQQEIAS LAQCDSEYVTRYYGSFVVNYKLWIIMEYLAGGSCLDLLKPGVFSEAHIAVVCRELLLG LDYLHTEGTIHRDIKAANVLLSSSGKVKLADFGVAAQLTNTLRHTFVGTPFWMAPEVI RQAGYDAKADMWSLGITAIEMAKGEPPLAEYHPMRVLFLIPKAKPPVLEGPFSATFKD FVAQCLTKDPKLRPSANELLQHRFIRTAKKTSYLTELIERYQDFRARSPAKNQVNHTS FRANPTWNANDTMRSDWNFDTVKTMSALGTFRGTINDLSMPPGMVAEDDDESLYDADG QESIDTGAATKGSDPVISNSLGMNPDAAHSTVIIKTPLSQEVPQTEEGPPGAPPAYTG SVRSTRRASYAARTSLDGAGTVLSAADLGTGVDTIRPVKKVDGLGSLRLSSEFVGNMR KDGSNSSPRSPTIPSHKRNASEVANAGRSLIDEVVLPILSQKIHDDMDAREIESLSML QRGFAELKDANPELAYNVIIDILQGINENSAIKQHVQTSRGLFPHKRIIRKSEMTAKG LVVTEETEEISGLPSTSSPTASVSQSTSTSILPDSPSRKSPIAELLYMRWLEGLKLKW PNILSS JR316_0004748 MFNLNAFYLLPFIIYYVAAVPTRSADGEMNNIHLPFNSLSNDWF HDDDHPVHALFKRAPGEDIEFPSIGTPAWASGYPPGPPDPALLPVEWVRALEEAIAAG KIPDIPQTTNYQGSSPVYPYGINPSSPEICSSTYKCRIPGDFWDSPNGVFGSSFDDGP TPSTPLLVDFLSSVNQTTTHFMIGIKMLYQPTQLQAMIDAGHDLAIHTWTHPYMTTLD NYQILGQLGWTMQLIYNSTGGRLAKFWRPPYGDSDRRVTAIAREVFGLRTVIWNYDTD DWIATPQEIGSSMSQFLAAPKSPGLMVLSHELTDMMVYAFIKSFPEIGANGWRFSSLA KALEDGRPYQDSSSSGAHNLHPDGIVLDVEPYTSTELTPSPSATDLVTSSAITPSPSA ISANTADVTKSSTALRTFPLYLPVYIYLWTFCAIGALGFSL JR316_0004749 MAPKASMALLFHPKKTPRKETQVASPKPGPSNLNKAKPVPKVED DEDEGLKLPEAPFMEFKLLSSALNGWRYDIMKFDSRRPVDIHKWTPPVKLNRKDLRRD EGSANAPPEAVGPMLGADGKPVIGVDGKMVMVDAEGRPIHPSGAAGGSKDKGKAGGAK KRFQRKTRQVFFVPDEVRQLRREERYPWVLEDSSPTQNEVWTGQMDDATKAETHALFM PAANQNFKFVPTHRWYKFQKRLKHDLPTDLVNVESLYNQNQKRDPQQWLASRKGKAVS TATAAMFKAESGGGGGSGSQSLGPGGRKLKVVDSGMDHLFDDGEDGDGSQRRRKRELG EDGDLDEQVFEEDFADDDEKMEVDEDDEEAKELEERLKKEYKNANKTREAGVDESDDD EETGPTKQQKAMQKLIRKQEGNDAYDSEDEQNPYFSGEEEEEEEEEVVGTATAAIQEQ QQQQQLESRSQTPKPTARPVVNGGTPAPGSRAASPTVSPGHGGHSVVAKRATSPKVPK PKANISRGNSPLGGQSPLGGSRATSPVADMSNDQKTGMKRKADESPEKPATNNPVNGG VPSKPKKRKPAPAVSPITVSPAELESMLLAWLKSTTNATTRDCIHHFTPYLTDREKKD EFSAMVRKHASLKGGILSLKRAGSSAPSPPAS JR316_0004750 MFKSGNGHLPIACGIKTIRRVIHHQPSALLFYQNRQLELYAAKE AKRLTLRQLVYFGRHMNEERLITSANYVRTELPIRIAHRLRDLQALPYVVVTQEGVAK VYELYWSAFEKLRNYPPVTSLEENDQFCKFVGTLLNEHAPVIPNLSLGLSLASPHLPP DELDSFMRRMLISRISRRVLAEHHIALSDTFSGRGRETNGEPHVGIIFTGLNVKRSVE RCARLLKESPLWSMSSSGPRDSSQLPEVKIDGHSSTTFAYIREHLEYIVFELLKNSML ATYSKHQNESSIPPIHVTIAAGEHDVGIRISDQGGGLAFRDQLSKASDLFSFSHVRNS TRLEDSRIGALRTASENGLRATVDEQVGNWHKAHAKDPTASDTISHELLQPRIGIGLP MSNIYATYFGGTLDLVSLDGWGTDVYLRLPKLGTNLEGIEV JR316_0004751 MDSDYVPPPPAYSEQEFDRKISQATTLSLATPVPNVDADGWQRY DPYVFQNTPTLTSGATTSNTLNGSASFSPSRNTVSLFNDKSSSQSDQSSVRCLPSVVP LRIEKKTQSQYSSNVNRTFLDSSPHSCHPPSKSIASSTSKDPFPPNVLCEEIPAQKFT NVPAHPTHSSSYERTSYTSSVVCGHPQAHSVNDEDAFDPYREFQIPQPHPPARQSLPS IPRPHSAVPHPSSHTRSRSEAVNSPSTPRVQFDPLVAYGKANLTPITAIQAIHSSLPS PIRSDVQYDAYSFYNSSVSSKMAPIRPSQADIQYHESSYEQANRQVPNAHQFHQSQPP SWQSPSRPTSRYHNVPSTPRSVASSNSIRFSQYSTGSSRDSVLSTQSDPYHLQ JR316_0004752 MSASNSNGQQSYAQKQSQPSVTSTTTPSSNGTSKPSDYVYFDRS TNGFSESAMTKAQSAQMKLEHYYKVAVDSAIERNTRRVELERRLQSENLMPEERKNRQ LQQLGKKESTFLRMKRTKLGLDDFRTVKVIGKGAFGEVRLVQKADTGKIYAMKLLKKD EMLKKDQLAHVRAERDVLAESNSPWVVQLFYSFQDAAHLYLIMEFLPGGDLMTMLIKY DTFSEDVTRFYLAECVLAIEAVHNLGFIHRDIKPDNILIDKDGHIKLSDFGLSTGFHK QHDSSYYTRLLESGNNSPPTAAQASRNSVMVNSINLTMTNKDQIATWKANRRKLAYST VGTPDYIAPEIFTQKGYGKECDWWSLGAIMFECLVGYPPFCSENTHETYQKIMQWQHY LALPDDVHLSREAEDLIRRLITWQDKRLTVEQIKKHHFFYGVDWDTIRRIDAPFVPHL RSITDTSYFPTDELDQVPENTTVDPNAASKDMAFLGYTFKRFAVS JR316_0004753 MAPRRKTSEEQFTFLMSWMPEYLQCTTNKQFSEFWTKVHGAYFI KFPESDSLLAEKLSVDAGTKNLDNLAYDEQLGEAIKKRKSYIINWFRNESSKSKVTAK PVLKGTVREKFSKSSKKHRRHHAEEMYDIIYGEKVTNLVRERLAIEKDDTISPDNVRA LNLKIRREVRREAWANETEEVQQEIERALEEEEEEMNQRTLEHKTLSYKSQTPAQLQE AIDQIKTVLMEVGQDLYELTGWSGVFMVGGPRPIEGGKCAVATICIGKNEAGHTFQEA YSEWHDNIVPLFGRWLHTKYSPQDRAAVSLYPNEQAITSDPISDGIETGLSGPNSVPD LYRISPAPEPPMLNAPLNNPSVISDSVIDPVLRGNNVPPVAVESNTVPAPPMPIHPVL RTPAIAPTSIPHRAEPMATHTAEQPAIEQPTVTAPVPTSMAPVPTLAAPVPMSTAPVP TSTATFPTSTALIPTSMAPVPTLAAPVPTLAAPVPMLAAPVPTSTAPVPTSIPQHAES MAIHIPFSCPAPNPLKAPVDRTRPAATTKAKKKQQTKGKGSRISKKSASVSNTGKESQ NPVEESLQQDATSTAHDCTSTTHDHAETPVIIAVPSAWARQVRENEQKRKKRSKALAN HSGQYPLTVFPPPPASAPLPTPTTGPECETTSKRARKAVVLADGSAPVRQVKKQRR JR316_0004754 MTSFGTNVDHALLAALIASIDRLSLAIGTLRTVVDPAVVSESAV PSDKEQNIHLPDILAMALPDVLSNNTGRHPDVVKADLAKFTGPVDGPWYAVTCGRVPG IYQHSVEANYHTDGVPGSAKKKYDSQAQALEAYRCAYALGAVRRRDM JR316_0004755 MHSTLTIDSSARMRFTGTRIAFICTILTGNGQLSQASFVVDGGA PVRIAHPTTPPVQWQTTFWDSGLLSYGSKLCNTFHEKECVEPICTLGYARFLIPTLMV QSPEEKKESHRVASSKYYAKNRSALQESARLRMQKLRAARKSGKHLPTQNSVSNIQTT ASSSATSTPATRTPLTARKSSTSEVPVNKGLLFVDNPLLQDCMTD JR316_0004756 MVICDACEKTFKTKHAVRIHRITCAAVQADLENVCKPLDVDRDT GSAKRVKIDTTVNHELNKDAEWEDVSMTYENIDDGVILPPRSDPPDPFPEGFVPPPTR LGRVRKYPKKHVDFLPNSSTRIPHMPPPIPRVRRSAPKPVSPHELTPEPAPSFEPTVT RTLPNEFGLYREYPTFPRQEVDDFEELDNLCNAPGLATSIPKESSSRIAKVMGISLDK ASVSNRFAPFLNATVFRLMHWFYGNTTLKSVGELDRLVREVLLAEDFCVKDLENFSAK RELARMDNATSAPESSQSTFRQEAGWINATVKIPLPCEQSLHPSEDAAPVLEVPNVYY RSLVGTIKAALQDESAESWHFTPHHLFWKPSPSSPPERVITEIYNSDAFYDEYVDLCK QQNQEAQLSDTHEIAIVALMLWSDSTQLANFGNASLWPLYLLFGNQSKYVRANPNSFS AHHIAYLPSLPKTLQDIYMKAYNGLTATAATITHLKRELMHAVWLILLDEEFMEAYEH GIIIKCADGVIRRVFPRFFTYSADYPEKVLLATIRYLAKCVCPRCFIQKRWVSGLGTH VDQQRRSHIRKDDQQRRDRVENSRKWIFKHGKGVKSTRVEDILQDTSAVPTRNAFSTR LSKFGFNFHTMFVPDFLHEFELGVWKSFFTHMMRILLAAGGNCVQRLNWRYRKVSTFG RSTIRRFHRNASDMTKLAARDFEDLLQCSMPVFEGLLPPAQDKFIQDILFTFCEWHAL AKLRMHTNSTLTGLGVATRQLGKELRSFVSKICPLYATKELPKETAARARRAANNAKK GKPTAPGSLNAKVSKMLNLFTYKLHALGDYVKTIWMFGPTEGYSTQRGELEHRRVKRF FVRSNKGSQFERQISRHERREQLLRSIAARVSRLEESEASEKANSTSKDKQATAQPSK KRPRASRVKKSYIKPPHIVSASESESLPPISPSEHHQISTSKRHKLYLFQFMNEYCDD PAVTEDFVSNLEDHILSRILGHSNTGQVRTYSDQERAQVNILSDRIYLHKVLRVNYTT YDVRREQDSINPRNHCNIMTLSRDGDSGRSMAHPYSYARVLGIFDADIQHNGGKTQRF EFLWVRWFEVDYAFRAGWTARRLDRLKFIDSDSPDAFGFLNPSDILRASHIIPAFNLG MTDSLLPPSIARQAYWEDDNYPDHDWNFYYVNRFVDRDMFVRYLGYGIGHKGTNEYTR SMRPWYFGSYFNLSDEEPLDDEEEVDLPVTAEQEAAMNASESENSDESEKEDQDEEND EGFVGEDGEEPWDMDDTEAVGFAEF JR316_0004757 MAPASQIHTADAQPPHSTQHAKSRESISSSDGSNEDSSGSDTDQ DHKEEVVIKKRKKALKVTFRKRASRKKLKSSAVLEPFMHHSAWHIRNGAIYTNWEHVI LTGLKAEQGKFGSLTQQEFAEKHKKALSAYDDLRAAIPTFDDDIKVIARDPAYLDRLC KTMVTAAGTARSNDISSLKKDALTYAALCLPDGRLDPPINPNDSKKTTRGFKHPQLGA LLVPAACFEQYQNDPEYRRNLSLNKVVIKAKAMPHLVYPYGKYDPEHVLEGMFMAPAL VAVFQHIFMSPSSALKTPGITRTGHGKARKHHMKSVTIPSIAYACTHYRYAISGCMDW RQNDRHFNYEEFYMEVVKMLEWARENDPEWWKDFVTWWNAQVFPVEDDSVTESSSSDE EMTTSTFKKMKAQVKASRAARDIPANSAPPPPPPSQYQREMLSPSPPLSLRPVQSPAP GSSIPPSVGIPGRQHHAQYLAGPVDANIDPRLQIPGPHVASNHPPLGGPSRYPAGVPL FYNSSHPIASASTPAPALSRGHPTPRALSSSVYTQQFNNSPEWQLENTYDESYFADHT RKKNHIGHRHPSVGPQMNPVFPDITNW JR316_0004758 MPVSDAILTQILAQLEAMQVSQQTMQAKLDAPSSPLEPKSMKGL PNLATPSTPPREGASTSTQTDDAKESAVSVQAQSTRAALSSAPPSLRPGAASLTDKER EKLLVSQHDPNLTSCVVVQMTIAGGAAEAG JR316_0004759 MLRSTRPKSKRERARERNHNSDFTVISNSSISTPEPLSASSNIE VLHRHETVNASSDHRASATFKNRYFGIFQSLTDEATWRRLGTRSRLTEPLIDVDILDY HDFDLADLGFEPLDPLWSDNIDEDSPDDGDNPLKNWVEQDRDRDLQEILRLDGRGDYS NHSSCYQCKINDALYQCLDCDRIEMFCNACIVGNHLANPLHRIKKWNQQYFEPTTLKS LGLRVQLGHMIGQKCVNPRASPGNGFTVVDSNGIHDISLDFCDCETAQSHAIQILRKR WFPSTGTYPKTAATMRVLKQFHLLAFESKLSSYEFYNSLVRNTDNTGLGTDKDCYDEF TRMYRKWTNLTMAKRAGRGHDSRGVNATQPGECALLCPACPQPGKNLPLGWENEPPEK SWIYGLFLAIDANFRLTRRHVSSEDRDPGLGNGWAFFVQNIPYQEHLKRFWDYKQPKS TCVNHEACNNSEKEVQGKSVTGGGTVDCARHNFKRPCGFGDLQKGESIMRTAVKRIFV SYDIACQWYKNIWQRMGTMLPAPMHISSSVDNIQFLVPKFHIAAHIQECILKFSFNTT KYVGRTDGEAPERGWSATNALATSTREMGPGFRRDTLDDHFNDWNWKKITGLGASLLK KIKKAVPQAAEHMSQRRQFESVLPTDDIKKWTQEVELWELSKSSPNPYQALVKSMTEA EVRLLLAQKAAATQDEDVVHSNVHPSILISQGLQLEEQQRKLAREVNALGQHATPSQI RVIQESSNKLRRRITAWTEVQSLYMPHAAVLRQKDASAMLLPGGSPSVKTENLPLYLP SSFGSNMPCDPSLRIFEWQLRQGQAFDALHEIRQNLRVASHYIKHKKRFSRGVAQNTR SNTTIKTFQEKADHAGEKYRVARAAMVHLSAHVGDLAHPGWQSELKPLREEDMRNISE GQKGETDGRRTISWIWLTEGVSAIEEKDKRMHEALRIEWCHNRARAMRWSEEIVLLQE EMRRTLAFFEWQANWWTNCGGSLEHVQDKVTKEGLVAYRQRQAALRLTLRDRFAENWK DVSSWVAWGEAKSQLEELDDLDNEIAVVDSIDNTNLH JR316_0004760 MTPVQASTIPLFMKHKDVVVEAVTGSGKTLAFVIPIIERLIRRE TKLRQEEIGALIISPTRELATQIHSIFQLFLSSQPEDTPTLPPPLLLVSSDQSSPAQD VQRFISTGADIVIGTPGRIEEFLLGKGRNTVNVKGLEVLVLDEADRLLDLGFQAVLSR IITYLPKQRRTGLFSATMTDADALSELVRVGLRNPARITVKVQSKKSKVGMDGTSKII EERRTPANLKNYYVTCRPSEKIIQLTRIISNEVAKNSSHFIVYFATCACVDYFYKILP FLTESRATFYSLHGNLTPSARTRTLSAFASAPATVGNPSILLATDVAARGLDLPSVDV VIQFDPPTDTKTFSHRCGRTARAGRGGRAWILLSGREVDFVDFLNIRKIPLEKRPYLT AEAETQPPPIQDDDEKSDDPEVEAFVDKTRRILLTDRALHDQSIKAFVSFIRAYSKHE ASYIFRVKDLDLIGVAKCFGLLRLPKMPELKAVAKDLWKDADVSWDCYAYANQAQETK RQRELADAATAKETGEKEHRHSDYIRQKKKNAAWSMKASKEEEREKRRDKRKAKKKWE RAREVAPVMSIEGHTAGKRPHEDIQVGDEADDWAELAREEKMAKRLRQGTISQKDFDA EFADL JR316_0004761 MGWFDNDSDQANAYDEVERAPHKAQLSHELIAAAASYEAAKAYE KHVEENGKPESHAKAKELLAAFSGAFIDRVVETKGLDFVDKERAKHHAKEQLHEASQG DYY JR316_0004762 MEVKDFRHNKRSLDRNDNDRINKGLSYITAISALGFVVGLLFFI KWAYVSHRRKSQRDALQSIWRDDFSVSSLPLWSRKRTLKSKKYVRSALLVGLFGSPAW ETRYSATTNKVSRSRPQIGWPDSFPAKESSQYKALSVKSVRNAFVNTTDTNRGTGDVH HLRADNHKPDAFSATPELPLPLPVMELHSGMKRSRHSENMNSPRIMCWKNAILSKKHT SYPSGLWIEKLSSGPIGNPQTPSAESSFTASFNVLGCSKDTVSENTDPQTSDIPRCLV SIESEVALPNPQHTNSSEPKLDHEDTCYLSKNAILNTGKKGLNVHLSGGLMDLERQIP LPCTSYQGDLQPMSFWVPNLSPFTLTNDTSNALNGSEYHSDKRQSSKQARSVLPVKER LPSRSPKIGPSPLRRMFLPADDTEVNETDNTSAIPTSISDNNRRTQFPWAHTSPEALI PFSTNPISLNVDGAKQPRNMGSTGLGISSTVSKISYNKPPVQRLAFVKEPRTDSDTDL LYFLEELVQETSEWEPDMFLDDNFKVMIDSSKSFGLCAPTRVQRSKSTPSRKHRMSYV CLEDIPEVDITENSHSPNADEDHRSYWSDEEAADRDVGIAC JR316_0004763 MPPKRKQAPQAEVQIQSKRPRTRGNSSVVDPPTENHVTPSRQDR KSSTRKPLKDAGEADASASNSNIPNNVVAIIDNESLLRKAGVAKVYGKAARRPRTRKD TVHSIETHTSPDDDPPVHRQLRSSTTTEVVIVMPDAKAPSKRTAKRTTSNSTILKEIA DSTETPTVKKSRRRTTTVAGELETMVEEPEAKAPPKRMTRRRTAATIIETDTVPNIPK NKRARRTRSTTIVDTYLEAPDSVEVVHTKELSRANYSEPPETVSTIDGSKVEELFKTV EGSPLFSEVESIDDNVSMTRPRSSLTPTPTYLDGRSSLAQNISIPPNSAALQKVPLTE ASTALPVHLHPFFYTQKRSIMKMLQRPIFKVDAPELEVSVNVVAASQLAELIEGTVMR AEGNSCLLLGPRGSGKSAILEDCLQNLPSKPIIIRLSGWVQSTDRHAIREIAIQLLQQ TGSALFSDIVNDPTNPATDTNQDDDNPFLITHDQPNEESAFSTTSLPPASHLHSLIPA LLTLKQPVVVILDAFDLFASHPRQSLLYCLLDTVQNCRASAESRGIAVIGVTSRLDTI QLLEKRVKSRFSGRTIRTAPSSGFDVCLSYVRVSLQSSAPDNTSLGQTEWNQLWDAHV ENFLANNEVLGILKETYSVTRELKVIARILMQSVSLLTLAQPYLTLKHISQSAHSQRS RPSSLHADNLSYPAICLLIASVHLNTNGQSTFTFEMMFEIFRDQVRASQSAPAQINGG SIGMMTCTRDIAMSAFEGLISAKTFIYISGPSANTAKQFMKYRCALDRGVISKLVEQS GQTNLRRWYDRAK JR316_0004764 MGFTGTVQAVLDALCLETLRRLARWLNAPPNGLDIVQANLRANH SAAFHSRWHLSIKSYRSVLTQTPDSTVQSERNMFALTMDDNVFIHLEDPAAPTRADVL AVAPPGQEAMYLKSPSHYRNTFLAMRPPGGLEQLLAQIKARWISVRQAAGQRNNITGQ QLIIEGRTYSIGTDWMVRVGNVQLAGGAIKGMLLEAEYLPLPLLRSAATDNTSELLSN LLTSILPNIPDAKTVAVTFNDAHWQDQLWDREKELSEMNDPVAVVDNDDPYAWDNTDI AEWKQGDWAGVDREQRSAYLIIGALRSEGLL JR316_0004765 MLLPIPPIQSQAPSSGRSSIPLLLTILSLAIHAPSQDHSLVQHD SDRLPYARPSIRDNPPAFDFSMRRHSIAVGQNPITLPNLSSTLHGTKRKMSTDRSVFA PVGEDPDTHLVGPGMPSVMDVDVDAPAPKRRGSTIDTQRIAQLSLNDRRNSVDSRGAH WMLNDRRDSAPSLFPSVPGINSFNSSDSHHSRVSSSIASFSWPANEGPNSSSLQNESN YSNRTFDPVHELSVPPPTSYAPDRRMSVPDNLTHPSSRSGHSRSRPPSRQNTDANSHS GLSSGQEEPSGTSSPNTAVKGKDRDTGLTPYSRSPELRVSHKLAERKRRKEMKELFDE LRAQLPADRGMKASKWEILSKAIDFVAQLKQSHQEMAAENSMLRQQLHAQRSESFNSG AGPSSHNNAYGQPILPPFPPPLIQPHQTSQNQSGHPDSRPNSSQTPFPPLNSSSQNGR GDSHPT JR316_0004766 MSTDRKKLAGVAKASGSKNKTTLKQKSLLESFVVKSNKNDKAGG NNQLSVPPPDLLPTEVDGPATPSVDNSILPLELDDTIVPLLDVTIPDVELEARPTQIL DLTTPDVELDESGTVVQVVDATRRSPSIVLLEEDAAIQVSQAVSSQTSKSKAKVEGYT RDQPIVIPSSPIKESTNPKPNVPVHPFFMSKAKPTASSTPLPTKTSKNALPNQGPPFP NSESQHVKGPQTATQTYVASFPNRSRTIPSQMTLGMTNYKFLIGRPDIVVDKASDIWI HPKADTPNEPSILDIPPEHIHNHPAIARLVENGPDCLPTSSRPWSEKWRPSCAKEVLG NENSAIYLRNWLRALELQLESDHDNSAKFGEGSNTMKGKSKANAGRGTKRVRVVRTVD KSRKKSRLDSDEEDDDWIVYDDESEEEQVNFEELDEFGEILLPEVPASSSQSSSTHDL PPSFVECTEQDLGQLHNTILLSGPSGSGKTASVYACSEELGWDVFEVYPGVGRRNGAN VDNLVGEVGKNHLVLQNRRNGDVLKSFLSQKKNTEAPQESLVASYSPRKRRTDKDPFD VEEPVNDSKPIRQSLILLEEVDILYKEDINFWTTVIRIIKECKRPVICTCNDPTLVPT QDLPLQTIIEFEPCPTDVAASYLQAVCLSEGYQVDRNLISRMYLYPLEGDRTGLYSAS CRTAGRDLRRTINALQIKCGRFTRATANEERDRSKDGPGVVRRMAFGEKSEFLSFLDA ELVRDSSGIATGAELASYVPTNDDETGHTILYDRQRADREDFGQHEDIICCAVESGQK VHGDDVPEEAREDGEVLRVLEEVRPVRAWTRRLALEYLPYVRWMVVLDEAEEERIRLQ GQGQGMRKTRNSGRSDYVRRMALSETGRRIVVSTGLGIVDGA JR316_0004767 MDPSFLEAAKFISNEYRDLNGTGIEILDHPPSAIEFSRVIHISR PTIIKGFRFPALDLWTDEYLTKKMKENPISIATTPNGLADSICRASDGRLYFVEPFIE KMTMQQLLFKLGSKTTSPKPEIYYLQSQNGNLFSSSSFDSESNEATDNNSEFFPLLSD VPKEVPWCSEALGQHPDAVNLWIGNEQSITSIHSDPYENIYTVVRGEKHFVLLPPSDS WCLKERYYPHATYTRTSSSEALEILPSTEDIPQVRWSSILDPDSPNAFPSEITPIRVT LKAGESLYLPVGWWHYVRQSGLTIALNWWYDAEMRGMSWTLLNFLRNSTTIHDGNYND NTD JR316_0004768 MAKDKSEKKRKEAPASDNEDVDMGDATIEKSPKKAKKDKEEVSI AVEDLSPIAHPLAQKKLVKKLHKTIKKASKARQVKRGVKEVVKGIRKGEKGLLVLAAD INPIDIISHLPVLSEEAQIPYIFVASKEELGHASSTKRPTSCVMICPNQKRKTKRKEG EGEDKEDEYRELYDEVYQEVEKLDNKVVF JR316_0004769 MPAPKSKTASNANGAPKGKTASTSGTATPVSATTGEKETLDQLA TYAGRPDKNVYDAEQAKIKSEIDALQAKLGVVRDKIALATKSGPGNDRRNALRTELDS IRDVQSANKASKSKLEDQVKILQDGIQKKIKDLQSAKSKIPFKTVAEVDAHIKNLEKQ VESGNMKLADEKRALQEISTSKKNRRVVEGFQTDQESIEADRAKIEELRKEIYNPAAT AISERYTAIRAELDQLKKESDEAYAGRSKLFEERDNIQNQLKVLFDAKRESITNYREA NDRYWTKVNEDRARRAEKARLQRAAEEAQKKQEYAERLLEEAQVPAFQSQIEDCQTLI DYFSGKSTVVTYKSTGLATRAEVTSVPKLELRKVEEAPEGLVARKKKSEEDDTYFKAA KKGKNNKNTKPAVKANGNANGAETTPVAPTNTSLNVPLPTLAALLSLSIPPPASTTDV PRLIEDLNTKKAWFEANQDRVTAENVAKATAAIQRLTKGETPSTLDTSSPAEPESPSE PVPTPQAGPASDPVQSEAVVDQLEAVAETVES JR316_0004770 MTAVNLHMSSMPPLDFLPAHPQHSRSNSVSSSSTHSSHSRPQSS HGSINRIQQNLGPSADDLYRASYHLGHQGIMHSDPPPPNHNLANPALKGPLRPNNNHI RGARVAVSPYPRDTDSVHSSSSETEDISMYLANSTPDYQSMFGGAPTMAPSQEAIHTA GAFGRMTINPDHALEKLAANVRAATTTSASDRAKQIFVQAWLSANYAPYPDGNVPRQG LYFSYRRVCDQYGIPHINTATLGKAIRLCFPTIKTRRLGVRGNSKYHYCGIRPATSAE AEWLQDYIHKSNNNAAQASVNAARLSQDQSESATRSEDRSDEDEEDDSEGNNSVPGSK RSSLTLSGEIKHLAFADDLSDKTPTAATLLSQAQSAGRPSGTFPPHASIRRHPQQEPN LTLQAHSPSTPNSGAYISPQQTTSVRNLPHFPSIEDAVANSTSAHTVAAREVWGWFQD HLDTLLESVRTFRIDQFEIQLRTFWPNLNGVHREIVQVPAIAGLMARADAIVYDEILE VLRSQMLSPLPPNSLSALRQIALKMEKVLLQALEGYYNTFVEPKVELGARFGHLVLRF LDIYQVTQALNTVLTNQKQLSEMRRSWQKVDFESVRNQSALVCNCRHEDLVQLLEVEF VTLLESLPKTNEPVREVMSWADKCCERLMGSGRNAGHNGQEERGTMSSRSVLIRWGYV TSQVMRDLTIRSDPAFGAFQILKLFLDDWIAVNVLRSVALSTNSVAASVEPVMQQQFF SMSPMAGQESFGSVDARPQHLMAHTPTTSSMLAALQHDSYGSTSLDSAASAFNSDAYG PMSYMDTSAAQDDALGSGHQSSLSFPDFSGSGSTFDVSSFTSQDLGLNAPVTPASESD HESEPVKTEQSAA JR316_0004771 MSGVFQRGAYYAVEVIPDEVAVISLNTMPVESIPIVVSGCAYTE PNDPGNLQIDWLEVQLQMYHDRGMQVWISGHVPPSRGNYFPECYVRYVELALRFQDTI LGHLYGHMNVDHFFFLEAVDLEIFPDKNDGEVDMTSDKGLFNSLLQDYRALPKSPEMT DYAVVNVAPSVVPNPYTPAFRIFSYNVTGAGGRLAETTAHMTARRKPRKPKRRPGHHR GDQGNKTVQCESEEYQNTWRCHFDQPWNSDPSAPSRMNQRWTPLGYAQYFMPSLELAN KTHGPLVELEYVTYAVEKLHPQGEGREFVYPVPVKLLPEELRDPGVAKSRYAPYGMED LTIRSWIRLAGRLADDKRQKLRKRFRKYMYLKRKEIRE JR316_0004772 MDRLLAPHTPEATAHYHLSQNSFNWHTDQPSVDESLISGCASYR AFDRYLSGSDIFLLPRTRAELESILRRYAYDAIHNAIASSRSQLQTGGYSRVCHLAEK SIRDVLNTGDNVDILLALHRPSNSNHDSEECNNARPIATRLK JR316_0004773 MSALLTVQHHARPLFLPQDPVSSPVFRFLQRVNSAHALALASYF DLYIWSTARLDAFWDLVWDETNIIGVKGSHVVDNTALPSANPLWFKDARVNWAENMLH CRSELRIALIEAIEPTPDLPNPRLRQLSYAQLYSLVADAVSALLFYGVKPGDRVASYS SNCIENVVACLATSAIGAIWVSAAADFGPEGVKERFEQVQPKFIFAVDAVVYNHKVHP HLPKLSRLLSGLADLISPPKIVIINTFSHDQTSQSWGEDWIAWEDFLSKGHQSNLGRT ETGEIKWNRLPFDAPLWILFSSGTTGRPKPIVHRAGGMLLQAKKEFAICGDLRPDDVF FYYTTTGWMMWNFLVSGLSIGCTLVLYDGSPLRDPSLLWKLVDDLGITIFGTSAKYLD QLSKGYRPREHHDLSTLRHIYSTGSPLAPPLYDYVYEHIHPKVLLGSITGGTDICSLF AGMCSALPVYRGEIQCRMLGMAVESFSAAGTLNPPDEPGELVCVKPFPCMPLGFWPLP GYGTEADVEAALKRFHQSYFSEFEGVWYHGDHILITASKSGNGGGIIMLGRSDGVLNP GGIRFGSSEIYEVLDLCFSHPTAEFMVVDYLAVGQKTEGGADERVILFVKLPPGQKIT PEFERKVKAEIRARRSPRHVPARIIQVSDVPYTLNGKRVEVLVKKIINGAPLSSVNPA TLSNPECLEFYHNIGQELRQECP JR316_0004774 MASAAQQHTSRPSQPTVSRARMKLFNTIRYSIYGTVILFTVICL AMAGKFQSVLAASDLTRFVPFAIFVCSVSLLIFLVLSSFSFFLRGRNPISTKIELACL GLAGIFWLVLGVYLTTSDAQSADVECFSSDDVSESTPVDDASAAFHTEQYQAMYRVLN TFALLNAILVLFALFALLFLAVRRHRRGDEHMWHGPVTSCAWFNNYENTQQTRGKTSS SSILPVASAYMGEPVLTEKPERKASARRAERDQNRDYPSTRPSQPRNPYPTPPEQSRN PYMTQTQTQTNPSRNPYPTPQKTQTPSRYPTRHDSGRSRTGPTRQDSGRSRSGAVADL ENGGMLNPYSSRTRGTR JR316_0004775 MTVRFGNNRLGFYVAVIILSGVVLGLGANFANEFLPHLRQNFTI FSIVVSSFTILTFLLTLQWATPYSEAVVLFVLGTLWLAMGAWSTDVIGPMQCDAVAGQ KTPSKNGETSLQAFCYEMKVIQAFSWTLFILFVIAFYVLHQLVNQAMRFGRHKIWSEP IRELPWFEEAPGYYNTNTYGPNMMQYPPPSAGGYGYPYHPAMGGMPVPNPGHSIIIQP GMNGAPPTVTQVPISTV JR316_0004776 MINIFESFLPQLLRYPNPNDPLNGEAAALLMRHPKEYDAKVKEY VQRYATKEAADAANTNDDDDQDEEMSDIGSISDGE JR316_0004777 MHSDKSSPLPPNPDDVLDQLRNLCSPAFLTHDTAAMHIRAMALI GRLKSLYRAANTATRCRKEETAEARQEMDQSHLNLQNLLYEKRHLEREIEKCRQFASI YQDIPLYTLEEYNLLAPEQSRTEEILADEHQLMLNRLTFELAERQRLDLKKKELMQQK EELLKESKAKSTTMDSVKAHIETLMKTATEIQKKVDELVQPIPTQAGEDSRTMSL JR316_0004778 MPRERSMSGTIPSMETAHDQLKDNTIIIVLGASGDLAKKKTFPA LFALYRQQFLPRDCKIVGYARTKMDQADFEKRATSYIKGSDEPSTANTIEAFKQLLTY VSGDYEDGAAYDNLHAHLQEIESKYQSKEANRIFYLALPPSVFIPVCKNLKEHCYNAK GGVNRIIIEKPFGKDLESARTLLGSVKQYWTEDETFRIDHYLGKEMVKNLLVLRFANI TMGAAWDKNSISNVQITFKEPFGTEGRGGYFDEFGIIRDVLQNHLLQVLSILTMERPV SFAAEDIRDEKVKVLRCIPPIERSDTLLGQYVAANGKPGYLDDDTVPPNSVCPTFAAT TLWIHNPRWEGVPFILKAGKALNESKVEVRIQFKDVTQGIFKDIARNELVIRIQPSEA VYLKLNSKTPGLHTRAIPTEMDLTYKRRFLDAKIPEAYEALILDALRGDHSNFVRHDE LDVAWKIFTPILHWIEGLEGPRPRPVQYPYGSRGPKELDAFIGKYGYRRNAEAYFWPV TNMAAL JR316_0004779 MATTAAVAPDPEPPTFPIDISPITPTDYGTFVTRSLARGKRDND SNSIDQRTLRNCLSLASSFLMTDTAIDPRCGAGTWSTGLLRLVDLVIVLHRRNELELE TLNSASRACSECWTATGNWRGLAECRQCVREAAEKLKKILDPDKTYKGERIYAP JR316_0004780 MASFLNTFFQSITPPPVVTPEHYDGYELRWKALVFRPALLRTEA YLLVGILFYVLFGYLGASVNARKAKNWLAVHLPTYERQFSRPQAKGGLISDGNSDFFN FSTGRRNVASLHTIFTLRPRHDFFQWVFQLGRTFVDLHYRPVDDVQLDFKLAPGALAD NFVWAVVAKDELLSVKNNRWDLTFLRSGENPTLPPHFVVMTEFADVTENLLKPLGNFS LLSVLQDPKILPFFRSLSITDQPRERPVLPLAPEEREKHVILTLSADSSYAEETIPLV NSIFQLIDSLNKISLRPETKSKLKRFREDMDKALKEDAEKEAKEEAQDAKIAAKRKAE QERIAKLSAADQKKELEKERKRTLRKSQGKVVRK JR316_0004781 MPNHDLILLAPHAVRPPPQFEFASAKPQILLPQYQLYAVQRWVE QRRPFPCLLVYTANDDHRVLLDALAPATPAVWDSTLALLRADGARPKQTPHGTLIVTS LAHFRSDYNIVLVPDGNYATVQHQLHANISLLRMGCSPRAALSLEDPSDATRERFVSA YSLPDPDPHNPQAPAPGPRPRPRPALRHTVLELVKLIQASLALFGLYPSASASSVPQS LVLDGLLCDQTVQGIRIWTARVGAPCVGLEPTERIADPLFVSAILTLVLSVRNKLAYL GYSFILPRDPFLYPYAFSLAISHYIQSTAPHPPQNNRTFSTPHLSIHVSTPLIPPAPP PTNFLSASAASAAAAATIQSLPVGAVLTRELVESISAAYDAKLKAENRKVRRVIKNRL AAAADSDGAADSSDILLRDQRRHTLSLTLSGGEAASDRSPAQAGLAEGSSSVPSIGSS GGQLLSGIGSSLARLTTTGTTHGDPGAVMSPTLDLPGFVTLAVGTGASSFSRREKRAR RKSKERARRGESMDIGTGSAALYERERDHVIAGTIRALWSGRVMELVRMREDAEGTSA AHRLGMGHGDSRTVLAERDKWTRRGPASDGEESWKEKRGYDGRSTEEESDVFNSNSTS MPGSVHSFGGMWGGRVRGKLGTWAGLAKKKTQSVDLSQTPPALSTPLKGKEKEREQLS VSPNNLVPVNAGPPHPPPRLVISGSGSMSSRPSASRRSTTTGSGAQSPTLPPMVFSGE GDDDDLLSSGQVSPLSDYRPNPFNMLSSASNSHTLASAEGSTTNLAGISSQEYERTLA KLLAQKRPWTDRRVPQTARVASWADPLSASTKDEEDEEEDEADEGEEVASMRSFEKRY PRSRSPGKAPHRRERDSEAEESDVSRLGPSLKRKGKEKEKARFHSLLSVMDGEGMLVE EPMEQSEYEDEEDAYSMDEVDIEGIWKKRRRAGFEPRRRRSFHDLKTFEGIEVLTPEW MKIDVDICGHLLIIRRREEHLRNVITCARLVTQSLSKINLAQRQHYETHLPTLSKIAA DSTILNDLETERLRSLKIVQSTNTLEYESAQFLVDDLWQTAGQSRRKVFELREKVFGT GGRRLPAGVHGAHGPFNRLQWTLDGRSRVVDYRGRTESEAEEESRLDELPSVLPMDAP ATPLEKKRELPPDEDEGDVVEHPGIKPMWLLRFFTSWGARWSVATAATSAAATPSPSG ALSPTPIQKENSGESAPRNNEEARHQSKPNHDSTDPGGEKPEMKNTLPESPSA JR316_0004782 MLSPSELAGLLVCPFCLCPQEQPTTLLCGHSVCSRHTFSVCPVP VCSPNPPANSPPRIPPHSTVRFHPAPPPHQPTHPLPNTPDIPRRPDVVLTSLAALAAR TLAALHPPPPDDDDDQDNRPRKRRKHTHTHSDSEDLLSHLRSSALRQRLTPSDVPLIS PTTDTALAEYDKRLLEELTCHICYVLFYQPVTTPCQHVRFPPPSPYASHLSFSRLSAQ SASSALSITVQSVQSVETTFPIPIFTIIQSTSCCLLSVCLFLTFHPNLSSFLPVLRAF PLLYRERAESIQQDERHARLNTPIFQCTLAFPGIPTLLHIYEPKYRLMLRRCLESPRP SFGMVMPPKPGSPETNYGTMLEIRSVQMLADGRSMVETWGVSRFRILERGTLDGYMVA RVERIDDYPDELTDALLDVPEPPSPTPSPSSTTTTRRRTTPSSSRTSISPPRTPPYPT NEELISTCKAFVESIKRGSAPWVVQRLSTTYLVMPSDPALFAFWVAHVLPIEEEEKAK MLPIRSSRMRLLLAVHWIEQLNNNWYATTSSSLFVFQQRVLRWLLVGPVPLLFPLLLV IWFFVSRVFWSLGAGSVVATTSGLGSGSGRV JR316_0004783 MFSIIPTSHPALFIEPIHVVYGLIVYVVYLIIHRLILWPYFLSP LRNLPGPPLGNPIWGQSPYIIRSETGIPQREWVKQHGPIVRVVGPVGIERLIFMNPEA LHQILVKDWLDYPRPAFLKNILGLVTGYGLLTVTGNDHKQMRKAMNPAFSIPNLMAQT SMYFEPIDGLIEIIKNEIDNTSFPEKGKEIHVYDWMSKVTLDIICETAFGYKADSLHN PHNELAEAYELLLSLQSGPNLAKFILLVSLPGGTRFLASEWAYYHRRWLEKIPFLSSA SKLVDAMHRIRKLSAQMLQEKMKDSILIDTDISAKRDIMSILVRARKVEMDGGKGDFA MSDRAMMDQVLTFLGAGHETTASGLTWTLWHLSNNIECQQRLREELAPIFANNARPDY RTLKDLQWLDCVIMESLRVMPPVPLTARVAAKTDYIDGVLVPKGTLFWIPIRIVNTWK KIWGEDAEQFNPARWLQLPKNYHPTYSMLSFIAGPHACIGKTMAIIEMKAVLSALIVN FEFEPAYAGQVAKPAAAITIKPTDGMPLRVKKISKL JR316_0004784 MPDPNQTDKPPALHQREGEPTEKSDLTPIRAHYLKKALVQLQFA RELDLISTEGPPNVSTLSYLGPPFTSPPKDAPPLDLPFLRYIFRQFVLTFPFMAAAPK DFYSLKLQPFVGAVLARNITQGSVLDDAESEQASRKRLLAKVERNLSLFVNAATKLVE PEEVVRLSQADLDRLEVLSRKRQRRLAKDRDSFEVNIVSVRTVVDKGRMRSRAHEEFI IRTKRSRYPDVYVSRRYGDFRTLAAELAKMHPQEEIRLPPAKDKTYSSAPPMTPTTSQ PPPSRQATSSTFNDYNLNSPTGLSSENFPAPSRLTREKNRLTLRSYLNSLLSSSTIAS SPVLRSFLLSGPTTLSPEELEDARRREEADRVREEGRKKFAKEIAGRVDGLREAVKSV KGDIMGKDGLTRIFATIKVTPDIRDLPSNYHAVVEWARISLASTVFQMFVASDDASET FSGLKRIHGLMPYFMMKTALKITNPVAMIRSMLDLFLAQPFGGRSLLQRMFTSSLTEE VKVLEEEIEAVKEKVDDPIMCEKVRQFVYAPREIQSMFKDDAASEQMHVLTIVLRSAE NPVLSRAQMHRLARAHKAHIIYTKYRESLADSDDDDGPQDDDAWLLEDLKVLANLYSK LKDREQLIELIFETVEQVDGLSQDDPHLTVQAFVNLIIRHEQSFYHFVHKVHAKGEGL FDNLMRWVELFLTAVRDGLGEPISLEYLLPHKGQERADIFAEVDKIAQYHYKLKVLYE DKLRRRFGRAQSNEADAEDEATQAMVNGVIGEISFGELVQGDAVDLAAEETDEADTTS EEEYSSSEYETGSESDNGSDEFRTDKIKSKHPPSLPTSPLSPSYSSSATSQSLAHSHD RQPRNHIQTSPRKHAQHASTTGSSSNISYPPEPKRKRSLSLHRAKSLTFSLGKRNQDV PPVPLIPAIPPVSPVSTNPKIIPPPPSRPLPPSPYSDDSPPPVPSKDSPSEQYRAMNS TTPNASPHNVSLKAKRKKVSQGLKPPELQHIPQLLPVFTEMMKPLLLRKSQVE JR316_0004785 MAESLTEEAPLSLELQSLRASVRRFQDEAHSSAVKLQRHSLDAV RNRERVVLLERENDVLKAELAILRANPQPDGQTSPADSQYQVQELSLSLRRLSQRLSL TEEALLATKTELAAAHAAALKASANAENAYELGARVRGREEEALVRQRDLEQRIKKLE EELRMSDLVVQEYAALVRSMQTRSSSDANPLNLQGTLKEGKLRLDHLFSGIQVECDTL ARKLEEKSAELEVCNSKLDAERKANEAVLAELGRTQTELSKLQLEDGTAAKMVSHYMH TSTNKLLNTLNALQSRHASTVSTLSSQNHTLSTQLRSLESQNERVLSALDELGGEIMK EAYGRRREIAQRIRMTAREERVVEGLRRWIRRGDEMLSRLHDRKAELHNSQKYREALL EMAQHARILLDSLDNGIFDVETALSFSGGRARKVLVRIGLDGLLEELSNETERRLLLE RMMATDGFNITVSENTDRSTMRDPQVLQKPLLPHNVKNLPTPPLPMPPVVVNDSEIAS SRSSQSFGIQADMSEFPGVESSKQCNSILGPPSRSSTDSLQKVTQSPDVIEDALTIPT IDQEMHTANDMFALDDKFIEVRENVLDIATLAKDDQNTDIFEAHTESVDDLTITSSPS SIHSASVSTLTHKIPSSPPSVKVSDITSTSEPHTALKLHPMLAELAKVHKRYDDLQCA FRDCHFALEDLKASLSFTFSTKNGYPTAVAFGHNPSTSSVLSPLNTPIPFPNSISIPE EILLAALGRLDDYTEDTRVELEIRVGDETLLAKGFEALLSVPGALLEHQQGEEQPLDT HGMFESQKDSDLLPKQSEVEKQIKAFVDGTDPAVRRARDIFARKLEDVQHDIAVLKKA IHDPESMQTHDSFANSESSPSSLVSLHAEHDNGWTTWIRGPSSRSSSPAPVTASLGPS PTFGSIMTSPRLRSPSSTGNFHQSTVQKNSTRSRKSSFLGLGLGDATEQIKDPLSMLG LKVPMPSFASPALVVPSAHFTGANSSGYGKMTISSMSPVVSTPRTRATSSTIYMLGLG SSSPMIPGQSTGPRILRSPSGPPGSLLLHRQHVSQPQILSPQIQQGTETQSHFSSTNE QLIVTANDEEEDEEEDGVE JR316_0004786 MHAGMPHRGNGKSEAPTPDLKTLLAEDFVNESHMEAFCHALQLD ESYFDHQGDATSPQIPGTPVSNASRIRKVSALSDFAPVNLKVKRRKKRGREDKRSDYL FIILRWPLLFFIFLFIAAEFGFYVVIRQMVNTKEWISAWRGQKGRLRKKMRSARNYEE WKSAAVTLDNYLGFDEWKNHDEDQYYDWMLVRKVKKSLKTMREKNDVRGCLGVLETCI RSNFAAVESSRLYSEVPNTFLGTKNLIESYFDELELSLQFIRETPELSVDEKKRFFKS ANTNLGISALCLSGGASFGYYHCGVIKALLDAGLLPRVITGTSAGGLIAALTCTRTDE ELKVLLVPELANKITACEEPLSVWLKRFWKTGARFDSVTWARKCTFFTRGSMTFKEAY IRTGRILNISVIPADRHSPTKLLNFLTAPDTVIWSALLASAAVPGILNPVVLMQKLKD GNIVPWSWGSRFKDGSLRGSAGKPVAHRKGKGNLNLLENSLFIDSLRVEGGEETSYYQ QRNNGSNTN JR316_0004787 MGRLGSMIFEHLILALEELGYLYDRRHLCRKLETDWGSLPRVGE ELTTCVRKFGRERLPPGVRDIGREHITGSRMSMSNGYEILDPNKRENFRDGNPTLNDI VRNNEPPVSSEGNLSVPVVFPRRRYVGARNVMTVKDVNFLGPDDDWVASGSDDGNFFI WNKANGSLKGIYEGDGSVVNVIEGHPHLPLIAVSGIDTTVKACLFAPTTKPSAFSRTE NAEAILETNQRMSATRPLPRFRIASLLGDVNIVVAATPEDGEPSECIGQ JR316_0004788 MRQGKNKRSRGSKDADVDIYMRVGDDISPETYSRPHNSFHHPES YRASNAGRGTYSSGRDMSSGSRRGGDWRHAELDHERYSFTDPFARGERAQYDDSGPRS SGGWGPVNDSYVQSRNSWPPRYDNGASSSSYAEPSTWTVPDHPSYDSSRIPYHDRWET NEPRESIDDWNPEPMRNDHRTDRRHHDWRPDSQRDKNSGHRFRSDSGWDSRRRDRNWT NEPIGRHNDLPPDKRQAGTEDRSWEPAASWVSSTTNDYSQQRGQNGQRSYHHQRPKRV QNYNKHRREWRADDGELNNWTRRDTSRGGVNKTFPNQNFAKRKHLRSPSRSRSRSRSP TESYISHRSSRGRSHSRSVSPANKRRRRDSSPITLQSQTPSERSLNRSHYKPEKQVSR SPSPQRISSHRSPPNFTGRRRSPSAVSSTGSDRSRSKSPARSVHRLPPNHHPPVTITN VYSRNNGTTPRKEVEDYKNGKSRSQKHRKGRESQAQTVEREYEYFGNVDHSSQNSAAA APPIGIHTAAAVSMPPPMSVPLSHPPRPQYTPQEYNTSGQTWIPDAKIDLPGKPNRNI NTRHAGFRPIGKGDSSLKRFFPGDDDEMDPADEVNTSPRMSESAIEKQTSMSYPHQSH RISDYHEWESPLHPAELWRADNPYSRSTAGSRQTSSLDLESAACLPKQGIPPIIPPPH AHHTDSEMTTSANNIHLLTSSADDLERRSPHFADSTDQPILTSNDMQLSTPSDNHDVS SAVGHSLASNKDLYKIISQVGEGTFGKVYKARNTITGNYVALKRIRMESEKDGFPVTA MREIKLLQSLRQENVVRLYEMMVSNGSVYMVFEYMDHDLTGVLSQTQFVFERQHLKSL CHQMLAGLAYLHRKGVIHRDIKGSNILINNRGELKLADFGLARFYQKRRRADYTNRVI TLWYRPPELLFGATIYGPEVDMWSAGCIMLELFVKKPVFQGADEIHQLEVIYKLMGTP TPERWTDVVNLPWYELVKPQQPMGSKFRESFQKYMSPAALDLAEQLLAFDPAERITAM QAMNAPYFTQEYPSATPPVGLIDIKGEWHELETKRERQKRKEQKNAT JR316_0004789 MEAKLLQFSTLVQKDKGPAYISLIPEILSQSGPSIARDLHTLVD TVVNLESVGLVVGRQVLSELVKILGEGAIKDVALRKRVVEDTLSTIQPRIVSYEEQVN SLRFQLADLLEEEEEWSDAARVLMGISLDSGQRSIGDSEKLRVYVRIVRLLLEDEDSV QAETYYNRAALLVHSANDRETLLQFKLCQARISDYSRKFLEAASRYHELSYVGEIDEE ERRHMLLAAVTCAVLAPAGPNRSRVLASLYRDERTADLPTYNILSKMFLDHILRPAEV KEFEKSLKPHQLAKISISSNDRLASAGGDTGSELDPNASTRTGPSTVLDRAVMEHNLL ASSNIYNNITFRGLGALLDLTPGAAETMARKMIEQGRLRGSIDQVDKLIWFETNREED DAQGKAGGLGDVEEAEDTGAPFTKRWDTQIRLTAAHVESIVQHLTDKGLVSLPVDSI JR316_0004790 MSRLTASPFHKPSPFEFPRGPLSPPDTNTDTVVGSGLILPASNH PAVQDSGSLDTGIQSAHDSPLRGKKSSSIPYHNTSFRESKERAIQRNNVKSLIIVMPP STLLQGYGPRGQTVTNGPFHRLTQGIVMPILPSMFGQLTAIAREFSFPSTLGLCLYLH YVEDGVTLTPRISDDSWQSLWGHLSDPPLANERRPLICGKIEFDIDLRAARWYGSWVS AIHREISEHSNHAYPVTAPSHFRGESSLVGGRHFDEDMADNTIQQNSAPIGRHVPKKL SLVERFDVASVRSEHRHNSRSVAASTEFVAGQQVLSTIVQEDEPKSGRQQLDNLVKSW RASALISPSPLAAKGQPSLDAVYLPNDVPIDTPDEIPGSPAVMRLEDYTWSVSSEGPL SIGELSPVSWVHAPSVHIADRLPGSVCTSASICTSFGFVEDDNYSYVSNETRVPSVHL AERLRGSVCSSPLTCTSFGPDDDVSDIYHTSFNSRVPTPDIAHRFYEDCPPTPMTATS WGAPLSYPPSPRCVSPALSLDLGERARFHDQFETMVHYPRSKSLLTQESIETNGPWEH VWPFNSDMKASTSASDTVPWAHVWPFNIQTKDNLEASSISAPLPWKHVWPYNEEVENN ASLSSIPVISAWPHVWPFNSQSTNVNIPPLMPANGAWEHVWPFNSEYMHSTGLLQEDK ILLPHNKEITKVTSASATASGPWLHVWPYNSSSKPSNMQHCASVYKPSSFGYPYINQP VYPHIELYPTLPGELSIYNQLDTMQDIVVELPVLYPMIQLYVPVYPHNLSNIYPETIF QAADPCSKAQVEVPFSDTCYTALHCGAHTDKTRSQYPHFDIYPDITNQSSEISIPPSF DRPLHTTVIYPTFNLYPAIYPYFDLYPCVHEAQRETVSLLTEPMPTYALSPVLLTQYP SFNLYPKVYPYFDIYPAAYDAVAATIETGTTQDFSLSPTLPTSYPFFNIYPSLYPDFV IYPAAHSDVGTAFAEPEPERSLSPILRPMYPSFNLYPKVYPYLEIYPPSYAVKVDNVD SKYVELDHRITKYPYFILYPGVYPYFDIYPAPYPSLPLDHISIPSSTAETRTWTLPYP FFNLYPAVYPNFDLYLPLPDFAIQQPNKPSKINNSRLTHLELHAMVMMEKAQAKSRGS FGRLETFLDIPDIDSETPFLGEHEDIDHYFQEKSISRNIRISTVPIPNRGVRRLTSAS AKSENISLFDSPTESDQSHTNFYGTSPSNVQDRGFSKISNVRGQLGYQDESTLYRTSL NIHDRSITRSSSLREPQGKPPIPPKPQFSAPRRRDSLVLQRVKALDNHVAENSTVIPR ETLLKFPMPPRAFPPLPPLPQDRGH JR316_0004791 MSDHLSTPSSSALSPSVSADALALAAERRTLHASSGANSPLTPA QVAAQHEKRQRFRRLIDPGITRPNAKERARSSLKTLLTIADNLLRDPTNPKYQMFRTS NTIIKRELIDTKGALEYAVELGFRPTVKDFEPYYVFNEHHLEDLQIGAVILKEHLNLD AEKEERLIRAMKSEKEAKLAAAEKVKLAYMDDRRNKEVRDEMEKQQLIARAHLAAERA ARQPNLPPRPRSTSPDTLMPGSGYILGATENQSEEPPAYEDRPKTE JR316_0004792 MILSPLFLSLLPFVTAAGIHKLKLQKLPSAASNPELESAYLAEK YGAPLQAQYPLMGAGGSGRRVQRPSNKGSEQLYWTQEQLKGGHGVPLTNFMNAQYFTE IAIGTPPQTFKVILDTGSSNLWVPSTKCTSIACFLHTKYDSSSSSTYKANGSEFSIQY GSGSMEGFVSNDVLTIGDLTIKGQDFAEATKEPGLAFAFGKFDGILGLAYDTISVNHI TPPFYSMINQGLLDAPVFSFRIGSSENDGGEAIFGGIDHSAYSGKLDYVPLRRKAYWE VELEKFSFGDEELELENTGAAIDTGTSLIALPTDIAEMLNTQIGATKSWNGQYTVDCA KVPSLPELAFHFGGKPYPLKGSDYILEVQGTCISAFTGMDINLPGGSLWIVGDVFLRK YYTVYDLGRDAVGFATAN JR316_0004793 MSARASRRPAASLNSIESLLLAQSVWDLGASQATWAPISKILSK HPLLSRPKSFFTPQSCHSINETTVIPHASVNLDLARKHYHARFLELQGLILNEETKFK AVLKEIEEIRAGIAEKQDSDAAPTESSPQSRSQPSQSETKVVDELFSGSDLSGVTESA ESNPSQSQPENSADDLPVRTDKGAQNTQTKDAATPFVEMSQITEETALGGGLSPHTPQ NPAQDVGEESQTNFATPQPHHDPQEHLEAVESLPMSKHMSAPNNAEEPLPFNLDDEIK NQGRVSLDASNHKQISNNKQEEEEEEAIREGKNEEEEEEEVEEVEEIEKTSQGQTESD VLEKAKEEQINVLSACEDEEMKELSGDDIKADTEQNTEEELPSPSPHLVHNKNFQNSL DPKAARSPVAETVGSDAEPTPDEGLSSEMEEPVAPARRSSRRRKSSAASVPPPQSRSR LRPKGRPSETLLQTTMAIDSDNDGDLEGHDGTPHTGEERASSPYDSALTRRNKRKASF LESAGSPHDKKRLREDSEPVDEEEPGPSSHNLRARISRHGTRTEEQVAMKRFQSVIGM LHSQISQHRNGNIFHNPIKNSEAPDYHDIVKRPMDLKTIKTRVKDGVIANSLEFQRDI YLMFANAMMYNRPGSDVHAMAEDMMLESEGQINAFRQTEGLVKRGQRP JR316_0004794 MAAPSQMEQTSSSVASAATSDLDIRMSRIADPNIELRVRHNVAC EIREILDTMRDSDSARSLPRLVRPLLDLLRSGEPSFQKESSEYQFRRVQFEILNRLPV NEAFRSLVPPMFSCMLHILRHDNEENGVNACKTMVDLIRNYRVVTEDSLTEFVSIFQD ALRSMKDLVAEYLQEDSALVDSNVSLPASRSFKALGEMGMVMVIMSQVHRNLVVSNLQ STTTHAFEMLALESPVQNQARVNYEAMGGIWAGMSPDIKNMSMYHDLIQAQIKMLSYL AYVMRFPGELADSYGETLILSALRLLQDCPSNGIAMRKELMVVFRHLMGTPHRRALFN SLDKLFDEKVLLGTAVASQEALRTTVYTAVADLVHHVKNDLTASQLERIVHVYSSMMH NPAFGYNLHTLFAKMMFGLADVIVAKETSQGAARLLETMFETCLEKLEGLCVVQADVS GLLERNKQKDSIVDQWPDVTIIEKARPIGGAVNYIEKPEDILVGKMVFRTLLHGFRVC LNSLKKCDAPPPDGTLIFRFFEASIRCMSLFESDPRVPEQNEPIDWFGHALTEVNLHV FQEVWTHKIEFFFERAQKRIMLLNVCQFLFSRDTTSATLLAIVLRFLVDRLPLLGDHD DLTAAATIRLYKMAFGAVSAHPVSNEEILAAHVSKLLMDCFPLAAKATKPANYFHLLR ALFRAIGVGGGRYEKLYNAVVPLLPDMLESLNRQLLATDGQTRDMIVELCLTVPLRLT HLLPHLTYLMQPLALALRGNPDLVSQGLRTLELCIDNLTPDFLDPTLSTVLRDLMEAL FNHLKPLPAPHHPAHTTIRILGKLGGRNRRLLNKEPALTYRHHSESAKFTISFNGIIE KIEMGPLSALASRNISRSSPNDRIHAYNYLQNCLSILFHEGIVGRNVEETFINALEGI FDALHLPEVEEKAESFVRTLGKAVFAEEIRRTQAREAGTRPLPSVLLSSYLDAFPHAL ARDQQDQANKARTVIFSIVCDLVASNGRSNVVSHELMMILHQIANRFTALCLDDSWTR KSAGCNGIKIMAQTPDLGAKWITDRELDIYRTLLHILKDLPSEVPRDVGEVIDVLITC IRISNTHADFQGDGATHAFNKLVHTVGIFFPELQSPNPLVRQAAQKCIGVLVEISGRP AVEMLTPHRDRMLMGIYTKPLRALPFSKQIGMIEAIRYCVSLDPPLVELNDELLRLLH ETLALADADDAQLLGPRNLRQGGFEVIKLRVACIKLLTAAMPLTDFFSRQTQTRQRVT SVYFKSLYSPSPEVKDVAHEGLRMVLTHQSRLPKELLQTGLRPILMNLADPKRLSVPG LEGLARLLELLTNYFKVEIGHKLLDHFRIVADPQLLQESSKLSLADNEGITKLVRLAN IFHLLPSAANIFLEPLVNAIVQTEAQMHFSTKSPFSEPLARYLDRYPAEGIDLFLRHL SYARHLRTLRSILQAKLAPNLLRELASRTPALVNRLRAGNERNVVTAILCLFDDISDL LPAWLIQNEYAIDAVIDTWHSNMPQAETFSNVATDISHRYSLMTSIFTKALRQSPRID LLFEIISIYTMDLGIDIIATTKFLYEHVALSEDSIFKRNLLMRFVTWFSDPSFTFSQK AYCIRYIISPTLLIQAKRPGQTCALIDSDFVDWMHKTIWQPMNDLSAFQDAGDYFRIE VLHLTTILVQFYPNLLDDVRKDVMRYAWSYITTNDDIIIKQTSYLLAARFFAVFPTPQ KFILRAWTGLLRMNHLEGRISLRQEALAVLAPTLPKSEGNEPPGHPAWAITTRRLLAE ESSATMMTIYHLITKQSQLFFPVRSLFVPHIASSLNKLGMLPSSTLEMRILSIDVLQV IFNWEEQSKQAAKSNVVQSDPHADDATWSTPLTLRENMVSYLVRLSTIPHDQPARAAL LPKALSLLHLIVGPNGWNDVTVGLRFFSRVLENDIPTDNANSIAQALSAAKVLQVVAA EQPDSWYTTNAVILQKLIRKGLLVEEHNMHDVLRPIFDKLVRLYPLPKEEDEPHGEMS DFHNFIYTSIQDGLRNSSSLPGILGMLKSVVEVVPERIEPFSQLLMKLLSKLTKDHIH ANTAAVGYDTNVRSVTTILDICQLSVAFLGDQRRWLLSTLCVFVDKSKSIGLCRYILD LSRTWALHRQEAYPTMKEKATLLQKMTLYESRGDTIFHAYLELVYDIYTEPSLRRSDL TNRLEQSFLLGCRAKDSVLRERFMDLFDMSVPRSLFGRLTYILGVQNWDAIADHNWIY LALYLILGAADVNLTSNLDRRPLSNASSVMISRPQMQDIIRPAQRLLFLDPQTAHDTW VSVFPAIWSCLTRREQSEITNHMVILLSKDYHIKQASLRPNVVQTLLTGIHACSTPMT LPPHLVKYLAKTYGAWHIGLEILEKSLDYTKDDDLTITDHIYDSLADVYAELAEEDMF YGLWRKRSIRIETNIGLAFEQAGMWEQAAQTYELAQSRVRAGTIPFSETEFCLWEDQW VLAAQKLQHWDVLYDFAKGEANQELTLECSWRIKDWNDQKEALEAQINLLPEIPTPRR RVFEAYMALLKQPAALDKNVEFTKFLEDAMQLSLRKWVGLPQHLSVAHIPLLQHFQQF VELQEAVQIFGSLSQTNAQNLEKKSSELKMVLQAWRERLPNIYDDINIWSDLVAWRQN VFSSINNAYMPLISTSNHAGANNANTFGYRGYHETAWIINRFAHVARKHELLDVCFNA LTKIYTLPNIEISEAFLKLREQARAHYQKPNDLQAGLEVINNTNLMFFSTAQKAEFYT LKGMFFSRMLRPEDATLAFGQAVQLDIVQPKAWAEWGRFSDHLFKDNPSDLGQAANAV SCYMQAAGLYKSGKCRPLLARILWLLSLDDSASTISRAFDTYKGEAAYWFWITLIPQL CTSLSYREWKQARYLLLNLARHYPQAIFYHLRTTREEMQLMKKAFAARAAAQAAAAES SRRLNPEHQANDPMHSSASAPTNTPASVAPDSATIPMPMPPNLSDPSSQPRQASEHVD EILQTLKTSFPLLILSLETMVDQIQHKFKPNAEEEVYRNICMLLSDAVQNYVIRINSP EDDGQLTANTVATLTRMFINLPAFVKKEYEEDFITNKPTHYEYIQRLQAWRDKFEGTF DNRPRIQPLVVLSHYLTEFQYSKIDEIEVPGQYTEDKDSNQYFVRIQKFAPKFENIRS NGSCFKRLTIHGSDNSKTSFTVQLPCNRQYRREDKVIQMLRTFNGALARNKESRKRNL TFHLPAAISCSPNVRLYQTDSSYISLGEIYDLHCEERGFSREEPILFVGENVRKVLRD YRQQHSKKQPSKVEYVTLKKDSCDEVSSKMVPDDVLANYMARSMSAPDELWRMRKQFA LQIASCSFMTYLFCLASRHPARFQISRSTGLIAMTELLPATHASHPLFATNDVVPFRL TPNMQNFLGPIFTEGLLAPGIMAIGRSLTEPEFDLEQQLCLLSRDEVSLWFQQRSRGV VVDNQFRMHVNAHVDNIVKRAESLACKLEREQALSGNISNVTTPVVTTVTGLISTATN PLQLAKMGELYQPWF JR316_0004795 MDIDQLFKVPKIPTGGIKRKLPDNPTPEMLKKMKMGAPSSTPIT SPLSTSGHDDRSSSRKATVEDEEDDENGFAPGGDADYFAEEDDEGRFFGGGLTSEQKE ILNIFDKAEDNEIGEDIQDISITQIRKLLLAFERAVNKNQDQRSKYPDDPSKFIDSEA DLDSAIKALLPLSQSPILAYPELVRSGTLALITGLLTHENVDIVIDVVDLIYELTDED AEVDYEDDEYEASQEALKILIEGLVQNSTLELLVDNLSRLNETEEADRQGVFHVLGIF ENMIGFNPSLSSNLVSKTKVLPWLLDRIQAKKHDENRGYAAELISILLQDRSDNQTRL GDYNGVEIILKVLSQFRRRDPVDAEETEFMENLFDALCSSLAEDVIKKMFLEAEGPDL MLLMMKEKKESKSRSIKVLDYALSGTSGTPLCETFVEILGLKTLFTAFMGKTVKRQKA AGDVTASEDTGHILGIISSLLTNLPSDSASRIRVMAKFVEGNYEKVEKLLELREGARK RLLVTDAELEKEKQGVLEDTVGNSISPDLEDDFYVRRLEGGLFSLQTIDYILAWLIVE DDGIRTHALRMLDRNNQSLKNILQTLEIYEDHMDDDEVNQTEGSVSRKEILQGLISAL HSDQ JR316_0004796 MDYQSLVVKTHNRPSTSKHTAESRYWRQFKHPVFVKEYAPITSV HFSPSKPHRYAVTAATRVQIYAPRTQKLTKTISRFKDVARSGCIRGDGKLVVAGDDSG LIQIFDINSRAILRTLDSHKQPVHVTKFSSLSPTHVLSCSDDTTVKLWDVPSQTAVTT FTEHTDYVRAGQISTANHNIILTGSYDGTVRLFDARSGLSEMVMATGDRQEHSDIAPV EQVLMFPSGTVALSTSGPILRVWDIVAGGRCTRALSNHQKTVTALTFNANSDRLLTGS LDQMVKVYDVSTYKVVHTMRYPSPVLCLAISPDDTHILGGMSDGTLSIRRRDPKASEI VQSDQTRLDAPALLHDINIGHGLQHQKLPIKPLMDSSELRVQSQSTRKLKEYDKLLKS FKYSAALDSVLKKNVPPTVTFSLIQELIHRDGLRIALAGRDDVLLEPILRLLSKYISD PRFGELAADVTGLVIDMYTSVIGQAPLIDALFLRLRKKVAAELRFQEEIVRAKGALTM ILSSAITPAAR JR316_0004797 MLLYTDVITSDELFSDAFPLQEVDGIVYEVDCAMVTLGSTNVDI GANPSAEEAEEALEEGAVQVNNVVHSFRLQPTSFDKKSYLVYLKGYMKAIKEHLAEHE PAQVEEFEKGAQAYAKKIIANFKNYEFYTSETMNPDGMVALLNYREDGVTPYFTFWKH GTKTIKL JR316_0004798 MPALHREETMYSTASRLREFRIQRGISFLSEHLLVRDTLFLMQG ISGKYVRFASSKDDEKTLVFSSDSNIAPPTQALIHRLAELGYLYSRVERFIQERERTS GVGMIEQSLCHYMQAQLTEYYRLIAVLETQLSSFHKEGQKAQETAENLETGLSLRRLD VWVNEWRLRMRMMSVCVEGARGAHGGALVNLIHSYTENGDPFVRKFTDELLEEVSRPF FATLHKWLFSGELYDPFEEFFVSADSSLGHIPYVHPSSLLGGISQFPNDNVFGGLNAD NDDLSGVRESGQKLWETKYRFRKDMLPSFVGEPFGRKIFSTGKSLNFIRYSCLDSDWV VTREKMSNTGDTLQYGDINGLERSIDTAYQMASHRLFEVFIEKFKLLEHLSALKSYLL LGHGDFADQLMETLGPSLARPANALYRHNLTANLETAIRSSNAQQDPVDVLRRLDARM LEYSHGEIGWDVFTLEYKVSPPIDTVLDQEAMEKYLKLFKHLWQMKRIEKALDRGWMR ITGGAKFFVRLPDLAFDWHKIRIVMTEMIHFIRQLEAYCRLEVIECSWKVLIDFLNKK QGDLDALIDAHRNYLDRTTKKILLWHPKPGKEDMLLRQLIEIFAFILQFREATDNFYN YCLSESARRDQRLDEERGVYTGNNEDRVSDPSGIINRIKDYGSGFSERVQTLVQQLQI HPDLDCRFLAIRLSFSDYYRLKKDQQSAQLKANT JR316_0004799 MRYSTPASLLAFAAFVLANEAASDVISLTAANFESTVEAEPLLL VEFFAPWCGHCKALAPHYEEAATALKEKNIKLAKVDCVDEADLCQSKGIQGYPTLKVY RKGEATDYTGPRKTDGIISYMIKQSLPAVSEVTAENHEEFTKSDKIVAIAYLATSTDA PAAEFSATANSHRDDYLFGLTTDKAAIEAAGVTPPTVVVYRSFDEPKSEYPYPISSLT KKDLEEWVKELAIPILDEVNGENYAIYAGSPKPLAYLFIDPSLDDKEDHIAALKPVAA KYKSKMNFVWIDAVKFGDHAKALNLAEAKWPAFVIQDLVKQLKYPFDQSKDVSADGAA DWVEQYLDGKLQPQLKSQPIPETQDEPVFTLVGKNFEETVFDDSKDVFVEFYASWCGH CKRLKPIWDNLGEKYAAIKDRLVIAKMEAQENDLPPSIPFRISGFPTLKFKPAGSRDF IDYEGDRSFESLVSFVEEHAKNSLDLPVAEESAQVPLEAGNVTGGETASHDEL JR316_0004800 MASSELLKQIQSGKKLKKAETNDRSAPVLDAPKGRAGGPASTGM SASAPSLPSSGGPPQLAGLFAGGMPKLKPAGQNNLAKPPTIPAPAKRPTPATPARPAP PSARPAAAPPAPPARPAPSLPGTAAPPAPPARPAPGLPPPKPLAQPPPRPAPAPALPS SPSPSAPPAPRRPPPPVRGPSPPPPPPSRPSPAIPPRNQSPAGPALPPRSPAAAAARA PPPPPPRPASHAAPPSPARIAPPPPARKPPGTAPPPPARVRAVSDAERVSQRSPTPER AVPARLSTPQPPPPPRASVVAAASPARKAPSSTSANGGRPPPPQRKIPSPPPNAGIHT FPVTDFPPPREFRATPKVYTSGSQQGSTFDLANL JR316_0004801 MDPYNNYPKPYGQQYSYDDNPYYKPYQGPSYPIPSSSSHTAPVP ANAYEYDVGILAQQSVYVPGAMIDKRGGAGGKLAKGGKRVTVLRKGGGKTWEDQTLLE WSPSWFRLFVGDLSNDVSDDVLANAFNKYTSFQKARVIRDRLSQKAKYGFVAFSDPED FLKAWKEMDGKYVGNRPIKLKKADDAAIRPVEIGHRKAKKLEQDLKKNRHKPY JR316_0004802 MPFVKKLKSSAYFSRFQVKYRRRREGKTDYYARKRLVTQAKNKY NAPKYRLVVRFTNKDIIVQVVYARLQGDFVLTAAHSRELPRYGINHGLTNWTAAYATG LLCARRALTKLGLADKYEGVVEADGTLTHIEVLDEEDAPRPFKCYLDVGLKRTSTGAR VFGAMKGASDGGIFIPHSEKRFPGYDPESKELDAEVLKKYIFGGHVAEYMESLEEEDD ERFKKQFSTYLADGIGSEDIEEIYTNAYAAIREDPTFKPTEKTTDWKSESVKYKSKKL THAERQANIAAKIEKFKAGGGAADEDEEDEE JR316_0004803 MSNTTHGSEPSWKKLKLSFERPYTDDAGNRLPVLYDLTPEGDRI YEPQQSFSERLESNIRRIFVERGANFFQQYDGLISESKNNLLKDTQTEEEEEGPEGSN PSSKFMTMEELYAMRAEIMPQLFVALGEMSHARDLLNAVLSGTISGQAATGQALPDQI PPDSSPSSLSATIVSKPPSIVSVQAFNSQLVIGSKDEALRKASGLFKSASESMERSRL MGEKYWVDALRIRRANWGLTPAPLPAGSATGKGADKTSKDFMISYGLERSPVDFRLRA IARMPIVGNSAEDINLPFHQNTRLRISITTVDGASTTSCFFVAPMPTGRASDPEIVLK TAQIEIIDQEIFSLLVKEAANLPTASARVSERLISIDAAQGLDLTVELVDTSVNMHPT RSDSKDGGNMCELIYHVLRVLLLRRHAVKNSSKGKEANGPAASHSLLQPIIDMLQYQV FCERVELELRKAVQALNIAGIPSSLSFTAIGESGKILVSLLSEESKNKKEVGGEAVIR MDNWHTLWFTFVSPSTLTAHLPQATLTISSLPQLSQLLMDEIERCILKKICAIGRQMS SERSGIWFVDLNRCIGKWEGCVLNFQVYYGDDLTIGCSAFRLDATTSSQGDIRKFSSG QIPLLAWVEESIQAPMRSQ JR316_0004804 MSSGKRKKGEDDATNITSSKKQRTRCVARKVPDLCKAYTPGKAD QDLNARISRLEQIIEVALPQYCSPGTPGSYVLDQNSFNGRHRTPSNGEDDTRSHSEEQ DPSGGIFQSGRWYGNSASGSVAPASVIDQIENAAIGERESSRTSHSNAINPNFPLPTT HIKAGLDVVGDDSEPSAADNLKSLVQECGVSPHKIAELLQELPIPSTSDILVDFYFST INWTRYPISVEDFRTAYSSISACVSGTSLASPHDVRFLPLLFVVLAISVRLAPESIAG DARTRRVTSLRYYWSSRRSLLIAAAIQPDSLDIVLTRLLSARFLTFDRRITECWSQLG AAVRTAQALGLHRDGSAMGMQPTQTEYRRRIWSYLYHADRSYALVLGRPNSIQDDYTS TLPPSNIDGDLQHGQTIESLPLSRPTPMTFVILRHQLAEIIGRMVHHFQQVRDKSHYT EVVALDDELLKFINNLPSHYSLQPDTSLDHIHNYIPVHRFLLVTEILFVRISLHRPYM LRRLNSDRYARSRNACFESAITDFKVRQAFRESVPKETRDSLSNAYREFQTAMISGIY FVLEPHGAHSDAMHAILDGFMKDHENLREMDETTKRELKTIEFLKSKASQIENHGVHS RKKSKLHDLSLINRQDHQAQLLLNLQQPVTSPSTKSFTFSASSPRSPSLPQPNTSSLA QSPTLHRLQHPDQTHSPTTSGSPRADDESPAQSLLDTWCHTVSNAPLDLTSGGISWGG LGGTEISGWAGITHAATNPDPRLFSGLDGSDFSYWEALVNQIHRGP JR316_0004805 MQSINRFLYGPTPEERVRAWQAKLRAESRQLDREMRQLDMATNK ARQSVKQLAAKGDVKSAKLLAREVVRSNKQKDRLSVSKARLGSIGTQLSQQMAMIKVT GSLQKSTEIMKLSNALIKLPQISQTMREMSMEMTKAGIMEEMLEDTLDMDEDEEIEEE ADAEVDKVLFELTNGKLGEAGSVATDLPDKVEDEETDRNMEKYREQLNGILSG JR316_0004806 MLGSRSFSAVKQGSLKNLNFVRSYASAPPTAAFAGQKGNNGKYT VTLIPGDGIGPEISESIKNIYTAAQVPIQWEEVSVTPILKGGKTVIPDSAIQSVKKNT VALKGPLATPIGKGHVSLNLTLRRTFNLFANVRPCASIQGFKTPYDDVNTVLIRENTE GEYSGIEHEIVDGVVQSIKLITWDASERVARYAFNYAQTSGRLRVTAVHKANIMKMSD GMFLSACREVSKEFPDVAYDEDLLDRVCLQVVTNPKPYSDRVMVMPNLYGDILSDMCA GLIGGLGLTPSGNIGRDASIFEAVHGSAPDIAGKGLANPTALLLSSIMMLRHMRLYDY ADKIEKAALTTIAEGKSITGDLGGKATTKEYTDAIIQKLRA JR316_0004807 MTDEITPPTLPLVKKEEKKPASSGNNRGPKRQTSNSSNSRGGAP GARPSSRGSNKKTQTQTNTAAVESGSDTASRKGSDNGKKQDQRAKNPSTGGRGPPQRR GHPPASQGTRTPKDQSQNKQSSSPAPAQGKESSDALSSLQRVIADLKTTSPVQPPAGS NNLASMPGSQGHTLPLHAPTFQPGAGAYHGTNVDQKHRKAASLGNSSLSGNFNSYSPH LGAMMEDVEDGSGSFEEGEIPERYYQGGHQPRSQSQSFMAPRFAALAAQQEQDTVGPT GRPQLAPGFMFGARKRGPPMGPPINEEDIGFQFPQQQQNYLPEVGQKEQGHRKTESGE ITGIMAEQIALQNQIEALQQQQQALYQQQLASNQVLSFQTPGLAPHRGAHRRVQSTVP MSPSSGATFGSLQHPMGQFGSIGGLNLGLDGQTQGIPRGHGRRHSVTVVNKTVSQPNM GSTAFEGFDEGFTPPVPAMGGHSRQTSRVDSSWRINGGVGGIQGNNGGFATDLAQAQA QLQSLQQFRAAAGGHHHKMPSFSFPNMLPNMMAANMMGIGLGGINLLQQQQQQFQSQL QQQSNQPQRKSLFAPYLPQASLPPLLAAGKLVVGILRVNKRNRSDAYVATEVLDADIY ICGSKDRNRALEGDIVAVELLDVDEVWGTKKEKEEKKRKKEENAAYDLKSNTGRKDDK KKDDVEVEGQGLMLFEDEEVTDEIKPQFAGHVVAVVERMPGQLFSGTLGLLRPSSAAT KEKQEAERREREGDKGDEPRRPIERPKIVWFKPTDKRVPLIAIPTEQAPPDFVQNSEA YVDKLFVACIKRHPISSLHPFGTLVEELGPIGDIEVETSALLKDCNFPTEDFTDNVLK CLPPIPWTIPEREVETRKDLRGERIFSIDPETAKDIDDALSIKANDDGTFDVGVHIAD VSFFVKPNTPLDRDARKKATSVYLVQRAVPMLPPTLSEQLCSLLPGQDRLAFSVVFTM DNEARITKKWFGKTIIRSVAKLAYSDAQKVIDGQPLSDVPIDTGHNPSDIERDIMGLQ NLAKKLRARRFENGTLSLESLKLSFTLDENGLPTDCGQYERKEANTLIEEFMLLTNIS VAQQIAVHLPEQALLRRHDTPLERRLNSFNQRAERLGYTMDTSSAGALMKSFNAIDNP TARRLLELLSFKATQRAKYFCSGMLDIAKYWHYALNTPLYTHFTSPIRRYADILVHRQ LEAVLQGGAETKFTMDRDAVAKVAQQCNIKRDSAVLAQEQSAHLFLCVLISDLTNRYG PVIRQAKVVGVLDAAFDVLVPEFGIEKRVHVDQMPIDNHVYDEHTHTLQIYWSNRDVI SWLAENSDDEHLRKVKQNAEQHALKMEVVSRSVHDESALFDEDDADDDEIVLGRSETL PEKPETSKQRLLSMAKVKPEFEGVRVTASGHKIQEIRELMTVPVIVTADLTKSPPVIK VYSVNPYAEQK JR316_0004808 MSSTSTLSIAIPQPQPQPRASRVSSPSSSSSGSECPHSSIQPSH NPRRPSISNTMHWLSRTAVQQQPYSPSKPTRVSEPKRSRTIDYISTPRNGTLGAGATV VRTPDDALRETGIRLSPGLRERETASLSRLSLDQKDSQRKRPSIPLASTSRRSEVLSA VTEPISPPTSPPLPPIPLSTADEEVKPVQIDSPAPKSPPRPIRAPPAPPSTQTQSRRS SMKGRGSGSSSGSDEAPTVPPLPLHVVASTQPPPFQVILVSEPPLTTFDPSKIIVTLE TCTATYKTSLSTIYSRPSNLSAYFSNLLSHSDRHSTASVYSTDSDDLAMYNRHLTSQG LLPPSMNIHIFIDRPSSPYSHILSYLRTPLIEGQAEVLPRALQLHSSLSTQARLESLI EVRDEAAFLNLDGLHKLCSDEIRLRYGPRLHTRGNSSGSNHSIHSLHASIYSLHTLLE RIEPDLAVMSPSEVTPPATASSKKSTSPEEAFPTKSPPTPQSWDGPRLEQRTQSRLSQ HSQGRQSQSSPPAGWI JR316_0004809 MSPLRSLLLSALLSSVNGAIKHNVPRPDPFADPRHDPYNPLKYI ASNTLTGIAVALVLTVGFLQTWLIIKHGARWMMSMTIGIYCLSFRFGLHVHPQSKGIY IMEYLFVVLSPCAFIAADYVLLGRLAKHLNADKHLLVPSRRITIAYVGSDITTFLIQA IGGAMSASANDPDRALAGSRVFLAGLAAQLLSFLSFSGIYLIFLWRVHKYDPDIWSMD TSKKWYNSWNALAGALSISCLGILVRSGFRVVELSEGFQGPLTTSQSLFYGLDTLPLF VAIAVYVPFWPGRFIPGDANANKE JR316_0004810 MNIFRSLIGKVWQDPNAAEVVKISSGQLYLVRPGNIRTSRECIY NECMATVRRFPSVEHNFQLVITKVYEDGDQDLLEDEDETDEEKVFLISEELEFRSGET EGEPNFVWRDLHGDVDEFFEFVATGTNAPTRAFFETCMYRAMYERKYKKSADNVQDAA LEEFVWHPPAAPKANPRRKVAKKAAISVTHEPEPIDVDAEDETPAKSPQAENPYMANM NILFEDEAELYEWVPDSNEYKNSGIVTARFLQQTDADFVFWITASNPTGLVLSHRISS DMNQRFSNKMYSLTWNYIGNDGSQHSWLLRFNNEEDYRKVTDIYTQCLWQTLHQVPWS KAKPDEQSYVRSAYEPEDVEMRDVEDDEEDEEEVALDLDPEEEASDDSEAEDEDAPRD PHSGEVNSQLTVGYKGDRSYVVRGNNIGVFNHSNDHSVKYYATIGNISTPQGKGFKPK NVMLHDQDSKMILQNPNDPNSLYSMDIERGKVVEEWKVHDDITVDHIAPDNKFAPTTR EQTLVGVSHNALFRIDPRVSGNKMVDSQYKQYASKNKFSGVTTTASGKLAIASEKGDI RLFDSIGKNAKTALPPLGDPIIGIDVTANGRYLVATTQTYLMFIDTLIGEGRYQGQLG FDRSFPATAKPKPIRLNLLPQHVAYMGNKISFTPARFNQVEGKDENAIVTSSGPFVIA WDLAKVKKGKYDQYEIKKYEDHVVQDNFKFGDDKEIIVALSNNVLAVNKKNLKRPTRH SLAPVREEHRGHSSIVNAPY JR316_0004811 MLTYTLPVFASKSMSPKSPIPDFYYFCFGAYEPFLTTIGFIGAL TDPLTAHNSQAPWTSDHPAYQVLPTATLVTILQLAHVCALLGFVNLFVLSAVRKNLSN SPDIQERIVFSLLTPLLMGDIFHMWLTFWALGDQKFNFQSWSPMLWTTVILGFSLMIP RICWHLGIGRYVHSRDGALRTEYPPTKASFKS JR316_0004812 MSAGRNLMQFEKQSPPPQERALDETTQAERIDVPPASPQGIRPS KIYQPLSFPVIVLLMPAAVFGVLARLGLVALMTFDGNSVFPLAYVQAVGCLIMGVGLR MKEPIGQFYGPLYTALTTGFCGSLTTFSSWQADIFNAWINARQFQRGGLRDFVDGVGV SAITLSLSVASLSFGYNLASVVAPMVPQLGFARPVVRYGLSCVSLLIYGATFFTYFFL PAKYRHQATAALIFSFPGALTRYLLSIALNRRMKALPLGTLTANTLGTALLAAFHVLQ NLSNPVSPTACSLLQGLIDGYCGCLTTVSTFAAEIKDLPIWKACRYAVISWLFGQVMM VLIFGSSIWTGRAREQITYSLDCYTNQAASYVSSRRMIGVGIPVAIIQVGQDPSTGGL TANCEEMASRPRAAAEDPQRPVADEEPVSGAGEGDEIMEEAEQEDGYTSSTPTSTLTW ISWFCSLPGHEYFCEVTEDFIEDDFNLTGLNTMVPFWKEAMEMVLDVEPDEDTSKIPD VSIVESSAEMLYGLVHQRYILTRAGLQAMAEKYESGVFGTCPRVYCVGCNVVPCGRVD IPGNDTVKLFCPNCNDIYVPPSSRFQGVDGAFFGTTFAHLFFQTYRELAPAPFWKAPS AGGSPLSPRSVSGSNGSRTSPFVNPNPHGGQKRAAGYVYVPRIYGFKVSERAKSGPRM QWLRLRPESPEELDSVDWRGRWINEDDEYDDDEEEEEEDRRMEDFDPDAGDDDDDDEE EEEEEEAGASQPKGGKSGKQIANSTTPVQSTLSPTVTASHSARTSPTSSLAPPTPEVR PLIDLSVYGVSSFGHSLGEGKVKVVRQTWMPRTDWAGTAQV JR316_0004813 MARTNLRYGPNKGHPTTPIPKAVRPSQRKGVQSTKTKFVRSVIR EVAGFSAYERRVMELLRNSKDKKARKLTKKRLGTLLRSKRKLEELSSIIQESRRAH JR316_0004814 MPGALTLSPPPIPKSRTRASSTCTNLRPATSPAQHTLGRRRSTS SLVVPEQPCLNPPEPSMFALYVARAIDWFHLVPHKHPAPWSVPSDSPRSSTDDLVLPL SASAHSLTFVVPEPPQPVSLWRFPSVHTPILVVLLLFPFSTALVLWCLSTLPISLAWP HDIADLAVLGQELHSYTQSGVRPLIHVIGVMAISAIWKHAWSIPGSVLWNVLGGALFS PLYATVLLTALTTIGSACATMLSTPLGPFLSKLFPKALDLTRNALGGDSDADSDSDIS GIQFKPRSSAWVRLSVLRLIGVVPWSGINIACGVCGVSLVDCMLGTFIGCLPWTAVTC QIGDILQTVASTPSPTPQTVSSLLTTPEIILKLVFLSVISLAPILGRGKLRAIISHKP RVLSADDERKSRWTWVQEWRTKIRMGSRSRAREQAQLGLNTLVEEKRRLEDLSYS JR316_0004815 MQRNMSYRKPVPAYIPSPPPSPHIESPFPSLQVDDRVSTEPKSE AEKPFPPLPENWREILAEKVNATETATVKSDIGTSNGVHGTTRYDDTLAEEQRDVTAN VPSSEVLVASVTVDKDQESFTTIAACYQPHSQAQRIGRGLPTIYRPPTPPLRSHNKQV SSDMHNTHGVYLPNTSSKPYQSSVQLKPSSSFRTDRTMVSMNTTNYSIGWPNGQPTSS STPMYSYPTLLIQKRNIEALKNQNTDLANDPNDDDAGCLSKACWPKFDGLAKRLRLGS ISRRT JR316_0004816 MPPRAAPRGSAPRGSGPAIRGSSSSRGGTSSYSLSTTTNRPNIT TIGVPRPNYGAGGTISNIHVNSFRTTIPEGVIHHYDVISPSEKTLPARLNMDIIESLQ FRIAPAIFTPRCVYDGRKNIFAVRELPFGERGYQDFDVTLGDGRPDPNGRPPKVYKVR LTKVAEINPEHVSFTRPLNYLFNILNRVLKRFIHGEQSHDNSVLTAITALNVVIRMAP TMAYPFNVRSFFTSRETRDIGSGISLWRGYFQSVRPGIGQMLINIDISTATMYKGGPL LVVCCEYLGKTNPNFLAQHTLSERERYRLHKFIQGVRVVTNQPIGAHPAAGGRNEPTP RVVKGLSIKSARMEYFTLRDGRSLSVADYFKQTMNRPLQFPDCLCVEVGSGAKIPMEL CNIPPGQILRKQIPPDKTKDVLDFATKRPADRLASIRTGLSVLAYGQSEYVRQFGMRV DESGPLKVQARVLKPPILRYGVGSKAPTIVSVLVNLHETELLIVKMKTPNNGAWNIPV GPIVAWGMVVFEHRFKEQQIQDVAEGLYNGCAKVGIRLEEMVVCKFASGQQQVRKTLM EAGKEIYAKLKVAPSLLVVILPEGANDMYTEVKYFGDVECTRAKPQYFANVCLKINVK LGGINTIPDPSSVSVLTDPHMPTIVMGADVIHPSPGSVGRPSFTSLVGNVDSDTAKYV ADSRVQTSRQEMIADLCEMSKHVLGFYTRYRASVEKKLGTTCNPKRIIFYRDGVSEGQ FKQVLDIDGGDRTGNCLAGTVVDREIAHPTEFDFYLQSHGGLLGTSRPAHYSVLYDEN NFSADALQSLSFALCHVYARSTRSVSIPAPVYYADIVCSRAKNHYDPSEVWESLGSED TPSGDAGESLDKFRTGFKPLHHRQASLMYFS JR316_0004817 MSIHIPNILLAIPRNPVTAVGLPLALGFFSGSHSSQVANSNWYA GLAAPPGRPRREVFPFAWTLLYVSMGYASHIAVKALDHTHLDVNRYIGLALIDSVLMT ATTWYMTKLLDRPTDAKATYFLLPYCAWLGYATYLNAGTWWLNRQPKYRK JR316_0004818 MAAFLPLLTEENSSLYIALNRLGPVIIDAKNPAPLPQPPQSSYL LVDQNTPVDLQATAAWLDEGVDKVIVSLSLAKELIGTIPSDRLLLLLDVANVSAVSDK VRNGVTGVLLKTPAIDFDLISSVSKFFVGSAIYVLSGSDTPPSTANIRELQRIGATLV IPDYQLTLEATSTTRINVADAFLAAVVSDRPDGLFPTVVTNQTGHSLGLVYSSRESVK ESIITGKGVYQSRKHGLWRKGETSGATQDVVRIRSDCDSDSLEFRVVQHGVGFCHLNR QSCFSEARGLPALENTLKSRLQSAPEGSYTKRLFTDPDLLRSKIMEEADELCQATTKD DIAFEAADLFYFALTKCLAAGVEISDIERSLDLKAKKVTRRPGNAKPQWVSKTSSPTV ASVAATSATQSKAAVDTDAPIKMRTSDLSTLSSAERQELLRRPVLKSDEMIEKVKPIV KEVRKRGDDALLEFTAKFDRAQLSSTVVFPPFAPESMVIDDDVRKSIDIAYSNIYKFH AAQLDASTLVVETMPGVVCSRFARPIARVGLYVPGGTAILPSTALMLGIPAQVAGCKE IVLATPPRADGSISPEVMYVAHLVGASAILKAGGAQAVAALAYGTKTVPKVDKIFGPG NQWVTAAKMLVQNDTDALVSIDMPAGPSEVLVIADHTAKPAFVAADLLSQAEHGVDSQ VVLVAVNLTPEHLAAIEGEVDVQAHALSRVDIVRQSINKSIIVKVSNVDEAIAFSNDY APEHLILHLENASRKVAQIDNAGSVFVGPYTPESCGDYASGTNHTLPTNGYARQFSGV NTQSFQKHITSQEITAAGLDGLGPVVATLADCEGLQAHANAVRIRLRDREN JR316_0004819 MVSRFALSRLAPALSHPRLSPAVSRRAFRGIRSYATSESDHQAS RMTVRDALNVAMEEEMLRDETVFILGEEVARYNGAYKVTKGLMDKFGEKRVVDTPITE MGFAGLAVGAAMQGLRPICEFMTFNFAMQAIDQIVNSAGKTYYMSGGNVPCPVVFRGP NGAAAGVAAQHSQDYAAWYGSIPGLKVVSPWSAEDCKGLLKSAIRDPNPVVFLENEML YGVSFPMSQEAMSDDFLIPIGKCKVERAGSDVTIVAHSKMVTHSMEAAEELAKEGINA EVINLRSIRPLDIETIIKSVKKTNRLVIVEGGFPAFGVGSEICAQIVESEAFDYLDAP VERVTGADVPTPYATNLEALAFPDTPLIVKVAKRALYRTN JR316_0004820 MKPAVVVTGASKGIGLEVTRILLQKFNANVIAISRTRTPELIQL GSDSLVIVESDVADEKALSNAISLGASRYHGIDALILNAGTLDPLCRIGDDTPLDSWK RHFDVNFFSLVTAVRAALPYLRKSVLGGRVVFVSSGAAVKGMPGWGPYNAGTYPFHSL SLLASEIPRGFRTLAEEEPDIACVAVRPGMVDTGMQDTLRAFGGSYMNEKDHQIFLKV HEDGKLVKPQDCGHVIAALSLQAPKSLSGQFVSWDSEECKPFRKE JR316_0004821 MLGSLLTSVQPSQNFCVDIHHHYFTPDLKKDNPNPSIGWKTPAE NLPWSPEISLQAMDKMKINVSILSFPSLSTGSVGEENRSMARTKNEYASELCREYPER FMFFATLPFLDDVEGCLEEIAYAIDQLNACGVSLSSCYGEGQSASDKRYDAVWEELNR RQAVVFVHGSQIPGSTPCPHSSLGVPITEVPNETFKAASHLVVTGHPRKFPNVKVVLA HLGGSTPMLAARVAVLSNHMGCELTPEEILEDFKKFYYETALSGYEGSLAAVDKFIPQ DHILFGTDFPGNGQNIYVI JR316_0004822 MSYDLSELPPLPRARSWSFYIVLLAAVVPLWSAIPLAWLYTLYS IYDSKWLAYGPSRHLLLLTIFSLYHYHLARRVSGPSPYGPGDPDEIQVAYTRLLKAGL ANLPEDGGDIETLLIKRPGSPAETITQLERHDPRAIDFRHCLRTWFCKVPWSSIKLLD IQKWLFWAMYNSDLPSRDSISATQRIAIDEAVALLQKRAGSKFEEGFNSTITPMRLTI DKINILWRPLTFYVIVTFVNSVIRALYTRSWGFTHGHSNGLEYLLRTPENWDQKSSPR PVVFIHGLGLGLLQYHDFFAQLVELFPDRPILIPIQPQISQSFFHSDFLLPPSRHQMA DRLANLLQNLDWVDLDDNIEEKYQGYNERASSPLRIPKAKRGVTLISHSNGSYAHAWV LKGHPDIVARSCFVDPVTFCSWEGDVCYNFFYRPCMTGMELLMRYFVGTEIGVVNLLQ RHFCWTSNSLWFEEIPNPTDLHKTLFLLGGKDDIVHSERVKKYLTSHGAGDNLWYDPE GRHGQALMRGSAGLKELFRWLSEDENEQPDDESDTN JR316_0004823 MLRNALANVSRPLVAQARTATTLSAGFPKIIDRPSTKYGGVYTV TLIPGDGIGAEITDSVKEIFEYVNAPIEWDQYDVSGMSSSGEALFKQAMDSLKRNRVG LKGILFTPISQSGHISWNVAMRQQLDIYASVVLCKSLPGFPTRHNNVDFAIIRENTEG EYSGLEHQSYPGVVESLKVSTKAKAERISRFAFDFALKNNRKKVTCVHKANIMKLGDG LFLNTFRRVAEEYKSSGIEFNDMIVDNTSMQLVARPGQFDVMVMPNLYGAIVSNIGAA LVGGPGIVPGCNVGREYALFEPGCRHVASDIMGTNRANPTAMILSATMMLRHLGLDNL ANSIASATFGVINEGKVRTADMGGSASTSDFTAAIIKKL JR316_0004824 MDSNQPNAKIPLPAFLKLLTSNNVPIPKAMAIAGKVYKQYNTHS TLSQLNDFKLKAAGLDSQEERKIVMNVLRKEGYISAPKSLPKIQLSPAAEASGSHLSS SKTSPSCRPSTVSRMSTPTKRKRKRPEEINEFLPNGPEDETEPPAGLSFNEVMDEENL KGKSAIINRAPVMMSWAMVVAERLHFSREEALSIASVYTELNAVSKGVSLGIYAKDNE KGKEASKSDSQPYVDLMGRRPLYRTQAGHWRALSNGSPVAPGTAFSYISRSFRQTTPF IIGAMKMLADSYAPEELNARAWSLYAEFRPEVNEWGKRSEVQCMKILHLRKERPMESN RSALQTEKPAVSDDLSHSKPHVQKKIKRLTWEEYEASLDQDVIYDDVNLDFPSISKKK CENV JR316_0004825 MNQDQEQSDIDVDLNDLRVEQEIDELEEDDFASASDEIEGGIYD VALPEPIVRMVTTKQLHRMIHEGEVDISPPYQRDPGDVWTDSKRTGLIDSIFRNFFIP PVIFAVTMEENEEVRTCVDDKDSRNGKKYWYTAPANSGKVELPEFFKEQFQRKEITVV EFHGIAPGTERELFQRVQLGMPLTAAEKVQAVASPWAEWIGQLITRHISTEDGLGHKL DWDINRGRDFQNVAHMIFCCDGIKEQPLPTAQKIERWIHREDHPSRQFQEDIDRVLRC LWVIANEKRYSDAFVKVQQRIAPVEFIFIGVLLYVLKRENYETQSKAIYTLRKTIRSE FKDIRNNGDVGKAMWRHINELRERPHATQLSNYTFVSPIKTTKRKRNSGVDEDDEEFR PKPIRSIGKAIKTRAKRT JR316_0004826 MPEYDLCFSFSSTNEAGSYKIIELTPDLTTLIETAIAKDEDPRL SIKGQPDEDAVLCSSTKTYGMRSVGLSNTVLVVTPVPDERASEFAEDAVIIRDQLNEI IELAPVVPKLHKLSALIKNREYDGDNEDEDDDGMDRFTYHDAKQEIQASDVEIDQGLK TRRVLIINNELRPIAPAFLAHLLELILNLLVSLSMNYTSVSVEALSSALADDHEVSRA VSTQVMSWFGEIKDAKWKMDVDGVVKELGLGILRKHRHDPIAKDDLLAAWKKLAGDTF EPSVSLKLLEANYIETIDTMGNGASVLRYFPASELPVDPAARFSDLFLTRSIWRGEEI SPFLSDIAVNSKERDKLLLKYCRTVTGPEGIRYTARAQYNG JR316_0004827 MAYLYHNDLLAPYLALPQGDKIQAEYVWIDGDGGLRSKTTTVSK KVTDIGQLRIWDFDGSSTNQAPGHDSDVYLRPAAIFKDPFRGGDNILVLAETYNNDGT PNRTNFRHHAAKVMEQAKEQAPWFGLEQEYTLFDADGSPYGWPKGGFPGPQGPYYCGA GTGKVFARDLIEAHYRACLYAGINISGINAEVMPSQWEFQVGPCEGISMGDHLWMARY LLVRIAEQWGIKVSFHPKPLAGDWNGAGCHTNFSTKAMREPGGIKAIDAAIEKLSKRH DEHIAVYGEDNDLRLTGRHETGHITTFSSGVANRGASIRVPRHVAAQGYGYLEDRRPA SNIDPYRVTAIVVETTCLDA JR316_0004828 MEASSSKMSGLQQMLEEDEMDNQGEGSVRLSYFNLVMLTEWHTA CGEDEVIIPSLAFNKSTDSLGGLSQLSQQTSYSQFGENDITSLIMEYNEPEPPPPTQP NDEDNASGSSSGPSTTSLGKRKASESSSVSINAERESKIPRPVSRPSMDDFFSGGFED IISPDDYTTPIRLSAHHSLQPLFRTLAYGSQYEIARYVSLGKAEYKTLLFPNLSKLAQ YKTNALAVPVTARIILSDDVVGDEEEEGGEWKDAFAREQASKSPWAELDREEESLKKD PMSGLGFTNTGEYKNWYGGKVLFHGKLQAPKTAGNNPPRFKMTLEPAELDTSNMFARR FGSKNIFRLKLTKYVLNTKHTDALMNYLCRPLILCGSVFRAFYSKETNVFYIKTNEYT DGEKIITGKTVEGTMSLLEFLEWHNPMAYNNGQTMAKYVSRFALGLSNSVPGIVVNQS NIHFIDDIIASSTKSNMTDGAGKINRWSLMQIRHRLNWEDKPTAIQIRIFGTKGLLID DGINASEEACVQVTPSQRKIQFPEGRPVDLAHRIVDVLRASHTKAPCRLSVETIICLA ENGVPKSAFLALLQKALVELVEPLLKWDTVEDMRTLWTNVRRLGGVMAARRAREEAGL ARVKGYSDRNVDEDPDDDEGIDNSPSDKESVAWWADEVSGCPSSLEETVMCMLDAGFT PQDNPVLRDKLYRFIKGRVGYYIKGYRIDVPMSATAFIVPDTYGILEPGEIFFKSSRR AFLNPDGSSTDVVVGKVLLTRHPCKLPTDIQKMDAVDRPGLHHLTDVIVMSTKGDRRA ADLLSGGDYDGDKGTFIYQPELVEPFKNASLRYSEPPKNINKYFVAENMEVTTFQEQT SGLDDTEKIRRFQEYLLGSVRNMSVVGKYSTFHDISTYTQGYSHPDTIRLAYMFCMTL DGIKTGMRVLPDVLAQDMKNFNKRAPRWKETDEERTRLANLNEVHAKRPQHLSRFIMD DLYRQAEDEGKKWYPRLEKAFCERPVKIDEDLAAPWRLALEMATRWMKDESNSRMAND LERIKYHVEVVYNEHRGEMGSPKKPTKTPKKSSGSGSSFSELPIEVRQNKIRELSQKF NSRPTKDELFMAEEEIARLRASYAYVYDFEMRRGLNGFTRFPFDMAMRELCLIKSRAI GRMKAVTGDFYDHFNMKHPKQHHH JR316_0004829 MRPFTVWFALLAFLVASVAAWEKEDHEIFDIVSELEAAEGKGTT FYSWLDVPPTASTNDIAKAYRKKSMLLHPDKNPDVKGVHERFARLGVISTILRNKESR KRYDFFYKNGVPKWRGTGYYYSRFRPGLGSVIIFLTVLTSALQFVIQGINYRKDLERI ELIVSQAKAAAWGPKMVPVAGQRKVRVNLGESRDEDGEVTGKRWLDMVVEDSCVYLLE PNGDMHLIDASTAVKPSIANTWFIVLLRSLLNKLTGGRLLDKATAVPAPVGENGSAPD IDTDASSTTGSEAPSGYSTPKNGEEKVRIGGPTSKAGGMRRKNVRKRA JR316_0004830 MLPFRILLNNSLSETTEITEVGPHAMRKRTLKSKRKKGKASNAN PKLYHGARGRYHYFLCLQLILRKQIAALTKIWELPPEFEIICRDVWALNLALLPDPPP AEPYHHAVETQIHDEVDPVVDIKAFSSSMKQDLDGASEDEQANDDDANEKEEHSDTDE DEEEEEDAELELLMQENSDLSSSSEDELGESKISGPESGQPKMEGGRKGRFRYESPLS TIAVLVVTCWMMRIPVMYRDFTRLIEKYEIPYLNGVKSLPESMTEHLTKHNVQALSPP HAPRTMAMHKVASRLAKKLNSNYGIYVPEINAASILWRITKEMGGTPLLYRLAKRVAS VLSVPLTLHWTLAPGLAKLKPSDAFRHHYDGVPPEASLLGALIIVIKMVYGLDGTTRG PEDGDIDDVACLLGSEEEFLKQINKLTKDEQREMKFDSRRQLNVGDMTDEEMDEYIAF CAKAVGYGESDSVVERFFGPVSVGRSGGETGGHWLAEDEGAGTGIGAAAAAGGSSGNE GCRRAEYGAEYKIWNSRDVDGAVPAGYEAVMRRGETLVGMNIGGMLAAFERRLVRTAK RL JR316_0004831 MTSIVANQPSVGGTNGTTGSPGRSTGSPTGAGAGIEVDSEMLMF HVNIVCLILLGVLTLVRLPHAFGLFSSKEWLGSQFLRQVSTRPTVRRLATQDIYSPTS TPKGRGYTGYGSSDDSHMYAQPALRLTEKGTPTTIRFPTNIPVTWFMTLRPILSPLRS RVMPGFSVAQAFVVVMYFYAMVYAVFFQSNIITDSNRTGWIAVSQLPLVFAFAQKNSI PGGLIGYGYEKLNFLHRFAGRIVVLTTNIHTFHWVYKWSLAGTFSTKLKQPSNMWALI ATCCFNLIFLFSTAYWRQKAYTLFLSSHIIGFSLVLPAIYMHKKSTFPYVVTAAVLFA VDHLLRVVKTRCSTAFLRPIPELGLTRVEIPGINAGWRAGQHVRLRVVSSGMGLLGWA ENHPFTVANISNTEEGMVLLCKESGDWTRRLEKIAKSSTHEGTIGRQVKVMVEGPYGG TQRTIFSSFSGALFVVGGSGITFALSLIQDLIQKDLEGNCRVKVIELIWIVKDPACLT PLLSTFSNLIKMSAFIPVRISVFYTRAPTGQQPSFFESVTDGPYIGKAPSFKVQPQHL PPGITLSPGRPRIVNFINLTLQRIIALRSSSQNDVKLTGLIIGVCGPLSLADDVAKAV SNAEVSQRDHAGGIEVSEETFGW JR316_0004832 MDQNDSLAFRLDVINKLISLERLEKERRRRSQYQETLIGKLSQT LLDLESNSESKQPWNDVDSEEFTRFTSLNKDVIDEIEHRLRERPDGSYLLAQYQQVIE NIKQIPHISQTQTFRLKREALEERLKALIRHSEVLLSSNHNQTKDTMSSCLKTLKIIL MNTQQLPRHKTLDKQTVNMNDTKLASRLNCVRDNLLQLHGKHNKYIESLATIDDKVAE VLSIYVPPMAPLEKIIDSITTSKAIVKFCEENIRTEQVVRELQIRGSFLPAQTNFYPA MNILVPLQEAISVFLNLHSAHDPDIESLNNIWKLEQTSTENDKE JR316_0004833 MDYSPRYYQPFTLREAIALDVSVITEEISRLQNSLRKLRETQSI LQEEQHDPDIKKAYEENEQVIGSQQERITILKMALTEKGLMTGLHYDLQPTQHILEPP VTTGSSEHGHENRITSEEGGFKFKKLQSHRA JR316_0004834 MGARIHAYFLRLGLSHEEASELHLKYYTQYGLALRGLTRHHDVD PLDFDRQCDGSLPLEDMIAYDPTVRKLFQDIDTSKARIWGLTNAYRPHAERVLRILKL DDLIEGLVYCDYLVKDFVCKPEPEYYEMAMKQANVTDPSKCYFVDDNRKNVDAARKLG WAHCVHFCEKGLEAMEGGRIKEIDDAREPGATDNDVIDITTLEDLRTVWPEIFKQS JR316_0004835 MDNCGGRERQAETEAYRYDIRCFPWNYVVSSSHSPAPNPALDNI IGIGLDDLKNTRMARSKELVPGVGRLSRSQVFAKRALYKGQKKSTKPAAESPAETVEK AIGGEKNGGKRLVPTTKAPRFYSAEDVRQPKKSRKSPKPPSLRSSITPGTVLILLAGR YRGKRVVFLKQLTSGLLLVTGPYKINGVPLRRVNQAYVIATSTKVDISGLKVDEKFND SYFAKPSTKTASSAEEEFFEDGKPKAKQPIPESKAADQKEVDNALLASIKKTENLSKY LKASWGLSKGQFPHQLVF JR316_0004836 MLALHRARLRSPILKPPYRSPTDRRFFVQSVCNGFLDLALALPI PPSLPTYSTTIIIVTLVTRFALLPVSIWGKERSRRLEEIVIPEVEKLKPLVSKRVLEE MKAAGIRGDKKALQKMHSEKCVQVLTARRKELMKEHKCNPVPTIVIPPLSQLPVFVGF TIVLSRLSVVPTPLDSESFFTLTSLIHPDPTMTLPVILGFLTMANVESGNWVMNAAEK EQKRAVEAQEEKRVAAGGKPRIHPGNIIKSVLRTASVIRIIIAAMAPGSVTLYWVTSA AFGLVQTWYMEWTDALRRRRGMAVHLAKDNPPITPNKPKPRK JR316_0004837 MAQTVQRASGSGKRKAPAPDASDPPTPVPKKSKMATARKSTGGR PPRPIPRHSNATDGAAPRKPHRYRPGTVALREIRKYQKSTDLLLQKLPFSRVVREITM EMTTDTNFRDSADLRWQSSALLALQEATEAYLVHLFEDANLCAIHAKRVTIMTRDIQL ARRIRGPWGGLG JR316_0004838 MPTSIPVVTGYYRYTDIWFEWHQALPDIDDRAPVKAILSHDALV HPEHPLHVEGINGIQMYIGTFATGEARLLFSSRQVDYLRYWLHAMQLTKGIVPLPYSD CLLTESNLETVSPVVYPDGLSLRNALKVIDKNNKRLKGSNPLLTHRRHLFERVRNFWS EKKGVWCAMDFEAWELDHTVLTEFGWSLAGWKDGSLVEDRGHLIVEEARSYINSQYVP DHRYDYTFGTSETVKKALFKKRIQYLVKSLNEYGPVFLVFHDNSQDIKDLKKLEVDLE GMSYIMPDSIPDSGIFVIDTSDLIGALLGEGSGEKRSLDKTCSLLQIPTQYLHNAGND AHYTLLSMQEMAKGDPIDIQREKRWPNQTPAGVKVELKPWQEDSDYSDEEGVIPPPEG YKFVTDEDEANSVHDR JR316_0004839 MSVRQSKAQKQAAHLRATIPGIEGDPNHHLTGSFLPQTPLGAST PERAASPAVSTAFNALRDQRSVFVRWAIDPAISFKLLLIPVVLYLNWELLSYFGVETG YPNPFSKIFLLSGYVPTSTPEDPRYQKTWWDLAFVGYYVVFFSFVRETLSLKISRPAA KYFGLRREAKIDRFSEQAYALFYFMFFGAWGYRVMSQLPTYWYNTQEFWNTYPNWDMK PELKRYYLMQFAYWWQQLIVLVLGLEKPRKDYGELVAHHFVTLWLVGWSYLVNLTYIG NAVYMSMDIPDTFLAFSKLLNYIQWNTAKVYAFGVFFVIWSYFRHYLNLWILWSVWFE QPVGIPEFAKKWDWSEGVYMPGWMKMQIFLPLLILQFLNLFWYYLMTKILIRGLISKE VDDSRSDDEDDGNDNDNEKED JR316_0004840 MFATTGLFRNLACPERDTCTRQNCLFSHSSDVPPPKPLVKQATP VPTTIRSSTPSTSGISSKFSSTSDLHGSNPHVVPAKRPALASPTKMIPNIAEPPRKLQ KVGVAKMPLVPVASSSESGMPVLRINAAQSSVAIPVRQTMLKTLYEHFVILYKSILST NPSLASEHALRQEDEVYKKSTKLTYRNASALKRREVPTSISHPSVGTEGDIVSRAEAR KSLENLQLTADRLEPFIHTTEELKSWGYFVDIPPGPGGDRPSQEGKIAKCERCTQYFL VKRAEESEQCTYHWGKAYTSRANGEKQRTYSCCSRSVVDSEGCSHGPHVFYESTAEEL HSRHAFSFLRSPKSDSPKLDVVALDCEMIYTTGGMRVARVSIVDGSGKEIFDEFVRMD EGVNVIDYNTRFSGITPQNYATALRTLSSIREALDLLIDSNTILVGHALDNDLKTLRI IHHKCIDTALLFPHKAGAPYRRSLKDLVREKLGRSIQMGDANEGHSSLEDASATLDLV RWYILNKQKSAVHSGVITT JR316_0004841 MSLWTLLDTIRRHQHVYPQIDIKYDPDASATTPIIVHIRPHIDL LFSGKHQRLHTICIRKLRDPNPPVTVQYNDTILSSPEEVLRRVTVGKIFGPTYPGDEL RYPGIWFSFDDDRITEGLKINQAGDRMQEVKRIVISQILPEGKDGDALDEVNECASMT GELQRAVVKVHDGVTLYFHPIATTTPLHIRLGETTSQDLMLDLGPPLRFHYRDDERLK IHAAASKIHSDTGTGYFYNYFQHGIDFLLSETSHVVKKIILHSNVPGSPTFQRYKRCN WEIEGMPEDDEDDTPPRKRFFDRFETISHFLSPREPPPSMSLDRTADEEHLILPHSTT HLHGYDGVILEATDSSQVVSVILF JR316_0004842 MADDRQRTIIKIFRKFSNSLGPDALFEVERIIEDAEIEDEEIES SMEMLAKAYNKQDDATMKVSVEILRRVYESLKDQGDGTKAEKELIDPDKHIFFIDAFE MPRWIWSTERGTFERHSSPLTSAGSPETRVASIRDRLNIIKQCVLRNEHFAPSTLPSR DRERLVTLKSTKQLLGRAGERFLLLGMLTYNKEGKICLEDLDGFVVLDFSTLDEPGDG LFSEGCFALVEGEYTEDATLEIIAIGQPPCEPREVARSIYGHIDFLGKGSTSLLEDSQ FRIRVREEIPELNFFFLSDVWLDHPQTLLGIQKMFDNCIENDFIPQVIVMCGNFTSKS IAHGNGRDVQRYQDNFDSLADLIAAYPSITRTTHFVFVPGPLDITVNSTLPRRPLLSS FTGRLRTKLSKVHFASNPCRIKFFDQEIVIFREDLMARMLRNVVGVKPEAKSEDLKRF LVQSILDQSHLSPFTINIQPVLSDYDHSLRLYPLPTALILADKYDSYKVTYTGCHVFN PGSFIGKSLTLSTYTPAEVNSEECVLALDDED JR316_0004843 MSDHIRIRSISLRSIRGIYFRKGARTWRIDRVSYVFTSVQGSRR LAIKIDRPSLHIEKEDESIIKPKPKNHRHTRNLTLADFNPSPLAQYAWKALSGMKNIL EPYLRPLIRTYVIACIRIAIQWLPKLTQALSFDVHSVEVTFAEVPGAKLVAEEINLNA ALLLTYLEQPPQPIKTKESRTRPDNYPAYGMGILKRRMAESFQRSLDKALGGTRGTGT LSLKISNILGSIPRSEHDSLSVLFLVSPGVIDLGISVKFNPREGALETQGLQISFKMG DCSAKVDLLNLLLEKVLPKKSQQATIVPSLSSPISEYGSTVLGTPVSSTAHRPTALPS PTPSFASSFFSPKSMFSPSGLMSPRPSLLSPNSAQYLSPRSPKSPSSPFFQAISASMR PRRRFLIQSPTKLKDFRDKSKLLVLHSIHISIASIGLSVLSEAKSGPYKAMVKDITAN ISISDPSTNLFHKKHLGSRRINGGYDPEAYSLNLSLRQITLERESRHHTVSLAKGGHL KIQVLAFQWPAPFLSPSPFLANDPNAPFLGIDINLSDAHLSDRIQDLKRLLEFVPSPQ QPTQTNSPETTPVLCTTSQPFSIPRLVLDVNCGPITGRIIYDTDNGETHRAIELRNNG FVVSLDTNYNHPTPSISRTFPAASSVQALYFKAGLLLHLEPTLVRVRSQHFMGGHTSK SSTSDEDFLDDPPLLSVGIIEVKAAASAIAQIDGATNSVPVIEKASTIVELSSELETI SIELWHPISVDAALQVLSLIPPRRQSQSQRLQRQTRFSKLPTGLFTRLAIGRFVLFIT GPDISPDDTMDLSRGFALRTSPSLEYCSLRPNQDHWFDNLRRSKKRTLLRLPSETLTD ALVAAKTFSLFGEKSGFLKAKMNNFVLRAAVATTYEPDEPAIVGREDLLDHSQDLLRI DHALVDVCLSCKSSSNRLELIDICDVSIQIPLIRIDFQLVHVYNILLGLQTIRIINPP DPPSLSNHTLQSVGDERADVVLSVHGNVTAIQAVVILPTQKLILRMDGFSGHLNSEGP PRLKWTRTTAYVLLPSQINRWEEVSESKWDEFVTLQTWEISFTQLAGSLCVSVDGDSA RLRIPHGFVLAELVKDLSVSMKAIKHMAHMASAGCYSKMPSPSPEGPKSVPHLTIRLA TLCLEAQDDPFESKLGLIWRTGAEAVKQRMDREQAFNAKAAAVLLAEPDLSSEVPEIG EAENEYQFDAKHSVSIKEARARLDEVHVLDWILRLDRAKKRRIKEADALLHALFGASV SLAPDSLLDFMKLPEISSDPPLFRAVLQNLCLTISPPSFSLDSLPDALYNMGSGIPRD TKYSLLVPLHIHFTLTTMHITLRDYPLPLLSISDQSSSAISWTFDTDFIAAEEMGTDR SVDWVPCPIVEPHQARHGEAPFSILVPKTIMPVKTYAAPIIKITTRDPTVFSWGVSYG PAIQDLMRIVDTLSSAPRDSSPAIGFWDKMRLVFHWTAKVTFRGDVRLYIKGSRDPYS VFDTGAGFVLCWQGLPEIRIGYENPQQELIQVTSDKMLIAIPNFNNGLSLQKGSLNTT KPFEKVCARLTSGVRFGIGFVLERSCGPDCPYENCAGSPFHRKCRYFSFRPHFDVKLE MKPSPPSYKARDDSYNGFRSDFIHLSVSLASSMKTKGHQMNPQISNIYLTPKVFAHFW SWCSLFEGASLPIRQGNYHPSRHISPKLGRHLATLKYKLSLSSLYFLHGYMDDARETW VDGVTPWVGLKGKIDELQADLHQRDEESTTTGPISNTTRVDRKKPFYAAEVIMRGIEL RAMLAIFSEPMKQNTDMTAPPQRSNYRKHTTLPITPPSSIWYDLDDFVELNWSLPTDP PQLHLLPLAGCPHFTYFKRNEALSGSPQTSKFGSEHSHNCLLGKEPSVPKTQIALANA RVMELKSLISENKGSKGTRTRSVMKMVALLEEYVNILKEVEIRPESAREKVAQSYHMP ADIVSSEEWAEFDNVYQIHCPSISMDSAVRDIMMQYYYCSRDRRGFEYHMATRAVKFI RDQANAGSVTDTEDESIKSPTNTAQLAAVALRKILKGGNDIRTSVDIIREKNAQPPGE VKPLSGWTEDVSLRKSHCCLLLKPQIILRGEGVNDTCIVAAAQAKLQSFAIMDPLNME DPISGKIMSRNYTSLTGLQAFAPIDGQSTKNGSVPLEVLVDLRCETDAFERLVPQTEA TFHYDKFNRLRLRNNITSIISRADTNSASVNDSHLQNQTDLIRVHIPRFTVSANSEHL NAISNIVTKLLLFSDPAHKTRLDKLETLIFTYDFTDLSSASTVVSSLQGRLRSALEMQ RLSGRNINRMDEEDARLGMLQLKAHIFLLSEELNLLFDTIKMAQDRYDDQADQKSALL LHASSSEISWRMLDPRRNLLSKLVVSDINFHWLSRQDSSTVNNLTIGNLTAFDGSRYA LWAEILSKYDEPPNHPLLKRGLFMSASWIILAPVGGITIYETFELSFHPLRLQLDAKV GRRIMEYIWPDRGNRQISTQDPPKDHQHGVAITAKSPTTGRSSIDSPRGLHTPKLSVG SSGKPDAPALRKLGSSRSFTDLRSSVKEDNLLSPPLFSSAQGFLSPPAFLKRTHSTDS VNFTSMLDASVIPGLNDSIDNEAAEIDRKLKAAGDAQVMKTRSSQKSFVLVRISRSVT GQLVIKEGSFECHDAKIKTRELEYRNQTWSFEELVSQFIPSNMSWRGWVKMAFHQPLV PVLPVARELLSKTKWTASKTTNQPHDNPLRLLHPKIFATDDDRRLTMLQNENARKQEG PSKSVWKNALRSNKDSPSFTSLPITDEPEPMESGEREDVDHTVGRKRVKSLFGNKNSR QTSKTRTSKGKASYANLEDISPDSGPDNRLSVAGTSPSNRNTFSRFSGGSVRVHPSSS TPQLQLTSEDAQPSPLSQQATPGRPRALTTTSRVHDHCTSRTSQFLSPTQDNSPAFHS PQFSSITPRLSHLNPQGSEMMNISGLQPGRLSSNGELGLIENSINLSDMDEDGGDHHH DDVVEHLDVIDSQVGAISNLTNAANSILIPPSSWYSRKPVVLLPVTPIRPGDNPEFEN PLDRHVDDVLNRPSKVRRTFMGVWSFLKTRSSAMGIITGIYGFLVVFWGAAIVIFLAK IINFHNANTQGFWVEVSSQVETGLFTVTSIGLIPSRVLDTYRIWKIWRYKQKTIKLRK KAGLPQLFDVDDLPDPVYDPNYVHVLTEEEQTDLHRRLMERKLTELIDDRFQRPAWTT GILIPASFLCGIGAAVVIWKGGEKTKRVEKVREKLKAALSTRRDLPPSIVVDGTLPES LTRNPEPKIIRKPLHEKAKDTSDLDFATTIDEHMTVPSRGNISEV JR316_0004844 MSFSSAYKKTYGNRVSNFSNPTAKLILETMERKKSNLAVSVDVT DANDLLAIIEAVAPYHSHELFFKTHIDIIQNFDFSLIEKLQHLSQKYDFVYFEDRKFA DIGNTVALQYSSGVYKIASWSHLTNAHPVPGLSIIKGLSSVGLPLGRGLLLLAEMSTK GSLAVGTYTEEAVRMARQNRDFVIGFIAQKRMDGVGSSEEEDTSDEDFLILTPGIGLD AKGDSMGQQYRTPREAIFGSGCDIIIVGRGIYGTDSKAVDTIAAQAERYMAEGWAAYL ARINNE JR316_0004845 MSSLVIHEREQDDSEVERYSKRPKLDDDLEGSTTGFGPDNSVLP PSHALLGIPKPVAKEGSALNFLEADVGISEYVGRGEAKVEGIIKQRFTDFLVYEVDQD NNVIHIKSLSKPESNKPEKGEPQSTTLGESSTKEPNQSDMPPDVSANECQSTQGTPPL KPSTKEDPWPEHFNSSLSPFLDEESVSKLRQIFLEGPEPPRVSDGGWAGRVSTSMSGE ANPSADQDSEDKTTNESSGAENRRGRGGKRGGRGGRGRGGGREDTRKVLSNPMTSKDA RTAFHKIIRELFGGKLDTETDTTGTKNEEGSRISVKWANRGGGGRGGGRGGRGETSGR GSYPPYIHFTLQKTNRDTQDALGHLARNLHVNVKDLAVAGTKDKRGVTVQRVSLSRGN KTVEDVWKLANNVGPRRTAEDAISQRGERGIRIADFNYRKAGLELGMLKGNAFVITLR NVKVKSMEILDEAMNTIKHKGFINYYGMQRFGTASVPTHSIGLALLRSEWQKAADMIL RKRFGEHPDVEAARDAWLVERDLDKALSLFPRRVVAERCILESFKKQKGDTRNVMGAL STSYVWNAIVSERLREYGCERPIVGDLVFDSPKSKEAMDVDVGEDALENEAEEAAVSS KKNRKPYEAPQIKTLTEGDLDKYSIFDVVMPLPGTDVAYPGGKLGERYREFLRMDGLD PDNFSRKQKDYTLNGSYRKMLHLPKELSWSVLRYTDPDVSLAQSDEDKLLGFDPPAVV EDGKFMALQIKLTLGTAAYATMALREITKTDTSSHVQTSLTAAAEDQKYRGIGMEMTP SAEEE JR316_0004846 MAKVETVVFLSLVLDLFAFTIPLPLFPRIIEWYTIRESSNPNGF LVRTLQFVTSIRSLLYKSGAQSQRWDIVLLGGLMGSVFSTLQFFVSPRIGALSDKYGR KRILLITMIGNILSALIWIQSTTFASYMLSRVVGGLSEGNVQLAIAILSDVTTPAARS KALAHVGIAFAICFCIGPPIGAYFASRPLPKSFDSWGIGLNVYAIPAIITLVLLVAET AFLVVALPETRGKGFATAKVNEKSESNGTHTNGNGVHKPPVAKRSVESRLQILKSLRN FHFYFLALFSGVEFTLTFLTFDLLDWSNTQNGKLIGSIGIISALLQGGYVRRSMTKVG EGNMAQRGVSSCAVGLVLLALMPGFVQAQPSIAMKLLQGAAVCMSFTSATVVNSLTAF ASLQCDEGGVDSVTGKSVEEHPQLAKGTALGKFRSSGQLGRALGPLLACASYWTFGPS ATYAASALAMFALSMSMKKVAKTKSA JR316_0004847 MEIRDPAPSLASHFPGLQRHDAGLLWTDAGSREFIAEHYPWFLD TFDNYTYPIQRADVIRYFVLYHYGGIYVDLDIGCLKPMDPLLVYPVILPKTIPVGVSN DLMFSEKGHPMLEQTIHNLIKFDHSWVLNYPTVMFTTGPMFLSAQYGLYTASHPNSAL QEVRILPKSLYGKNARENEAPHSFFSHFYGSSWHADDAAFIGFLGTWGKLLMWLGLFI LVVGLVRLPSKQGRRFTRGYEIVFPRISRSGRWYFQLRRGSFSASGTSTQVHSPISSE APSPIEEVPVLHLPFDSRPPSPSSDVSGYSDPYAGRVQSPLVEAFNRIRNRVSSYAGA RQEPPDTPIRTGRQRSNRSVMFFLPAIFTQAPDIELQPAPPGRGVSRTISRPPVPRRS EPSSTFPPEKESQCEDIETGRRHDSGSGSRRDDEYTPLVDLAEDVPSHSSTSRPRTTP ADPWGS JR316_0004848 MIPLSSCNDAADALIDWFGPEDLKYVVGGERWWQVRGLNGVDAE WIAEQEDVSNTPPKSENGKKRSTIEADIARMEELDTVMLYVHGAQKFKGKAFAVNYRK APQYPWPCALQDVLAAYFYLINPPHSAAHKPIPPSKIVFAGDSAGGGLCLTTLTILRD LNMPMPAGAVLISPWIDLTHSFPSVMQNYETDIIPKHGFLAKPSTLWPLPTRPEHGGR VVTTVTNVPPRPGDADTLKPLDGLAQRGQVTDSNIYGPGKPVQTQEQMLTDSQSPSFL NNREKAAQHLTSGHIDVNSIDVDPAQGVTSSIEKDISEDDIENWHPKPPKVLMENPNA VPLELRSQIQMYATTEQLTHPLVSPVLQGSLGNLPPLYIIAGEGELLRDEIIYLAHKA AHPKDYPASPSALKSRRQRENSEKYIEPTKAVNQAKYAYRAVAEFIKHVTKYDEEHLK RNPFPELHRPPEDIPVDRDPDLQENAAGNTDEDKCEEEENSANVLDVNKQKTPSDIGI YLENQALVVQETGEPEADTISSKTSKTTQCNDDNQDIPGLLMIRERVDIYGKVRPMEP RDKLAALQLAASEIGLLKEAPALRWAAGQDEWDRVYSKQAERVLKQKSRHEEKARHML QRALQQGFVHSSHGQPNSEAGVVMEQEKKVRRRTSVGKIQPDRRWGPLDLEGERPPPS AIAGRVDTPEALALLKKHIYFTAPVTHLMVPKVRARDAIQAAFDPDDDPNKPPPQSVS EEQIRARCIPVHGLRMWDNILRWAGL JR316_0004849 MITFTPLSGAARSSSTTPLSYLLQIDDVKILIDCGSPDWLPEPS PFDPPENDDLHKPPAWSQYAEALQKHSPTVDLVLLSHGDLAHCGFYPWAYSRWGLKAP AYTTLPVQAMGKMAVLEDIEGLREEEEVNDKPLELSTDQEANDETISELPPSTPPSGK YIATVIEVQDAFDSLNTLRYSQPTHLQGKCQGLTITPFNAGHTLGGTIWKIRSPSSGT IIYAVNMNHMKERHLDGTVLIRQAAGGIFEPLARPDLLITDAERTSVITSRRKDRDAA LIDTITSTLSSRSSLLLPCDSSTRILELLVLLDQHWNYSRLRYPICLLSRTGREMLTY VRSMMEWLGGTISKEDVGEDGTNSRQNQNNNKRRRDEDNDEDALGAFALRFKHLEFFP NPQALLQTYSSKDPKLIIAIPASLSHGPSRHLFSDFAAVPDNVVLLTGRSEEGTLGRA LFEKWNDSQRPEDKWDKGKIGRNVMMDGSIKLKMRRKVPLTGVELEIYQQKEQAAREK EIAHQATLARNQRMLEADEDSSDSDSDDSDAEEEDEVRNALGGDSMDIDADGRGDDGK SRKTDRAMEGADWLDGDEGSTKQLLSYDIYLKGNVAKATSFFKNNSQSQRFRMFPYVD KKRRVDEYGETIDVGMWLRKGKALEEEAESEEARDYKRRALAEEDAKKAIREPPSKYI SNEIEIQLACRLLFIDMEGLNDGRAVKTIVPQVNPRKMIIVHAPSSASDALIESCNGI RSMTKDIFAPDVGETVQIGQQTNSFSINISDELLASLKISRFEDNEIAYVRGLVVAHP TSTISTLSPISSLDSPVEAGKRAEPLKERILGSRARAPLPHSTMIGELKLTTLKARLT AIGVQAELIGEGVLICGSRETLGEAVAVRKVGKGKIELEGSVSEVYYTVRREIYSLHA LVAA JR316_0004850 MREVISLHVGQAGVQIGNACWELYTLEHGLSPDGRLVEGSPSST DSGFSTFFSETGSGKHVPRSLYIDLEPGVIDDVKAGPYRSLFHPETLITGKEDAANNY ARGHYTVGKELIDPVMDKLRKLADNCSGLQGFFVFHSFGGGTGSGFGALLLERLSTDY GKKSKLEFCVYPAPQLSSSVVEPYNSVLTTHTTLEHSDCSFMVDNEAIYDICKKKLGV VSPSFSNLNRLIAQVVSSITASLRFDGSLNVDLNEFQTNLVPFPRIHFPLATYAPLLS ADKATHEQNSVAEMTFSCFENGNQMVKCDPKEGKYMACCLLYRGDVVPKDVQAAVASI KTKRTIQFVDWCPTGFKLGVCNEPSACVPGGDLAKTSRSLCMLSNTTAISAAWGRLDY KFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGTDSADAEEEGEY JR316_0004851 MPHTTLHVDAILFDMDGTLVDSTAGVVGAWELFRQTYPTIDVHN ILSSAHGVRTVDNLRKYCGIEDPEILEAESARFEQAIVTSSTQGGRQGIVLLPGVKPI MEEIAPGRYGPKPCWAICTSATRDYATSALNTAGIPIPDVFVASEDVSQGKPFPDPYL LGAKLSGVKPENCIVFEDAPNGVRSGRDAGCKTVALLTTHSREQLEAAKPDYIVKDLS SVSITRTATGVSVTLQTL JR316_0004852 MPPRRRQQFTQDNIDQQLQQIHLLDPSSSSENLEQLGPIIKQIH TNRQQDAYLRTVQALIDSKDAEIEKICSDNYQDFISSVSTLFKVKSYTDSMKEKITSL DASVAQLGGGLVEKKRALLQTKKTAANLDEAIDTLQACLRVLDVVDRVGEMIKAGKYW SALRSLEDIQSMPPTSLSQTPFFQHLLSSLPSLRGQIKDAVTASMKQWLLEIRNISTE VGRLAVEAMETRTRRWRSRREKDVLLRSNRVGSAVELVTYEKIEFNVLDNDKLHVDFK PLFECIHIYTTLNALNELQKSYQADRKAQSDLILPTPLPLGSLSSLTQEISGFFIVES HVLETTNNFRSARDVEELWDTLVTRLTSAIGSALRTEADPESFLKVKESLLSFIMTLE AYSYSTASLQSFILVLFEKYAKLLETQFTKRFEVIVREDEHTPMVIGPEETLESILDV VWLNESERDELKQNSSQSYLPWSQTFYSCCQDIRLFIQKFYAFVEGVSQHHRDIDELL GKSLDSLLKKSIGETILQRLATTQNPAQIAQIIANLEHFQVACSELERSLTNLRSTQR GGSIKLTAASSFDPIISRARARMSGLISSKLDQCFELSEYDWTPNARETSPSMYLYEL VNWLTTVVDSLVIKEAYKEEAYKGALGYITDCLMDFLTGRDIPMMNENAISNILIDVD FLEDELKRIGRSHLSTVFTELRLTTSIALSGTVQEYLVPANRHASYAAVKPKRLQALL EKLARYGANQRDAPRREIGERRRKEAEAVGRLFPGENR JR316_0004853 MGLSVSRLLSGLFGKKEMRILMVGLDAAGKTTILYKLKLGEIVT TIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGIIFVVDSNDRERVSE AREELQRMLNEDELRDALLLVFANKQDLPNAMNAAEITDKLGLHGLRQRTWYIQAACA TSGDGLYEGLEWLSANIKRRV JR316_0004854 MVWKNINAVENRLFLGNIMAARSTRSLAENRITHILSVCPDPIP AELPEAGIVHQRINIEDVDYADLLIHLPAACRFIEQALASGGVVLVHCVQGISRSAAV VAAYLMYSRRINSTQALNIVRTARDHIWPNPGFQEQLVLFELCQYAPSRSNGIYVNWR TQLERRLRAAGLPY JR316_0004855 MNGELLDDDSVDTAALQAQIDMSMSFAQSLVTSWMDPHKFPKSS RRQHLEQEITEYMKRPPRLGVGAAIPEGMQSQTREVARLKGKLTSKRPREEVDAVPSS VASDDEDESKVIAVKKKARFDPFDVVHGKKKKKKISDTASVKLEAPVSPPASPTKAYT SENTGDDHRPGDSDKVVTSPKSKKKRSKSLNPMDIVDDTKQTTPPANSETLPPSLHED AKNLAHEALAAQSPSKSSRKTLPLDLLKIPLLNLDGPPSDPENDHEPVTPSTPTKKKR KRRKKNKNHPTVDALETQASTSQATPI JR316_0004856 MSSFKRKRVQLDESDEDEPAYGKQILPVANLPADFNDEPMDGMQ YLFMVRRDARLLPGTVRVENPYEKPSPPVTEERFLESFAGPSQLPLESWRETFEYRFQ NFRRNFNQPTIHVGAATPGPRGLMPDKKDRDMWWAFLSGQPESEWNPTKKAKNKSKRN PSALGSMCAWTEGNPQQDSSPSSPYNTSQQLDDEGEVEQVLKVSAAESLPSPAGTPVP LEYFEGLSQPSSTVSPPTSLLPEDKLTPREPTSILLKMIDERVALHLLMYFTHWINLY LKEPEPQQHLPTECHARWIFVLLSRIDDFISSDDLHLLRNLARACLALLGHIKKQQQT SSCKPPSKRMGENACWIIITTIAGVWKQRDLWMDAESILKKL JR316_0004857 MTSWAVEVSVLHDTVVPLRSGLIHTSIIRMTETIRGGAGDTWKA AGMVFDAFLEDPLNVYLRAVTIRGQKPSRTLYQIISTSFLRLWMQTKWVLTVDSGTAI IVATPPESCSKNPIDAITNLLSTLLTAAVKNIAVKESRKRNKEFARKSEKVISETLGD RVREMAYVNLLATDPHHQGRGYGGALLESITRIADASGEALWLQSSNTNNTSFYMSHG FRIVGEVCLGDSNSKWNDKPIIVPIMVREPTSRFETEKAP JR316_0004858 MRAVAALLAFASSALAYSVLSPNGAQGWTNQGAQLLTWQRVDTD RQNFTALLINQNITDFKPQVLQALVNGTDGSARLNPPSGGWPVGPHFRVNLVQDPNNL DTILAQSPEFTISVSTASVSSTGSNTLANTGATIPNTASGTVPTTPPDGSSASDPITV PTSAALPSYNVQVVLLAFLSLMGFVLA JR316_0004859 MYGLSLGVQRINDTLPRPAYALLSGLNASIVGIIALSAVQLSRK AITDPLTRLLVTMGGCAGMCYNALWYFPVLLVIGGSSTFGWDFYVQNWVRRWKVLRNT RRRTSVHEPETGPEVESIPMDGIQKENINTSATASYRRQTASTPTANDSDANVPSEQE QEQSRQVLHTERSGHTIPVNIGVGVIGIFVVTFAVFISIRSALHPVPILLSLFNSMFL AGTIIFGGGPVVIPLLRDYVVDPGWVSPRDFLLGLAVIQAMPGPNFNFAVYLGALVAA GPFSSPSTPSIAGAIVAFLGIFTPGLWLSIGFQSIWQSLRKRREVSSILRGLNATAVG LIFTAVYRLWEIGYLTPAATRGSSLGQEPWWVVVAASTFIAVEWFSTPPPVAILTGGC AGLAWFGAVGRRSMP JR316_0004860 MINSYEHLLPPSWKTQVAAWLAEDTPSFDYGGYVVGEVLREAFL FGKGSQPAVLAGSPFVTEIFAQLGCEVEWHVKEGDTFEPVKHIATVRGKARFLLLGER IALNLLARCSGIATKSKRIKDLARGYGFMGTIAGTRKTTPGFRLVEKYGMLVGGIDSH RHDLSSMIMLKDNHIWSSGEFLFSNNQNAKRVLISVLGSITAAIQQARKVGGFSLLLD VEVQSEAEADEAIDAGADVIMLDNIEGSELASVARSLKTKWKGQRKFLFETSGNITEA NLQERAINDIDILSTSVVHQSVQHIDFSLKIQKPKA JR316_0004861 MSLTSKLLVDIHTHVYLPRYTALLRSRTSVPFIRSTTTPEGATD DRLLILDHEPSGGRPVGSQYWDREEKLKFMDKHGIDVSIVRYAYESPIPHSRSSWSRD FTSTANPWLDFLPASKAQTLASELNNDLEEYCSTGPSLSSHEIKRLYGFGLLPLVPEI TTSALVDVVHQIGNLPHLRGLIMGTRGIGKGLDDESLDPVWAAIEKAGLVVFLHPHYG VDASAWGDKYNGHVLPLALGFPFETTTAATRLILSGVFDKFPSLRLLLAHSGGALPAL SSRLASCIDHDPVVASRLKHDARYYLGKLYLDAVAYGPEELGFASDILSRAPKYETTS SVVKNGADRSTGSKRMLFGTDHPFFPPLSMTEKWKSVVENLEAIEAVQGWSQEDKDGV RGGNAVSLFALDK JR316_0004862 MSLPDTTEQNSFKEEIVELARTLAEIQSRLQQLALRLEEKEKHS EISGRCRELEESLKVAVNSAKWLNAQNKDLQFRLDQSRPEIDRLLIARESACKRLKHA RKVIRDLLEERGDMSSPRTQGSLSQGEINEALNDDFRPDDSSSSSNDSASDKTVRLNK TPLVELTNPISPSSEGIVSHFPLGRSPITLHTNTTTHSKNATPSTPRSRSTLSFRTGN TSSSNLQSPQRPSSVTHNSSPNSSTESPGRWLIQYTKPPGSRALEVPHPVQSDVLQEF LNLDEDAMQSLQGTMTSSDISMRLHIVRLPIQHSVAFLYDPIFAETRQLKSYIVDWGR QQTNQNIERYILQHKEVDPVFEVFIFPIRQQKWYYVGPMEWSVVEGKEVWQGLQGKDR TKIISKLCKRSRGQVNGNEMEKLLDEAELHQICVEMKGVKDKHEFEERVFGSRPALLE DLRPTERSA JR316_0004863 MDFGHRWSPSIVRRFLLVIILVCAPVAHCSQTVAAPNNEKNGFN LRRDGNLTDPGLNHTSAQMSFPLASSTSIIISAVESSSLSSSLPTSSAPYTYSYVVEY FNVIDISIRFRLFASTVVSGRYPIHAFQSKFFERTIFPSTTPPIPETMTPPPTQPITI SASTPSIFSDVNPVTSPYIYPSPDPTSEAIIPSSNSAAISTTSFWHKKGAVAAVFLFI SLIGVAIIALVVVYYVKKRNNRKHARLDEELFEKYSEPGHRSNSPGLSINGTPMDAFA TSGIQYNNHFTSEDHQTPLATPTASQSSFPIQHPDYYNPHPVRYLAPSQFQPSVKKQG QPHAHSDSRASYQPSVDSFYGAASQPLGYHV JR316_0004864 MSQPQVARFLAELRDALGKLESLPMPTIAAIDGPALGGGLEMSL ACDLRVAGGLLPTRLHEYESNKVNFQGHNVTKIGLPETSLGIIPGAGGTQRATRILGP SRAKDLIFTARTLTATEALDWGLINYVSSSSQTAVERSLVLAEAIVKNAPLALRAAKQ AISRSEDLPLETGLDFERASYETLLTTRDRTEALDAFREKRRPQFKGE JR316_0004865 MSRQEAPQNDHLEYLELSAFKGKFDITDFIGHASEKLIAESKAN NGPFDPKPFIRSFEAAVDKLISVRKDVQAKTEQMEKTVRVTEREYSKKMMELAKGFEN VGNSFNGMESRMNEVSSTAVRIGDQLETVHIERQRAQAAYDLIDFYNQFSKGDTTRLD VLRKEGRAGRRQVAVILRRLNTVAKEVDLPNSDKTREAIEKYCEKFEKEMLNLFDRCY RKGDPKMMHHCAQTLLEFNGGASCVQVYVNQHDFFINNVRDEPAPTDNLLWSIIADPN TSPPKTEAGLTELFAEIRATVDTETQIVKAVFPNPPVVMQVFLQRVFAQSIQQHMEQL LHRGSEISDLAYLRILQLVHFQASALVEDLKAHELPHSSSKTPYEVTEFRRSLTGSAP VTTSSTSAAISTMLETAMEELFVPYTEGQRYMERECKSLALLYSNLLSNFVRFHKSQK AKSSMFDRMVNQISAATTNTTSNGGSTTSGQAAAALMRFGVINSDRNNDKANEEPIRE EDGLLSVDVAETIPKNTSTLLRVLADAIGTSYVDSALESAQVRLEAADTKSEPSLQPL AIVRTVDLICHLWQQYVNIALLPLASSSVTIRREMVVFNNLTVNRMETGSNAVLQRVT DAIINWLSAQLTKQKRNDFKPRNDDLSFARVNTEPCVLCCETLERVRDAAKQNLSGKN LEMFLTEIGIGFHSLLLDHLRKFPVSATGGLMLAKDLKSYQDTVSSFGIPALHERFEF IRQLGNVFLVRPEILKSYITENYLGRIDSSLLKPYLSLRSDWGQFEKGFDSEANADEA IPGHGLKDRFGRLSVMMKELEGLKVDGISMGIPTSFSGTFSRPFSSNPT JR316_0004866 MIDSAQSWLTIVMPMLSRNEPLPTLKAAIRLLRVVFTKSSEITE FQRQICLPNVVKFTHAVIAIADNHNDLEVKILCLNTLSCVIKLYPTAHRASNTVLSAL ALRHLSGSSQGPTSSELLEAASGFFAVLPLTGGKVGAINLWRKSFDETLAFGLEAFHA LRTTFPIGGPNVPRPILVDEPQVAIPLNQDRLHCSVVTLQKLLSAPVQRTVQLPMGSL VKFIDSLIGCSMDEEVEGFIDPSVRSMEVSIVSEIWNLGYQLLASVAEQFKYRLDPHA TRLLSIIAFRLEQKLDGFQRLCLLNALDSLLNNCHTVDSTILPIRLAKSALYSITKIL SSSNRATNQVEPSSSQKKNGKKRSRNFEGDEVFKVTRPVIYASKEDSDALLVSIDVVQ SLLRNPHLSSSMQSIIARLITSVLMTLPRMSFSTLSGDPTFFELVSAKIQRLSFRIGS GTTSVMSKTLPFVIEAALANSNSEIQHDIGMLIHPRVPPLVRSMPHIEALSLFKVEES LEETENLSSLGIANIHLHPHQIDEQRKDVSMEDSSMPEASILIDSKQTVHHVPHSEGI LTIDHEDSTFVSCEENESQKNAPPIELANFEDTSKAIPASSTKFPTLNHTAQGDMAPN LRSIAFTVDDDEQDEDKDMPVIDMDSDSEDEDD JR316_0004867 MSSDDHIFLFAGLDSKKPSTARKVHLRRLYDLLQLSIQRCNFER AQKCWAILARCSEINWKALWPIGLHILGGKDCEKNSQISVEYLRAVMLQHPDDRESIL KELILHLLLQGNCRGALDELELYLPSFPYQDNPTLHIYAGLSSLYLAQSDIDKFGAYD QLLLRDSQTHFEHATALDPSNSVALAFLKKITDLRKGNQTVQEDSDDEPMDFTELLPD KKRMRA JR316_0004868 MDDDLTFGASVWATSEPTESDSVLKSESRLISQFDDNTFDDFDE FGEPEDATGTDLKDDDFGDFENVDTGPSTSFRDVLFEPDGATVGSSSRPWRPLHLDPP LSRSDLESQINETLGPIWNNEDLSSFTSDDSIREAEGISQILCTSSSREMYMMLLQTP PPTKPPNWTRSRIRRQHLIALGIPVNLDEVLPRANVKPLPPLEIHTRPMSAPPGSRSQ YGNNSSVSMSKSNSRSGTPQPGQQGIFAQFGPKPELDTTRINKLLQLSSETLTLQPLA NLERYLADIRLQTANTSNLLTYLLQSRDALQQDSETYNGLIAEMVGEAQKLKSGKPPS RMGSIRRGSGIS JR316_0004869 MNIAHSLPSTVTGVSFSFLTSDDIRRISVKQIVNPVLLDDLNRP NIGGLYDPALGPSDRSDICATCHLTYFTCPGHYGHIELPAPVFHPLFMGNMFNLLRGT CMFCHRFKMSRTALWKFLAKLRLLERGLLEAAHGVDDIRLRVKQKKMKTSKGDEGEDA IDDDKDSDVPDEAPHEFMARLNLYVAIHLARSPTSTRDCYKDGLIYQTRKDLINEFLK ACISKKCQNEDCGCVAYTYRKEGHIKVIEYDLSARQKAQLSRKRPDVLLAEKSDTLAS RHPREHVINEDAEMRSSDDEDDEDTDDELAGEDVMSEADKGQEASLPRAANGKVKTLR GRNERVVAPEECRAHLRRLFKNEAVMCALLFGRHGPFAPLSADQLSFASADMFFMDVL PVSPTRFRPPAKMGETLFEHPQNELLSRVLQTSYRMRDITISLREASQKGPDYDASAR KAVMGALLERLVQLQIDVNSFMDSSKNPQIVRQGKLPPAGVKQGLEKKEGLFRKHMMG KRVNYAARSVISPDVNIEPNEIGIPPVFARKLTFPEPVTPSNFHEMRQLVITGPHGYP GAAIVEYEDGHQQSLDKLSVEQRTAIANQLLTPQEGERVTTGRHGLYTRTIAVNKKVY RHLRDGDILILNRQPTLHKPSMMTHKARVLKGEKTIRMHYANCNSYNADFDGDEMNIH FPQNHVARAEAMMIANTDNQYLVPTSGNPIRGLIQDHVVAGVWMTSQNSFFNREEYHQ LLYGALRPEDEVDPGTRLLTLPPAIWKPKPLWTGKQIISTVMKNLTPRQFDGLNLNAK TKVPGKLWGQDSKEDRVVFLDGELLCGVLDKAAFGASDYGLVHSVYELYGSDIAGKLL GVLSRLFTKFLQHRAFTCRMDDLMLTPTGDERRNELLAKGKNLGPEGAIENFPSLSTT PMADIPATLRSLLEDVLRDDTKMAGLDMTVKTKLSKLTSSISDACLPDGLLRQFPYNH MQTMTLSGAKGSAVNVRQISCALGQQELEGRRVPVMVSGKTLPSFRPFETKAIAGGYV ASRFLTGIKPQEFYFHCMAGREGLIDTAVKTSRSGYLQRCLIKHLEGIRVHYDNTVRG SDNSIYQFAYGGDALDVTKQKHLYQFDFIVQNNKSFARRLKPYVLSDVVDLKTAVSYM KKVLKKSNDARPNRKTTKRDQYDPALSLFNPVQYLGSTSEEFASAVDEYVKSNPSKLL EDPDSTPFYKCRKEPMLPRNFRTLMNAKYMRSLVEPGEAVGLLASQGVGEPSTQMTLN TFHFAGHGAANVTLGIPRLREIVMTASQKPKTPSMSMKVRPGTSSEDISLFCKRASRV TLSQVVDSVTVQEQLRTEGDARRTQFTVDLNFYPKHEYQEEYDIEPEEILAALGVKFP LTLKKEMLSEMKKLDADLKSQMAQLGQGKKVKSKEADTGNDDEEEVAPRKRKDNDEGS EVGDGDADDAKHSRQRKQQATYESDDEEDEEEIGAYDDAAIEAEYASGSESADQLPKK SKSSFKDLVSRASDLFQRHMQHCISFDFEESKCSFKLEFPPDMPKLLFVGIVERACRS TIIRQIPGITDCFQVKQDNQKGGEPEIKLTTNGSNLQGIWDFACSSEESILEDDEIYS NDIYAILKTYGVEMARAAILKEMKGIFAVYSIDVNKRHLELIADYMTFDGGYKPFNRK GISTNPSPLLKASYETTAAFLSDATLYGDFDDLTTPSGNIVLGRPNATGTGVFDIVIP TVPLTK JR316_0004870 MQRPGPLQELPLERFLPSHPSTQPAAVKPIRSNKRPLSPGGPSL FSPTKRRILNDEGVYSPDKTCKIPLPSLSSSPARFSRVLAGPASPARVLDFGLPQHVY GDPQKPTISRISSIEIMAVETSSSSTHLASSPELKVRKAKPTQRPPSAGLPSDFDNNG HEQDIFGTGPSAAFSQFIARELPPQPDLDSVHYPGFRVFQDPYVTVFRTTEEEDLRSA CIVEVDAQKENLPPRRKPRKVETDLKAAQDLSHCDTTTPKKMNQTPKRMESWGSAELS STIARNMFRISTPSTTSRQGQKSPLQMMKDEMDVVDDDSSDE JR316_0004871 MLFTLVSAALLLPWCFGQTSTPDLNNVTTAFSSAHIVPDVVQSF NPMDGVNISFTDPVSAQTVDVVPGILLTMQQTSMPPDLTLVTTTSDSNSSAMFVVVIV DPDAPTPQNPNVSEFLHFLGGDFTAGSTSGLLSNNSPALAEFFPPTPPVGSDPHRYVL LVFDQPDSFDVNGPTLVNATTPRTNFSISDFAQAINLGDAIAGNFFLVGPMNASASAT PSAPPSTPSIPLASSPTSGDTLASPTPTSSATSPNTSPSSKAMKARSAISPFTAAIVG VAALVFQL JR316_0004872 MALARPTTKLPPGANRILFVKNLNYQITGEDLYDLFGRYGSIRQ IRIGNEQKTKGTAFVVYDDVMDAKNALDHLNGFHLQERYIVVLYHMPAKQDAAAAKAD LARREEELAQLKKKHDIGDA JR316_0004873 MAGINAGDEKLVFESSEAVSVVSTFDDLGLKEDLLRGIYAYNFE KPSAIQQRAILPITQGRDVIAQAQSGTGKTATFSISILQSIDVTVRETQALVLSPTRE LATQIQSVVLALGDYMNVQCHACIGGTSIGEDIRKLEYGQHVVSGTPGRVFDMIRRRS LRTRNIKMLVLDEADELLNKGFKDQIYDVYRYLPPATQVVLLSATLPYDVLEMTTKFM TDPIRILVKRDELTLEGIKQFFVAVEKEDWKFDTLCDLYDTLTITQAVIFCNTRRKVD WLTEKMRASNFTVSSMHGEMVQKERDAIMAEFRGGTSRVLITTDVWARGIDVQQVSLV INYDLPANRENYIHRIGRSGRFGRKGVAINFVTVDDVRILRDIEQFYSTQIDEMPVNA AELI JR316_0004874 MYLYLAAAPRALYLVTSSHDERLGRPRRALVFRSAGEGQSKAIV EFLHKDQVDISNLVRLTARVVKGCLGLISVEKDIFLAVITSATEIGNTRPSSHTPESV ARIHEVSFYSLTSSTWDDLSAAAEQIIGPDAVDSAFRENYGQQPVPVFEHPCMPLTKI LSSGSFYYAIESQWDLSSRLAVRLTRDRNASKETLTFDERFVWNEYIIRSLLDFRERL DIEEKEDLDRCQFIILAIQGFVGVFTMALPAPPTDGAPTVATLALISRLGWKRAGTRF NTRGVDDDGNTANFVETETIFSSDQHCVSYVQVRGSVPLFWEQQGLQTFGQRIQITRP HASQPAFERHLFQLMEEYGSIHAVNLLGQKENEASLTNAYARHLQISRAALGDELGIT HFDFHNAVRIGGHDNVIREVKYAFFCLIPLYLCLTLQLRRIESIVDNVDKFGFTMCDA SSDELVTDQRGVFRTNCLDCLDRTNFVQDILSRTTLEQYLLLVRREWVHSNTLWTHHR ELWAENGDALSRIYAGTGAINTSFTRSGKRTLAGVLSDATKSVSRAYINNFQDKGKQV AIDMFLGNLSNQLQVTIYDPIHDYVRATLERRSSEYSTTRQCTFFIGTWNLNGKPPSE SLLPWLFPRQSKPDMFVLGFQEIVPLTAQQIVQTDPEKRRIWENKIMDTLDRRPNKQA DYLLLRSEQLVGTALLILVKSELTAVIRNVEGATRKTGLRGMSGNKGAVGIRLDYYDT SFCFLTAHLAAGHSNVEERNADYRTIVNGLHFQKGKTIQSHENVIWLADTNYRIDLDN ATVRSLAEHDDFDPLLAADQLRNAMDNRDVFYGYEEGPLLFRPTYRYDLGTDNYDTSE KMRIPAWTDRILHRGNAFDLAVYSRAELRGSDHKPVFAIYRAEVRIVDPVKKANLSRI LFEGISSTQHPGENLDQKLARLALPMDTEELPPPSTEEKAWWNNPDCPGGVIPISELQ KLDLYRRNNPFDSPTISPLSSSPSSSDEELYSHALALHTPITPVQTSMRRPPPPPPPT RPSNPNGQET JR316_0004875 MGLLYYVSYVAIVAAFAFVTLSLASGLLYVSELIEEYSRIAKTV GQRSIYVIMALHVVFYFTDSLPLLQTLFSLTCHIVYLQNFSSSWPLISLTSLSFLASC ALVIADHFIWFFYFARITNEARHVRAHRSKIREAPGFTEIATFFAICVWYTPLFLFLS LSANDNALPTTAGFLIPYQQNPRPPLLDLPLTFNPLEFP JR316_0004876 MSNLEKTLFQLKFTAKTLSRQAKKAQKDENSEKLRLKKALQQGN NDGARIYASNAIRKKSEALNLLRLSSRIDAVASRVETAVTMRQVTGNMTSVVRGMDKA MDSMNLERISMVMDKFEAQFTDLDVQTSYMEDAMSSTTATSTPQDQIDQLMRQTAEEA NIELQHDLAAKEIPSLADLSPKEKVQDEDDKLADRLRALRPAT JR316_0004877 MSLCRLFNNTHQTSDITSSAFFSGYADQPQLVQPPPLTDDKVMS AIQTKHQDERPSRKLKSENRLYDFLTRSRSRSRSKNEPPSVRQSTMPDNPSKSRTSRR TQLDTAADSPGSKPPSRIQSRPLSSTTTATNTTVTPGTPKPKNQQQQQHQPRPSTGAV LPPKSTFGGTPPRPTTPKLSGARQKLQDFFSIPLGRKSSRSRSRSRPSSPRASLDIPP LPTSGIDDDPTPRPRKSYIPQPRPSQTPSPTPGPKVLRVTNATTTSSSTGSTAASIKI TKLFSSNTPEPALPKRPTTSGGPPILPPLPSFVSAPIKRVTSLHRRSSVKDPTEPPTQ SGLAPPKIITHTPPSPARDPGGNSSKGINGNASGSGTHPPLTAARQGYHATKGSLDSG YRYRGATMGVVNEEANSAQLSSAGHYKGKHREGAISAGVHDSTLSQIPTPRTGLKMSK TTRATKHGSFDFERPGWGTTAIQRTGSNGTTATANSTWSKNSESANIEKERESTYGPG LAGVGTLQREVSMKRAQEREEMLKLKEKRRQVHGTPLEKEKERAREKHRDRERPPTAS QRTTPGSTPSGSEHLHGSSSAATGKTSSMSKATGRRGLLYGGTERKTASGSGVTRLIG LMPSQHGPFSFEPPVPSPTRSTGTASTGTAHEVILSNSWTNKAEKERERVRDEKERLT TRKTTNGLSKRIGDRAPVPVPTVPAHLSNGNAGHRSGTKGRSLDLGLGLSWAPNKVRE EALLPSSGFFSRSLSGSSGGHRNISRTASGSTTATGRSATVTMDRSASGNGNGRYRDE GVDAITDVERSKLGKEVAEMFKTALHPEDYRLFRTYVHQFDAHEIPFDGPMGIVSLVE NLLVSAPHLGEDGKRRLLDNLAVA JR316_0004878 MLSDIDDKFFPPPSHRGSPPNLLAPRTWPGVSPASTAALQAILT DNHKKWHIFFNDRGFHNHTAHAALTLWSLGAHETILQKSYQVNAQEQRPAFTSPNAVS KKDWKDYLGDERYYQAYLEFFKGELQTKSISVMLEEYIFSTAANFVPGANKQPEMLNR FMEGLLHPLIHTGFGVEFALPGTFAEGLAQAAVHGASSTKVIPETWFNQYESLSSRFA NAVGFGDKSTKKPVHAFTVLARILADPIFVPTKVAEMYGFYKTTVELLGDSIKKYVDE WTLEGDLEKSLEQLIWVNTLIYGVGGSEEPTFNADFFHMHLVTSSVFLSSIFSHLKPS SQVLLLRSYFAVSLGWYIGRGRPALDIAGFFKNPDTVHPKAPGPEPTPHKDTYPSATS PHAITPDPWLAILQSAATYPDDHLPKLQRALSEHASHFGSVRAGTFAGTELKDAELID GSLFIRTAGLTANRMGWVREGEAPLEGSWDRRGFFKVLSSKL JR316_0004879 MALVRLLRRSQHLARIGCRSLSSPSPACPPPAPNQPNFPCVDAH AARESRLKARRSKPAPPAIQQDAETGPEPPYARPNPTTYHTYHHPHPLQLSYSTTPLP AFDIAYETWGSLSPSKDNVILLHTGLSASSHAASTPANPAPGWWEKFIGPGKAIDTNQ FFVICTNVIGGCYGSSGPSSLEEATGKPWATRFPLVSIFDMVNAQFHLLDHLGINKLY ASVGCSMGAMQSLAAGWLHPERVGKIVSISGTARSSPSAVAMRFAQRAVLMADPNWNN GFYYDGLPPHTGMKLARQIATITYRSGPEWDLRFGRQLRSNESPSLPDQSHPSIQRPP ALCPDFLIETYLDHQGESFCLKYDANSLIYISKAMDLFDMTLPALQALNIKSNTSPFR KKMHTSSSVPHKTSHSKSHPPPVNPPPYLPALAAGMAPLAHIPTLVLGVQSDILFTVE QQREVADALRMGGNENVSYYELGGVWGHDTFLIDTLNVGGAIRGFLSLS JR316_0004880 MNRLFGTSASKKPKPTLQDAINSTDARMSTIEVKIRKLDGELAR YKEQMSKLRNGPGKDAIQQRALRTLKQKRMYEAQIAQLTQQTFNMESAALATENLRNT MATVDAMQIANKEMRKQYGKIDVDKIENMHYDMEDLLEQANEIQETLGRSYAVPDEID EADLEAELDALALEEEEEGPSYLADLNKVPDFIDEPPVEVGETPRPEAVKQTG JR316_0004881 MIKPYDLNHAADEIPVINPATGEGLANVVSSSSKLVEDTINTAQ KAYTSGVWSKASPLSRSKVLSKLARLLEAKIPELALLETAQTGRTIREMNAQLGRLPE WLDYFAAVLRTHQAFVAPTQGKLLNYVERVPLGVVAQITPFNHPLLIAIKKIAPALAA GNSVIVKPSELAPLTVYKFVEMAQEAGVPPGVLSVLPGGHQTGQDIVRNPLIRKVDIT AGTSTGRQLGSIVGANLSRYTAELGGKAPIIVFEDADLVSAVNGVAFASFVASGQTCV SGTRILLHSTIYEEFMDKFLTKVNFIRNGMGNPSNPASTMGTVISTKHLERIDAMVKR APANAKILTGGEPLGNHASTLDGFDFSKGSFYPPTVITGVSIEDELWQEEVFGPVVVV KTFENEADGIALANASKYGLGAGIWTLDISRAHRVAAAIESGLCWVNTHHRNDPSSPW GGMKESGIGRENGLEAFEAYTQSKSTIVNIASVEETRKNDDWFGSNDGSARRYG JR316_0004882 MAPRRSSRAPSAKPASKVALEPTAPKSQVNGVSKKRAASPERIE TAPLKRSKSETEKSENDPPVKPASTRKPRSKTSVAKVPAEPATKKAAKTKLSPVRETQ PTPHVQVKPYFNQLPSPPQKQRPGLVPFAWGAGNFGQFGMGADALGEFAKPKKNVWAT EQMEDGAFGDDNAGIESVVAGGLHTIFIDERGTIWTCGVNDDAALGRITQDVPDPKNP GSFLSVDDLTAVPHPLQSLVDEGFRAVTAASGDSICAAVSDKGELRVWGSFRVNEGSL GFSNGLKHQFKPVPILELSHKPGDAEKVSSIAAGNNHLLVLTTHGHIYSWGAGEQSQL GRKVLERRKIHGTVPEKVTLGTRLRKATVVGAGAFHSFAVDDKGDVWAWGLNSMGQTG TGYASSEDSVVQLPKKIQKLSKETLGDDVVIQIAGGTHHTLFLLQSGKVYACGRSSSG QLGLPADDPAFKDQVDPDFVSEPVLVPFPDSDDPVVQISCGSHNNSAVTRGGALYSWG QGVQGELGLGDEEEVKTPQIVVRKDGGAWFAAAVSCGGQHSIGLFRSKK JR316_0004883 MLACRLNVLVRTALSKRQFSYSAPRLNPEFRIPIIDFAKIRNSS SPQEKKGTAEEIVSAFKESGFVYLTNHGITPSEVESTFRRSAQFFELPSDVKKNLSWE DPRSNRGYVAIGRERVTQSANREEIAALREKAPDFKESMEIGRDWDSEWKNQWPAEHL APGFKQHMLDFYETCHELHVHIMRSIALGLGLKEHFFDDKIDEKCHNLRLLSYPPIKT NLLKEEGQARAGAHSVFSDYGTLTLLFQDSVGGLEVQNPLTGQFHPAVPVPGTIVVNV GDLLARWSNDVLRSTLHRVVAPAAQKINEIESETPKRQSIAFFCNPNFSAEVACLPNC GDVPKYPPVNAGDYIVGRLAVTYS JR316_0004884 MSEHLRLTSPASSSPSRPPAVSRPDWPWVTRSYSHRSPHNSAHP ILGPNLQSAWDPRTPYSSTSSQSGYTGNPQGRSSATPKPSAMGLGESTRQWTFTGFEW AIRDIHKLRDFVEGITSAPLEGGPHLLSADLGDFEILKQSPVLGDHKFKLEIVPTEAS PEGSASSIPTLCMYITSLMLDLTQGDYETYASMMAAIKCQEDRVGERGARPEWVWEFW QNDWVFRRESEVWECPLPSLSSLLQNPRIRETDSFVIVVQIHSPSGPSIPQQPSIYYI PRDLLDGLEASLDNPNTGDVRFICLEKYTGSGDSPSLNSPQSGTSTTSSSSSPFGFHS AARKRIIYAHSDILIRRAEYFATMLSSSFSENSIGTTGERKVYTIVVEEAEFETMYWL LKYCYANWLLFKENDDPRIAVEGVGAGWSARWLSGTQDEWDWKRFHRRARPSIDSASD NKSSTSGDSLPVSSSISRSTSRKSDTYHPNSVSITNVISSQVSANSSRTNPTKSNPVS SNTSRPLNTSTPRKPVATSGTNHLSINASGRSSTLSGSKPLTISPSSNFSSTNQYSTS PRSSRKPGVISTSPDPHPHPTPAPRPASALSIYQIAHRYSMPNLATLALEHIMSTISP ESSFALLLATSLWDELHSIIEDFVVERWDEVSASLEFEQCCKEVAAGEWGPDGGKTLM SVFRRLRSPSLP JR316_0004885 MSTTEDLNILGLKALRVIAVVIPLSLVIFTHGRELTPLYGSGPT SYLLDKIAFGAVLASAIHPIRVSLKRNLLYTALTLTLAPNATYWVAVWTSRNKDPVLG PAITHATVLGPLAFLLTTFIVEMDQDTEVKSTSVSQRPELIIRLLRASITHLISSALA KRLWSSTAFFYRISDNQIYLTLAGLAFNLSIISFQDNTQFNTTKNASKKNRNGKKNAH ATAFGNSQMNAALLVAFNALWWMIYPLFGNPILPHPLSKTYVHPTYPLNILSAEQSVT GLITVGQWLPPPDYKGENDQQLHSARYIRADHSILGGVWTHDKVQVLDDEPPLTDSFG VPLGDSIYSTFVLQEAVRLVNSTATAGKWNNALIIGLGAGISGTAFMRHGISTTIVEI DPAVYKAARTYFGLPDPGTGKVFLEDARKWTAKKRASIESGNKETLYDIVVHDCFSGG GVPEHIFTFQFWNDLKTVMQPEGVLVVNFAGSVGSMSSRLVINTLERNFGQSCRAFHD LFEDLTPEKYDTEFINVVIFCTRSPSPLTFRKTRRSDWLGSPLRRHILQSLENREVNL TSIRSPPGDMRYILTDHQNPLGALQKKQANHHWKVMRDVLPNVHWETY JR316_0004886 MAAEKAFAPGDQRRKESNNSTLLPLPVQIPPALVPTKKQSGVRK ALSICFLFVLIYCLHNLPSSQVRSDKPAERLGQTAHHPRHPPVGKRAEEAFLSIPNSA DAIQASRLFATKPHMAGTPGDLETAKDFLKLLQRELGISPPEVEPIFSAGSSESQHAT RSIPQSRKPSAWIDTYYPVMNTPLDRNLQIVDANGTLVFEANLVEFSDSTDPEAGKYF DDVPTFHGLSRGGDVTGKLVDGNYCTQEDYQNLLAKDININGTIVLCRYGGIFRGLKV KGGQDLGASAVLIYSDPQDDGTVTVENGYLPYPYGPARNPTSVQRGSVQYLSVYPGDP TTPGYPSYENSTRTEGSNIPLIPSLPISWENAGLLFKTLTSGDEWEGKHVRLVNNVDT RVIPVWNTMGVIPGFIRNEVVVIGNHRDGMYLPIISTRRCSNSSGTISSLGVMGAADP SSGTASIHEVVRGLGHLLRRGWKPLRTIVIASWDAEEYGLIGSTEWGEDFGDWIDQHV VAYLNLDSSVSGSRLGASGSPLLAHFIRETAQQIPHPTDEGRTLWDARHDSGTLFGIL NGTYEIKQEAIAIREMEIAAADYVGVSPLGSGSDYTVFLQRSGIPSTNGGFSSTLHDP VYHYHSVFDSERWQELYADPGFHRHVAVAKHLGLQTLRLATSALLPFNTTHYAFELES YLDRVESIASQASIDVNLDPLRSSIRNLQKSSIALDKERTKVQKELQKLAKKWRKRHS KMRRTIRKFVCKIKKIFKRECFCSHQFANPEQSRHTELAEDDAALVGMMLHEGIGGAH YVAESLQALNLHHKPPLERLRKLGRRIQIVNKKASSFERGFISKDGIKDREWYKHLAV APGKWLGYGATTFPGLTEAITFEKNATLAQSEADRLQELIDRIVDKVAI JR316_0004887 MDLEKYHIPTKAPDQLLDGVEQNPRAVYKCSQRQQLRKAFAHGA GLLTLLLLVAAVTHTGRSLYSWNRQGSLPHLPRRPLSLKEREELFLSIPTGESALEAS RAYATHPHLAGSSEDLLDAKAILHLFQDEFDIPRSPHLPIYDAGSPLSRNATLLLTTS DAPNSPRAWIDTYYPIMNTGVEQALEILDDNGDAIWIADLVEDGDPRDEDAHKYRDTI PPWHGYSADGDVTGQLIYANYGGKEDYDELVAQGTNFTGKIIITRYGVNFRGIKIQGA ELLGAAGVLIYSDPRDDGFVTTKNDFPPYPAGPARNPSSIQRGSVQFLSTYPGDPSTP GYPAYLNANRTEAGNIPKIPSLPISWQNAQKLLGEIDDLYTVDASGKKVLSGNASKNN VRLVNRVDTKVTPIWNVMASIPGHIKNEVVLIGCHRDAWVMGAADPTSGTVSLHEIIR AYGALLKKGWKPLRTIVIASWDGEEYGLVGSVEWGEDFGSWISDHVVAYLNVDVSVAG SQFVVFGSPSLAHLIKKTANDVPHPTESGKTLWDALDDEGPYNFQAANLTIDPDYLEL HTSEQVSRRAAKTRVMPLGSGSDFTVFLQRLGIASSEQSFAPTLNDAVYHYHSIYDSQ SWQERYGDPGFHRHAAVAKHLGLLGLRLTDSIVLPINTTQYALELHEYLDIVEKLLPT LGEKMHEVDFTSLRQSIQQVQEASHQLDEEKADAEEDFKRLLKRMPFPGRRGARCTRR RTSFVRRIADWVKSIFGVPPPTDTELQLLSLRHANSWEEYLEYATDANGEISESFEAL LGGHGLPFPIHEFIKAAKRVARSNKALKAFELGFISENGIKNRQWYKHLGVAPGKWLG YGATTLPALTEAIVEEKNTTLIQYEARRLENLLIKLASTIKPEANYGS JR316_0004888 MNNTIPGKPVEDLPGPILLDGLLQSFLLGTIVNQAFKYLLDYRD DSWRKRTFVLLQTMLEDYKAWRTLILNQRWSTSRIEWSDLFLNGCICWLCEGFYIKRC WKMMGMKIWVIGPLSVLSMFIMAANLYLAIAMGIAFRSLELEGTDDTLTASRFLLPST IVAFSVWIFGSLVLEIAVSLLCKLSDSKEYTDNSKEKGSNDITLAIQNWATRSG JR316_0004889 MPMKIKELEIQNKAWRDENLKLFEDNQRLVNTVKSLNATISMTK LPESAQFQRISDLESEVRRLQAENERIRLLVEVPDTLQTEYAKLVESQRMMRNSYMSI REENRRLSEQLQGFIQHGQPPQPALQHDSGRHQTIPTSYNIQHSIAPLAHIQNQHAMP SQGQYPFSSSLGSAPLPQYNTHTEQRNPLMQTQAAVFQNRQQSRSNPSSRRSSLNLVS PAMYPYQQIHVQGSDPAIQRQQQPYAQPNSSSNSITPSGTHVQQNTRRSSLPITYHNW GPNPCVHDERRSPSAVPYASEEQLSNSATTVSSIQSSRGTATPPTPIKVSHNLAQQSQ FAALVSTPSAPPAPLTEETVQPVASTIAPQDTHISQPDMSLNQPVHSMVITSQALPST VTPNQQVFSNHNIQEEKTTSSQNLQQDLNTSSSSVEQVDLSTSSAPINPNSSIDQSTS EVADPPSEIQNQAFVMQVDASSGVDEEEVEQESDVGPDGLRLLQICLEDLFGEPDENG RVNCKLCLHRYQRNIQSNAPPTFINATDEELVAHAVAEHKRAWDHIRQPEVEGQE JR316_0004890 MFAIAFLFLFLTFVRAVPQSPITATSSSSLAHPTHFPTSFRHSS SHNTLHLSHSGSQSHIIPSNTRPLSFPGHSGRPRPPPPTSTPLPPRPKHKPILIAFEV IGGLALAGVLLSLIRCYYNYKRTPHRDRIADILQRHHLQRELEELERNPHLLRRPSLR EPAPPYFPRPPSYDDLTSGGPLASRGVYSAVGTHSPPPSPPMSQIVLPVSTVAVRTPP NLIPPAPSG JR316_0004891 MPLPHHSSSRSDAALLDILTGPAPQAAKSAFARRDAALDPLLIA AAAASGPHVTRNHYHHDFFPGVMLPQAEGAWDWTKYTGEDSSAKGARKHLAYVSRQAP RRQRLGLAEEGKENDHPREIEPLKSADNLPPASKKRRPSLASSTTPINTDVKARPKAQ SHSDFIPHSNSIPQSLPPVEVKCMARTRIPTPHGPAFLHLYHNNRDSKEHLAVVIDPA QLSDSSGLSSAATPIRSRSLDAVWSENETEMDRIIRGAYVGRLSSTSKHASDPTLQTT SSSALKDAVPPPIIRIHSECFTGETIGSMRCDCGEQLDEAIRQISLPIVLPPTPQHPS EITIPGRGAIIYLRQEGRGIGLLSKIRAYNLQDMGHDTVTANLMLGHKADERGYEIAA AILRDLNLGTGSPNSVSEGVRVLTNNPDKVEALQKEGIQVAERVPMIPRSWQHRKAVA DASHMSEDTDTRLADGRRGPTDDEKQAMADLGSKTVYGDDLDKYLRTKILRMGHMLPL WMEEPDVTTIY JR316_0004892 MSDTNYQSLEKTGAFERPEKRSKRSKIIIIASVVGFLVLVAAGI AVGVIVSNNNKEKSTGTTNSGTGTKSGTNANSADPSVFKKDSRLHRSLYGLAYTPEGS LPDYGCSSTLEQVILDVQLMSQLTPRIRLYGADCNQTALVLEAIRQTKVDLQVYLGNY VVDGDPGAYERQRDVLKQALMTYGSDNIAGLTVGNEFMLNYVTAHKIEDVNSPEADIG AQVLIDNIADTRAMLASLNLPKAIPVGNSDAGSYFSTKVLEKVEYGLSNVHAWFANTT IEEATPWVRNFFLETNIQPASLLPNRPTMFLAETGWPTGSKDAGNANNGFADASTANL QKFLDDFVCQANADGLPYFFFEYFDEEWKDAKYGGVEGYWGLFNKDRTLKDVKIPTCL SP JR316_0004893 MYDPLGSSQAAFGGEDDSQNPPWPTTPHSPSSSIPNLRRASPVP PIPDKGPTPGLYGKEPQIYGKPEAGLISPRETPGSNGVKYEKTEPYLRVRINGIDRNR RDILFKLDAQTNLSNFNGTTYRNVSRSYLEFQQFYESIVHSNPQTIVPALPLAQTSAP TDEEDDRLVKIMLQRWLTRVCEDPILLHDEDLRSFIESDFGYQPTPRPRRKTSTGFGI IRRGVPDEDEELQRARFELTKLEGQFFETAKAVDRLAIARKALANAHSEMGNKLVNIA TTEAHPPLGNALRKLGRTWHSVADLDHAQAISECVILGDSLGYQGMNARSAKETLQMR TGVLEEYQSAVKTTISKRRQIERLKASSNIRPDRVDEALEEIEEANRYEQTLAKRAEG ISQNLHRALQTHNKYANDDITAALIEHARSSIMYERQLLRELEALRVDFSNADKKVIP SVNVAPKPSIVPPLEDYSDRLYSAPTAPLSNGFPHSTHIQPPHTAGVPTQNGFTPHRI PAHVPNPVLGNSQSPLPSPFPQTISGKQDPLSAASVRSFHPSQPPISPSTPISPPTSQ FSNHSLPPQSPGAGPSSPAPYTPNVAPKPSLNEPPLGGKFVDGTKSMFVTKSSGPRPS PLSFSSSTSNIQGPATNAPFDPLRSDAHPAYSSPLTEPSRGSAQHSTDPLGSIIPQHM SSSVRVQPTRSRLDAREAASKLANMF JR316_0004894 MYFFARLYALTAVYYYASLVLASGKHPSVPARYQRLPSLRERAA IQDKWTQERIFRIPKLLEKYNAEAWLICQREHAEDTIWWSIKNATDFDSHRRTILLFH NNQSSLAGAPNPLKWIDNTGLVWPELRNTLAEFKPSRIVLNTDEDIAFAGGLHVGELA VLRRELGDFWIEKTVNEPMLAVEFVASKVSGQLPYYRRMQENVWAMLEQGFSHHVIQP GKTTTEDLSWWFREQMQILNVTTWNQPRISVLVEESFPGWAGTDDVIQEGDILHIDFG ITAMGLNTDTQHMAYVLKTNGTEPETDAPESLKQGMKKSNRMQDIVLENMVPGLSGNT VLRRSLAQMKSEDIEGQIFSHPIGDWGHDAGAVIGFLNLPEYVPIFGELPILPDTYYS IELYAYHFVPERNATIRFRQEENVVWSADSQSWQFIRGRQERFHLVDSRKTSTMFLDQ S JR316_0004895 MVDSDQGPSSSSRAEKTFQIQTHSDDPTQCDSSPTPSPQTPHEE HRVGQTAQSTQDSAEGRAELVSRARTFLTSPQVQHQDIAAKRAFLVEKGLSELEIAKL LSDIPTLMPTIPPKTYPQPRPSNLPVLLLGLARLFSWLAGGSAFLLLIYHRVLLPRIT RTSLARHSLRSHHLSLLRQLTTSLSSLKESQSESLSVLPRPDPSNERKPFSTCTSVED VLKIIEETTKGPDFSQIPHITLLRCAIQTLSKDKEGRRVNPTTDQLYRYLETQIPWLL TEEGFHYESQLWETLSTSTLFGKVSPSEASNAQQDAIEQTSAQTHWDYITPSRADDPP LFKSLIKLSSELPRGTKTRKSPFQHTLQSLSDFTGYISTQIYLPYRPPSTVGIPTAGG QNSLEEDLRKEIRALKGLVLNRAPLSSLNITFLGTASAQPSSTRNHSALSLRLGGDVW LFDCGEATQHQLQKSSVKMGKIEKIFITHTHGDHIFGLIPLMCSLLNGAGGTAEGSED PRLNVDTTTASLEIYGPLGTRAYIRNGLSYTHSNLGASYVVHELRFGSDPPTGDFTVL PPQAAECTFGRNIKQVSGSWVDIYKDKVVSVSAAPIHHSVPCVGYVITEAPVPGKIDP SKYIPAIKRTKTPMSVMRQLQQGDSVVLADGSVLQGPPRRAGRKVVILGDTYDPLPIA SLAEDADLLIHEATNAHLPGIDANTKPTDTYETVEARTKSRGHSTPQMAGNFARHIRA RRLILNHFSARYPGDASDTSKAIMKAIGDLAAAQYGQDVECATDLLSVEINIRDK JR316_0004896 MAALGGNMSQPLVIPPPTPEEEDQIILARITIDERPLRRMVKKF HNYTSLSHTPIVPVYSPTPTPSNAVEDAKEAFLVELASFQLLMKKSAMICEAEARQVE EYHRERERLDNEHETLKTQIEELKLALEHAQMLRRRKIEYDVIAEKINTLPSREELEA TIKALENDMAAIRSEHDTQDRTIQGHKTALDGIIAELGSLRFMGKELDSASVSQSARA TPALEIADTPAVESRVQSVTVDEMDDDKLVLDTATENAPSEGVIEEENDIEMGEVEED PREKNKKKSREELEEGEATDASSELSEPPDD JR316_0004897 MSAEHGAGEHPTTVIEASQIFTRRPVEQTQVSNIYRERAKEYIE LHDQVQTSVSLLDNLESFLSTFQKDLAAVAGQISELQDRSKDIDSRLKTRKRIERPLS SLLSEITIPPSLASTILDTNVGEPWIDAIFDFERRMTTTKARSRVKAARDLGEVVEGL RIVAATKLRAFFQALFLPIRSSVTTNMQVIQTSVLLKYNSLFGFLQRQAPAVATELQR AYVGAARLYYETGFRRYARTLGVIKTRTIEKFEPLVNIHSEPNIDLTRLQHAKIDGPG VTLAYMADDKSYKESVESLLRSLLLVFMDNATAEYSFVSTFFSSQKTLPPTEEAPPAT AASILSPDGGTFTELQSPSDSEFGTPRPMSSTTPGLGGFVSFVAKSKEEQAAIDTIWK QVMDPVMEYCTAFVKSTLDPTPPAIPLLTMIRLAEDVVAEIQKRHCPPAEVYVFGLTL QMWPVFQKGMTEHIDSLKKLADGSGSGYFTRTSTTTTDAKVENICGQYVVFFNSFVFL TDNESENMIFSNLLRLRQEIAKLIIRHTTQMSDTLSRAKEQAKYYGILLQGLSKGTHH TAHLKLQQEIAHWAYLEEETKRKIVSAGQSRQGR JR316_0004898 MDDMERMMHQASRAGGMGRGDQTVPDNGEVIHISSLALLKMLKH GRAGVPMEVMGLMLGEFVDEYTVQVIDVFAMPQSGTTVTVESVDHVFQTKMVDMLKQT GRPEAVVGWYHSHPGFGCWLSSVDINTQQSFESLDPRSVAVVIDPIQSVKGKVVIDAF RLINPHTVISGREPRQTTSNIGHINKPSIQALIHGLNRHYYSIAVNYRKTELEQSMLM NLHKRNWTEGLKLRDFNVHKEGNEAAIKSMLSLSEAYNKSVQEESTLTAEQLKTRHVG KQDPKRHLEEAVEKAMGDQVVQNLGTMLLAEL JR316_0004899 MFAASACVRHSFRRSFSSTASRKDDTMTPILTLLGNQSQKQPAK KQAQFAEPLHMFHSDQFIHPASLSYKACTSTRTHRSRVAVAPPSAVARKHDIFAQLNL DPRDFTTNHSILHEFVSEMGKIKSRRQTQLTVKSQRLLGKTIRRAKMMGIVSALSK JR316_0004900 MSDTGLYQRRQPPSPLVFSPDAILSPVPLQAQQQQSMASVTHGS GSNTVSMPFVRRHVTRRLKAAKTECDKELQRVTNNITAFFEERLREGDHESERDRRDR DQDSQQGDYESLRDAFVYQQPTEFGTATQHSDEYASDGGYDAEPEYSRHSRQRAYPQE PASRPPSLMIHPYSSPGLNPESAQISPASLRRQSTAPWDRPLSSSVSSSALASSPASS TIMLPDVPLTRKSSNHAHAPATPSWGSTSASSRRLSRTIHIPSRPTHSGQSSRSTSRS RSPLPPLSSHASFSEYAVSSGFNRRSSRILVDDPIDPIMSALYEIISVATDVTDTTIA QLTSQPKMMESIVQRVQNIGKTWDDHPDWHGRNWYVQVLLAVASLSRVVEWWEAEKQF WNFDENEDEQDEPLMFVTKPAEDTGPLASSSLQQIESGLEGFRLDDDHNVHIPPTPPT VTRKSRDEQTKDVTHSRLAPPEPIKPRVPVTPSKTPEAAESARVLATERLRLQAETAQ NQNIVVELSLDGDHFIWVNHAWRVVVGTDPEGLPGTRISSLLSPSDWGVFKEASQRLQ DDDSHTVEVRFKLQIEPNVDHDPSAGSLFQQMEGKGMLMIDREDGQPSHTMWVIKPIA PARFEHDAPTIALAEGDLAPEPASTIRPTFLEPPGLEPVTPFPFSQPICIDPILCRIC ECKIPQWYFEKHSETCAETHRLEAEIVECNESIAELRNTIRDLCVAVDRPAPTAVPEY RGMQIFTPSTSPIVSSPLQMFRANKMQRIGVKKMQRRFLDQIDDILQVASEIEMPSLK EEEAQEPIERQRLLSPGSERKITQIRQWSKPTTEDAALGQLLQDAERVMRQKIDNVVR MQNTIRYSEKIWHEWQERVETVLATAEESGSESEGTGSNEENEVEGDQEHDVNDTASI TSEYGGGSGNGSQRIAEPAPVTSSPPASISPAQPRPASVGTFPVANPPVLHSRQQLHT RSSTPSSVSSPLALAAPIVAPPSPEESMPPMLLDESPRVTGIKSRKSIQNLLEPKFVI TPPTSPLVTARDGSSGSLTRESSVKRSHRRHSTANLLTSPPVTGPLSPRQALTQTIAR TTPTSIKDFDIIKPISKGAFGSVFLAKKKATGDYYAIKVLKKADMIAKNQITNVKAER MILMKQAESPFVAKLYFTFQSKENLYLVMEYLNGGDCAALIKSLGCLPEEWTKNYIAE VVLGLEYLHQRGVVHRDLKPDNLLIDQHGHLKLTDFGLSRIGLLGRQTREGQAGRPLT RYNSRSRPPSMDSAFLSSPLIFPDPTSGGSYFTQRTNMVPPIGNSPYLPPTDDYGESS GSESVVGLQTRRNTRIADSPLQSFANELTTDLRSHSNSGGGTPPGEQKFVGTPDYLAP ETILGLRGDDAAVDWWALGVITYEFLYGIPPFHAETPEKVFENILSGHIEWHEEWIDF SQEARDFMAALMTLDPNERLGSGGAEEVKNHPFFAGIDWEKVTTTEAAFIPQVSDPES TDYFDPRGAIPQLFQDEDQVALQNQTTLDSPTGSSMPPPSVPIPIAGREAPLSGGSDD FGSFSFKNLPVLKQANDDVIRKLKTDQMVPITHTLTEPANFHHQRKRSMSQRMKKPPS VVTNMEPRMPAGPPSPATSTSSIASSPSRTSLPPPTPGSGGHIRKPSEYGAVERFKLN HMEGVDRRNSMPSRLRTASVSSAGDGSGSETWQSTGYGSQHDSNTPPSSVHSIDLRKG PDPSDRIVTCLLAEDNPITAKIIETLLIRLGCRCVVVADGSEAISVAMGDIKFDCILM DLQMPVLDGEGAARYIKSTNGKNTNTPIIAVSAYSGVDPNDTSNIFAASLAKPLQKAD LISVMRQLGFKTSTMQGGTAHPKVTASHPIAT JR316_0004901 MDRQPYPFLPANSASREGALPQDSAGASSSALDPRYGPQTIHRP DLFFLNSPPPHMDARNRPQYPQLPLNSSPVNQLYPYHQQSFPRYVPQPQSALYPTAYS QIPGGNHDYRQRSATLPEHMPPPPPLPPKPIVYPSIGNSSVRNASPYYSAPPLPPSLP PPPPFSESAPVPNSVPSPADDSNELAMALALSQSESAQRKMLEEQEEEDLARALMESM LSTGSDLQSSSDPFFASRNGPPPIVAATKPTKSPALSSASLPHFPQHDSTSTPVPTSE IPSNSVDPSHFGRYDKWRIPEKPSANEPPSEPDNPSSGFLQSANPAIAPSESSRSPAA RPVSVSSSSSLPYMQPSPRVAAFDGAVIDASKDGSDRPIPPTYQPTVQGSSSQAHDDA TAPQTPPDTVLAFDDEAYARQLAAEEEEMARQEQHAYYEKKKALETADENVGQTAPAY ISNGKQPQPRYQSLESFRPATEPYQFRDAALSNPSEGTYPSSDPSESSHSSVAYPSLE SHRDSESDSISMTSRHSSARSSASVPTGQTPPGRRRQSVGSMSQPDAKSNLAHQQPVA GLLNPNQFLDRELLVGVTIGFKPPAISTRLLPMQDPMPNIISIPYSRCPPLHLQAPDW RHLLRLMARLSGTRIEPTVEAMAVSKNDLKLRTVIQFIKPHPSSAEWRTILWFTVDHP IPPNAPGASRYIAGNPNLLPWSYSLSPLPMLLRDASDTPISKSFTIPESESVPLPNLP ITFPNLALYLQAALDDSRRYSNDPLSLGKLGKMVQTCYPNVEQDHLEFDGPGKSTVGH LFKKVMGRGNKDKKKTKATNNEETYQLVTPFVPDEWG JR316_0004902 MDKRLEGNSVRKADYDTSKESDFEDGSSFLTQVESLRLSLRGPR LTAAIAFVTGTGFTLFGYDQGVMSSLLTAKQVCKIHSIGAFR JR316_0004903 MTARLLKAGRPAEAMAVISALEDKPPTDANVKQTFEAIKEAVIA EGMDLTNVASSHDSQKSQSSKASLRELFTGGRGQNFRRTLLFERLQISDTKSRIIAAC NATEYFMASFIAVFLIERVGRRKLMFFGSFGQTGTMVLLAILGSINNAAARIISAILF RLVGYDLALLYPTGTPQPAEIVGLRMRGPANALSTASNWTFNFLVVMITGPAFENISW RTYIIFATLNAAIIPCVYFFFPETAGRSLEDMDIIFAIAYNESVSPVAVSLRKDIPKA GSAEANRILGLDERESEDRR JR316_0004904 MSSFPLFRKALPFLYWSPTVFFLSDHFFHVKTISGRSMQPTLNP DSSQWRDVALFSKFAVREAEDYGRDDIVTVRSPQNPKYVLIKRIIAMEGDTVKTLPPY PDLEVTVPEGHVWIEGDEHFVSDDSNHYGPVSKSLIESKLLMIIWPPNRFGTVSMKAC PPQEGHPLSDEQRREINRHARVHIPPIPSSTSDE JR316_0004905 MVSEHAINHLNCKLQDSDLQPQNRAGHDFEELRSCFVGEDIPEQ EEPLLKESKSRFVLFPIQYPEIWTFYKKAQASFWTAEEIDLSHDLWDWERLNDGERHF ISHVLAFFAASDGIVNENLVERFSNEVQLPEARCFYGFQIMMENIHSETYSLLIDAYI KDSEKRDFLFQAIENIPCVKSKADWALYWISDRNSTFAERLVAFAAVEGIFFSGSFAA IFWMKKRGLMPGLTFSNELISRDEGLHTDFACLLFTYLKRRPHPNTILRIIQEAVEIE KDFLTNALPVDLIGMNAKLMRQYIEFVADRLLVSFGNPKYYGSANPFDFMDMISLQGK TNFFEKRVSDYQKASIDVSRKTDSPSHKSFTVSEDF JR316_0004906 MTVSVAQRPASPAPTPSKKLGTDLAALKFGTPAKEKSLLIIDDE KRAVSPASVTDATELVVAPKVARKYVGEVDLPESEEPLLKESRRRFVLFPIQYHEIWQ MYKKAEASFWTAEEMDLSKDIHDWNNRLNDNERHFISHVLAFFAASDGIVNENLLERF SNEVQVAEARCFYGFQIMMENIHSETYSLLIDTYIKDPAQRDYLFDAIETVPCIKRKA EWALKWISDPEASFGERLVAFAAVEGIFFSGSFASIFWLKKRGLMAGLTFSNELISRD EGMHTDFACLLFSHLKRRPHPDTIQNIITEAVKIEQEFLTDALPCKLIGMNADLMCQY IEFVADRLLVSLGNDKVYNKTNPFDFMEMISLQGKTNFFEKRVSDYSKAGINHTTTEG ISQPSKEFVLDEDF JR316_0004907 MKSISFLRNTWKKREQKTPLDGSDNTPPSSPRDSRKQQFSKSTT TSSRSLTVTLRQLPVEDTSALQVGGSWQISNNYQPPSPIYDQFTQSDLTLRHKESVQS GLDKSYCSRTMLSEQSNKPCNMSSLPPRKFSTTHSTQQQYVTLPTKTTHCPVIPERQK DGITEQHSPTHTRNVSPPSPRTPSLPSFQLLPPIDLNYGPGSKFGDSLAGGKNCKCDP TPRSRHDAQCPRHTFAEAQNNMTPVRSTTVSQRSPKTVDAILDLSHDSKPRSLYHSTG STPHLPYRDRAVSSSRSEDSSYSAGSSEAEHFPLSLFPLPPPLIVRKRVPAPLVLRNV TPPSASAHSSRDSTPVGTPTTPRFSTLNSPSQSSVASPSKKFYTGRPSTNFSPPPFSP PNSPLPKPPVAQDVARRSPEQTIHPLRTVQSNANLRGALPFPAKHRLTSSEPISDQAS VPIRRPPKPRPAERPKNIQTYMDTKDQSISTVNPNVETQVQWGYAF JR316_0004908 MSEQVAVSKINADAAAGGVLFKLIIFSVSLGVIPISSYFLSLKY LWNENATFAAITAVVSANVVLVAYIISAIREDRQTAAPKEQKETPETKKNK JR316_0004909 MTKEHDGPQTLKETTSTSNLAPAPSATVTAFYDSWFRWNILPSE WDILCLSTVLKVLLFPSYRSTDFEVHRNWLAITHTLPISKWYYDTTSEWTLDYPPFFA YFEKLMSIPASFIDPKIVDLNNLNYDGWSVIAYQRSTVIITELVLGAVLLKFIRGSVE PPIQRIISASIFLHPGFLIVDHIHFQYNGFMYGILLWSILLARNSNKLASGILFAVLL NFKHIYMYLAPAYFIYLLRSFCLSPTGQVHVKNFLSLANAVIAVFVVSLGPFALMGQI PQLLSRLFPFTRGLNHAYWAPNFWALVTAADRVLLKF JR316_0004910 MTTIQKIKAKTQKNKATSYHIGQLKAKLAKLRRELIAPPSSGGG GGGGVGFDVARTGIASVGFVGFPSVGKSTLMSKLTGTHSEASEIDFTTLTTVPGTVKV HGAPIQILDLPGIIEGAADGKGRGRQVARTCNLIFIVLDVLKPLGDKKIIEAELEGFG IRLNKKPPAITVRKKEKGGIAITNTVPLTNMDQDEIKAILSEYRLNNVDVAIREPNAT ADDLVDVIEGNSYKIPMSVPISSKEWLNVDELISMMWETLNLVRVYTKPRGLAPDYNS PVVLRRGKCTVEDFCNSIHKEIAKQMKYAIVWGASAKHSRGQKVGLDHVLEDEDVVHI SKK JR316_0004911 MCNCGGPKWKREVVPDHKFDFINTAEFTDNGFMMRVKYLWLYII VLKSFLVYISDIFSAITMLTTKNWSNEIFLKCPDVEGCFFIPFNTGKWLFVGCIIVSF LLLAYESRKAKKIIASRDISYAFTNIMANNYYSLRSYDHFCFFDHISNSTKTSDDFAF FVFFTFKSWKRLILADTPRQTINALTLYAVYLVRRDPKKNWWDVSQYFVNSTSTSALT VTSFFTVVVCIGSLLLLVVAGICYIPLLLHIRGNLKEYCCHKVDKRIGVIIKRKQKER RLEADKLAQKEALGDYSHLRNKKGELIAQPLPQPTLPNLSVDDDDDSSSINTRVAPST HTKDYYPYQSDKDYPPMPAYNPHSPQAPGNYYNPSSATLGYDEPTYPHYGYEDDNEST VNLAASAAPFAQDPITRQGSPYGPSYPGSYDPHDVYQGRAGSVPPHQQQRPPPIGQVP NGQGYDNPYGGYANSTSSMGPVAPGSRGRNYDEEVAHGRIQPR JR316_0004912 MDTSPTALFDSYEQDFLQFIETIHQKLDVKDGTDKEQRKASLRR VEMELDEADEMVPTFESLNIVPDMPGHFHKVSQMEIEIQGIPQSVRAQYQSRLRSAKA ELSKHKKLLVDSRAQLARMDLLSSANNPGGYSSSDDPYGPSSDRTRLLAGTALLEEGS KRLQQSQQIALETENQGADILMNLRTQREQIENSRNTRAVTYSIIGVLMLLIVVIIWE KLSG JR316_0004913 MSNNAVSLYLDPQIRDWVLFPITLVMILVGILRHYVVVLLQSPP KVVSKAAMREQRALTRSQILRATASNSPIPPSYYTSISQHLSDAFASGAYLKDGPPKG DNASAPPNPITDPAAMDGMMAGMKTQMVMMVPQMVIMGWINFFFQGFVLIKLPFPLTL GFKSMLQRGIETPDMDVRWVSSLSWYFLNFFGLNGLYRLILGDANSADSSRDMTASPF AAGAPAAGPQDFAKLFKAEKDNLEFSEGLHNWVGNDVENRVLRKYGKLAPAY JR316_0004914 MGKSQSKLSQEQLADLQKNTYFDKKELQQWYKGFKKDCPSGHLD KAEFSRIYKQFFPFGDPAEFADYVFNVFDADKSGQIDFKEFICALSVTSRGRLEEKLK WAFQLYDIDKDGTITYDEMLQIVQSIYKMTGEMVKLPTDEDTPEKRVDKIFRNMDKDK DAKLTYDEFVEGSKQDPTIVQALSLYDGLV JR316_0004915 MVVELLRLEYIFFLFHSHHVAATVRTTHVPVKSPSLSSPSSLSI LTLRVHSCPHIRETCRNRAAHPRMDVLYLTNAEVERFNGCGFCKWAHTDPPPPPHEAG YKNRGWPGCCRPPSSSEYDLIEIENWPAVAIVHDVPIPRHLEAILKSLPLPSKMAQPL SPGGRSPPSKASTPSTIRKSTGGTTPSKPSVLSTKAMNTPSHSRGRSPHATSPVANVP RTPSSTAISSSVPSSSSMDTIRRKSGTSGTSERRLEGVQSAHSSPGRKSIEIENPVSP RRVSSVRRPTLTPATTSVSVSKIVAGDSRAPRAPTVQDNSSKPRPSISTSPSRQQTPR IPEPVTFLAPRPSKTTDDCGASSSSGSSDGVGSLSDSTITSDGGFTDYLSDESEAELQ RQAEAKAIVMAQNLQEENEFKMARQQLAHIDLRPPKSWNPTNSPKKLTI JR316_0004916 MNLGRHSISSTNPVQILDAKFDAECEIFAASTPAGFAVYRTNPL QLIRKRELTGGTLAAVLPLHASNILFLLGGGRSPLYPPNKVILWNDALGKEVAELEFR ERVRGLACRRGWLAVSLRRRVVVFQVGETVTRYGEWDTCDNPRGLLAMATSPYSTLLA IAGRQIGHVQLIRLPPCSLPVLGAPPSSSPPIKAPHPSSKHPVSIIAAHTSALTTLSI PTSGRLLATTSVRGTLVRIWDATTGKLVKEFRRGTDKAEIYGVAFRPDEQELCVWSDK GTVHVFNLVVSGATNRQSTFSPLTPFLPLPKYFESEWSYAQYRIPVQSSHISISSTSR SSGVDLPEEERCVVGWIQVSSEEAANPSTTSSSPLEYQLIVLTFTGGWYRLSLPKLTG TSNPPPGRHPPSSSSSSSFKPKHASQSKSISGSSATARPDKGKERARGDSDKKESRNC ILQEYRRFGRWDGWG JR316_0004917 MSLEDFDNIVSPSAAGGSTCGYCSPPGERSKEDTNYHAAALVAH RLTCSVYQRMIDRGWRRSGTYCYKPDLKISCCPQYTIKLDALVFKPSRSQRKIINRWN RFIMYGDSEDNAMNEEAPSATNSRVKPTKSAPFTSLASALHESEIIFSSGKKHSHKFE VELEPASFTAEKYALYKKYQLGIHQDKKNTESGFKRFLVDSPLKTEPIPYSSPPPSHL PANYGSYHQLYRVDGELIAVGVLDILPSCVSSVYFMYDNKWERFSMGKLSALREVTLA REINEAGAPEMHSLYMGFYIYSCQKMRYKGEYSPSCLADPVISTTHMSQSVPDKVTEK YRYACFSQPAHSTNKEVSPEEPGPEPLPSDAAEFDGIKVLVRARSRDIIVVPVKVELI HFRLEN JR316_0004918 MSLNGSGLLTPSSEVTLSSPPSTPKHLANKFSPPNGFPEKISIA NFQASQLVEYISSRPENSSSVYIYDVAEQVGFGTATKEWAKNDHTIPPTVDLQTRAGA GLSLVGRLSQGTSIDAVKGTVLTAYTTPSGLALMAPSFAHLPAPSATTRLIVQVPTVT AVGETLAFSPTLSPLASVWSILPENVSVLLSSSPKQTVDFAALAYKVTSSHIVHLFDH YSAARENGPLITPPKPISNKGKTLQESLKEAGYEALEYHGDAEAKAVVVLLNSTLASS LKAAVASNASGLAVVVVNVLRPWDESAIRSIIPASATSVYVLDDVPNTVTQGSLYVDV FSALWGATPKRSVTSHRITPSQTQKFVTSGGEFLRFVENITHLAVEAVTVANIKKTLF FSTPDSPLAHSATLLRELFSSKPTISARHLVDYDVFSKPGGIAADRLLISRDRSTESI PVQVALPLDSSSVGHSDFLGVTDHTLLKTHSILKHAKKGSIVVVVSPWTPEEFSSNIS HEVAELIVERQLSVYTIDIKTLAKGLSKSTEQHNLSNGDGGALLFEIVFLRFFLGAAA SEQAINQLLNTVYFDLDLTEFCSAAWKGLKAVTISLSEVTPSESPALKEFEANAIAVK TSEGQTVVNGARLSTWHDAAKHLLFPAAFTPPTEPDALRNPALRPEVPDTTFLVTCTV NKRLTPLEYDRNVFHLEFDTSGTGLKYAIGEALGVHGWNDEQEVLEFCEWYGVDPDRL ITIPVPGSDDTKMHTRTVLQALQQQIDLFGKPPKSFYTDLAEYATADVDRYALRFIGA PEGSSTFKKLSEKDTVTFADVLKMYKSAKPGIERLCELIGDIKPRHYSIASAQSVVGD RVDLLVVTVDWVTPSGLKIGQKVTVSIKPSVMKLPPDVKQPLIMAGLGTGAAPFRAFL QHLAWLASKGEEIGPVYYYFGSRYQAAEYLYGEEIEAFLLSGVITRAGLAFSRDGPKK VYIQHKMLEDSDALAKMLHEENGVFYLCGPTWPVPDVYEALVNALTKYKGDTVQAGEY LESLKEEERYVLEVY JR316_0004919 MRFTALLSLLPLVSAQLALPNPPFLPPDISAGAISTTGGGLPNS AWSSLLGSLLYFYDEQRSGVLPSTNRVPWRNDSLIYEGKDLGIDLIGGFYDAGDFSKD TYPLSFALMSMCWGATDFGKGYDMANQTAYLDDTLRWGLDWLMKAHPNASSLVVMVPN GKFDNSYWGGDRSIPSPRPVYMINDANPGTDAAAQAAAAFAACSNLYASRSFSSAYSS PASLKNDSYADTLLTHAQQLYSFAVNATSGRKTYQTSVPQVTYAYASSGYGDELTMAA LFLAAATGSSSLYKDAETFFSKYGLGKDPRVFNWDSKTPGLLVLFAQLNQASSNYGGN FTTWRTQAENYFDDIVNHKGPGFMTDDGLLYYDGDSDDASLNPALNAAMLLNRFAPLA SNSEKKTAYQNFAKSQIDYALGKNSMSMPYIVGVNPNSPTNPHSAMAAGGFDIGQIDT DPPKEAYVLAGAVVGGPDRRGRFFDIRSDWPQTEIALDYNAPMLTLASMQVASDSSDP FYTSLQPGAYDKVRPKGRPCDSAIQDGCQGSRLSKNATLAMAIVITAVGLLIVGLSAW YIILVRKSRGFGKVSY JR316_0004920 MTPINTCVLGVGLSGLTFHVPFVLALPELFNLHSVLERNPSTEG GKVKERFGVTTKIHRSIDDVVADPEIELVIVGTPNDTHYAFAKAALNAGKHVLVDKPV TATFEEAKELGALAKAKGLVLYPYQNRRWDADFFALKKLLAEPEASPHSLGALTEFES HHDRFRKGLKGTWKDVPSPGVGLTYDLGSHLIDQTLCLFGRPDRITAFIQNLRGIGSP DVDDCFTIFMHYNAGARNPYPLTAILRSHILSVRSPQLRYVVRGTKGTYTKFGVDVQE DQLKVISSPKAILEGQYGMEPDYLWGTIERIEADDTTVTRSKWPSIDAGCYTDLFRNL GGAIRNGEELTIKWDEAAAVIEMIELAHTSSKEGITRNDGSFVTLVATMSLVDIRVDL PTHSRSFTVKVSPSSSVLDVKEEIYHTCPGAPRTSGQRLIWRGRMLEDDEKIENLWKT EPRIVHLAVHPSAWSSPPTATQPQPQAQPPPQPRSQPPPIPIVPIHYTLNPTSNPGTW RNTTQSSNIPSLPRNALNYVYYQHRKALAALSSQMPIPAFNLYEASNEARDLAIYAVE RSGFIWPAILDEPYPRGSWNPGAEYEIRQIDGGLFLHLKDVRPPTPMQQHAFKVLSVT FTLLTASVSSPPMMRTVDALSSTSVAGAPHLNELLQQFGMPPLRAAMNGDHGYPGDFV VQRDDGIVFRQIPIRPLLAPLMMLILRTSLLLYFVAPARTPVFGALILAWMLYEIWQP IRNGIQNGWGRNPGAGLNQGQQQQNVNDAPGNVVPNAVPAPGGPGVLPAAANVPVRPG PVGPVTLDLQAGALLDNLANLNIEEEQRMLNQTEGAPAAEPGLGHKITTFVSLFLTTL HPAIWNRRRVALRRREGVVRTEANARSRDGEATAAEERREELRAQFNRRPAWIQRYME RVVAEEWVDDSD JR316_0004921 MSSTFDALVADLTRDFNRVQPRDALQFCANWFQSRLEEQRARTR DLLATRSASIAAPSDLYTDSPAAGLPTLAPFPRPRSSIRDSIAHSPFGTLNVPGNALL TTGDRTPPSFRFNQNELPPTSPLSNANPFAAFDTATPTPPHHPGDYLHAPSAAIFARR TSVSAESIAVDSSTDEPLRVYPKTEDQVRRIKAATGSNFIFRDLDEEQETGVLNAMQE RKVVKNEIVIRQGDVGEYFYVVESGQLYCYIHPEPLPSNWPPEGSIAKSESKIAQQKY HPEFGKMVQECKPGSSFGELALMHNALRAATVLAVEPSILWSLDRITFRTIILKAAHR RRTMYEQFLSTVTLLSSLDAPERSKIADALVSSVYADGEAVVRQGEIGDTFFFIEEGE AIVTKTQQTENGDIREVQVGHLKKGDYFGELSLLRLAPRAATVSAIYRKDASMPKLKV AALDEAAFTRLLGPLREIMERKAGEAYGTTLMDAQVQKRQGFDLGLPGLTDIFPGPAK DHSTSASATSSSSSVSASATDPSSSSSSSSSSISSSSSTDSSTDSTPEVTPTPSPEFS TTTTQSTRTVLAPAADASTSAQPSAAPTHTAFLQNKVLSGLVFALVGVVGLVVIVSLL TFFIRRKRTRRFVEDAVSFDPVKMGGSGYPDAHAHALEAGRQQTYPRSSTSTGRASSN GNGPEIRQAAPFADYVPPPPPPPPHSQMYNNSSASLRSYQSQQQQASAYSQPFNWAAP PPQSVHNDPYRGPVA JR316_0004922 MDRSYPDLAGASYAGQATTFAWANQICADDDQERAVVLASMNMW NNAVNAWWSIVFYPATDAPKFRKGMIAMICVALATLGITWLVYALERREWRRMRDARF LREEKEQDAQSDTRSRGEAGSVNSRSRSRSRHRGSVGDAK JR316_0004923 MLLLRWPSVVPLLCAALCALAVPSPEQPLSLATRDGGAHHHAHG APLLVLNETEVTLYHSPTPPSYYTLDFEDEGYQQRHKGLMIFHGLFMSLAFFVSLPVG AVHGSQGYLLILVAISLSTLDIIAAIRRFVTFLRSPNKSFNTFWRHVIKKEAINSANS SPEYVGLISEEPDEFTTPKPFRQSVDMHDVELNDMDRNHTEQWAKAIHRHQKTFSMAS ERTVFGSHSPSNSQDTLHDAKSRTKSLSHFERLQSVGRIVFAIVERALVVGGFAQLLM GIVTYSGGCRENYLNGCLAHLIKGGIFWCYGLLTFARFLGSFADRGWAWNRSLSGDSC TAEFVESFVIFLYGATNTWMERFGAQPGDPFTTKQIQHISIAVMFCFAGLVGMGIESK RIRKWLASSAFSLSSLSDAPQEAVVEPLSYIGSYNPFPALVIGVTGAAMAAHAQTYLF QVQIHSLWGNLLVAFSVLRCLTYFFLWLGPPKSVLPSRPPTEALGSFFLACGGLVFMF STEEVTIAAMRRGRDDVMMFLNVAVALTCLAFCWTLFVVGFKGWIKSRTQPSVTYRHA A JR316_0004924 MSAHDDQDDLKPSNTPGYKPGAAKSADEYARLDAEDESLARWKA SLGIVPGASTEASGPKVTVLTLELASPTLPSGKEIIFDLKDTARLADTKKNPIVIKEG VEYNVRITFKVNHSIISGVRYIQVVKRAGVKVDKMEQMLGSYGPSPDGKPYAKNFEPE ESPSGMLARSGTYHVKSRVVDDDGEVYADWEWYFKLAKEWA JR316_0004925 MATQPVGEASQQLWDETLSRLFNLSTSTVRRNTELESRVADLEL ELSVWKQAHAVAVEANERDTRVHNVQLAALNRQISNLDGFKNNNNALILCIINGDEFV FNRDLLHQGYQGGRTAAQRLTQAIAEQLAGEEIHVFGRLSFWITIYLNRSDLTEDIVG NGICTQEQFHDFLSGFTQASPRFSIIDVGNNKDALEAKIKEYIQIYAYFPQTLRIFFG GYDPVAYMSTLSALEKDQMLGKLVVLYTSHTHEGNNERPNVFTLPRMILDGLFLSEKL SRAPKKLAPLSTGPFSAVTTNGGLISPQSPPRPPGRPIDPSLPLHKQNPPPCNEFYLM TCSKGVGVCKYSHDYNLTSDQLVSLANNAKKAPCNWLKNGTINPLSVYS JR316_0004926 MIYENDKKEAPGSHTPLAQNNVGIYLEQLRSEIIRILENETSSR VTIKQLENDLNIHKRALSSYETERVHSEQKLQDSLKRTEELEKKADDLEKQLKGHRVV ALLDGDGAIFNSQLISQGQSGGHIAAQKLSDAIMQHLASTNGVNRYQLWVYIFFNRRG LIDTFGRAGLAAAKNKFDDFIMGFNQAAERFIMLDVGSAKEAADAKIKALLEDEIRFP QTEKIIFGGCHDNGYVTTIRSHITSGFKNKLILLRSYTDMAAGINELELPSFSIPDLF ISQKLGMPAPPSHINSIPVPTLATVPTPVVLPPVERPKGHRPSLSNIRQCFDALPFAS IEPEDSSRKQSSQPPSYSSALQTVPKRAATPELDPSGNSSSSDESEHGSPNIPQISLT NSRSRRINPNILLSKRA JR316_0004927 MSAGSNASPPFEHPVQPDSVELFIDTLSLQFGLTPERHNDLHLL YSLGAEQQIPLDRASALTGIFALACQFSVEQKILTAFASVNGSLGGLDLKGTFKELQI RLQKTWEITKNQKEDLRHLSQQHIYMPKRTCFKLLHVDVEAEVSKDASKYSMQNIFGK PARELRWRSEAKRVASSVRNAFRQDIRDSLFGRKRCSLKRFTQACADKYHHGTLSGSQ EVEYQIRNVLLRRFAYENKELLGKEESFQDDTEDNDSHAVTGNKRQKGLKTVGRIPNG DDFWAQADDWFEKGFELRGQSFTTDAWKEYIDESRSLDDEWHKNNGRSRQQITDSSST SDQFPEFTQRSVHNRSSSPDFTTQTLPLNSTSSSLPTQSIMQFPIQSVIAMDKFKWQL FARIG JR316_0004928 MSQLDPKVWTQSTADNGDTVYYCKVCCDSRARKAFNCPGHERTS THLAALSRQRQPTPASSTINEVRGNPTASISNHRIIDDALRALLVSSSSNPRQPMYHF GCPPLQSGTSHATPSSPPLQAPTQSGPVSPLTGFDWNLYEATQGSTDPDQEDEPTPCI RVREGVCQWLLDLLDGVEENDDPVGDILVASEESDSSADDLPARPSVKKRTRTQNLDP RELEEWYPWQDKITCSLDILMHLPRSVFSRKQLDLFLWILRVNEVRNVPSIKTMKTLN SALQKMCGIDTIPYTSTRGTNYSMNNISQILAQEMSNPKVRPYLSFFPEDTGKSIQEA RQAAKWLHEIIPERTTPMIRLHNADYYIFEPTMLKDGSCVIPYRWYVKSGHMYACSWV MEKETSENGTVGWVVRKDRIREDQHPEIVSWTHTDPATGNRWRKLAQGHRTVCLPLWL YCDDTSGNQSKQWNEHNSYLFTLAGLPRDQLAKEYNIHFICTSNVARPLEMLEGVVNQ IKSAQETGIWAWDCVYNEVVLAYPAAFAFLGDNPMQSEFACHIGMCGKLFCRVCWAKG QDGSETNVDLSDLSNVPDSNQSPLYSPVASENGGESIPHSPATSDHQDDVAVSRKRKP ESMESMVKRITAFLETGRLRCKQETIQILQSYLNLASSIGSKTKLKTEKTKTGIKDTY QDFFLEKLLTSYAKKKGPMEKQAALDEAIQNLPDDIISPIWDLDEIDPHQDTPVEILH VVLLGFVKYFWRDLVQNQLNDEGKKLLIQRLNSFDYAGSLTGRDFQAISQVAPHVIYD LVPTNVFDAWVSLSRLVPLIYQPKIDDMDKYLVKHVRRFGPAIIFATESFESFNAVIR AKSIHSNRQSPSRDIAMAFAEGNRIRHLLSGGLFLQNRHRDIQHTTARDWRSIGPGPN RIIAIDTIIPSYLGLMAATDPLFSSGRCIVDKTPPRPLTQTLTGTLLPNVALGNHSFQ TCKQVQLENGENCEPGQYVIVQARQPNGAPRAPFVACVKEIVLQLGSSNGANGYPEGL LLQSVSTNQVNSKLQMPALMPLEDTWSFLPLSNILCTVNAPHDCAQYNCTASGVRYVY QERKATTIKTPVIEHKVNPDHRVLNMGQMRNSIHLQQFRVPARPIPNKLETIHEAVSR TIDTRKAAATSGRATRGREKAAHKLKGQGHSRHRDIGQDRQASIPSVSPLSPLPSAQT AASSLPPPSPVVSQAQPYPYFFWPPAPSNTAYPHYNRQPQQYQGYTMPYPQHWVPNSS SSWVPMPHLPPPTQHPDGR JR316_0004929 MSAGSNASPPFEHPVQPDSVELFIDTLSLQFGLTPERHNDLHLL YSLGAEQQIPLDRASALTGIFALACQFSVEQKILTAFASVNGSLGGLDLKGTFKELQI RLQKTWEITKNQKEDLRHLSQQHIYMPKRTCFKLLHVDVEAEVSKDASKYSMQNIFGK PARELRWRSEAKRVASSVRNAFRQDIRDSLFGRKRCSLKRFTQACADKYHHGTLSGSQ EVEYQIRNVLLRRFAYENKELLGKEESFQDDTEDNDSHAVTGNKRQKGLKTVGRIPNG DDFWAQADDWFEKGFELRGQSFTTDAWKEYIDESRSLDDEWHKNNGRSRQQITDSSST SDQFPEFTQRSVHNRSSSPDFTTQTLPLNSTSSSLPTQSSTFLEQSSSRHTHSSMART SIVQQYLYNNRGPDYQFSGVEKNSRDGQEGLLGASRSTMILKYS JR316_0004930 MSQLDPKVWTQSTADNGDTVYYCKVCCDSRARKAFNCPGHERTS THLAALSRQRQPTPASSTINEVRGNPTASISNHRIIDDALRALLVSSSSNPRQPMYHF GCPPLQSGTSHATPSSPPLQAPTQSGPVSPLTGFDWNLYEATQGSTDPDQEDEPTPCI RVREGVCQWLLDLLDGVEENDDPVGDILVASEESDSSADDLPARPSVKKRTRTQNLDP RELEEWYPWQDKITCSLDILMHLPRSVFSRKQLDLFLWILRVNEVRNVPSIKTMKTLN SALQKMCGIDTIPYTSTRGTNYSMNNISQILAQEMSNPKVRPYLSFFPEDTGKSIQEA RQAAKWLHEIIPERTTPMIRLHNADYYIFEPTMLKDGSCVIPYRWYVKSGHMYACSWV MEKETSENGTVGWVVRKDRIREDQHPEIVSWTHTDPATGNRWRKLAQGHRTVCLPLWL YCDDTSGNQSKQWNEHNSYLFTLAGLPRDQLAKEYNIHFICTSNVARPLEMLEGVVNQ IKSAQETGIWAWDCVYNEVVLAYPAAFAFLGDNPMQNSNQSPLYSPVASENGGESIPH SPATSDHQDDVAVSRKRKPESMESMVKRITAFLETGRLRCKQETIQILQSYLNLASSI GSKTKLKTEKTKTGIKDTYQDFFLEKLLTSYAKKKGPMEKQAALDEAIQNLPDDIISP IWDLDEIDPHQDTPVEILHVVLLGFVKYFWRDLVQNQLNDEGKKLLIQRLNSFDYAGS LTGRDFQAISQVAPHVIYDLVPTNVFDAWVSLSRLVPLIYQPKIDDMDKYLVKHVRRF GPAIIFATESFESFNAVIRAKSIHSNRQSPSRDIAMAFAEGNRIRHLLSGGLFLQNRH RDIQHTTARDWRSIGPGPNRIIAIDTIIPSYLGLMAATDPLFSSGRCIVDKTPPRPLT QTLTGTLLPNVALGNHSFQTCKQVQLENGENCEPGQYVIVQARQPNGAPRAPFVACVK EIVLQLGSSNGANGYPEGLLLQSVSTNQVNSKLQMPALMPLEDTWSFLPLSNILCTVN APHDCAQYNCTASGVRYVYQERKATTIKTPVIEHKVNPDHRVLNMGQMRNSIHLQQFR VPARPIPNKLETIHEAVSRTIDTRKAAATSGRATRGREKAAHKLKGQGHSRHRDIGQD RQASIPSVSPLSPLPSAQTAASSLPPPSPVVSQAQPYPYFFWPPAPSNTAYPHYNRQP QQYQGYTMPYPQHWVPNSSSSWVPMPHLPPPTQHPDGR JR316_0004931 MSDLYTSLQVSPRKKRCPPELDDGLTMTPKKLRTAPPTPPPSSS RVKTISLKQTLPLPLARLYNIQTALQHALSHALATCAISPTTDSGHVKNVLNHISLTT YTGLSTTFDVDDLKRLCWLWEWDGKSSCNTKRLEKTEDEDNPFIMDAPSEPPLTDWSR GSMGIIITPATHFSKVDRKRVPAYGIGIEVEMDIDKDMGGGMAAVARWTAGAETRLSE LSTKLKRWIELNGDAASLPEVPLADLPELSAPKVSSLTRTLASFSPSSASSLQLPTVP SSPSRSPVKKTARDLPIHPPTTLLTKSPSKKNTVLFPQTPSRRDRNIFPSSGSGPPQT PSTAASSPSKDSLPSTPVRQQGPDNTPLQTPSTSRRQALYERVRQRSLSMSPTKAPRD ANGKTPTRDQMLKMSQDELRRRCLLGRLSGIAESIWMLFSNPSSGGSSTPSRKRRALP TRDVVTSIVKSSPVPLSTSEANESITMLIKLCPFFLKKLDISGDDWLEMPAPVSATAA SAGDLGSPSKVGQAVPSSPGRLKGKDESAQELVNRSPRRIKREIGGLREVREIIRREL ELQD JR316_0004932 MASAMTIQPLEKHIKLSDSRILAYSDNGNSSSSCVVIFFHGAFG VGNATRLQPSLVEADVHFIAPTLPGWGTSTPRDQTIPYHVSLASDITQLIQHLHPDES NLSIYIAGGSFGTVPAQILYGAPFDIFPLGRNIKGCMVLAPFSPFRLHKDYAKTMTTQ NYMAVGPPTQFLPFHILPRLSSFYMRSKLKTIEDAEAFIRQNLFDNMKTEEKEAFRKW REEHGKQEGEVEREFAENMVRSVAKTWDGYLEIADVIHGDWGFKPDGLDEERNRRPIL IVGSEGDTMAPDAMAKWLAASYKNAHFRSINGGHLAALFHLDGLWKELLEL JR316_0004933 MSSLLNNTKSLWRRSIRLPKTSSDSSSKAGTKPGPAGTGTDHLV TIAVIGCGQRGKAYTRYALACPDRCKVVAIAEPRPKTQKYFADLHQVDQTLVFNTWQE LHAASAETISTVGKRLADAVLIAVQDHLHAEVAVAFADQGYHILCEKPMATNLEDCIA IDRAIKDARKIFGMGHVMRYSPYSREITEIIRSGSLGELINIVQVEPVGYYHFAHSYV RGNWASEEASSFSLMTKCCHDIDLICHWFYPAIPIKVSSFGSLRHFRKSAKPPGAGDA TRCMECPAEKECPYSAKKIYLDEVSQGHVGWPASAIVDGIPDIENITEALKKGSYGLC VYESANDVCDNQVVNIEFSNGSTASFTMVAFTEAICDRRTRLHFSHGEIIGDMNTFTV NDFKKRTSKVHHPKSEGGGHGGGDMGLIRSFVDAVLSNEQELLGTNSSEILKSHLTVF AAEASRREGVVIDCVDFEKKARDRWEQANV JR316_0004934 MPRILPRLLKKIESQAHKQKFFKFSLPRKRIRKSLYKPPLQAPS FQPSHHARSILLTPLNPITNAKDYVKHKRIPPSLNKPGKVDPNDVDPARQMSKDEMRW WANPYHMLIRLTGMRIPSSNPHRDKRNQAKLVPDGILHPKYTNRKVSGGMYTLCWRQA IRQLERGAYKRISAELSLYSSLEHQIAHLLRLRILQEFELLAECLEFSVKRHKNLGAT PILRRLTYDEWGLMRSTGSLPCQNALAILICPPLNRNWRTGERPKGSFSALPPADEHA LTNLPPTSTLISASEDVSLEEVSDTLPQLQVPLYNCISAFPSVSQRAALHTLLLRILT TERFVKTFNKNNRNSHLIKPSRKHSPAFLLCADENTIHRGDSAAVALALWRLRMYDSE GWQSPQHNTT JR316_0004935 MDHIDFNRLKTGEVNLGTSIMAVQFDGGVVIGADSRTTTGSYIA NRVTDKLTHVHDRIYCCRSGSAADTQAVADIVHYSLQMYSQAAGKPPSVHTAAAIFQK LCYENKDALSAGIIVAGWDDSVGPSVYNIPLGGGLFRQPWAIGGSGSTYVYGYCDATY KEGWGRDETVNFVKNTLSLAMSRDGSSGGVIRMCVITKDNVERLFVPGNELPKFWEGH EVLGAAPKLGKVVPDVVPMAVE JR316_0004936 MPLQYLQELRQAFNAKPSDLKKCTDLLLKLKLLLVEQNLLFPQE NVPKDKLREAREVLEIGAFVSIRSRDLPAFDRYFAQLQTFYTDFVELERSEREYPIRG LNLIHLLTQNRIADFHITLESLDAEAILDNEYIKHPVNLERWLMEGSYSKVWNAREEA PAEEYKFFVNSLVGTIRNEIASCQETAYESLPLKDAATLLFFTNQSELLTFAQARAWE VNLSAGTIIFKRKDEEKMEIPKQRLISASLAYARELEQII JR316_0004937 MTSIGTGYDLSASTYSPDGRIFQVEYANKAVENSGTAIGLKVKD GIVLAVEKLVHSKLLVPGANRRIQTIDRHIGLATAGLLADGRHLSNRARDEAANYRET YNAPPPLKAVADRLGLYVQAYTLYSSVRPFGISTILGTVDEDGPGLFVVEPSGIFYGY NGAAVGKGKQLAKTELEKLDLSQLSLREAVIEAARIIYLVHEDTREKEFELEMSWIGP ETNNTHLPVPQDLLEEAETKAKEQFEFEDDAA JR316_0004938 MSDNDEWIKVLQDHPIFSLPKYFDDPLAKTPNPLELSTNTLPKF TNLDPRDDSPSPSGRRQVMILKDADVIVAAGNEIRLSSFGDLKLSRSIRKSYKILNTP NIKFEIHQICLNPSGKLLAVAGAYQVAVVVLPRAGYSRLVPQVIDCKSVQVGQFYHAA ESSAPIAKIDWHPWGDAGSTLLVMTVDGKLREYDMSIDTEEPQQVVRFFPEKKSATFM ADDSAEREVTSFTLGKGRADWGPLTLYAITKSGDIYSICPYLPQNASVPTAYIHSLEC FVSAKQEYLSQTSSSSASKYNSMTYDYQHKYVTALVKQLPAGAVFPASSKSVLVHPPS TIKPRPLRQGPFLLQPSPRMLDGSEGGDATDITYLTFGTGLKNSSEDDGNDTEHLGVV LVSYQDGKVDLFLDVEKVEARWDLKHEPSPDLPMLAVYESIDLGLVKCLKEISPETES TAILDLLQGNHPVLLVDPLHNDMIYVYHAFGVHALDVSPVIDRLSSALRDDPEDGSTL KDSLQKPSTTTVRPILNTFSVEKKCSNPIIAVALPNDVYLTYNIFMLTSTMRLTSIPL TIRSAVTPAKSNLLNTVSVPVNTSKWLKPLDMPSPYTCLLGNQAYKPPSILSDPSGLP NLPKQSLPSTSGSKEFLLTPDTLRFIGKTVAQIGSQTNDIRAAYRIAFARVNLQKSEL SSQITKCKEIQEKIDTITSTRRKETEARISNIQNEQKALLSRLDRVLQSLMKEASPEI SEQEKKWFDELKRMKNEICGSGRYDDESLISRTRLLEREFKRILPSLQTLVEKEQQRQ RTQQDANKNLGFTQAFEYGQRSNIDGTRINIVETELAKLALKLDLPLGQPPSSAAPSC JR316_0004939 MAAVDQIDKFPHDDAISSPSIRNFGGSGIDAEVVQFFAQSKLVP QTTVINDVTNSRLRWLIHKRVLRSHHSFAFLTISVFSVAQTLDKGTINFASIMGIRED TRLQGQQYAWLTTCVYIAILLWEFPTNRLIQRLPVAKYLGLNIAAWGAVLACTAACTD FTGLIIVRTLLGVFECVCQPAFVFLSTMWYTREEQALVIGAFYSMNGFQQCVGGLIAY GIAQVHHARLKNWQILFTLLGCITFVWGLFVLWWLPDSPMRASCFSPEDRLLMAERVR KNDTGIQNRKFKFYQAREALRDSTVWAVTIISITNALPTGGLGAFSNIILTEFGFTQL QTYLLAIAQGVIIMIFLFSAAYLSKRFNERLILEFIYTLPNVAGTIVFLCVPTSAKTK VGLLLAFYCTQGFGAVAVLNLAVMSGNIGGVYSDTIIIQELLFSAWAVGNAVGPQVFR DNDKPRYLKAFVAHLVVYGVQLVTIFVLRVHLMRRNVLKRRERYNRSPEQTGQEVLDE SLAHKHAFDDLTDQENPEFTTN JR316_0004940 MSQRNANPLKQQVLNHIDALIKCLVNIEDTTGEYLLTLSDGRVI DTKGWNDWEWTHGIGLYGLWQFYEVTGNQGALRVCLDWFRDRFAVGTSKNVNTMSPLL TAANIHDSRLANYSVHMDSWAEWVMYEMPRTEEGGLQHITYLEDNKEQLWDDTLMMSV LPLTKIGIVFGRNEYIEEAKRQFLLHIHYLRDIQTGLWFHGWTFAGRHHFGRLLGLPQ DDGLRLFLVSTLRAQIDALVACQDQASGLWHTILDDQTSYLEASASAGFAYGILKALR LRLVSREERYELASKKAIEGVIANISEAGELMNVSFGTPVFNDAESYRKVPLTSMPYG QSLALLALTEYLRTFL JR316_0004941 MVRRLYFHPLSSFPGPRLAAATSLYKTYYDIIKGGEMLTQIHRL HSIYGSVIRIGPNELHFNDFRAYSEIYSVGSHLTKDPKFYSCFNANGSAFGAIDPHVS KTRRSFMNKFFSRKEVIKLEDVIQQKVDRLVSKLETTSGTTTDMFLAFRSATLDIITA YMFGHCLDAIEYPDFSSPLLLNIQIALPLLWVIKSFPWVLPILSILPKSKWCARSIFE QFQALLFVRNFLVASLDRTAQEVKLKAHPEPSLSTICHRLFDPMSKAGLIYPSLQAII DESLSLLQAGSDTVGNTCTVGTFYVLNDKVIYTQLITELRTQWPDKTVPVDLAFLQKL PYLTAVIKESLRLSHGFVTPLPRIVGHSGAKVGGFEIPPNTIVSMSVTSVHLNETLFP NPSQFKPERWLHSSHLSLGRFLVPFSAGPRMCMGMSMAWAELYLFFGYLFRKLDMQII DTDISDFCTYKDYFVPIHVGRHLRILVAEGG JR316_0004942 MIVDPSAYGTVYSTRNLAEPGPSTLPVFRAMDDLPSITTQTLIG IIVAISGNVLISLALNLQKLAHMRVEKKKKLAGDSTNGKNHDTSPDENGGVVAAGTHN IPEEEDVFSDSPTPTERQPLIAFPEPIIRDYGSEASGSPKILASKVKRPFVSRFNPLR IRNKVSQAKYIPVEIMTEDAALHGLPSRNSNGLKRQGSSDSVNKNEGDYLKSKLWWLG FLLMNVGELGNFISYAFAPASVVAPLGTFALMANCFFAPLLLGEYFRKRDLLGVGIAI IGAVTVVLASNASDRRLDPDALLTAILQTPFVIYACIYTAGAIILATFSEGIVGQTWV FVDVGLCALFGGFTVLSTKAISTLLTLRGFEIFREWITYPLIAVLMFTGIGQIRYLNR ALMRFDSKVVIPIQFVLFTLSAITGSAILYGDFQKAKFHEVVTFLYGCAATFAGVFII AWTPKNSGQNDGQVIGSSANSINDGEAPNVSDGDQLGLGTVGRRSRATLVLPSGVTGP KDTPSLGRKRSAVMGISPAQHLLLVHTPPRSIPSRTNADFDVERDGTSPDYTGRRRTM SWYGYEGTQESRDRGTRESSLVGRLNNDIRVGSLEERSRKLPGHL JR316_0004943 MRPKHTQHPLPAFPVYSCAFLSPTELVLGGGGGASRSGIKNKLR LYNVSDDRSIELKDEYELEKGEDAPMSMAVHQKTATIVCGVNSVEEKLLKGENEHCRT FSVAAAKIKPLTTTNTIPVVPDKDDFQKVTVLSPDGTLLAIAGSNDLTLLSFPSLEPV VETIHVERDIYDATFSKNNLVITTTHNLLVYGLPTTVPKSSTTTSPKKSKKKSKATAS ETNEKLSSLELQKTVDVPPSTGEGSTFRAGRYHPTDDKTMYTVINVVPPRSRKTKSLN RQSFICKWNTSSWVLEKIRKVGDGGLTCMDLSPDGRFIGYGFSDLTVGLLDAKSLSPF ASILKAHEFPPTIVKFNLDSTLLISGSPDNSIRIVSIPSDATGSSLTTIVIILLTILV LLLAVAARQFPGGIGL JR316_0004944 MSRPADNLPATARAIALILSSAPSVQDVQPGVLHQLLEFSHRYT TQVLTDASVYAEHANRAGKIEMDDVTLAVQARVGWEFGGRVPKEYILSLAAEVNAQPL PAVPEVFGLRLPPASECLASVDFDLIPNKPPPGVKLYDEEIEEIEESESEEEEEEDTD MVPAPIERQPSQDQPMREEAPFPISAIATPADVDMLGTPSGMRMDQDEGSDAAEEEED GLFAGGDDEDEESDGMEEVSTSLVEPSNGVKRKLVEEDDYD JR316_0004945 MFRSAISNSVRSLSRPIVSRAAVRPIAARGYHEKVISHYEKPRN VGSLPKNDHDVGTGLVGAPACGDVMKLQIRVDDDGIISDVKFKTFGCGSAIAASSYMT ERVMGLSLDDAGKIKNTEIAKELCLPPVKLHCSMLAEDAIRSAIRDYRSKRAKLADGK AKTTQAGFIDVSQNSPTGETNATHQQA JR316_0004946 MSGLADELLADLEGFSGGEEEEEEQQNVPSPLASSSNGLKRKAA GDGDEDMSDDDADDDSPKCPDGKPIEVGGLVLEGGIKPAEELDAEDVQRMELGGIEDV SKIAKLEGSKRMNDILKDIDKYQANPTPNAQMALPAHLNPEYNVIVQANNLSVDVDNE ILVVHKFIRDHYAPRFPELEQLVTDPAMYIRSVRALANVEELAKADLQGVLPPAIIMS VAVTSTTTSGKQLSDAEWGAVQRACDLADRLEEARKKIFMYVSSRMNVLAPNLSAIVG TTTAAKLLGVAGGLAGLAKMPACNAHLLGAQRKITAGFSTATQKRHTGFIFQSEIVTQ TPPEYQLKIQRTVGAKCVLAARMDLERSRRDGSFGESLRDKIEKHIDRLAAPPPSKVT KALPIPGDGPKKRRGGKRARKAKEAYAQTELRKLQNRMAFGEAEEEVGAFDQTKGLGM MGSSMGKIRAGLGEAKSRAKMSKANKLRTAAITRSAQSSQSQTSGTATSLSVTPAQGF ELTNRSKQLQRVKEANERWFSGGTFSFVGQKGT JR316_0004947 MPPGVIHAFKSLIRHGKHHAEVAKHDNHISQVPPDPSPEDTDNS RGLRHNVHNNHHPDNQSKPMSPPAREVAEQIVDEERKAKAKMPSYKGLENFRLEDKMG DGAFSNVYRAVDLTSGKKVAIKVVRKYELNSSQRANILKEVQIIRGTSHPSIVKLYSF SESEEYYYLVMELMEGGELFHQIVKLTYFSENLARHVILQVARGIRYLHEERGVVHRD IKPENLLFERIPIIPSKNPIHRPYDEEKEDEGEFIPGVGGGGIGRVKIADFGLSKVVW NEETMTPCGTVGYTAPEIVKDERYSKSVDMWALGCVLYTLLCGFPPFYDESINVLTEK VARGYYTFLSPWWDDISHSAKDLISHLLCVDPAQRYTIDEFLNHPWCNAAPAPAPPAT PYTYGNLKDPLSNQPLDSPLLSAARGGRIHEGRSPGIATLKEAFDITYAVHRMEEEGA RRRKYNGRGGAGTRGFLTNLNEDDEEETEYGSEETSDDEAAQRRYQQNAAEGQQAHIP HASVMEGRAGQRDQGGRGRVITAAGAGQPDPTQSKTRTPGRSRAAHKGFELDLDGATL LGRRGKRAVGVSSPLKMEGLHVNDERAGSPMHL JR316_0004948 MSHVSDLRSPAPSRSLASSPRPKFDADLLKAYMRKLLSSTLQSS AWPGKDRDRLKGWMKEIGERVKERMLEIQPRGFKYVVLVQINENLGQGGRWAACDCFS AHLAI JR316_0004949 MNPHSSHPPRTPRTSMHASSSNNYSASIYETKEEIEEKVDPEDF EVDLEEERVQEAEKRITKEEVWREMFVTSNGRDKAFILAQQSVPFSAEVSTEKAKKVQ KPFLHTILYAPPPVLLELANAAADDVATWSRLGLLGKKIGSRAEDFSNWCWLLSTLVG LVENGVERQMINSLEAEVEGRLYKESMTGATAKSKPKSTKLDEKELARLRKQDYWLQV TRAKLLMDLIFVSYDVFNLRRGRDTIKAFAGLTAAILSSAKLYDRHKNALLKTLLTST S JR316_0004950 MPSTHYLLAGLRACRSSLQNPSKRPSSARCYATTTPKSRHGSFY SDTLPAMIPVFLLGSAVFLGLQLTQLKLSHEKFIDEATARVAELEAEIEALQQQRASE TQTSSQAEPEAPTSRWRWW JR316_0004951 MEPIPDASPPRVPLRYPSLAQDADQIDEKAPPTANYAPDHRKKR PPTLSPSPAHWSPYYSPDSSRPYPSSSRYSPHTPHIRFNPQPRFRSSTRPSWLSILRP WLPLIMYAITSLAFVVAVAMYRTELFTYLDELSLWLRADEQYGHAVLFFLIFLTTIPP IPLYSTLIVLSGYTFGPWTGAIISYFAALSGALIVFIVSRLLFRESIERWLASCTTIK RVVRAIEKRPKLLFLIRLAPYPYNVMNCLLAASPTLTLHTYTVCTALSLFKVIIHTSV GASIHSFRDYHNSNDASPSDDDESGTDMMARLWTILGILLCVLILVYLSVVARRAVDE ELDDGPITAHDAEETRGFLSGADSDPESGMHDRPMSEIPFRGDR JR316_0004952 MADLYPPSYTMRHRDDSQYSQYPPPLLSAGSSNNSSDDNHDQPP SPTKSADHQKPEAKPQATFLTKLYALLERPENHHMIRWDPAGEHIIVERPEQLALHVL PSIYRQSRFASFSRQLNIYGFMRKVNLRNVDPAIDDPDASTWSHPTLNRHSPPEVVAN FKRRVPPRLPKPRKRDIQDQQSIPPPRSAIGMGPVPLTVPSSVGHPSHKLLNGPIGRA RGFSAPGSFTPLNQGAAGGAAGAATAAGWNNNFSRSALPPLMVPSDPPHIPQQNNMYN HSPQHLHPITPSDDTPSPNFHQISNYSSSNQSQYHHPYQNEHSSWSYHGGSSSHNSSL SSLLNPSSNGYSRPQPPTINTSYGSPFSSMPMQGEHSASSLSPDSRPTTGYSMSSVSS MPYQDDYSRPSSSHHRPISPARPTSSKSNYNNSGSLSVRRERRHSQAVSPYPSPYDHP NEQQRPSTSPQPIDNHQSAGLPRVRSMIQLPSVDPYSFNSSQAEFAYSAIPGAVGHTA SMESMNDANGWASHRTAVRPSTSTSSISAASHTSSSQANTPPVPDNYHGETDINRFSP DFGFVPMNEHLPHHHYNKVASDL JR316_0004953 MPTPTIDPVLDSPPYVHSKSANGSPSSHPSSPRAQSAWKSVFRF PNSSSKKLQSTGSPVIVETQPLPISTQSGVTHIPVASSASLNPAYLSDQRSSYNSSNT QSSDSNGGLASPRNLYMTPRSTSYSYHTQPKSTDALSVGTPSRQRQHTKSERARPTLT KLQASSSRAPPLTADPSQTSFLPTPPTPSKPRTNGPLSPRSVSASASRFIRRVASAPN AKGLFSMGGSKSATTKNGFLAPADTLPPIPPLMSSSLEQGQDSLETVSSGSSRGRISR PTPSSGSLNVVAAAHGLPPVPGKVAFRRTYSSNSIKVRQSEGYLYFCMEYCMGGEFFR ALQTRPGKCLSEDGSRFYAAEVVAALEYLHLMGFIYRDLKPENILLHESGHIMLSDFD LAKQSTDPSNMPTMVHSETNGIPLVDTMTCTANFRTNSFVGTEEYIAPEVIAAQGHTA AVDWWTLGILIYEMIYATTPFKGQERQDTFHNIRYQTVQFRDTPKFSSAGKDCVARLL DKSERTRLGSKSGASEVKQHKWFAKTNWGLLRNTRPPIIPSKSNGLLAMNGRQLKESH SLHLEEQVVAGSAGGGPGESDSDLFGAFSSVTLHYDVN JR316_0004954 MFVERRPPSLETSSLLQERPPSYHSTDDVNSLASPTYSEREPDP TPVNQFSKADKCWILAGLWSGVLLGAFDGTVVATLLTPIGSEFNASNQSSYIGTSYLL SVCCFTPLYGRLADILGRKGAMLLALSLFGSGTIFCGMAQSMNALIAARAVAGMGGGG VMTVSSITVTDFIPLYEHSYQSSEKTHTYLAALFSKGNNEDYTKAWRICLGGPLGGWV NDTFGWRSAFYMQAPVLLFSFVLVATKVNITLPDAVQNQTLSEKLRRIDYLGSLTLVG TVGCLLLGFSLKSTEEMRWSDPIIVGLFSASVIFAFLFVWVEKFWAPYPVMPLRLITQ RTPLAVSLSNLLTSMSAFSMLYNAPLYFAAVRLNSAAVAGLHLLPHSVAISTGSVFAG WIMRRTGKLYTLTLVSSFMTIVASTLASCWNENSSAFHLWIDLIPQGFGMASFITSTL IAMIAGVLKEDMAVATGITYLFRTTGQVLGVSLSGAILQAVLLQKLKERITGAGAAEL IYDIRHTAEIIPLLSAEHRKAAVESYGDALRVVFICQAAISGLGFLACLPIQESALSG PRPEEQRNDEGENGERD JR316_0004955 MAPSARVLRDMKARKGAQANEIARRAYLFVARNETLSPQVRHHA QLQLNTFGRYTRPATCSNRCHVSGKGRGIISEFALSRFQFRVKALRGELPGVNKASW JR316_0004956 MAENQTLRNLLRSLASFIGEGAGGLLPKLGWDLGDFNDFVNKSE TDTAWEGYHKRKKAGLVGTGAAAAAAADQSENSASAHLSMNQSGKRPSESDQGNGRSK KSRSDSNDISNSNGFSMLVPMSNSPLPSAPLYPGAQRPQERNGIFSELLRGSNGSPMF VPSPAAGSSSQYPTSGGSNIEGNYSSSSYNIPGVNINMDQNLSSSPFDSPSAPSVSQQ RLQQGGDSGAGDDIEPDDDPKKNEAYKLIHYHLENYKRNSSYCLPASLRPTIIQRTIP HESIVDRVLHPELRDRMILLRQQFELVDCLVDHRRSVIIHGDDVLAHNNWELSESFIR KYSFLIDAAVLKITNRWRRERGEPEIVLPESNDR JR316_0004957 MPEGQAPQSRERSISGDVEESDFDSHAGDNNPPGKPGRKKNPNS QAARRDQNRIAQREFRLRKQQRIRDLEARVEILSGGENEALGEMKNMLKGESSGDF JR316_0004958 MNQQQGAPPNANAGGGGPGYQQPRTEMEYLCADCGAKNEIRARD PIRCRECGHRIFYKKRTKRMVQFEAR JR316_0004959 MATRHRLSRYSPYSPAVSLTRRRGSLSSVSSSSDFESSPLSATF SIPSRPTQPRPRKYRNKLCSNSYGSSSDLNADTPISTYVRRKTPGTSPTTPTFSRDSG YESSSPTPMPFSIPSLPVLLQHSGSSESFPDTLSSDPDVMDFRSSSPSTPLTDITDGN DYYRFIRRRIGTRISSHPRSQTSKSNHSRRK JR316_0004960 MSAADDDDDDYLSDKFLLNQPSTSTKPTTYSQIRKEAQKKAFLK NEQNRIKGRRQREIESREEGLSKSLFERAKEEEAAGIGSGNKALSIMMKMGFKPGQSL GNAGHQTAQETPRENSPTAGGSEDNDDVDVVPKASNERVSGAQNDDTSTDDLDRSHNA VVVVATPHTTHKVEPLPLQEWAGRKGIGLGKRARSPSAAERLAKMAKMAEDMEHRDFR GRARDEYKNRQAEGRLAPAQLTCTTLDEQMGKSFNVLWLNPNNEATFPVGLIDALAAH HTAVLVRQKAESIQERLRKQMQADALQPIKADDDLLGGSSEEMKSSGFAEDQFSPELL EEATQFLRLQAQDRLHLVLSYLRDKHFYCFWCGIKFDTEEEMHNQCPGPEEDDHD JR316_0004961 MSDVPAASVPENAVVQEKVEEAPGFKVFAGNLSYSTTDEGLKAF FAPVANDILSAQVILRGTRSAGYGFVALATAEAAQKAVDALDKKELDGRQVIVEIAKP SEQKDKEKKEKKAKRRPGRRGGKAVPGEVSEAEANGEAPKPDAASAPEGEEAPKPKKK KKSKAARKAKKAEAEASEAPAAAPAAPAEGEAAAEGTAKKPRARKAKAPRPPRPAGED PAGEPSKTMLFVANLGFNVDDAGLSALFTEAGINVVSARIVRRRWGHPRKSKGYGFVD VGSEAEQAKAIAALEGKEVAGRAIAVKVAVNTPHDETTDEATPATEGATEATPAAAAA TA JR316_0004962 MASKLINLIALSSLVILACSLGPAPVNALSIDTSPNHHARHLGH HQLIAKKKRADSRRCKPRPSSSLVKPSSTKAAVPSSSVKPAVVTPAPAPTTKAAAPPK TTQAPAPPPPSTNAGAKVGIAWPMGDDPSLKNFKTNKVSFLYTWSPNKPANADALGFT FMPMFWGPKDIANWQRLVKPGYARFALGFNEPDHAGQAALDPGYGASLWKQYIEPLKN NGYTLISPAVTSGSGGIPWLQSFFGACGGGCTVHAMALHWYGTNPQDFIKYVENFHNV FQRDIYVTEFACQNFSGGAQCSQQQVQTFMDTVTGWMDATSYIKGYMAFGVMHDMSGV NPLNQLMAGNGLPTPLGYDYLN JR316_0004963 MSEYKYLSVLSSSTGSKSATPPEEFCTEMANVKLSSAIKHLLSL RSPNALPSPSLGQLNKVFTTTFRDAQAKNAETGWLVATTCTLLSANRPSAVGQLYRFV TRSTLDKDAEQKPFDLPNAINKAALMRESALKSVIFVGVPRVILSLSALHEALDDEVK HALRTNSKRTATSDSIESIVTRGKGLWNSIYTPHADKLHDKLGSYHPDFISFIIQCYG AVLSPLPGQTRGYTDVSGADDLDQGNLSRAMGSVVGIATLRAEGGVGPQLTSHVFGLL KARTTENLSEEDKWLSSDEGTEWVIRTVDEVLDGVSAEDQDQRQVKAKL JR316_0004964 MASASNDVLSWTNQDSRESLLFNSWGILYRFQTSTSQNGTSVTT MWRTLRPNKEDRIAKLEWAPNGGLGRVVMGKNSLRMVDLARPEPTIYGARCFTGPDGL QYRWRPSTTSVDVVLQDPNGEIIAFFRPTRQTRYQIGDVYGELHYIRTAGMGTVMHPP MMDMVTLTAMLYRFCAAWNL JR316_0004965 MSKAAGKARAQIVRLLIPAGKAAPTPPVGPALGARGVKSMDFCK EFNARTAHIEPGVPIPTLITVQPDRTFTFVTKSPPASYFIKQAAGIEKGTGKPGHEVT GTISLKHVYEIAKIKASDDHMKTLPLESIARMLVGSARSLGVQVVP JR316_0004966 MASIDNSRDHREACVRIPSEDYHDQGISSLLGPKFYSGTIVRIE GSSTTDDSVVVIGAHQDSTNLWPFLPAPGADDDGSGTVTILESYRALIAADFRPTRTI EFHWYSAEEGGLLGSQAIAKDYEDRSINVIAMSQLVRYDGMGQGYSFFLSLVKKLNHV FNRGEHARKWGSSQTSPMAGKRIELDFYAEFSTFSETGFSLTNFNKALVDLYLDIPYA ETKCGYACSDQLRDTITLAPAVHADH JR316_0004967 MVFLDFYHSHVAHAAYSILRHRASDLWKTRDPAEALIDNRAPGV NKTFSRCEIVDLTGVDDDMDKRNAGGNFHGSKTQGRKESRDSGRCLPLFLHDPIHLQS TEPVIGSSGICPAIRTSACSSSRPILPEPNGGPLEIRDMSNFRTRSLDARASSPRRHE KIIDVDIYTVNVPKAPFNRARRILISEDTELPIATVSMRADIQFFNRKERWDV JR316_0004968 MSSRTRQREDVDRAYAIQSQAAIAGAARSTAVGIGLAIMAHHLS PVFRRQTLSFKAFLVSGFTIFGLIFGAEAALVEHENNRRREENLLRREARLDLARRGL VATETEIANWKADRERERDIDNTSL JR316_0004969 MTSTPREIGTLIVVVLRANHLPNKRHIGKQDPYCLVTVNGEKRR TKAIKRGGQHPEWDEEIRFTLFEDVEDVLARTARGTGTPPAPPPKDDKKVKKIKGGKT MKVACYADDPREPDLIGEVEVDLTEVLTKGETDEWFTLTNKDKFAGKVYLELTFWSNE PPPEKKITPKPPKNNKQYGGPGSFVPLGDQPISEQSRISGTATVIPSSLRASSSAANL DLYVPPYERNKNAPLDKLAQEFSEFGVSSQSRRRETFPPVPDSYSQQSQSGFVHPNLT TYSSYTYEQGVQDLASSYTYDNPVSPNIQGSGHPHQPASNPSHGYPPQTYHPSYEIGS SGFQPQARGPRHSVPTASSGFMPLSHSSGFGTIPSHVSEPSGFSIPLSHTPAPMNYNS QFQHQPQPVYSSSPHTPAPSNNGHHIHASTSLSFQPQQSFSTSPSYNYSPYSIPPSAS APPQQFVSSQTTVSAQANSAPPMLYGGTSPSHEIPPLPVQQNNQIPQMNGQPSRPLPP QPQVIYTQQPIQQQQQPSQPQIVQQQLQPPADQQAPPQQQQLNQSNQPPITTSHSLPA AGGQNHHAFGIAHSSNMYPAAANYAAVPPPPPPPIQYQAGAAIVSSNSAQLPIPPPPP PPPPNLQSQPRRRASLPQPPVTYQQPVYKQQIPPPPPPPPAPVEYYSPASLPPPPPPP PLRDSSVNSQASTFYPGHPPKPPAPVDEYGQWAQTTNPTYSGQQTSQAY JR316_0004970 MRLGLSPFKLALTASFLVSESLAQCPDYTTYSQMPHDPVSSGPL ALPYMRPAPACRTFNSTAVEKVITDMKSLLKDPDLARLFENTFPNTLDTTVKYFSKSE NLAFIITGDITAQWLRDTANQFAHYHSLLSVDPDLATLVKAVINNEARYVAQYPYCGS FQPPPESGLAPSHNDWADGVTVNPQDCDPRPVNNQTVFECKYELDSLCGFLKLSRSYY NATGDSSFMNANWRDAIDQIFRVINEQSQGSFDENFNFISYYNWTGGNHALSPAVDNG GNGEPKAYTGLVGTHHRPSDDISVFAFLTPANAMLSVELTNLAHLLDSTGQLRNVSQQ AKEWSARIEDAIWKTTVVDNIFAYETNGFGGRYVMDDANVPSLLSLPYLGFLDKQHPA YVATRKLLLSKGNPYYAAGQKFNGIGGPHVDAWHPWPMSHISAIFGTDDDAEILQHLY LIANNTNGLGLIHESQSIYDPTSYTRSWFAWANSYFAEMILDLADRKPGLILKQNKSY KPGHSK JR316_0004971 MSAVLPTSSHVDGKGHKPAHKDAPSATNMRSEKQALITTAPPPI LTAAKQNAQKKVPRRSSKPIINWFQRKLAGSGKPKRTENVPLRIADLGVGRSSINSGR QINRITSSPLPVQTSHYLKQQTRADAASLARRKTRSISLYGDEELRESNQFPEDDVSI DQSSLNRESIWSPASALEADDDASVRPIPPSSPPSPSPSRSSSSYLSDPRTFRSMAAS TKPTTLLSIDLNGNGMAHIAQAPTPPPIHINRLTPHVRQSSSLSSAGLLGSAGSIAFS SLPTAQPSSRPASLRNPGSVGSISLNLQQTSTYNNGQVSSVQAPLHTYHHPRNNPRPS SPPLDNASVLTLASSAFGIPNRPGNPNYPPSAIGDSVSHYGGSIMFADAESAYVPGDD ERLEEKDFDASVRALRPRSSRRGSWESEASRWSARVQGPGTPSLARERSLWTSNSVRT GGFSTENGETYETADDQTQDDCTGEGDQSDKVESPIELPHEEVRISIEKPSSPAKEDE HIPKTESSIVSTAVNEKSDLITILSVPRASTETIAHPVTSADVEPASRPEQPIEK JR316_0004972 MPVAVTPPRTLYDKIWDDHVVDVNEDGLALVYIDRHLVHEVTSP QAFEGLRTAGRPVRRPDCTLATVDHNVPTVSRKNFKSVETFISEPDSRAQCAALEDNV KEFGLTYFGMKDRRQGIVHVIGPEQGFTLPGITCVCGDSHTSTHGAFGSLAFGIGTSE VEHVLATQTLLQKKGKNMRITVDGELHEGVTSKDVILHIIGVIGTAGGTGCVIEYAGS VFRGFSMEARMSVCNMSIEAGARAGMVAPDEVTFKYLQGRPLAPKGEEWDRAVAYWKT LKTDEGAKFDIEVNIPASEIIPTVTWGTSPQDVVPITGRVPDPSTMSDPVKRASAQRS LAYMGLEPNTPMEEITIDKVFIGSCTNSRIEDLRSAVKVILAAGPDAKVAPNVHAMVV PGSGLIKQHAEAEGLDVIFKRAGFDWREAGCSMCLGMNPDQLAPGERCASTSNRNFEG RQGAGGRTHLLSPAMAAAAAITGKLTDVRKFIGKVAEAHIAAAPPLKTVSEFNFMDDA TASPTPEASTDSSSSSLPSASSPSSVEKFVVVKGIAAPLHIENVDTDMIIPKQFLKTL KRTGLADALFYTLRRDPHTGKETDFILNRAPYNKAKILVCTGHNFGCGSSREHAPWSL NDFGIRCVIAPSFADIFRNNSMQNGMLPVTIPQEQCIELAKDAEAGLDLEVDLEKEEI RRVNGQPPIPFTTDAFRRHCLLNGLDDIALTMQRGEAISQFEQRRTEMWPWLNGFGYA DKKIPVGPVRKTKKTEW JR316_0004973 MSCDTYSASSAVSRETLVNTLAQNNLLHAPGLSPSLSGRSSPAI GSGIESGLGLGLQESGKLCSFNSDASSGDHVRITMKTRVAVRDTKSHRFQVVPLRDIT KDALSRTELESGRLVVPTTPVSYLRTPSSKKFMTSHTKTMTSSPSVRPLCSIYGKENR HSEAGTEANVKALDSFEIKTSVSSETPSAERNAMLSEVELNVVDIKSSSLPQLSSNRT NPASPAVISNFSSQKSLNNSFSSTSTHTISQSLYISGRYKTHASKFSSLGYLSLDPDS GREGADEEDKEAPVFDSANPRSEKRHALRTPPLFLPYFDRLASSGPVNPDPQQVLHEA ISDQKSELVQGAASDIVQADNDRTICPEFRLLDAYLDDQAVPCRILEDPFSVSSSGSI EFAPIDSPLHHSSPKSGNWASRIRHGAACQTSRRVQRGDRRIVYPKIVLDLFVELDKA IESWSAKNM JR316_0004974 MAPPTRQPQPAPDSPRSSLSPAPAGLQGDLEMELFALANALYNL GTTVINDSTKERDKPGGGKQVGLRVNDVVSHLSNLDEMAQHVSTRIPMQILADIDNSR NPMQLTKERLERAATENQFMNGKISAITSYRRLLDEAIVQSFPELESELNGQSSGTQ JR316_0004975 MADIIRTYAALSPSSSRTDVAAVFNSIIDAKPFTFDKSSRVELI KSIITDVKACGSKAKLSSKDATLALLSVKTLGKDPSGSAYIAQASVLSTLLGLATTFI KDDPDAASEALRCIANALLLIEQARSTFISDEVNGGDTCILMLEKSSSADHIFILSRI LFLSTAAGPSYLETIVDTKHHGRTIVEILSSKLDFLSTANRNGTPSAKEATIDLLKFI FNILLHYPKLTEEEPQHTLPNGEEKIMGDFWSSKLDGLLPPLLRIFNTLPPTSPAPIT APLTHVIHSLITIPVNNSLKPIWLGTSPPSGRNSTSSSPKIKTPSESVPGSRSDSPTQ TPTSPKPSTLDRALSVIAAGRRSLSRTPSPSTQVPFDVLQRAYDLFEQGLSYYFPDSV DPDDADLRKRAKAESQDTVEDILSPIVVLITRLCMADEGTRVRVRQWLVPDDLDRSSP LEQRPDTLGRCLRILSCVYHARLKDSVGEMMYAMADSDASVLSALVGYGNVAGFLFNK GVLSAPPASSSSSSAPQTTASGEQINPITGTTLQPKSDLPEMTEEEKEREMEKLFVLF DRLEKNGALPPDQNPIRKAIHEVVFNQV JR316_0004976 MAKSSEKKSEKKSAPKVKEEKKVVVASSKEILAKAAKAAKAPAV PAKAETNGKKAAKKAKESSSSEEESESDSSSEDEKPKAAQVKAAKAPSTSSDSSDSDS DAPAKPAAKPAAKAAPAKKAAPAKKDSSSSEESSDSDSDSDSDSAPAPTKAAPAKATP AKAAPVKTSPKKAAPVKKAASSSSSSSSSSEESSDEEPPAKAAKAAPTKAAPAKAAPA KKDESSDSDSDSSDSESSEEVPAKETKKADSSSESSEEESSSDEDGDVKMAAPTTNGS TKRKADDDAEPQTKKVKLADGSAAPAGDAPEESKTIFVGRLSWSVDNDRLAQEFSVCG EVESANVQMDRDTGKSRGFGYVRFTTSEAVEKALAMNGVEIDGRPVNIDRSTAPDKNK SRENRAKAFGDQVSPPSATLFVGNLSFGVTEDTVWSFFNDYGVKNVRLPTDRETGRPK GFGYVEFEDIEGAKKAFAASNGAEIEGRAIRLDYSQPRDASGGGGGRGGSRGGFGGDR GGRGGGRGGFGGRGGGRGGGDRGGRGGGRGRGAPRGNPRSGGISNFEGKKITF JR316_0004977 MEENQFDVVIFGTGLVESIVAAALSKAGYKVAHIDSNSYYGGDE ASLSLDELVQWADGISHTPDSSSRFQRVTRSTEVPSQARQYSICLRPAVLSAMGPLIS SLIMSGVAKYSGFRLLDCVSVYDGYGRAKSVPGSKEDIFKSKEISLIEKRRLMRFLTF AAADFVGKKEIEGKENMPFLEFLKSVFSLSEEVSTVIVYSLAFCMSSTEPTIHCLHRL QKYLRSGGRYGPSPFLIGHYGGIGDIAQGFCRAAAVSGGVYILARQVTAIVQTRPSSI ATPENEDNSRSTFNYAIDLEDFPDTLSCNLIISSPPYIPPDTRERASHLSPPSQIQSD VVCIARCIAIIDQALSIRTPESATEDSSEQGSEDTEASPQTLKSVPPDTAIVVFPPSS VSDGSMTHSATALINGEGSMSTPKGKWIIYIALPLSSVPEESVSTESIVRPYLNALLL LGADPPHTPVTPLFTTFYLETSPYNSPPSAPGTSSPNTDPSCIIPPTLPMVPLPDIPD EATTIAENTFKEAVKYLRSRRKSGDAEESEIAFWPPIPVEDEEEDNDW JR316_0004978 MPLFGTRTRRTNYTTTTSRPARRGLFGGTRRTAHTGTVRPARRG FFSRRPDKDRVAGGYKVALANPNTTHSGRKHAKRELRAMGRDTHVPFMTKVKRTLGIR STPSRRRTTLTKRTVI JR316_0004979 MSGALNSTVESISARLEHLLGLSLNTILLLLLGLGASIAVFYKP LRNAEEKKQANGNAQESDANKHRQPGEWIPVKFVYPPVEACTERLAERKPIPYRPFRW GAYQSVFPLVSMNYFVESRISSVNMGIRNMPWNDWIELDKDHAVYHRIKVHRVQTRGQ AAVRVLTDDANPGVVKGGEEAAIELVHELAEYLSRRYPKDFEVIRYSERLIDRDGAYC DWGWEDLPAIRTIKITSLDASYELPLSTNDGDRAPEKAMEIAGLLIQDDLALMLEGVD GKYYFQAGSICLPDKERLDLSLERFFRRLPVEKPVVRNNYFFQTNVPQKEERIDAEEL AWAESTVGPEESFGHGTAFKRENGAGQGETEVEWIRFRSERQTLRRLPQSGAVAFTIR TYLTPVKEIAREKGVAGRLASALRSWPADVGEYKGRERGGWFEPLLEYLDECETRVDG JR316_0004980 MSPKSPSPSADDSNPRAAPRPATRQTRSDFYLEPNPFEESFKAP SAAASNVRNSSPPRDSSSAQDSRPDDPERPQSRSQSRSSHQRSASPSRPVLPPLASIS SPADSSYSWGYNPNSINSLRSGPLSPAMLAGPQPSTDQSHLAFDPANFRTGLTPRTGL TPRTGLTPGTGLTPLVGAFPASPGTAAFLAIMNGNNPNGAPSGATITPNTLNAITGVL NNSNTYQSSALHHQPQPNQDNPSSAYIPTAANAASSAANGLFLLSQAHQELTKREEAQ ARASNSTAAAAAAAAAASANGPPNGKRGAKRKSYDAMSPPPTSATTKPPGKRTRAAAA NGRYKSSVRGASEDVDDDDDEGDDDDGPDADENDDAASKRINKKPETEEEKRKNFLER NRQAALKCRQRKKAWLAQLQAKVEYLSQENERLTAALISSRDEISRLSALVGGAGVVG SIPANTHSSSQPVSVNVALAGKANGAAAVSGPGGGRSSGYGY JR316_0004981 MAKLQVGVVASIMVLCLLRKTSAFTYTFPDCTVAPLKGNAVCDT SKDATTRARAIIEQFTVDELMANTVNLSPGVPRLGLPSYQWWSEALHGVAGSPGVFFA PSGNFSFATSFPQPIVMSAAFNDELIKEVATVISTEARAFNNGGRAGIDYFTPNINPF KDPRWGRGQETPGEDPFRIQQYVFNLVQGLQGGLDPKPYYKIIADCKHFAAYDLENWN GNNRMSFDAVVTPQDLSEYYLPPFQSCVRDAKVASVMCSYNSVNGVPSCANSYLLQSI LRDHWGFNDDGRWVTSDCDAVDNIFSTHKFTADYPHAVADALKAGTDVDCGTAYSLHL PDAFNQSLITRDDLEKALVRQYSSLVRLGYFDSPQVQPYRQLSWADVNTPNAQALALQ AAVEGIVLLKNDGTLPFKNSIKKIAFIGPYANATTSLQGNYQGTAPFLVSPVQGAINE GFSATYTPGTTISGNSTTGFAAAIAAANAADAVVFAGGIDESVEREGLDRMSITWPGN QLDLVSQLAALGKPLVVMQFGGGQVDGSALKSNPKVNSILWAGYPGQSGGTALGQIIS GKAAPAGRLVTTQYPAEFVNQVGMTDMNIRPSTSNPGRTYKWYTGTPVFQFGHGLHFT SFDLSWQHQPKAKYQIPRGLGNAPGSTTDLKEFDTFTVNVKNTGKTTSDYVALLFLSG TGGPAPLPNKQLVSYTRLSQIKAGGQSTASLKVTLGSVARADAKGNLWLYPGSYQLTV DTGVERSLVHEFELVGDAVQISSFPQNSS JR316_0004982 MASKAAAVAVDFTRIYSSLGLGKETISALQAFRKRHSEAQRLSH AFASQPTTVNFDHYRSVLKNQAIVDEAEKLLKDFKPVTYDVNSHIKAIETFEATAVAK AKETEEKIDIELQQLQETLDNIEQARPFDQLTVDDVAKAHPRIVETVETMLKKGKWTV PGYKEKFGDLNLM JR316_0004983 MFAENTVRRAMGFNAVSFYIFWGIHEPKRGEISFEGFRDLQPFI DAAKKAGIYLVARPGPYINAETTGGGFPGWGTYTPGIWRTSNTTYLDAYAGYVKAVGA TLAANQITQGGPIILVQSENEYSGFQAPYTEDFVYESKLMQAFLDTGITVPITTNDAW AGGHYTTVDVYGYDSYPNGFDCSHPNTWASNAVPDSFWDAHMSINPEDLNAVYEFQGG AFDGWGGSGYDTCAILTGTEFERVFYKNEIAMSTTYLNLYMIYGGTNWGGIAHPGVYT SYDYGSAIAEDRTLREKFYELKLQANFLAVSPAYLTTRPQNIATSQGAYTGNSALKTT QILDVVGKKTGFYVVRQTDASTNAVQTYTLTLPTSLGTLTVPTLGGSLTLTGKDSKIH VVDYTAGSTTLLYSTAEIMTWATIDGRDIILVYGNAGELHETAIKFASATAPAVKVLS GSGTLKQKVLNGGALALQFTTSGQTVVQVGSDTLVYILDRANAYQFWVLHPPTSGALA QFSTENPIIIKGGYLIRSVNINGGTLALTGDLNNTASFEIIAPAAQSRALTFNGTPLP LSKTSYGTLTAKKTVTLPPVSLPNLSTLPWKMADSLPEITSTYSDALWTVANHTTSAN PTQLSTPVSLYAGDYGYHTGNILWRAHFNATGTETAFKVHVIGGAAFGYSVWLDSTFI GSWEGNAVQSDHEGTFSFSTALAKSSNHIITILQDHMGLEEDWVAASETFKTPRGILS YSFVGSPSTNVNIWKVAGNLGGENYADRTRGPLNEGGLFAERQGFHLPGFNDASWTSG NPTTGISKAGVSIFRTEFNLSVPQGVDYPIAIVVTNSTTNPHFRSQFYVNGYQFGKYA QGILNYQGENTLAVSLWAAGAGGAKLDSLKLALTAQVESSMAPVVNQPLTPWAPRPGA F JR316_0004984 MSSIPVHQYDSAQSVFDLLERQFVIGEDTLVELTQAFLEEFKVG LESYNHPMAMIPTFVTAVPDGTETGTFLALDLGGTNLRVCEVVLNGNKTFNLVQQKYR VSETLKSGEATALFDYLADSVDAFLTTHATTDYTSPTEATSGTPLSDVHLGLTFSFPV EQTALGSGKILTWTKGFSAKNAVGNDVVKLLQDAFDRKHMHVKCVALVNDTVGALLSR AYQAGGCFAGAIFGTGTNGAYMEQVSKIKKLAGNPAWDKGGLMAINTEWGAFNNSRSH LPTTPYDNAIDRLSINPKFQAFEKFISGMYLGEVVRNIIVSLVDATPKSLLFGGKSTA FLNKHYGLDTSFMSATEEAWIGDDSSPDAFVHPPLSVEFKREELNAAVLKKLDNIRTI IAKTLGFKLEDVSLNDAAVIRWICSLVARRAALLSGVAVATVLIQTEQATLKGLEKPA RGLNQKLGVGVDGSLIEHYPNFGKILRESLVLLVGEEVEQRVEIGLAKDGSGVGAALC ALMALKQGAV JR316_0004985 MASSLRLVSSRIPRSSSRFSSFQSTLGRRNVHQVRELPYPIEQG LGKFLPPAALKTLMEYQKGLLDRLNDELKTDTATEPHATVAAITINCATRRERILAFN YGALALNNSFFLEQLAPPPDEESGLKSHQGYISDELFEKIRDHYGDIIGLKSTFCASA LGMFSSGWVWMVTDGEGNLGVLPTLGPSTLLVRSRTNMHYLSKENNQAIGANIIIKDS QNTTPRPIPSLPGAGPSSPFSGMPTQPPSQGLFSDHTRAFSANSAQANDYRSGTATMY GDSPSESAVRGSNIPSALAVGNVIFPLFCVPVYEHAWMSAGFGVWGKEDWLKEFWSVL DWRKVSRAYRASRESTINVHG JR316_0004986 MPPKAAQASSTTAFGSFQSLFKAYNDNTSNRLKFIDVFLLFLML SGIIQFAYCILVTNFPYNAFLAGFSSTVGQFVLAASLRSQVNPDNKDEFKDVSPERAF ADFALGSIVLHFFVYNFLG JR316_0004987 MLGKIVCSLVISDSPAVHLGIDALLISAFLAGVKRTTGLTPALS QVPNKDVRAWLNSYLEFGG JR316_0004988 MASSRPPSPPFGKGYFSVPFERFVRDESFDLTSAFTLLDTVETY FDSHVDLLQRKLQKHSDRLKLKAEETFKIKDLKLKDLKIKDLSGDLLADNLEREIRNF KLKLSTRVASLSTSWQSAKVVRTREKVSFFIGVMTLLGSALMFGMAPQWVHVAYTVLG LYLLPLRFYQYKKRAWHYFLFDLCYYVTILNFVYFWLFPSSPALFVACYCLSHGSLAS AVITWRNSLVFHDQDKVTSLFIHIYAPFSFTVIRHFYPNAEARFPALKRVGDLNPLQA LILSGAIYAIWQILYWKFLLVDRRAKIESGQRTTSFSFLLNDKRGAIGRMLSAVPPPY REASFMGGQFIYAILTELPAVFLLYHSSFWSAMFLLAIFSVSVWNGGGFYIEVFGRKF ERELEALRKEIAESSARSTSGTSGASTPGTLSRGASHTDLLSLNPQLENLDLLEPPSE SSSNSTPSVDESKKDI JR316_0004989 MLLDHELIYDAAVVAILNTYSTFSYKPQHSQWTILASFFLTRRH STFTEADDLKIISLATGTKCLPTARFSPRGETIHDCHAEVLARRSALRWFLEEIARIH SSSDDTNPFKSTWIELGANGKYTLQEGVHLNLYVSTLPCGDASMGYLAATQDEVMAAM KNASVFPELDPTAASRGRDDYARLGVLRTKPGRADSPPTACMSCSDKIARWNVLGIQG ALGSALLSPLYIEAVVVGEVPLELREVSREDCERAFWKRLGVIQDLPVGFSVRHPNVY FTDHPFPHSRAVLGSASSCNGSICWSSDSKHLEILINGLKRGVSPKHRYREKSRPCLS RISFFNLYKDVLALVNPDTTKRIDIYLREKEASTEYQSAKQQLLSEHGPFRGWIFSGN QWQQFDINGEATL JR316_0004990 MPSRHNNHAPADEASHFLASRSTSADDMVQGILKNPNRGPAGLD LREYQAAANFPLSSQAPPGYISRDISSSSLNNVIQQEKRDQLHSDTVDISLNDHDDHK HRPPKIVQFPEGFDKPTPLPYPLSTHDSDIMSSRASSIAGTDDDSEDYDWSDEEDLVD EEAKYSKQMGSKPPRRGWGFRRIISLLFSSLIGSTLLSAILVTPALLVHFFWFKPDPS DHRRYVRDNVQAWFFWAASNVLISWYLAMIIDIIPILFRFFISAVWGHVSESIKTKIE TYDSVKDTAKPAFYAGSTWASWVIIFVHIYKLYDQNEPEKSRARYTIRLSPVVEFFFF LVLVWCAQGMLSHFIAFSFHRTAYKERIESVEKTLVVIEKLRQYRPKYPASTPNFKSG ARTPIFGHLGISPFSDKQHSKALTGALKNVGPHPTRSNTDVDFRDDDAHDGDVEDGDR TLINSPHRKHRKWFGSQSSADEPTPSSKHKLHSTTHRSKGKDKVKVKDETITKDGMEV EIEMSPMSPSKGQVYSATAPTMPSHLNPHRYPPTSDSPRQSLDGGTDRAIRQAAKVVK TALMHDARNLAGKDGTMDGLAWNVNSSHEAKRLAKSIYMRLKNSGRTWLIPSDFYPAF PDHASAEEAFKVFDKDNNGDLSRAELKTAVLKVYRERRFLSRSMRDVGEALATLNRIL LILAGIVLFFIALSVFNVNVGESLSSVYTLGIAASFIFKNAASSAFDSIMLLFVTHPY DTGDRVIIGQENLVVKKVGLFATVFTRSDGTETYYFNSQLFNQFILNVRRSGKTFENL TMQVAWTTPLTKLDALEKCLNTWLSTEENRWFEPSTSITLQNIAFQRYLELTIGIGHN GNWQNWGLRNARKTAFHAAVQYYCKQLGIVGYEAPLPIVYANPQTMKYEPPSQNYEEV RSPMADTPLTADELRQEAVEAEVAAKAMKPTLGFLPPLEDRSSRLMARKSRHKKANLG AGNADC JR316_0004991 MATVSRNVSVKSTSPEIIKKIEQEIVKEAKNEERSLKDVVKDLH RAEKDTNKAHKSAVKAEKALEKAEKREQKTLKNLYRAENAHNIALGHVTHTQHEFELA TKKHTKMRELLQAKTTRVDEAMKANDEHTKTRNARLAALQGPSATEEAARVVPDPTSV PPKEVGTAIPETVITQPNGVRVNN JR316_0004992 MPAETRSKSRTVASAPAAPPPKSLRPVLRRKVAASTPSPTQGSK RRMPRTAATLGQSNPTFRASDNRIPPHPPSESISTTGRLTPQTDATIEQSDVAPPSHD NRIEIDPPADSRLMTELVGRDPQLPVLYELKSHPKVDDPNARIILGIADWSMDAMRRR FHYSANLKFFYFSGMLYIKPSDNIFYLERDRYGSGLPGDDVLKSWTLSKHERDPIDLQ ECRRLQEEILGPQELMSSQKPVKDTNGEYSGGIQFERSDRAVNIKSGPRCYTLGSTLQ AQKSLSAPGVQSKVYTQARDYDLQMRFNILQAGAKAGIEGVKKASKHLFQRLKRQAEV ASLAPVGYDDNCVWPSWQLNVAPGVPQHDVALESSLGKFGMAHVDAADSSGAPTCMHV LSRYRADVAPENFYILCCGLAYLMEEFSVIFFSGLHFHGGHQPIYVQQPNEIYYRLTL IGYPPGQLIDGVDSVAFAAGVNDTTFAIGIEMRNPVSHKLSKTPMCKQATWTADGGSL LSPKAHINHFSRLLLQAITHFARQLPPELIPRVDKSLAMKMVSVVIDNKRVTADEWDL GPGWRGDDVKIGTDYSGILSGLGVSSIEDLSSEDLARLCNSDSMSEAPYGNVELQEAV QEWKKHMESSAKSIPLCVTAGDHDEEAVIGLQSKTGSRKGAVKRARTRDVSEMDLHIK KRRNTSQQTLTSSKARRMSHRKDPESDSGPDINLNFEDNLYGDRDSTVTKSKASKKNA APKERITRHSSSVTSLGTSKFLNALRPECISKILAALKRLPIDNTPSQGQSKWLDVSL ISEILSQPVISGDIRRACELYASYSQKKTISKLDLQFQQKQLLLSNVLLWEWLDSVLE QAYRSKDDISNGLGVLVRRVEEVLAVQTNAEFDAASFISGFQPRESVYVYAPRSFKYN TVSKTCVLQFCSTILEHWFNFPPVDCRWTAWFIRELIDYLGEEVLILDCAWEAGLHIN QHVLCRSKNVVIKQEHIKAWSKTYLSKHHLCKTSHSQDRQRLVTINNWATSQLTLPLS VHSDYFRLLASMPPKSSKAYQNPSRAARPAKPLKANPDPSAARPDNSSKANPNPSTGP ENSSKANPSGAENSSEPHLLSREELIKQLAQKEKELAEKDKVVKDLKYQLSKDQEDDR LIPRPVGQAGRGEAFGGFNLELAMGLTKEHYLRLNRIVKLAAFQYLDVRQPFRRQSRD KIRCAKERAIKNAKVFRKYSHAWPIDEFLKQFLSHFSATATKDIEDEKNSQEKPDREA IKKYIKACATIQGARLEVLRDEAGDGTAANDSGPIEVEMDNISCSSDDEENFNNVFNN AKDDEGCSNDKECSDNEDLAGKSKEHHQEDTTREKASKPALNSNSAESAKPQDSRTKT GRQKERHVSPSSTPKSKPPPRSIMKTPGSVTRKARKLKFVESESDQSDEEDKVLNSKV TAKAKANKGVKNTGKEPLRSNSEIQNKNTALPAIGLTALPSPVQEEASTLKFHWPTAK SKAGRKPGSSKPEAHNEVEKENRAPAPEPLKASTQSDPNASKERTSKEKSKEIGSSTA TLPRKFNGTVSKVVKSLQSIHEIKRMKRSAEAASLEAEELAKKKAKKNDGNNEVSQST TIPPSEDATSEENTTSQAKATSQENATSKATSQPKATSQEKATSQPKATFQKNEIVLD GSDYLSIKSCPHQGCSKSDDPHHTIPPEDEIDISLKMIMKEYAVARAQFRLANNRNRL KAAQNMSFKSQLLCSHLYFRSKERLTSSLDAARSNGWPVAEIDYDQVANRIAELHVKH NFPMIFEGSGTDCARFETSLPWRRFVGLMLLKCETPKLSFLNQLDKRAPYCYNVASAG YYGPIVRSLIASYWTQLVVDGDLNYVTELLVDSTFDIGLYRLKSPVEQISGQEDALAA YSSEDAYRFWDEFTNLSESVRKSRAFNAESFFDMVMVPHVVNLLIMEDMNCAYDDADK IRRESASFGKLYHGHLDDNDEMNSVDNFLHQAPIEQVQPRCHTPQPSCPIPQTPLRAA GGSNSLQAMQAQAENQLTSKPPRLQRKTPCKPAFNSAYLYGELSTITEISMDDENLPS KSNNTPNKNSSTTVNNETQTDPANPSTEPSTSPNARNGGVLADNLSKPVDEKAGKKRK RWAADPNEGEKENLVCPSEAYHLKKEERAEYAAATVCTDVGGSLSY JR316_0004993 MKRRSNVRKNVAQFLDVEAGVDDGEEADSGEEESEQELDNEFLD EQEVDGVDVHPRSLDEYAQMNDEALEALLLRSKERSLAESNRRKSNCVDAADDIFSPS FLPVLTEADFPLWKVTCRVGREELAIASLLLNAQDKHKIRSAFSVERMKGCIFLETLW NPNTVDLLKKTPGVLVTKRGVQQSLVLPDDYREILRGQGKISPPKRGSWVTVSKGRLV RLLMLPRLPLPGASRVTKRRKTDHSGDSVLWSKTQWTEWLNSQGRSHQVVEHTEHCWQ IGNTLFEHGLMIQDIGQDSIDVVVEEMPFRYFRLFQQSTHSSLDSSYMLRPTEWKFEP GEAILAASIEGSQSREASVVAIHPYYLEVAYTTHDSHNIADTSLSGENQGVEGWLIAK TNEAVVIGLFDSDLLTIPSLQVLDNIQTIEAPINWVHVSKAPFQHRMKENKKKKVEIP SEARHFWCDTEVLISKQHHPMKTRRGKVVDVSPSADSIQNMRVLVELLDYHAACPFQK ILLNYGDVVDARTLLPLGDRHAFDGMPTLLNNPDRSVDTLSQSAERSGTPIPTSPIAD GQWDPSAPLPHNPQSSMSPSEYSHPSHVLFKEMLVGQKVAVYIKGGSFKDSKRHYFVT IARDERNHFTLLLLRQKRPSMTLQPEWVTPKHPSGTHTNDLLFVVDGENQHQFVRRIA QRDLVNQSPILLVNPVMRREGQSDILCGVPFEVESTATIPPPGPSAAARQILNDIKKD DLIVSCIKALEISFDLSRLAPRRSRAYILVMVLISTFLLAVSEVAERSEGRRYTLESF NFLQRATREARQAVAMDVAQHCDQTRVDVPTPESNTFPVLSNRNDSHFQYQLLNLAMT CDTVFGLTRAANALHNLLLENSGILEERIITCSRSMVEEAAEFADNDVFELATILAAI PSVNFHLNMDLIQDAARAVYVAAGLRRDLDEALKICSLALTKKE JR316_0004994 MHELGSKAKHSRVLSTKNQLPRKQPVSQPPKPPLAPIQNEQSTL FSTPPAPSHISPPVSTPNNGPSPGRMRTNAEGPRLIPVDPVRATPHLLAKIPHGARHV RRFIIVVVVVLYRGSHQAVYPTPNVPPLQHAGDEIVLKEDEDDGVDGVELDTDMEMEM GMGRDEDEEQEEQEEQDEEEEEEREEVQEEEEEEEEYDPDHDHGHAAERYRYSGSLVM RPRKRSSEELDDEHERERGRERGSEERVPCRGTYHNDNHNHNDTYSLHNHQRIRVHAP LSPSSESPRSRRRSGSVYYSSSADAEEGDRDVVFSEVGDGDVDVDVHVDGDGDDISGD EFLDVASEWLDDAKKAILKGKKNLDKWFHDGREFIKRDNLLYEYITHPEFQKYDLRVT EPKLCDPTVKQLSGYLDIAEDKHGE JR316_0004995 MVRPQGVVRVRDDGIVWARWDVLNDRRVLVVAYPSALQIWDTYD LKAIREVVRLRFDASAPSGTQTQWSALFALGREPMGTVKGQVAGHGVGGRIGSGRDGF TIRVAHAVILPATSRRAKAATRDKDVFEDERPLLGVLLEAEAAEEDGNAGVESVTEVV FVVYSLRTHRVVKHVPLSGVPDFGSRAGTFDVSKDFVVLSTKSPPTLHILSSATFRRL HTIHSTSLEAFTRPPRLPPPTPHGSEMNVDVAGINTATGGPPSVHADAATGKSRTGAF SSGLSLSIASNALYAPGSRSSTPASVNPSGNAEQADGSASVPVVYPSPVFALSGRLLA YASPVPGRSTGASGGDAGSMSPGGVGGVGSSPSPSSFLGVGTGITSGISKASRRLSSS SSASVHSNAPSSASSSSAPFGLSAISGIGASIPRTQAEVGHAALRVGESVVSGMRFLG GMAVDAARSRVGAGVGGGVPVRQGTPVGAGRAGGSVSGGGNGRYISRSAPDNAEDVDD SAAAQALRERRYSANAPAAVGSVPSFSSYVSSHAVAPHTTGVVEHGHYVTVLDLAPLL DSNSSGVDGSGLDLESTVKNIHDVDVVYNDELVDDMRPIRTPMKIDEFNASRSQPVAG LCFAQDGTSVGVITRDGHRVKVFRLRPVPSVVRLGERNTSSELVEETGLQETPHGPRA AQVYDLYRGRTSAVVEGVYWAKDGRWVAVGTRNRTVHVFATNPYGGETDLRSHMEGRV RNVDVIPLVMLLDPPYTTVPPSIK JR316_0004996 MPDLHIPVDKATHYFNLLMVVPIFIGGILCIVLTFLGDIMAPIE KARDWLYNRCQKILPEKIRENLYERLRDRRLRRNVETGSHVDESSVHVEDHSDRQSPT SSVPRFRQNRRAHSRSLLPTTYPVDPYDA JR316_0004997 MDMLHSQAFSTTPQAVKQAQKTILQEAKSEEKYVKNILKDLSHT EKIEHKAEKEASKAEIALESSKKQEQQALKDVYRAENRRNAIVAEIVQIRDEIEVADK LESSLEELEKKEQAALKDVYRAENKYHVTIANVEQARQDYEISSRNYDATRTALHRKT SYADDVLAKHDENTDSRTNFTC JR316_0004998 MLLPESTLFFLCDVQTKFKPAIHGYQHVVATAGKMITLATLLEI PVICTTQNAKALGPTDPAIDLQSLGPLLLGTFDKTLFSMVVPEVQDILASRQVSSIVI FGIESHVCVLQTVLSLLSLGKYTVYIVADGVSSCNSFEVPIALDRMRTEGAKIGTSES IAFQLMRDASSPKFKAFSKFIKEVKESTKVSGTALLQGRVSPSPSRDAEVASGGVVIK SAM JR316_0004999 MDFAVPDDAAARNRFETFKLGKGLPSAKHGHSRSHSRNISFSFP KSASVNDISAFSFPAAPSPTLPSSPSHSALTNTVPNPIPPAKRNSHHRRRSSVSTRHE SAEMMGVALPDLPVSTSDDNINLGEKDSIRRRALWALEGKPDVAFNKVEIPDISTPDI EKMMFDFSTKSSSYGNASKRDSFKLLGPSSSAKDQLGTLLEEEEEEEEVNPLPSPAPS VQEELPPPNTPITPLTPSLSITKPTPAKPRPSNLNLRPLSLTPDSVVASLPSPSPTPS PRQGLRTLALTPSSSTDDNAADVKQSRRNSLVVSPTPSSKRPVLNLSLENMDKSLATT EEEPKPSRRSSISYKKSHNSVTMNIAGLPTPEMTPTFGRRYSTTESLSSVSNDDEVFP NPPTQTRPLSASEQHFLFKSHNALLARITDLERALSMRRRESGGYSNGGSSRPASVAS NFSSSSAGTSGVNGSQAGEPSDEMLNLIADLKSERDELKRDVDGWRTRVGDMENQMSV LTKRIEHERRDAWVARSRVGLLEVEKGVLAKKVEALDELLAIHDKEKGDLENQVGSLL KENSDSKQRITELEAQVESLRLELANERSKNVKESDPLVTPTPRSFDSFKRPGMGLPN KKHGLGFASVDSESSVTDVEQDSSDDCIPVSKFPLKSVQEESDEVDEDGVAGYDDEDD EDNGLAGYEDEEDTDMSLQSSSSFDSEEDLPRSIAHLHNSGLPSSPTTPRPQVFTPPR SNHARRATLSKTWTFPFGCQPQTPPKVEEDETVDRFFGCLDDGESDTTGSVPSSPSAY SYEKSKGLFASGFKFASADDNASFFLPDGVGTLADSQDGSDKEDKALSVVAEEDEEET TSETEATGMDSIDGEDLFGEEIGGIRITFTPPQEEPVVEERKQIQLVSPIKRTSPPPI LPALNFGIEEDDEDEEEQDDIRRVIPFNFGRPSREERQASPPPPVTVSAPVISAPLPP LARSASPSMIPRPASPSSSSSLPRLVTSRPVVATSVPSSVPESTPLKASPSRVVSASS TSYVTPPNKRGGVTPSFIPQPVSSPSPLRTTSASGPSTVTKSRAVPTSTFIRQPTKKP LLPTPSSCKSPNNNTGNSNGSTMIPQIPSIPVNTGIFRRSSNEPAALPATDFFDVSNN NNHSSAQMKSVDLSHESTFTSPSPRPSATATTTTTRIPRSSISSSSNASSSISSIVSS PLSARLSFQTITNFMPLSWTSTLTSASANPPAPLSDVDDDVTIVPAAPAVRRRSGKFV SRELQLRKLQTRMDVEGVLAMRMAVQFQCKKCDGNAVFI JR316_0005000 MPSPTPNLRRSLMKNWFAVEAIPIYVIIGGVLVGASWYVARLAT GPTIIWTKNNPTPWNTIKPDEGTKLMSVNQKFEKSWSRDKL JR316_0005001 MATFRTFDGLPEDGEEDWPIAGPLIPSLGGSMDLPAIVYGAGTF SNQYNPDDHLSGALPLRSVRLALRYGIRAFDTSAYYGPSEIVLGDALHALREEFPRSS YKLMTKCGRYGVQTFDYSPATIRKSVLRSLERLKTDYLDTVYLHDVEFVCTPIAPRIT GNHTVALREEAAAYGLAKGDEAKVQGEGDQKILDAFHELQLMKKEGLIKNIGMTGFPL PTLLRLSILILHTAPFEPLDVLLSYSHLCLQNASFLEFIPHFYERAKVGQLLAASPLS MGLLTPSPPSWHPAPPKLREAVINSGKTWQGDYVNLAVGFSIRQTGSAEKPLPLVIGF SSPREVHEAVKVWREIQDGAEERIQGENRARNVYNELGYLDWSWASP JR316_0005002 MGVDGLIFLDNNGRPIIQSGFRSTTPAYPLLHIDAVNNAISKAP RPEDVDPVVHVSAYNIDDSPGACCHVQCADMRILCPISGNGKTLMSYINVAGANINTT INSGSGLGAAGGAFSSPIPWRKAGLRYASNEIYFDMVEKLKAVVNRNGVSLASTVVGK IETNSRLSGTPDCLLSFTNPQVLADCAFHPCIRLNRWSRDKTFSFVPPDGKFILAEYR YSPNPSTSATGSSAPPTVRDNVPIPFVLKPNFDIEDQTVSFDVTLTSRLNGRSLENLV IELNLGEGASGIKCVAGRGTGGLGRGGIGAMDVVGGSSGASWAFDSRKKVLKWEIINV PPSSSWNLRGSFSTPIVPRPSHALQVKFEIQSYTFSSLKVEQLKIIGETYKPYKGVRG RSIGDVEWRW JR316_0005003 MSDANALKDLGNKAFAAKDYDKAIDLFTQAIALDPKNHVLWSNR SAAKVGKKQYADALADADECIKVNPSWSKGYARKGAALHGARRYDDAIAAYEEGLKLE DSPALRKGLQEVQDAKANAGADEGLGLGKMFSDPNLFGKLAANPRTAKHLADPSFVQK INMIQQNPRLADSALQDPRMIDVLGALMGIDLQATTRPEGSDEMPEGFQKPQATPSSP PPPKASSSKPTQPTPPPPAPAQEDVEMEEDDDEARAKKESEAAKAAGNAAYKQRQFDE AIKNFQLAWDTWPKDLTYLTNLGAAYFEKGDFDKTIETCEKAVESGREIRADYKLIAK ALGRLGSAYQKKGDLDSAIKYYQKSLTEHRTPDILNKLREVERQKAEADRQAYIDPEK SAVAREEGNVKFKAGDFAGAVKDYTESIKRDPADARGYNNRAAAYMKLAALPEALKDA NEAIKVDPKFVKAYIRKSNILHGMREYTKALEAIQEASEIDTEHQHTKEISQVDLKIQ QALYSQRGEESQEQTLERAMRDPEVAGIMNDPVMQQILQQAQSDPQALQDHMKNPVVR SKIQKLVNAGIIRTR JR316_0005004 MSPPIVRHLLRTTPSVAPILNRAVLSVSGSQATQFLNGLLSSSV QDPPRSQYTSFLHAQGRIMYDLFLYSTSNGYLLEFDSRNSEAPPLLSYLKRHVLRSKV KIRNVTEEYDVWAAWGSLKDHEWESERQWNWARSGAVEPVWDSSHEWPWGTHECVIND RRAVNMGRRMLVRKGEPPQDSATHDTVPSDEYTLHRILHGVPEGVVDIPPMHAFPMDC NLDVMGGLDFRKGCYVGQELTVRTYHTGVIRKRIVPVAIHNNQVLRENPLPDDLEIKP VVVNTERQGPRPRGSGKLLSVHKGVGLALMRLEHLSGVERGDLKLEIESLDQANSAKW EVSPWWPNWWPSSRPEMEQ JR316_0005005 MASSCQNLIAALKDCLKYTDCVLKDGRKPSECLKNHFDELPEEC QSLRKATFECKRSMLDMRKRFRGNIVGTQFEFTPRTPPSIPSSDPPATSSPT JR316_0005006 MSTTTDKPITLYTAGTPNGHPVSVILEELKAINPAVDYNVVKID ISKNTQKEDWFIKMNPNGRIPVIVDHARNNFPVFETSAILLYLAQHYDKNHEFWFDAE KDPDNYSELLQWIFFAHGGVGPMQGQSNHFNRYAPEDIPYAKKRYLEETKRLYGVLEI RLKDRDYLAGSGRGKYTVADIKTVTWVRIHKFAGVEDLEAWPAVKAWVARCLERPAVQ TGIQIP JR316_0005007 MLLRALPRICRAAPIDSHLSSPKAFVAVSCRDIHTEPLSIGDPP TPESSVSMSPHPHDPSGSGSTPFSSPSSSSGYSGNSTPPKSPPPASNDEAAPSVPVSG VPTTYMANPSFRSPTYSSPPFHTHAFFTALEKTFPEETARSLMRASRALLVDRIGRVR REGLAVKDLDNQAYLFRAALSELRAEMTMNTKNDSAAIRAATAALRREVDRLDVKMKE DIGNLKHEIQMELDSRKNEAKADLKQQDISIEELLNKAVVSVSDLRTDVEEIKWDIMR KTVVTLSGFVVVIIAMMEFQPSQPKSSSRKSIPPPRPDVNIPTEGLERTEWVT JR316_0005008 MSRPPLNPEKTVAGITVDPQTLERVIPESRRPDGTVRKQIKIRP GFTPQEDVRRFRGTKQAQMDANALPKGHIIGWAPPPTSTAASNSAGKPLSKSAKKNLK RKEKREKEKEDKADVPENWDDDDDDKPTPTTTKESANAEKPAATTTERTSSTPDATAE LSNKLEKLDVK JR316_0005009 MRYAVLITGPAGAGKSTFTRAYLNHLRLQKRTAHLVNLDPAASP TSFEVEPVIDIKDLVSLEDVMTELEYGPNGGLVYCFEYLMQNMDWLEEELGGFEDDYL VFDCPGQIELYTHHPFLPTLVQNLTRLGVRVCATYLIESQFMEDRYKFFSGVLSAMSA MVNLEIPWINIMSKMDLVTTNPDNKSAGARNGLRRRKDIARYLDPDPLLLATRRGQEG NTPNPRFHALNQAIVQLIEDHPLVSFLPLDLTNPDSLETVISHIDFTMQYGEDEEPKE PHDLDEGDFHDME JR316_0005010 MTTYYPSQAGYATTQPMVAQPMVGYPATAGYGQYGMAGSYAQPG GVMVQPQVATTMGTPMVVQPTYATGHSGYGHHYNHFSIGARLRRFFGLAPSNGVRYKS DRGTWGFMGYSRRQRYMDPRTGGEVDRHGRPVYRV JR316_0005011 MVVDPAPLIQLSDPRADEPDAIPQEKHDLSLFRAYQNASEITYS PENALKDGLGMVQTMKKALKHLEFGSKLREEVWKREIMNLEGQGTPKTLIAVCGATGA GKSSILNAILDDNIVPTSGMRACTAVVTELAYHEKSTIDADISFLSEVEWRDELKVLL HDLVDEDGNIKRSTDLKSDAGIAWQKVHAVYPNVDQDDLVKMSVDQILGYDQQISRIL GTTKTISAKDSKSFAKEIGKYIDSKDQKRGSKDKSEKKKEPSLMDQVRKSAGMGREKT KSGAPDADSAALWPLIRQVNVRCRAEALSTGAVLVDLPGVADANAARNNIAKDYMKKC NCIWILAPITRAVDDKTARDLLGDAFKIQLMMGELIRFHASWFSSSYVYDDHAITFIA SKCDDISCSEVIKALRLESDPVLEDIEERLELIMQNTSACKRKKREAEHLVKELKDSL QYIRDQINDDQKHLDAMKAGEPFISTLKRSTKNSNGKKRKNSSGGRKGSSKRRRSTLD NDDEDDEINSESEISESESESESDSSDDSDMDEDHSSDSGYPGSNQDEEDVIEEVTTE VLEQKIMQSKASIRATREALNDARNRKKDAIDELSSLEKSRAKVQREKNAFCSLKRSE FSRDVLKEDFRIGLKDLDDAAAEEKDPLNFNPNVDARDYSAIDLPVFTCSSRDYVRLK GQVKGDGKPICFSNVKDTGIPDLQQWCHQLTIASRERSARSFMAHIQTFAQSVQSYVQ GIGDITAIDRESLRAKWESRKFDEDLAQRSHTAVNNPLQSILGGLGAGLGAGLYPPGQ PVVQIKGHNESGISRRLCIEFAKLVDGCVHDLQTNFKDGLEDKCRIGAANAADAALNT VDEFTSSMHWATYRATLRRHGSWRRDLNAELIQPFTRNIAHSWSQVFESDLFGPFQAS TLAAIRALVKEVEETAATGLKERARLQGNACVEEARIALANTVELVKVAMTSEQKEIS RCMAPHVQAQLVEGYDTAMLERGTGSVARQKAYFRGYLNNCKDDIFDDGANVVMERLT KAADTLGETLDEAMGKLAEKIEVNLAVLWEGARDNPEQLRARQAMLEIVQIIKEQVKI WIEADKIKQQTSKIQDAMEED JR316_0005012 MLGVEMDAKFSPSNASFVLTSERLLAIPKRNLHDYLSAYIRDQR SFIKDYSADYRAEGGILLDTREPNDTLPSTFPVGDTSRDTVFGTPVLKARVATSSEII KPKLNERSRAGSKTLGTTRWVTKAANGNLEGTLDLIDNKQSVSKDYGDTQTTVEFHNR ESDIRKRVVKHTVTRDNNQDDEHIQRLEERRREKRARKVSMLPATGDKYSDDNPSTKR NDDRLKKKNQPKNTQLGFALLHGFSATNVGKNRLTLDPLSRGLGVFKKGKASTKTRVK GKKEGDDSGRLFSEAKFLNSRTSGSRKSPNVDDSRTSSTISSPSIRKYPRKHGNVYHE DVENGKGANSSKHSDEDLAGRRYNPDDSIIWDIEKDSDAMESETNSNDRQGTMLVNYN RHVATATKLKSPKKTASYVSRRSLESSDKPTGREIFPMYAAANELPSLTPSESASQLC FNQRTTHDRSKYFQCIEKLATPAHISSANTNSMLSVGLEGEESGTCNPKDTDGGSPKE IADDMRIFRTQDASSDIILSPTYLSRITCEFGPPEFDLPACCDLKAHTEDFPVFQLSS HGTYDLQDFQLQNILSEDVIDDTFLHPSLGDCLCNPDCLLCSNTNNGKASEAFDAPGY FLSCADHIVDHTPDVEHLHCRFCADPGNMELENYEEESSASNTHPDDYVNHDHSAGKA LCPDKEEHQIEYFQQGRTLLYGHELFETRKLPKLSQLSSVEAEVAGALKLGHWLPHRL JR316_0005013 MITTLEDQKVHEVEKQHDIRETSLDEKFSIDIQDGDEALRLIGA ERTAQFSEEYNAKLRRKLDMLIPPLCAAVYFTQFLDKTSLNYASIMGLPIVGQHYNLV SLAFYLGFLVWEFPTVYISQKTRVAKYLGFNIITWGAILMLHSVGTSFGAFFALRFLL GMCESCVAPSLILIISMFYKKNEQSRRISWFYVMNGMTQIFGGFVAYGVSFYDGKLLA PYKIIYILLGGLAILVGICVLIWLPDSPVHAKFLTKEERIAALERVRDDAGGTENKRL KKYQVIEAVCDIRTWLIVLTTLLTSIPNGALSNFSNIIIRNLGYTSKQTLILSTPGGA VAALMTLFCGWYSDKKGERMVPIVFAIVPTIVGSAMLIGLNNSGKKGVLLFAVYLIGT FGSALSSVYAYNASNTSGHTKKSTINAMTLVAFSIGNIIGTEIFLPKDAPAYLPGKTA IMVLLTVQLAISLLLRRINIRLNIKKVAKIEEEKTRRGWTDADIQRERERHAFADLTD KENIYFVYTA JR316_0005014 MLSRSDFVLACSAFARRHPQWFWADNHRPGYGFLFRTTTHVFKG TQPSTVMLELEGVEELGLEADDIASAHPAPPSLSVQEYIVYSASFNVPAFYFTIHEPN GSPLSLNQILQTTLFKMELPDGAEATTFALSAPSQPFPLLSQGEHPTLGTPCWYFHPC ATDAAVAEFMTEVEQADWNAETRLLRWMELWFMIVGAFRHIHLKYKGGMLLSRFTSSS SRILTPSLARAMSNEVVIVSASRTPVGSFNGALKSFTATQLGTIALKDAIAKANIDAS VIEEVYFGNVVQAGVGQSPARQVALNAGLDITSDATTINKVCASGMKSIILAAQAIKL GDRSVVAAGGMESMSNAPFLIPRQNPTFGKFTAIDSLENDGLWDVYNNFAMGNCGESS AVKHGISRESADSHALESYARAARAWKAGAFDAELVPITIKGKKGDIVVKEDEEYKKV LPEKVPTLRSAFKQGGIITAANSSPLSDGASALILMSASKAEELGLKPLAKVVSWADA GTLPIDFPEAPTKALPIALKKAGLTVDDIAQFELNEAFSVVVRVAEKVCNIDPAKINI NGGAVSHGHAIGNSGSRIIVSLTHSLKSGEYGAAGICNGGGAASALVIQKL JR316_0005015 MAVGKNKRLSKGKKGIKKKVVDPFSRKDWYDIKAPSIFDVRNVG KTFANRSQGLKNANDSLKGRIIELSLADLNKEEEQSFRKIKLRIDDIQGKNCLTNFHG MDFTSDKLRSLVRKWQTLIEAHVDVKTTDGYLLRLFAIGFTKRRPSQVRKTTYAQSSQ IREIRKKMFEIMTREASTCDLKELVQKFLPEAIGREIEKASRSIYPLQNVYVRKAKIL KAPKFDASKLLELHGESTDETGTKIAKEFKEPEILESV JR316_0005016 MADVELIKKCLTAHPDFPKKGIVFLDIFPILRDPFVFETLITHF IQHITSHTIGLSEKKRVDVIVGLDARGFLFGPIIALRLGAAFVPVRKAGKLPGACISA SYEKEYGTDSFEMQAGAITPGQTVVVIDDLIATGGSAKAAGELVAMQGGQTLEYLFVI ELMFLQAASKLNAPVYSIVKTDD JR316_0005017 MKWGSYWPEVLIVVGLLAFNGSRWFHATDASSPLKVSSGWTSQR KLAAREKTRELWYHGFDNYMTFAFPLDELTPLSCSGQGPDWTNVGNYAANDVAGNFSL TLVDVLDTLVVLDDRTGFEKAVKNVIEWVSFDVNTKPQVFETTIRVLGGLLSAHIFAN QTGQPFHLPWYRGELLSLAHDLGKRLLPAFSTPTGLPYARINLRHGIAKGESVETCTA GAGSLILEFATLSRLTGDDRFEKAAYRAFFGIWNRKSDIDLVGNTINTWTGAWTHPET TGIGAGMDSFYEYALKWYIMSGEIEFLDVWDDAYAALMRFSRTKDGHSYRTVNMHSGD AAYNTIDSLSAFWPGLQVLAGDVQNAIKLHMIYYNLWRQHAGLPEVYDTNYKQATSHS YPLRPEFIESTWYLYRATRDPFYLDVGERVLFDLTTRAKVQCGLAGISDLRTNKRDDR MESFALSETLKYLYLLFDEDNPLHSDDSNYVFTTEGHILTLGQQHMKPASSARKRTRK IVNHQCPIYTPFVTTLDHRRKPPGPGLVQGIRSRPDVDYARQLVGLAPSHADQQYWSP DGWCERPKVDPYTYEFILAAPGQNVQEDLSPSLLKLGVQPDGYIIHNVSGIRTQIVQR LDGQGYDIKKLGHYSVRPGQLVYINDSTIFPSGGDSIAQDELHRREPEVNFRLFTVQT DPTAPLPISLLNKGNIDISVPGYTAKFGADLSSYVVFDSVDAVPRIRTAEGVPILRDP ENTHGCDPYDRPYPHSMLIVRRGECTFLEKAVHARDAGAAGVIIISNEEGAINPTANI DELEDAGDLSSVAVVLLPKKAGDAFEDLLILTESMQSTQIMMALQHQLNSESGDLGYI PIEEEEHPKDPNRILYINGHPLINTRLLV JR316_0005018 MASIADISQALGDYMLRGWVLTDQSCPTPGCAVPLLRSPAGRTP ITTICAKCDPTNPPSLPQGVVPASSSNATTESQHSRSSTPPTELSEVPDSPLFVPIEE SEETRRRRAQSDQASSEIGKRLLKGWAMLGDECPNDTCYGVPLVRPPKSGGEKDPRKE CVICGNVYVSGVDWAGTQTLALQETKTATASTDDSVRSQADSSQIRSTPPTTLHQEIH KFSAPLNHQSNDKASLQTQSSVPAVPHSLPVFEHHQKGITPQSDSLYDVTSQSLRTSL RTLTDRLISLTSHPALVEPSAIGSTADAISKVAQALVQMKNLGHV JR316_0005019 MAPLFSFLKNSKTNDRGNHETIPLQSHTFQDMGSIGSKRKLKKP GPNRAATNISNINPVENLGLDTSPLAPKISSHHGDPETRSTRRMQQDLLQRSTFPPPY TPSHVQDEPSNHPQMANSIISGHFFSEGSRYPQFTPSQARSFTVPSVRRTSFPDVQTY DEQIEDESWVNNQTQSSHNLAAQMRDTRISGAPTGATIGQDDTFYNHEPSGEQPVHTW RDETEGGPFGVAMIDENAVRRQNSHYSNHPQQINGTSSRRQASVYSHGSEEFPDIVTR RLRSQQSNQPIASNDFVASRPGSIGSNRSKRVQDNITSRHGSHRSNRPQDLERFTANR QASLRSNHLQDAPEKATSRNGSHRSNQPQHTDGFIPSRHGSIHSNGHQENEKIVTERL GSQRSNSPREIYEIIPSENGSLRSSRSQIDEPGVVLRRLGSQGDDQPQEMHETIHSRQ GSLRSNRPQETNTMFTRLGTQQSLQPEETHDTDSRRNISLRSSRPQELDDVANRRFGS RRSRRAQTMDESTGRRRGSQRSNLPPQSVQAIIASRQRSHWAQPPETIEPDVFERDYG TESEYEPEIYNYIVPAGLNVVFQDEDGNVITRVGRKGIPYEANRPVRSAPIIVHDEFG RELYRTKNLSSPPKVRPDLFNSHVKNRPAWEGYSFGSGGRIGTHDSGQNHRKSPNINR ERAQPIEPPFHDISYRAQINYA JR316_0005020 MADPEKARLLSLLEDHGRSFLSSFASTSGQTKKRKHPPNTQPEQ PADKIAKVHEDEAEEWFGIAQESLSNAYSEESGEEQQDSGSEFEHTDDEFTTSNLAYK TDNKVIVFSEPGPKKKSDEGYDYASKAQMKAFMSSKITKLTGATEPSTFKKDPKEEDE DKTNAQNDALLHKLVHTKLLSGSLNPDLNLPSAKRRKALAGRVLELTGEAKLGKGERA VRNAEKTKASKRVREGLTNKQKERSLQELEEAKNLGNYHPTLKKVFEASTPASKSKSR VKGLKMGVGKFSNGSLRLGREDVDKALGTRGFSYGDSVRGRGGSRSRGKRK JR316_0005021 MPRSRKAPKSSPLSSPSSVKVSATAKPLVDISENEQWRLINQTG ILQSSALNKPRNEDVTDSPEVGPLADEIFNALFLIIPFSSLLLLMEIMENPPP JR316_0005022 MAFNNASIIIALGGSSEFPSTSSRRRARRRVTSDPCLPERLPTT GVIQREAERLAPRAFMNQMLKNSIEETLDTESVIEPQDIEKPRPRSSSSPRSPSIRSF VRSMVGPRLSRVPSSGWADVQPYEIMKAVEDRDIMFLMEVRDKAFPLLLQSSGGETPL VHAIRTGNKDVAIVLLGAFSKWVNYLEDADIQKTQTQTYLKALRVGLKLAINEGLAKS QNELIASFMQTLIMSEGDKWVYAQASTVSRALKAGTEGKPVQVAGEAVRKFATKEMGK ADLIASLEDYIANATADLLMMAAWSNVQQSIIGESMPSYYFARDDRVYKAFIERLHRH KSEIQRSCSRRLKWQIQVLKEVLEGRTVTYRKKVEILSSRLDTGEGI JR316_0005023 MSKIARKSWKGSEATKDSPSPAPTVHDIEHMSSSSRDTPIQDTI GAPSVSDASLGDLSVSSKRTRDDFEKALSNPSIYERPAKFLRISNSDSLRNRLPEIVG AREREEGGLALSHLSLKERILEQSKQENSKENPSILDKDIFTDILIQAIAILPPAKPS ITLLVDVVAWSIEQERERLKRLPESKRLRSRTVLIVADDMSSMDKYASTLENAISDIT VGRYSEESHSPELQTWTELLRKDVVMTSSHLLLHSLLRGALAVTQLNVLIISEAQNIR NQDSHPSMPIVQVMNDFYRITDSWSRPRVFAIVSAPDRHTQFDSKMLKLEQALDSKVF GVSEDKRLEILALPDRPSELVILYDGSRKSTETRLLKQLHQLDPNESIFRRHYRNSRF AHEDIGPCASDLVWRRSLKELEAELILNYDDVDVDDNEYSLEDRLKIQVYRIVKNWAY TMPNMDVSSRGFNVSHKFLRLVQLLNKFKSYGEGFRGIIFGKYLFLVSVNHLFISTTV QRRAIALVLSDLLRTLGDRFLRPQSIIGSNNDLTEAYHVEYKFLSVPLVVLTMMQQDI FHDFATGVCNLLIATKSIEDLDVPKASVVIRYDLFESQVSHAYVRARTRGRESHLVHM VERGNDVHRRILHRITNIDADMLKWTEILCNSAESSIPPESLQETINSYHSDSDDEDA GPHSQFIEDPTTGGRIYVQDATTAIYRYASGVRRIIPNTPLNHALFTFKDIQKEFGIP RAYVCAINLPSTPIDKTFGDTSVSKADARRSACFKACKLLYASGLLDCRLVPLPNRLR AQYDFESRRVIGKDSLPEVKPISGTRPYRRKQPVFWENCSDALSDTLYPTIVSVNGSD NDSEVYAPIVILTKKPLPVMPSFRLFCSGSIVDVHFQKALPLMFDEERLNNTHLFTVR ICRAIMNKALVCPLEDMPYFFLPLPLGWRPIVNNPLEIPDIANAIPWELVAEAAHHWA VPIKRASPEELEADLYDAVVQDRWIEFTRRYKVVQVRKDLTPLSKPSDSRREMSYESL FHFCKSKRKGLETLSDFNQAIIEVSKVPAILNNLNPISKAPVSTAKSPAKYLIPELCA KFTLPASTLWTSMLLPSIMRRINDILLVKELDAKYFDHAIREDLLHNAICAPSAGLEY DYERLELLGDAFLKYLSTVYVFVANPSLNEGALHVARQKIISNKSLLVHSTRVGLPEY ILSKVFAYKTWHPPHFRVFTPPKAPKVTVGSDTNVSQADNQVGPEDITEDAGMDEGAA DIAVGDEMAGVDDMADFVEDVEDAVPSIEKDRVENGDDSVDEDKPSSPPLPNNAPLDE MITEPVVQNGSRTATPPTNVQPLAEPRATKKKGKSKKKKAGNDDSCIQYLGDKAIADV AEAIIGAAYISGGREVALQATKALTIPLSNIDRWSDFGRKVLTPPPNVIAKLPPSSIA AIEQIIGHKFNRPHLLAQAMTHSSIQGYESTSYERLEFIGDAILDFMVIRHIFDRDQQ LAPGALTMLKGAMVSNSALAAVCVWSGLQNHLLFESPILATSIQTYINELKDRQEKEY ALAEQEGRNPGQYWLDIEPPKALSDVVESIVGAIYISDNFSPVGAETLFDNVLKPFYD KHITLHTLSHHPTKILFELCQAQGCQQFEITREKVGNMFCCEVVVHDVVLSSAEDITP FSAGRQASLLALDALEGDADFMTRVCDCRVHTHKKTGKKKDAFEEALARALAAQEEED EITGDAEEQEDRPLEEC JR316_0005024 MSLSILARSSAVRQQALSRGFHASKAARSAGHDDYHHLPFAWPG QKKAAFGAKVAVYLTFGFSIPFIASWYQIQKSAAATD JR316_0005025 MGIFTRKPDLKLSNRIDTVTVPPKVRDFTTITPVAHTLTPSELL EDLGVSEHDGLTKNEAASRLQTCGENLLQGKEGVSAWRVLVGQLSNALTLVLIAALAL SFGVEDFIEGAVIAAVIVLNTTQVICLHFSEYRAEKTMDSLRQLSSPTALVIRNGESI PIPAKNVVPGIPGDLVLIKAGDVVPADLRLISVSNLELSEQLLTGESLPVSKNIDTFS ENQLDIPIGDRLNLCYASTVVTKGRGTGITVGTAMNTQIGRIANAISGKTDASGEAIK DDRPWYKRVSNKVLEFLGLRSGTPLQIKLAKLAFVLLFCAIILAIIVFSVARWKVTNE VALYGIAVAIAIIPESLIAVLTLTMAVGTKRMANENVIVRKLDALENLGGVTDICSDK TGTLTLGKMSVRKFWLAGDPQKAVEYVADVTQNALEPVGNVLREDNGSVLDTAFLGDS LSEALRIASLCNVATIHKNLKGEWKSTGDPTEVALQVFATKLKLGRPGLVTGLTEPKE KVTRFAMGKVIENGEKQPHFDEKQPQHLPKRFELKAEFPFSSDLKRMSMIYLDQELDG QALVVIKGASERVLAASTHYVPSPESSPETAPLSEEIRNTFLVKAEELASQGLRVIGL ASRIIPATGIETLTREEVERDFVFRGLAGIFDPPRPETLGAVRACKQAGIVVHMLTGD HVTTAKAIAEAVEIISPDAPPSAVMTATEFDRLTDKEIDALPELPLVIARCAPETKVR MIHAGKRRGKHLSMSGDGVNDSPALKLAPVGIAMGMAGSDVAKDASDLVLTDDNFNSI VVAITEGRRLFTNIQRFIVHLLTTNVAEVALLIIGLCFQDEGQNSVFPLSPIGVLWVN MLTSSPPAFGLGLEAADRNLMRRPPHSLKDGIFTWPVILDCLAYGIIMGGISLASFVI VIYGKGNGDLGHDCNHEVSELCRNVFRARSTTFATLIFAISIYGLELKSFDRSLFSLT PGRHFMKDLLANPVLLWSCLGGMISVVLPIYIPGLNTRVFYQSGIGWEWGVVAGMSVV FLIWCEIWKVLRKPLYRRWTPSLVENLVHDEHDSNEKPRRDV JR316_0005026 MSLTAQIHVPRLNKTLTVPTGLFINNEFVPSVDSKELIHAINPA TEEVLASVVAASPKDIDVAVAAARHAFKTTWGKNVTGFERSRLINKLADLIERDAQEL AELESLNNGKPVKVARDFDIGDSIQCLRYYAGWADKIIGQSLEVDNKSKIAFTRHDPI GVCGQIIPWNYPINMWSWKVAPALAVGCTIVMKPSELTPLTALKLSELVKEAGFPPGV VNTVPSLGPVGGAALSSHPDVDKVAFTGSTITGRKIMEAAAKSNLKKVSLELGGKSPH LIFESADLEQGAFTSVLANKHVLLRVGLIPNWDTVAGILNTIYDKFLEILVSKVKATV VGDGLEEASGGGPVVSKGQYDRVWGYIESGKAEGAVAILGGEKRNSKGYYVDPTIFTN IKPDMRIIFGPVLSVGVFNTEDEAIALANDTSYGLGAGLHSNDANQCMRVSSALEAGT VWVNQYNLLNNNVPFGGKKQSGIGRELGSYALEEYTSVKAVHWNFGEKLDWPL JR316_0005027 MHCCSAKQSTMSTPSNTSSYESPKQRTVSTRSNTGSDDMKRIPM ACTRCRNMKLRCRVPDGDSRCSRCISQKKDCQFVPVSSEQTPMPNPSAPYSQGHFRLQ PIAPSTQLPMSTQLQWNDAYTSALYPNYVAHGHGPSYDYTHTRYQGHYNEQQSQLGTH TGTAIPMQMDYPNQDMFSNGQMSTTVAQVNLEFDPTISQYVQSTPNGATWPHAMTMYT AMPEEPEYYAFYNPQGQTH JR316_0005028 MASADGGAYSYSLTVFSPSGKLVQIEHALAAVSQGTTSLGIKAT NGIVIATEKKTSSILIDDSVIEKVATICPNIGIVYSGMGPDFRILVTKARKSAQAYWK IYGEYPPTRVLTQEIATVMQQATQSGGVRPYGVSLLVAGWDSHRGPSLYQVDPSGSFW AWKASAIGKNMINAKTFLEKRYNDDISLEDAIHTALLTLKEGFEGQMTEKTIEIGVVT VPTPAELEEGKIGGETGRPKPTFRKLTEEEVRDYLAL JR316_0005029 MPSLRLGNIAPDFEAQTTAGPIKFHDWIGDSWAILFSHPGDFTP VCTTELGEVARRSEDFKKRNVKVIGISANGLDEHEKWVKDINSYGANVGPTDVQFPII ADPDRKISTLYDMLDEQDATNRDAKGLPFTIRTVFVIDPKKTIRLTLAYPASTGRNFD EIIRVVDSLQIGDKHRVTTPVNWKKGDDVIVHPSVTNDEAKTLFPEFSQHLPYLRTTP LKVD JR316_0005030 MDPRKARDPRLARADPRLQQPQATQPTPMAPSVSYNAQYNATSA QQWDANPNNASSADTTLQFQATAHTGSDIAAPQTNNEAQPTSSTSSTSMYKQRPLFCV VCASNQNRSMEGHHVLSKAGFRVISSGTGSAVRLPGPSIDKPNIYPFGTAYNAIYEEL NAKDPRLYTANGLLPMLDRNRNIKLAPERWQDSRTVADIVITCEERCFDAVCDDLLTR GGEFNKPVHIINMEIKDNHEEALIAGKAMIDLAAAIEAAEDIDESIDKILEVQQEKHP HSLLHAVAFY JR316_0005031 MPFKNITNEFREVLQERESSIPDAKRRKVSKQPKNETDKPSVDK TYIAEAYNILNHINTLTRMLANVRTAYLSIDSRISPLSRQGSRNIDLSEGGSSWANVR HLSNEERDQIDLQARVILTRCSDRVKEMEAIEKRRAELVASKTNPLTRLLPARLRQDE STLSSDVIAAHHSGITWYLSRRLAEASQIQKELQEERVKRQLERTKTLGSGAAQEALT MYSRVRTQPSNNQPTANKPPGSWLENTIAATIGVSAPPESSRKVAAYTPAESSYVSEE DDDDDIELSASQILQFETENANILKGVQDTLESVQQAESRLMDISALQMELVTHLTRQ TELTDQLYEDAITTTSTVEKGNEQLREAKRRAKDGRLFILVFLIGASLSLLFLHFY JR316_0005032 MSVQPLARLVAFVKKYASYALNRYRKLHLYGKIFLWLVVFFDLC LLALIIVITPAKIAQVSHDKAILLASTRFGWLALGGLMVCVSFPPLIGHTTLITLCGF AYGMKGFYISSTASVLGSALAFVSLRFLFSNRLQAWSSKNKKWQALEAVVTAKGLPLI ILIRISPFPPWVYSNSLFASIQAVKLWQFVVATLFIFPKVFLHTFIGSKLAELSDGEQ RDHMDTRTKILDGVFIGVSIVIALFTGWTVYNSVQSHIRHLEGFSPEVDELAAEAIED YDEEAPLLSPQQT JR316_0005033 MEKFSAYRDPGTGIQPFLTPVPPLGAEFVAKVTLPVRYILAVVR TALVLTLALIYIILVKGLFLVFYPIPPLYRTIDNLFTYVIGRTALFTLGLFWINVEQF NRKRVRGPKLVEKCSPKAADVIVSNWASWIDVVWLAIRFNPIFVLPVPVSLPESTVAS RASTPVSHTPGRRTGTGSANIQVASRTTTLRVPISGFRQLSLLSMIIHTGGVPPYGSM ECRPLEDIRRSASRPIVVFPECTTSNGRGLLRFADVFRQTVPVKKFQVFVVSIRYDPP TNLAPTLTHTMPSRIFNPLPHIFSLGTALSSAQMSIRLLAPSESPSSQLFMVHEVLSD YAGEDQLSETCAHLISQMGKLKRMGLGWEEKYHFLDFYKGKSK JR316_0005034 MPVDKTLKLKGTDLIHGDSAFAASEVAPSISVSTTFRALNPLEQ ASTGLTDVDFRNPTRHGYSRYTQQVSTRAEHILSRINIITKVPPQALVFYRPKRIAIR GGYHGCHATIAVYQKSQDVKVDLIDLDADYRPGDLCWLETPLNPTGESRDIQYYADKI HKVGGNLLVDSTFGPPPLQYPFRFGADCVLHSGTKYFGGHSDLLAGVLVVKTREDWNT LHTDRTYLGSMMGSLESWLLLRSLRTLHLRVPRQSASATVIAQWLNSIAKTQVGETYD GVPGGIISKVWHSSLQGKDDRGFDPAKQMQGGWNATFAVLFSKPDYALKFPHVTKYFV PATSLGGVESLVEHRIQSDPSADPRLIRLSIGVEDVDDLKADIKQALQVVATTKAKL JR316_0005035 MPVDPSHNPLTRRTNRKLTSDEEIDSRRARGEISCAECRRLKLK CDKKLPCSSCVRRGCPSICPNGSLSTGQGTRFVLADTSQLHTKIADMGQRIRQLEDAL AIFQSGVSNEPHPLLREELLSIKFGPEKGHVPEKEAPVRKDSIEPPIDAFGTMTIGES GEGKYFGPSAGSEAGADLEQLETGVEDETYIPISREIARLSASFPFGAQDNIDKPLDF MLEHLPPEPRAWSLCETYMEQATWAFRPIRRDELIDDMLSPVYKVVKDRSLLSTSPVS AHKLAALYFVFSAGALVDLTLEPYSQEAETYYHLGRACLSLRSVLDSPEISTVQAILL MAFYHANAGNRYTMDSAWALTSLGAKLAQGLGLHRDSSRWQMDPKIVQRRRGLFWELF SHELFYSFALGRPPSIRLSYVDCEFPDDDEATLDAQGNTLVGLMRWKYEFSKEIFASV IELSLTAQAPQYSTILELDRKLREKTFFPHLNAFISPEDEEITPSVYMKRCLLGQYRS ISKHYFNTCLLQLLILNAKALLYLHRSFFAQAMLDHPVNPLQSPYSPSFLAAYRCASG VIKSSLNHYDRFPELCGRWWGIWTHCELMWKQIIVGCIVTRSPSSSMAASAFIELGLA CDLFEKGATHSRRARSGLAILYKMREKAFQVYSQFRSGNIAPNRTLSVGKPDYGDDEL ALFGGQTRVLVSKLLSKYMKTRKQSQSSSSSAPASSVSSPSSEESRVTPSVDLSREVH PSLVEYLAMYPPSNAPSRHSPGNDGSVNNLASNPNINPASSTSIDQSAYRSTSNSVDQ SSYQSWHPPSLFTPLAPETFTNIASEFQPFTSTNQFDLNRHAPPVETKAGPTDTSLVD LGMMMTGESGIDEQWMSFMRDSGFLQADSSGHPVYTTSPPTYNSSAPTNLYQL JR316_0005036 MRPLRVTVAFFFAPILAFAGQATSARAALSESRYSRAHSLGDNY SFDPRDGWQSVNVTNLEYKYRRNTSNGDRSHSISDKKSTAHPKSGLGTTISNVVKGVF QGLKGFGKPEPVTITWYTGHDLENPSCWANGKWAPTDESFACALTMEGWTTRPKCFKF LELCKTSKKCVFVRVVDTCAGCAAGSKHVDLTKAAFGQLANFDEGILTVQLRAATEPE GWYEKLWGPKVDDKD JR316_0005037 MVQSYLKHGPTQAFGVVCSSSSNSVFDGKLAYVPALEDVLVWDV KKGQMLSMWHETGHREEVTCIVQSPQPDSFAVGYADGSIRLWSASTASVLTTFNGHKK SVTSLAFDKTGTRLASGSQDTDIILWDVVGEAGLYRLRGHRDQITAIQFLSTSEDQPS TSTSTAPALLVTASKDTFMKLWDLTTQHCVQTVVAHRSEIWSLAINQEQNLIFTGSGE GELKVWKFDREALAKGLKETETGEVAKMIEPVSSLPLASKHRVSQIQFHPVQPYFAVQ SHDRSVEIFRIRTAGEVRKKQARRKRRLEEKKRQEMTKVDGVKMNAEDNNEGVDMESN IVDFITPHLVVRASGKIRSFDFRSIQSSSKGVAQILVALSSNALEVYNIPHPTKSKDE PPEATRNFVVDLPGHRADVRTLCLSSDDQILASGSNGSVKIWNMKTTQCIRTIECGYA ICSTFLPGDRHIAVGTKSGEILIYDIASSTLIETIKAHTATVWSMHVRSDGEALVTGS ADKDVKFWSIEQKDATEESVQSGKLLSLTHIRTLKMADDVLAVRYSPNSKFLAVALLD STVKVFYQDSLKFFLSLYGHKLPVLSMDISDDSKLIVTCSADKNVKIWGLDFGDCHKS IFAHEESVMQVAFEKNSHYFWTVGKDKLLKYWDGDKFEGIQKLEGHHGEIWALTLSHH GNFVVTGSHDKSIRVWEKLDEPLFLEEEREKELEKLYESGIADALNRVDGPVGSGVEG DTANGSMEAEASAVSKQTTETLMAGEKIMEAIELADTERETFREYEQAMAKLSEDDAM RMQPPARNPLLAAYDLEPEAYVLRVVEKVPSTALQDALLVLPFDKVVSLMVYLNIWAQ KGWNITLVSRIIFFLLKTHHHQIVANRIMRTTLIPLRTHLRLALQKQKDVISYNLAAL HFIRRKNDSERTAQFYEEENMDEEKVKAMIAEGKKRKRVNLKAFS JR316_0005038 MMLYPAGPKAATRFLDFVNASPTPFHAVYNASIRLENAGFQKIR EKDEWEKTIQPGGKYYFTRNQSALIAFTLPQKWKQGAGLSIVATHVDSPNLKIRPISK RTKSPYLQVGVETYGGGIWHSWLDRDLSVAGRVVVSDSDGNFTSKLVKVDRPILRIPT LAIHLDRNVNDNFKFNQETEFVPILGLIESQFNSAPAKSDPASGQEQKPSVKAASSIQ ENHHPALLSLLGKELSVAPEKIHDFELSLYDTQLATLGGINNEFIFSPRMDNLVSSFC AVEALADSANSQYFPTLEGNVNCIALFNHEEIGSVSTSGAESSLIPSLLNRLSPTPGS LAQSITHSFLISADMGHAVHPNYYSKHEENHKPVMNGGIVIKTNAKQRYATDAISTFI VKQLVERKGGKVQEFEVRNDIPCGSTVGPMLSKIGIRTVDVGNAMLSMHSIRETAGSN DVQSAIDLFSAFFEGFSTLDATLTMD JR316_0005039 MLRRQIRERRQYVYAKSLEAQERQTYERKQQLKESLASGKALPT ELKKSAKSLGKDLMFDEAQAGASLSRLLLLALLTQSRTEPTTHIDNEYSRAGVQDPKI VITTSRDPSSKLLQFSKELRLVFPNSHRINRGNYVVKELADACRANDVSDLIVVHEHR GIPDALIVSHFPHGPTVYFTLNNVALRHDISTYKNTTVSEQYPHLIFENFSSTLGERI RDVLKFLFPVPKEDSKRVMTFANQDDFVSFRHHVFVKTGREVQLAEVGPRFDMRPYEI RQGTIEQTEAEREWVLSHYTRTAKKRSVLSDSRFSEPPTKKVRR JR316_0005040 MSFFRSSGNTNTPPPNPPYNRIPQATDDYTQRSARRPPPPSTQF SPPPNAYNDPSSSLFEKGGYSRKPPPSRSGGSYGVAASPSDALALTNCLIVHPSDFNP GTHVLVNGSYALTVRHDNTGKLQPGVIGASAMQRQWIGLSLTGDQASVEPLPSPPHPA APSFLQSIDLEVGFLRRGHEIAEQFSADDMAKNFVKAFGGIVMSTNELVVFEYHGQNL KATVKGVSVLDIADEQRKGPITNQRTPGHENMGILFEKTDVTFMKAPDSLIKIKSSAK KAPANAILAPSFKFEDMGIGGLDTEFSEIFRRAFASRVFPPGLVEKLGIQHVKGIILH GPPGTGKTLIARQIGKMLNAREPKIVNGPEILSKYVGASEENIRKLFADAEKEYKEKG DESGLHIIIFDELDAIFKQRGSTNNGTGVGDTVVNQLLSKMDGVDQLNNILIIGMTNR LDMIDEALLRPGRLEVHMEISLPDEHGRYQILNIHTAKMRSNGVMDTDVNLLELAQQT KNFSGAEIGGLIKSATSFAFNRHVKVGTMAGISDDVENLRVNRDDFMRALDEVHPAFG VSEEELQQVIQNGIIHYDPVVDELLKSGLLFVEQVRSSSRTPLVSILLHGPPGSGKTA LGASIAQASEYPFIKLISPDNMVGFSESQKVTAITKVFSDSYKSPLSVVVVDNIERLI DWTPMGARFSNAILQTLLVLFNRRPPKGRRLLIIATSSLRPILSDLGLSETFDSELRV PPVSSLRALEHVVHEVELFPSEQHQRRAMRMLEDAGFGGRDDLEPKLQIGIKKLLSII EMARQEPESVAERLTSALLELRGA JR316_0005041 MSVLNDDSLPSTLPDVDQPSCQLLGPTALIVQALMGLLVILSLV YKRHRETPKRPWRIWRQILGQAFVHGANLLVSDLVSSHTSSNACVSYFLNILIDTTFG VALIYVTLHALTNLFTEKLHMKGFESGVYGTPPSFEYWARQAAIYVLALTTMKVVVIT ILIFFPGIYVAGEWLLSWTWSDDGDSLQVVFVMGIFPILMNVLQFWLIDSIVKASAAG SVALDVEQGNYQDREPLFNAADDDDEHSERFNADATRTSRHSLSSLESGGSRENVSFG TETTTTTLPDERKSAGSSSRHTVDVHEYPPSLSSSFSSNASAPTSSKVPREAKNLQKK SKRRQPLSPLPLHSTKNVLHSSSHTKSTIPATPSPPVEPSVNIQDRGEWPDVWDESNE WDQPNDHKQISLTHEWSNSQAKRTNP JR316_0005042 MSETHPPPGLEPATGINGALPVATNGTFSLQNILSENHDEIEHP GTECTESGTGWDEEDAEKPLVDGFCVECEDQPAEVLCETCTDIYCEVCYAAQHRKGSR KQHVVKPLSIKKAKMKGSTKESEPSEKMKVDGIENADSDDEEWERVSSTIIEVQGAQP AVGAHVGEWFVERAKFIPLRLTLPERKYLRLLEAALTVSEYTDKIDTLGFGLSKAKRI VFQIRELCAIMSGLMISADYKRGQELFTDRDFQANAEFYQTVFELGRRHKIMNPDKMR TTYGKLIYMLQDSQMPEVKDLLNFSCVMPIKTVYVVLEEHDATDLLRDDLITVATKEI YSEGRPRRDIQKDIKNKERAIETLSSRYARNGLTQEKVKQCLYSIGDNHAFLRANRDP CERMINYLKEYFHPTQAKEPHTSLAIRSGKGGARLSHDHSKQYAYVLQSLTLWREILH DMFHLWSLAEQDLLSENVPYRLRDTGQGLNRVQAAPKTSRMMHTILHKAQKSVGTWIG SSVIHMGDHNVPNALLFIDKYTQIYRILLPICNTLSQIPTLSTKPAIHSYIDAEFGSV DNCIREILGDFFRHGFDGSGADNFFDAGSCIDGRLTSAWNWCSSLEKKRYFPIFLLSG FIGFDGEW JR316_0005043 MANSTDSISIFAEGTFEEQILELLSYIARNRNDEDRTAFVAPFQ NALKSGEGKKPIEEDENRRKLILSKLLVEIRGLGDGSDKEIEGFFNLVFAHIFSLYPF DSPEVKQYLDAILNTISGSPSERLSVKYRVLSNLFNSLPKSSPLRLSVYRTILQIATS KDNLNALELTKSDVQKWLSEWEITNEEKSVFLKAIVDAYVQAEELTVAYEYSLQYVQT LAPSSEEAKVAALDVIATALRLPGVFDFDPLFKLDAVINVKDHELFSLLQVFLNGGLP EFNAWQSKHSPILEKYQIPTIELERKIRLLTLASLAFSHIGQNLSYSRIAEGLQVDLS EVEKWVIDVIRAGLVWGKLSQTTQSLHISRATSRSFEREQWQALEKRLVAWKSGLAGI LDVVVTAKRQGGQIAA JR316_0005044 MRVLLAFATNILFSTTFAFALHPAANAFRTKEEVLPPHGWVNQG KPSPDHFISLRIGLPQSNFPSLEMHLYEVSDPEHERYGQYLSKEEVEALITPPAESLQ SVDEWLSGLGISEGDLTRSPAKDWIMVTLPVSRVEQMLDTTYYVWEHITSGDRLVRTT SYSLPAHIHGHIEVIQPTTLFGRFKAQRSTIFNIEEAPPKLFESTASEAATIITDKAS NVVVDASCNTTITISCLQQLYNAVGYVPSGNPRNSIGITGYLEEFANIQDLQLFFADQ RPDALNSSFSFFSVKGGLNNQTLSEAGAEANLDVQFAFGLSHPIPATFFSTAGRPPFI PDVKTPTNTNEPYGDWLDFVLSLKNPPLTISTSYGDDEQTVPESFARRTCEQFAQLGA RGVSVLFSSGDSGVGDGVSNPNAPTKCLSNDGKNTTKFLPAFPASVTAVGGTAHIPEV AVARGTFFSGGGFSEYAYPDVSAQSDRYRIFLAGRPVLIGGTSASSPAFAGFVAMLND ARFKAGLGSLGFLNPLLYSFDGAGFNDITVGNNAGCGTTGFNSNFEQATKGWDPGKCT IYLFLKVVR JR316_0005045 MPLEKEYSIAADSTVSSPPRPPVDAPIENKRVIRTYGRPREEPQ GGDADSSGLYTTKFSLARDSVHKTAPPGLLDVIPPSSPCARPDGGQLVEDGDDNESST AGSPKFNFGWKAKLRALDDDDEDDVGDHEQKMSVVSERDDAPSGSAFGQPLLLQATAD TLSSPSTPAERKSLSLLTSVIDSSFNADPFSVPATTPGDSSPHRSFTLSSPSAPRPLV SRGRIRKAILQDSDSEHEPPKDSSSTAASGSSRRKSRSPSTQPTSEDEMTLQNRNKSQ SAGKKKSTQPPRSSVPPLLFTEDPAGEIKKGSDEKKRKKKGPTNKEKVEMVKERTRLA AQVPVAIPRTETTSKYSKDSLFARISAKNQSNQHASTSTLPTSDPIASFSSPMPISMQ NEIPHSHSVRRSKPSIIHRSPSPAHHDSGEDSDLPDIGQVLVADLQGDAKRKNLLLLK QRALEQQKRTIVPDEDDDDLQIMQSPKVVVKEEESHRRAIHKRPSEGRKRQMHLAQIN PSKQAAKHESPIRRRDNGESCLSVLHHAGPIEPKKLNQILAAEVQRNAKQEMERKAAE WQKYGGRTGSNSESIAAPGLTSILQTLAEKGLKAAENSPELVEMDMDEGEDESDEDWD PALRGSASPEPAEEENDDQEEGDENMPPNTETSIGDEFDEGLHVRPTRRLVVNSDSED EDNKENDNELIYDHSEDKENTAVVRHNHPRGAPLSLCSSEEPTSPSLLSVRALGSRSR ETDLDDDLPTSRRRPLKELVSDESPESTQVLSTNLTQSFTAKLQQASPLPNTRSPDPI LNPLFNENSGSGKLGGGFSQFSQGEGDIFGPVLSLQPGFSDLFESTESSTNISNETNL HRTDTLDLTQDVVVVNQLQPAFQASDNLLRKADAIFEKEQEFVVEDAQRKEHKEKKPQ LYVNDHGFLTQTRPTDGSPEIYRAPSYSLTQGSSRAPLRTLSMTSSYPESPTQPSPRR RLRRLSHTPPLTENRDYSPEPPLRRFNAFDVLKGGAERQKKHDNIKTRPDLAAYLENE AAESDDEDAFGFAKPKDADDEETAEDLDATLEELMDDKEMDENTIAPDLVHAKFMEQL EAEDQENEKFHQGVIQGEQRLKRRRGVEVDDSDEESDDDNNERARRAMKKLRKSDRGD IKSLEENEATRAFAEAYNQTLKDDDTEFLYLERETAIDDIDGSRMQMDEEDEEEIEYE EPETISHDEIVRQIRLNKEEGIEEDSGVDPGDVSWLDQDEEEETPRVKTVNSRVRPRA RLQGTSEQSELDGMGAAFRKPANPSTSNSSKQWYAQEHKSRNAGTSRSVGGSAITGHA KAKPKTGTSTVRAGSIAKRAGASSSSTSTGSRSVKAAPSMLSAAISDKSRHFA JR316_0005046 MLALMALSSVAALLFVYIFMPALWMSIMSVDESLDAHESGLALV ASRNPFRETGPPANMAKLILLRHKIQTSNRKRRSSSRQPSRTYTIPTSRASKPVWIRF GKPFGGKQYH JR316_0005047 MSPVLSAATVTTVGLACKAFLSSGLASIQVHGLHILKDALEGPD RDSRRGILTVCNHISTLDDPVTWGVLPVRYYLSSRTTRWALGASDIMFTNPVFSTFFS LGQTLETFRGKGIYQDAVDTAIQKLNEGQWVHLYGEGKVNQPKDYRRDKLGRVCIQRF KWGVGRILMETEIPPIVIPMWITGFDQLMPEGRPFPNKYLPRIGARMSVTFGQPVPTE KLEEALDVSPRDSDVISSATAGSNEQLKGWLGEAAALRSEQEQIYTSLVRQKVTAIIH RAVEDLGRSILPPLLKEPISIQ JR316_0005048 MSKIASTDSSESRQRTHTHLRIQDLEEGSIEPKHSPGNLLSAGA ESRRSRLSSSRLQELGQELGEAFKFQENKEELVKFWDQFTRKGKRNIGVLESLNAIFW SSWLNIFLFFIPVAWVSHFLHWNDTLTFSLCFFAIVPLERLFDYGGEQMAFYLGKELG DLVVVTLNNAVEATLAIILLKKCELILLKSTIIGVVILHLLLVPGTAFVIGGARIIQQ DLHPHSTQLNHSLLTLGVLSLLIPASFFSAISGALDVNNKAAVVTAVDDNTRHSFLQM SRGLAVLLLFVYLCSRIYLHNPPGDSNDLTRVTVSEAPEEFKEHVAHLRNDDPEVNQW VCMLMLAICIAFMATTAEYLVESIDFVQKSHRIKVEWFGLILLPFVSFAADGTVAVVY FVRYMFRHIFHEPTPPTTLAKGEAIDLSIQFTLFWMPLLVLIGWWTDKPLSLLFETFE VAVLLGSCFLVNYVTADSKTNWAEGIAMVAFYLMIAVCSWFYPGQVEIKLLSTCNSVQ AAIEEFAATGLVE JR316_0005049 MDVVNNVRECLKTWASGGDSKLRHFLVQPHSNPFDPDCLDTLTT AYHTLLCATLVTWAPPYPLSPTALAEFILSVLRALPSSSNVSPKHPTPASIFGDHLVD MIWAVDLELDELLNEARLSVAEAADWKLASKDVASVLNKAKRAQANAEIDKQRIPSIV MKFLEHGIITPNHCRERLESTVLASVGLIQDKTTQDKKEIRTRTGLFYKQNKFNLLRE QSEGYSKLIVELTSSLGPPHSPQSGRPTESYSLIEDRARPVWEKVISLIGYFDLDPNR ALDIILDVLSQHLTTHYTFFLALLSFSPWSGSYRRPIPDEEEMDTKVELPEGVYKGKS LDEVLTTAELHSRPASTTPPKKSGARVLAQVLGFKFSYYQAPDVSETAPLNLYLTAAI LIREGFITMEDLYPHLAPKDEDMDNLRQEYLADVKARIAGAKNSLLAMAAPLESASSS QPKPIVSSQPEPKQSETKSRNQKVGLLTSLLAVGAIKPAIAMLTKFQWLVDSNTEIAD LIIRIMKVSIEPLYDSLLKKQRAESFTKPRARFGPAGLAYPGPRKPVLTLSAPTPPST STTDFVFFFPDWADRVPLCRSYADLVDVIEPLLRFIGLHVSRDPLFLSKFLRIGRLHL TSIISTEPAGKKAQLEPVEQHPVRAFWFMISRQYLLPALSLIRGNAVLTVDVWSIIRT FPTEARWELYGEWKLGMYQSHSELRIRQVQADRESKGLLRRLSHNTIDSLSGPVAKLT HSNPCIFLSNAVNQIMAYDNLASVVIQALRYVTNMGFDVLVFIVLGALADPNKERVKR DGVNISDWLQSLASFTGMLFKRYSADLTPVVKYIVHQLHNGQTTEIIVLRELVWKMAG IEPLPSLSESQMAAMAGGPALRIEAIASATRGARLEVDSMKAPARLGTTLMDSKLALP LLIQVAQQRQACVYKAPDAHLKSLAGLYDATHGVLLQYLELLTSPSVIPSEKYADEIL PSLRELGQDYGICAPICMQILRPVLNAKLLKIALAMQEQERIANEEAEKRLKAALTAK REPSVSQSRVASPAIGNTLTVTPETPEAKLAVVEEPETAMEVDSTATPTATLATSPPK EESPWVPELAALFDDVKSIAPGNAYEVLGPGFYLTFWQLSIYDLSPPSSKYDEEGSRL RALSRQEDSKLAAAERSADRAKRLTAAAHRARRDRYTSFVHTLAQEFKEQTISRAFTI KRLAKEKQHWFTNSSKSPLLINSFIENCVQPRCLLSPMDADFCAQFIKVLHLQGTPGF YTLGCYDRLIGDHVKVILFSCSEYEARNYGRFLLGILRDLLSWHLDESIYTQENRMKV GGKTIVLPGFHPVYKSGGVNEIMKWTKFHTIFRKWHRRLTQTGEFMHVYNAIIVMKEI LDVFPMASVNEHSGSNINAAIDRFLETEERGDLKILARSYSASLKRKEADWALPKQPS QTATPNGTSSPRPPVPASTSASIPEKPRNTPVPTGPSAQSVAARNVPQPPASAPSAPR SQIANQNSNISSTKLAMDSIPRPEVVKRVRHDNKVTDVPKASPDVVMTSSTDSVQSND RKSVPSPAERPRDEPFVPVRPNITEHPRPPPREPQNSSHGGAPNNYPHGPRFHPPEPS QLRSDAPVMPPPVVPSQTASAQELRETARQTISKSDRTEPRSQNGSAAPSPRHRSPSP ASRPGTRNPSTESRTSRNSGGKSRSDSGRADRNVDDRRERDSRPETREPTGPVGRRDS LTHTRTERGGRDRSGRDGDKDRDGDRDKDRGRDRHGSDRDREKDRDRDRDRDRDRDRE RDRERDRDRDRHRRDDKDRDRDVRKDRDSGPSRSQAAPSAPVSSSLDDRGLPTRPDLS RNRNAPPVEENPGNKRRRSEDEPSDRNSKRGARKERDDRSRRPSDKEDRNRDSDRRRK ERDGLDSDIRTSSSDKPNEKRPEGPQGKPVANTPSAPRAMGTDSSRKSEPMNSRDRHR DNAPPMKDLPPGLSNGPNNQQEGGSRGSGLVSLRSRISDEVPLSFKDAGRDEREIQRK RTLADREPDMNDSGPSHDNSVQASKRPRINPNNRRTFPNPGHGGLARKTLPIDHDKNQ RR JR316_0005050 MSAPIDSSHVALLSDTLQRLQVEQQQSSTEPQTGEDDSQGPPYI PKSPISRDGYGFRKSGVSTPLTTHGVPNDSLPSSHLAQDLLVPDPNGLGWPAKSTVSR LNATPEERDAREKKMASAVRTILECIGEDPNREGLLRTPIRYAQALMWMTRGYEERLA DVINDAVFAEDHDEMVLVRDIDISSLCEHHLVPFTGKIAIAYIPNKLVLGLSKLARIA ETFSRRLQVQERLTKQIAIAVQEAIKPRGVAVVMEATHLCMTMRGVQKPGSLTVTSCM LGCFRTQQKTREEFLTLIKR JR316_0005051 MVFQGLFLMSAFTAGMKLKPKLNPKREERARYISSKGGASIVAR GLSYTYPGSDKPALKNINFSLNAGETLAIVGYNGSGKSTLAKILLRIVDYDKGSLLVN NVNVRNYHPADYHSHLSAVFQGFSKYSSTLKENVGLGNIDKIGYVPAIQEAIHLAEAD KFVESLPHGLKTMLATPGFESMSYPGMMNDGESSKRHDLSGGEWQKVALARAFMRAKE PGVDLLIFDEPTSSLDALAQSHITATLKSISRTPTGERTKTIIYITHSVATARGADKI AMMKDGTITEFGTHEELLSKPDGGYAALYNAAQGNPSL JR316_0005052 MKFGKQIQAQQVPGWSSYYLDYKFLKKIISSLAANRPASEAAAL ALGVRPHDTLIQLSPQPISQTIRPSPAASPAGSPGQPPFFAASGHDLERGPDFRAHKA AFFFKLERELEKINAFYLQKEAEVTLRMETLLSKRRAAAMRGIPDTSGNITASHVEWS AVEEGFRLLERDLGKLQLISELADTVATCLLNITDLSSGLKFEGPGASDIFAQQILTE ITPPTGPFRDLESNFHKALAANDAAALIDCVHYSDLLAQQSGGLGNVTRILWNIIIEA PPDLTDLILATLTSPFDFQFIDDINGRTCLHEAAIAGAQRMVSLCIENNVPVDKCDVY GRTALHYAAMRGHAHVCDQLLDASASPNILDRDNYSPLVYATLKGDVGCVRVLFEKGH VQAQPPMPSGDFSPLSLAAQAGHVDVVVLLLEHGATSMPNSNGEYPIHLAARQGHDAI CRLLLHLDGWDTPDKYHEWTPLFHAARYGHAECVQILVSGNCRVSLKDELGHYASHYA AWYGHQSCLEILLSVTKDTPNLTQFPVGHSRSPWSDGGSVGDFEIDQIPSLSLPPPIM PHRVYGHNYLVNSHFVQVSIGRSTNKTNGSSGVRLHHRLISPFFKDEYLLSTTPLKLV MTAGPHVNSAPYTISLPQNSDEGSFSFQIASLDHLALEFSVYPNFGTKTIGRAVALPG MFQDIKNNQFFTLPIVDNRLHVIGEVDFEINIITPFKGVTLEVGGDLETYWKSTAVAA GNLLAPLRTPRRPNHIGSVHASPVYNASNATSQTLAISSLQGNYLYIVIQVTRDHHPV VFFDWLLPGINFELGVADVTLAQFEALARSVGKDMDGIPPGTSIDWNKYLPPAMISLD KLLKLLSPTVNVLFDLAYPSEITTKSLNLHRLNLNEFVGSVLQTIFNVSDPLETQLPR RKIAFMSFSPDVCSALNWKQPNYPVFFGTVCGKNPIDNPSQTACGSGNDDKRVSSVGA AVEFAKANNLLGIFVETQLLNKR JR316_0005053 MEFFNDNGIDPLKPSLFELLAQEQLRDLLQPALKYVLAVFAQRY PRYLLRVVNRHEEFYALVMLIVERHYLRSHNASFAENFYGLKRRRRPFIETERAKAAV GGIPSGEKLRSQEIWRSLLFLVGVPYLRAKAQDYFEELGGGVGSDILDEGLDARQVQA LTDQSFKGRFRRVFKAAYPYLNTSLELWLLLWNIAYLFDKKPSYRPWLSWIGVDIRRL GIEDFRAASLAAQKKISPDTKRTLTTRLKQLLLRSPRLLLDSLRLLLPTAIFFIKFLE WWYSPGSPARALSVSPQGPAIPPPSMLPPHPQGIPFDKTAYGICPICKKAINNATALP SGYVFCYRCAYDQVEKHEKCPVTLLPARVWQLRKVLI JR316_0005054 MFRNTYDSDNTVFSPQGRLHQVEYALEAVKQGSAAIGLRSKTHA VLLALKRSTGELASYQQKMFRIDDHVGIAIAGLTSDARVLSNFMRQQAMSSKMVFNRP IPVNRLVSSIADKAQVNTQEYGRRPYGVGFLVIGQDNSGPHLYEFSPSGNSYEYYAMS IGARSQSAKTYLEKHYEKFADCSFEDLVRHGLHALRETLQQDKELNVNNTSIGIIGPK SEHEKSETPGGAFRILENEAVEPFLQTMRSKEELKEEERQALDASKAEEATGTAAPAA DDDVQMSG JR316_0005055 MTCSPSESPGGAVEAVAEVAELHVAVVDNQERRIERTWGDRRRR ERKFMAARGRLAEARPLQQSLPAVDWSLTDACRRSFYSGESVPDWIPGFATPSTPGPI MLLGVSRSAIRFNALAGFRTCTRATQTRAISTTSRVQWSTPLTTLGAVSRRPATGLTT SVRFLHCNKPWQQAKATKATEPPAPLPILPSPAVGRWLMASSVLVLGIIVVGGVTRLT ESGLSITEWRPITGILPPLSHDDWIVEFDKYKATPEFKLMNHSISLEDFKSIFYMEWG HRVLGRLIGLVFVGPLVYFAVRKQIPSSMTLRMSGLALLIGAQGALGWYMVKSGLEDS LMETPGAVPRVSQYRLASHLGMAFLLYLGMFGTGMAVIKDYKYANGAKWSGSSGRINR ILSNPLLKSFKRQSWAITCLVFLTALSGAFVAGLDAGLLYNEFPLMGGRLAPPADELF SPAYAKNEDKSDTWWRNIFENPTTVQFDHRVLATTTYFATAALYLQTFRPALRAALPP LTLVATKAAFAMANIQVALGISTLLYLVPVSLAAAHQAGSVMLLSAMAHLLITMRRPG AAARAWRTLKQAATNSKKT JR316_0005056 MLQLDSSLPENEFFRDEILDSRDPLRHLMDDSELEGIIASDGLA RPSGSLSELLTVLPQQSQSVHDGLLTLSFAHTWPSIPSPISTSLVVDAAPGCGGLAWP AGQILASYLVQRGPDYIRGKNILELGSGTGLVGLVVGALRDAKTWITDQAPLLPIMKQ NVSLNKLEDKVIVAELNWGSPIPADIPRPDIILAADCVYFEPAFPLLVQTLNDLSDSK NEIYFCYKKRRKADKRFFGMLKKHFTWKEARVLDDPNRQIYNREAITLIQLFKIN JR316_0005057 MTVPDLHQVTFVWPHPEPESVIVTGTFDEVCKTDVNEPATSQLI SSQWSGSTPLTKTATGFEGTAGIPWGQKIKYKFIVDGEWVTHEDQPTETDPGGFVNNV YTAPSKPVDEATVAPTASDSDSIPVKDEAEPTENGHATNANGAALAEVAPPADLSAQV QPVESEPTKPDVAEASPTEEDKVVSEASDKPTNTSATDSQSSADPPSTESTPDDVASG VGAADNTAVVVEPVNTQKISIPIPNVDDAFNVPEDSQTAPNTAAPQSALSTNTVYYDA ESPLSPVAPIVPILIVPVNAAENNTVAPSEQEVVPAPVAEVAVAETAVPEPGLEAATP VAEELAAEKVAVSPEPGVPAVEVVPEPAPQTAASSEEAAKVEETPVEKAVEVPMPTDS AVESEADATPTATEEASTIVESQPEVTEEVVPEPEAVKEVDTVATEPESEADASTKTS EPVAVADSDPVAKEAEPVTTLEETKPEPAAAAEEAKPEPVVAVEEAKPEPVVAVEEPE PAVAVEEVKPDPTTSEKAASESADIGSKEVDTAVAEEVVAPAPVEAGPTETISEHETP VVATEAPVVPAVEETIAPVSEEQAAPSEDVAPTVEDSASPVETGEPSAPADVVAEVKA EEPTSSEAAPTTDIAVEEPIPKVTAEEPIPQEEPEVKVEEPVTSEPEPAPVVEATPEV EADEVAVTATSKPEPEPTPVPAQLPVPGLLASAAIQNHLAEIKANEETVAAAAPESSE PAVEEASEEKKGTDQVDSAAGVIADKEEVLTPTVIEVPEPTPVAETKPEVVPAEPVSA PEAAETKEEVAIPVVEETVVPPVDAVVAEHSSAAPPVVEETVVPPAIVHAVDESITTP AIEEPLTATAAAADSPPAVAEVSASEAKTPAATESKVVEVSSPTPVPEAPVSESVPAP TKVEEPEIVSVPKEDAPAAEVKAEEKPATSNGTPVTPAKVEEPFPSESKDSPPSSNVN TVRKKRTSIFGKIKNLFSSDKEEKKEKK JR316_0005058 MAQRSATSSRASTPVGSPAKGPKKAPSVGTSTPLRKASNDQQNL DMAGLNLNENVDVSSTIAEEPPKMILARDKVLEEAKRLLDAESANGKKGISLVVIGHV DAGKSTLMGRLLYELGKLDEKTRRANERGSDKIGKSSFSWAWGLDGTVEERERGITMD VALQSLETPHRQITILDAPGHKDFIPNMISGASQADCALLVVDATTGEFESGFQKGGQ TREHLLLVRSLGVAQVIVAVNKLDQVEWSRARYEEICEELRPFLIQSGFQPSKTKFVP VGAVQGINLVGRDKVLGAQLSEWYKGPTLVDLLDVLVPPARDIISPLRVPISNISKRQ NLGASVSGRLCGGVVQVGEKLRVLPGDETAIVKGIDIEDQSVPWAASGSNVVLHLTAI DPVHLHTGSVLCPPTDLVPLAVVFTARIIVFDIQVPITAGTSVELYHHSRDVPATTSK LITTIDRATGKAIKSNPRVLTKNTSAEVEITLRGTKVSGSTMTTRGIAIEPFAVNKDM GRILIRRGGETIAAGVVLEIIG JR316_0005059 MPRIADELEDDALSDGGDYDMTPDQQGLHDTNMLASVQAELDQA RMEDGLDQVKLVIGDESQSGFSDNEIRDVLWEYYFDIEKTIEWALEERQRRQQAKERK GDWGDHVNDPSTDNIGGSYQYYQDSHSGNFQILDSEQGMHETETRPRLPSIFLAQQQP GFDNQAYLAVASSPASTRPHRLSTITERTERTEPSMLWRSVQPSVVPTTPRSFVSSNT TSYGKELDREGSLEDHHYLQDPNTSRTSPSGSAIQRLSIYDPPPSNSSTISPPDPLNP PTSSDQISLKDIPSIPDLTSKSSEHIQVQPPTPSQSLPSTTGKAQSKLSKLASSRAST ISSRSESSRSSGTSVMGSIKTYPALRPSALSERPPSSVASSKELPPLPSHISSSSDSS IHDSTSSIVRRAIQTAIKLEAVDKEHTPRASRPQSPVADGDSTRSKTPTPRDSNPAAA SSLLKTSSPSARPLSKLALLAQKKSETASSKSFPYDNTSASSPSRPLSKLAMLAQQTV DASRVPKLPKTTTEYLTPIANGSSVTTAITTSYQSLYSLTDPSKSNVIPKLDIVPIQS PISVVGSPSDIKPSKLALKIKRAGEKTPINSGFPSEDDGAPPISPIFLPGTTRARASP SAFASVLIHDESNSKDRGKDKEARRKNKDKERHGKPPKTSPKHASQTASQTSKVFAFD GPSPDDIVFNARKKATPGSKKASTLSTPKVSEAITKS JR316_0005060 MLSAIRVSAARSFTARSFSSSSRASDLSKLTLIGNLARDPEARL TKNDKEYITYTVAVRNSGPPPGPDGERTRGSTTYHRVLSFNDGSNKYLRTLKKGSRIY CETTFELKEPAPDADPSTPQGQRQIFLRHESLKVISTPKPQEHSEESTEDRSFV JR316_0005061 MFLSRLRQIYARFMAPVEFTTQVMKCDPSNITFLPSSDEPYITS EETSEALKIASHRLVDLAQTVVFPTETVYGLGALALDASAAAKIFATKGRPPDNPLIV HVSSFSMLRSLLPPGYNIPKSYEVLMKHFWPGALTLLFPRNADMVPNIITAGQPSVAI RMPSHPVARALIATANAPLAAPSANTSGKPSPTRAEHVHRDLDGKVSLILDGGACGLG LESTVVDGLHADGNLRVLRPGGVTVEDLERVLRQEFHDIAHIPRVLVHKRDYADEQLE SAPTTPGMKYRHYSPSVPVTLLLTLPSLSGSQPVVKLSDYVASQRRHHVNPETLKIGV LAPTDSKLWNEIDSIKDVEWAHFPLGSLAEPSVIAHNLFDGLLSLEQKGVHLMLIEEV EETKEGLAIMNRVRKAAGDSVRIALL JR316_0005062 MSSPRDIKTEDTLHSRDSHSPIEWPSTPTNHLSIPNIVIPQARM SDFSSEVPVSKVAREARRFEEASYQSERREPFMVNFSRNDSTSPQASITPTTPTTPTH SRGPSPSSSEPSPPKVARPPNAFMIFRSWWLKQNEIPKHVEKRQQALSRVAGQVWGLL EESEKQKWHLKASEIQRKHKEDNPDYKFVPSPRGSRKNKDKSQSLPGDVTTAEDQTKL LRERYTKYAGPSPIPTRKKQAKKSRSVDAPSPSRVSNPSVTGSPLSRSAPTPRSLVAS AGPSPAHGGALLSQPEFPQLHLPPPPTLLNFDGYSQGQFSLADANVEGNKSLESIQYN FGNNDQSMMFAHYDTNGAYQQLSGGLNAGSWTQQSFAGTTPQLEAKLQGLHQQLAGPF SPPAAVLPQNTADTTQIKDFFSFPALENVNFNLGTGNEDAFFNTIFHPDLYAQDQVDA TPNLDLTDAHEIALHLNQVQYNFADDNLFSTFGRLQ JR316_0005063 MSTAKTTTSISVDLIRFETSLPFAEVISRLDVEINKAGSEDIMA QIRAVKNQEEFISTINKYKPNSFLYFFDYPHHRILQYDGVKKPANVSYVVGNPLIAQK ILTHNPLAGFCVPPRLLVAEKPDGPGAIVAYHRPSTLMSVPEGENHPDLQSILDLLDS KLEQLAQTITAVEPTA JR316_0005064 MPTKLKTKSKTVDDLLRQQEEPPRKKRRLSITESLSGDEDSAST SDDEASSSREINDGNYLSDSESDDELQKPEAPSQINVEDRLNLFGKRFTSPKRTVAKP SVPPPVSSFASLGISRPLQSALNSMSIKTPTEVQSACIPVLLAGKDCIGNAKTGSGKT IAFALPILQKLSVDPYGIFALVLTPTRELAFQIAEQFAVLGSSLNVRTSVIVGGMDMM AQALELGNRPHVVIATPGRLVDHLRSSSGEWDLSRVKFLVLDEADRLLTETFSSDLGY LFNVLPKERQTCLFTATLTPSIETLAEAPPRPGKQKPFIHRMKATVETVSTLKQHYIL VPSHVRESYLFYLLCNPPESTLHMRRAPPEPTKKKSNPVKSKAKKAKVDNADDELEQP PPTIIFCAKPKTAAYLTLLLKTLNIRSTALHSRLTQRERLSSLSLFRASVVPVLVSTD VGARGLDIEEVAMVINWDLPSEPEEYTHRVGRTARAGRGGIAISFVTERDEERVLKIE DRIKTKLEEMTLPEDKVLDKLNAVSTAKRLANMELHDSNFGKREEIHKMKYGTRREGE K JR316_0005065 MDPDWESVLQFVSDPLDADYAANKHAVRSPIQVPTPPQSNDGSP VVDDPADAQTLVSVSTTFFPGAQHLPVQPDTVLLSSDNVFFYVHSHLLIAASENGFRG HLPQSPSTSKHGQNPIISLPENSKVLNVILHAVYDMSCAHYSPTFPCLISAVNRLPIY GIRPKSRIAPSMPLFSILLSHAPLYPIELYALAASFDLYDLAVSTSSHLLSFPLASIT DEMAERIGPVYLKRLFFLHFGRSDALKRVLLPPPHPHAPTPLCDFTEQKKLTRAWALA SAYLAWDARPDLSTSTMESALRPLAEHLTCEGCQQALRDRIKNLVVQWSVVKRTI JR316_0005066 MLYGTVTEFCIPSECPVMSAGPRYEYLWEDGVKYKRPTKLAAPD YVDALMNWAQNLLDDESIFPNKIGVAFPRSFRDTVKTIVRRLFRVYAHIYSNHFDHIC ALGIEAHLNTSYRHFFLFINEFDLVDKKELAPLDELNDAILAEDLKAR JR316_0005067 MPSVLFVLTSANKTLTGAQTGWYLPEAAHPYYVLAPHATIDFAA PKGSNPPIDEGSVQAFKDEDSVKFLNDPTVKEKLANAKKLSDVQFKDYDAIFYVGGHG PVIDLPEDKDNIKLIGEFWNAGKIVSAVCHGPAALVGGVDAQGKSIFAGRNFTGFSNE EEKQVGKVADVPFLLEDKITGLGGKYTKADKPWGEKVVVDGKLITGQNPASAVGVGKA ILQALQ JR316_0005068 MNKPTGDYEAKFTSEQNMPVQCNRCKQQFAPGTRLQWMHNKHQG EGRYLCGSCYEYYLSKKTTIRRDNNQGHKSEIAARNVRALPVASAAAHVGRDNAATSE AAIVQKHIAAAQRDERLAPVVAVGRNITQSVAYGSPVTNRPARQQGVSSFGALSGPGS TVVNVGLKAKSLTFPQKDLLNPGYQEAHGFYNEMRQHFAAMAYSSAANAELVVVKVWL SVRVPTKKSPVHIAGLHEAVSNIPVHIGLTDLKRVMYYALLPQFLEWSKGFPLRIDDC VVRNKLWVELIPKQPDVDAISEHFFSFKGRNKLSKIFTPKQGIELYLCITHRLYESII DHITEPKETSHRRNDEMQPSASLHGSIASKAVKRKYWESDNFEADPVEGPSLQHTADE LKNALQLQVPPRKKNMKSLFQTVIEDVSFFKLPPPVSFSDLVKNPDELATQQRPTFPI NDQLLRAAAAAAAGVSRHPRRPAFRFHRLHRRPPARGSWVVSPLPLPPFPALATIDNH QGKAAGSAAAEGEEEDGTAVFAFPFAFACPPTLDWRGIDTKTK JR316_0005069 MPNQHKPLPPEDEIKEYVQFYYDLGQNDCEIQDNMKDHYNTELY GLSVSSIKRLRKKWDLLSTRQQKHTLESIAPAIQEMRTRFPTRGVEMIRKQLRVEFNI RVPRSVVYNYLQMTEPDALKARKARRFKRRRYHAAGVNDTWAQDQHDKWGPRFGLWLH HSADPFTGFLNWLKVWWTNKNPRLIAGYFIDTARKYGAIPLTTQSDPGSENFGVANVQ TLARHRLDPTLVGTLQHRWLWHKANIKPEINWSVFRRDFAPGYEDLFQQGVVSGWYEV TNVVENLVFRWIAIPWLQNELDKWANTKNRTAPRSDRKKILPLGAPLLIRTKPKKFNA LDFKIPVTEDLLDDLENEYAPKDHPVFQLTPPTFDERARKIYEDIGTPEVTMASFWEI YRTMLERFRGEVDEEIEVVLTARVAEEQGIDQEEISLLDGMKDLRQGDKVVGLQEHSD DGNYASFTDTDEE JR316_0005070 MPAVLFILSSADKSLAGKQTGWYLPELAHPYYVLTANGIDIDFA APKGPNPVPDEGSIRDFKDEDSVKFLNDPVVKNKLATAKKLADVSAKDYDGLYIGGGH APVMDLPNDPENNRLIGEFWTTGKPVAAVCHGPAALVNWRSEDGKSLFSGKTVTGLSN TEEAMIDGTGDVPFSLEDKLTELAKSYVKADKPFGEKVVVDGQLITGQNPASSVSIGK ALLKAL JR316_0005071 MHFVGMCPLLARPNQTIVKVLQSRTIMSSSTNQPNTTLYIKNLN DKVNKEELKTQLFALFTTYGKIIDIIASKSQKMRGQAFLVFTDLAGATSAMRACEGMI FYDKPLHIDYAKSKSYATLRAEDPDFVPPTSANASSIVTQNGKRQRDGEPESDRQTKR EKADDSDEEMEIDDEDETPQKNSSAAPTSVPTEVQQPSSRLLCTNLPQEVTDDVLSVL FQQYKGFQKTHVTWSPALNPAGIRVKMAQVMFESAELAITAKDALDGFTLKKGWQMSV VYI JR316_0005072 MAILRDAFRLLIFEPFARWKLFRDLRRRRQAQALEEKKFNGSTN GQAKSNGHIHATNGNGHPNGSVNGNGVTGPTPKELRQLNHKVLRFAEQGWSVVYYTLQ WSFGLYVHYNLPTRMLDPTDLWVAYPHKHIAAPVKIYYLTQNAFYLHQILVINAEARR KDHIQMMSHHIITIFLMVGSYFCDFTRVGCVIMVLMDCCDIFLPLAKMIRYLEISQLL CDMTFGVFMISWLITRHILFNFVIYSTIYVGPQHLQWLWDQDGGHYVTKNVYGVFCVL LVALELIQVGWFWLICKVVWRVLTTKDGATDVRSDDEDDLSEAKED JR316_0005073 MASFMSYFGAGRKDPKQTARDAIVGLRQQLQMIEKKEEYLQRKI EEETKKSRANAVSNKAAALAALKRKKVTESELDRLAGTRLQLEMQVNTLESANLNAET MAAMKKASDALKVIHGNLTIDKVDATMNQVNEQRELANDIAEALANPMYTGIDLDESE LEADLEELQQDVLNERLNEADHAPVHLPPNATRAEEKSRRPAVAEDDEEEQLKQLQAE MAM JR316_0005074 MPTGTTHLLLSLGKSLAQITAELAPVPALFPLVDALCSIIQLCE NVAHNRHAARQLCDRCHILVLAMREYQEKAVSDNITQARNAVLEKLTDIQFKMTEWAN LGRVKSLLNQDIIAKDIAACHTQIDDCINNFHLTSHFEIHEWMAQFQKNQRLDHNELI QSLSQVQETTQQIEIQTTEMNAMMKQMMAMLQKAMGENQQNAATLHKGLSTNLYHYQT QCKELLPDMHLQSGEVKKIGKNPVRKSSSGLLDIYEGLYLGSERVDIKVIHSMNFDEK NKRRFRREAKLWAEIWKRDQGEHIVPFYGFCQMDGEPHPCMISPWQKNGDALTYVKAN DLSVDYFQFVGHIVRGLTILVNDKGQPLLSDFGVSKIIDDASEAPLTQSSGMLDKGRY SAPEMFQGDGVLSAATDIYSLAMTILEIMTHKQPFSHIKHHLKASREAYEGRHPPRPT EPEVSRRGLGDEVWTLLVASWSLVPSKRPSINIYLDYFTKG JR316_0005075 MPSLFPPPLAPNIPEAPSLLVTGKYHPSAPIHLALSSHRAIVLS ASRSDLVSDLQQFNDAFLNTESGKGSTSELSSRIEIFYPPSPAHLCLLLSLLRVANPE VDATEHTPVPQKTVQPIPPSTIVLHELSRYLWNEENANTSRSSWMLSSYLNLLNRLFL CISNLKKSPLDLPPSLVLFDSKLGQLKLLETNENVLCESLTVIERLFSCVAIFEEDSS YIPSSQSSERDTEEGLRKQVRYYCPHKTGPVEIQIDRWTEMTRDFIHGDRLPERWFKW EQQDP JR316_0005076 MSVSVDDLVSCLSSNHIGQEANDIAVLQAQLAQTLFRDSSALDI QHEPQTPCSPRGRKLKVRACTTPTARTPSVSSNQGWGMAIDTSRSRRNSVSSSSLENL EEDEKMVEDLLIPATSLSDSLSDSHMGSVFLTYQDSQSSQANTNVEVFLPGYTSHNNF SDPFPSSPTTSLFTATDPFYLAQLESLNSPAAHPRSVFAQSACLNANSPFALSLQSDQ PCLSTQSSGLAGNHPSMTFGAF JR316_0005077 MSDSAIHAVAGAAGGIVAMTATYPLIFLSTRAAVETKKEQKSTY QAIIDIIKREGVLGLYSGLDSSLLGIAVTNGVYYYFYERSREAILRTRTGGSKALSTL ESMLTGLIAGSATTIISNPIWVIQTSQAVRTMGSDGNTTVTKKLGFLETVQSILAKDG IGALWRGIGPALVLVINPIIQYTAFEQLKNFLITRRTAKLRAAAGAATAVAVLTDMDF FVLGALSKLVATSITYPYIVVKSRLQAGSANALRYKSSIDGLLTILKEEGIEGLYKGI GSKIVQSVLTAAILFAGQRRIFEITKASLQPLIKA JR316_0005078 MSEVEVQQLVEMGATHAQARAALKQYKDVMQAAERFFEGKFDHI KDEDVEMSSSRASPARDKVPRPATPSDDEDMDDAEDQDEDEDDDDDGGDYVDYDSDFG EMNGITATSGAGNVDVDPYSGIFFSKDRKEEVIEIDEIPETIVIHETNESVPLVTQGQ WMKGCPEGGEQSFLFSLYSQLSTDATPCPHDCGASISRNKGDFFALHANFTTYIDRLR SRVTKICPRCHSKMCLACGEPVSIDHEKHAASREDPLFHCSNLQGVILGVGLIMLDQL YSQQVLDSDDLGVRTAKKRKPDGSNVDGDDEDSMYYGPGKKAKSSSGIGYDGDATEDN TGQLKALANQRSKDEKISKLMSSIRVYLPNLNREGGGRTSDYLVHPTALAHLRRRFNH ICSSLLRNDSLADMSDRSVLYFELLEWLETVSNHEALASMMAMPIMVVASVKSVVAKN NQARERTIVYEGSSGPRELLEGIAIQARAALKGLEGNKVVEPTPEELTEEQKRMTVDA KEKAEEEITSITSDENMKLLRFCRRILATVSAIDRSLRDTKGDAFVERLHASLPNISS SADVTQYRVEAGASQDAIEHAYSEWATRVRFEYCDLTVPTAGQDDDVTPHYKFFYDNE ARMLANSDIPKRSLAIAKELAILTTNLPVSWGSSIFLRVDETRVDVIKALITGPEGTP YHNGCYLFDIFLGPSYNQSPPNVKYMTTNGGKYRFNPNLYADGKVCLSLLGTWQGPGW VSGKSTLLQVLISIQSMILCDEPYLNEPGWATGSGTPASQAYSANVRRMVVRTAMLGN LKNPPEPFAEVIQTHFRLKANSIIAQLDEWLSKDDGKSTAPDGAAYSGVTINKADSSG SSNGFAKDIEELKGLLKSLGD JR316_0005079 MVTNPLSARACIDVSTCPDLMPCSCKANEFCVQIARDCNTCPKN QCVLQTPVKQSGGGVSKGALAGGVIGAIVFLGLVIAFFLHRRKAALVRKHRSVPREVK KEVPASAETVLNRPDPAEKVQAELRTSTSNTIDLDPHAEASTSHPPHGFRPPINPFDD NNSIQTAGTGGTNVIPIALVPADANRLSSAHTDSQTSSSSYPSRPPRSPELNLNLEHV NVSHENVRNGYSQSTRSGISGISSRNSYMSNASYSSDFLNEAPMIMTSSKGNVRQVLG VMKAEVISAGSLSANNSSDNLKPPAYSRPSVKSPLASTSFGPSDMLKEADESQEVNNP FNDNMSTNADYAASPAASTTTYGRLSTQTNQVGDANWVPDGPILPWSRSADQSRPSSM STQAGSVIDIASATRVNVGLKTPASANSYRTTMGRLVTPPTGNGGQTLQEQQQLALAH AQAQAQAQGLDRRRISGSSMLSATSTRADSILESFPFVPPSPISDRPIRSPPVSPLGQ QSFTNSSSPLHQHTFTIAPPSPATSQTFAQEGSKAATNNQDETLPAPPNRRTLGLSTG SQLSTMSSGLGSFPFQIESDPLSESKPPPSPFNVPRQRASLDTLALTSDLSSYPLGFD RDSVQPPKK JR316_0005080 MGAAAITGKSTLLQLLAGKKLINTPGAELTIKGQDVFRNSPSGV TFLGTEWAMNPVVRGDIVVSAFLDSVGGYRHKERRDILLDILDIDLDWHMHQISDDAT HIFDGLNNFPTHVAHMRYGSFVTLPTPYNPNPSLGTSSTSTLYNLALQWLKEDRDYRR ELERNGQQITRGARRDQAVPSDSETFYRK JR316_0005081 MKFAISAAILSLAGLVASANVGRAAPCDEAARFGVVTVTPSTFR AGDTIKITTDVSCGVQQFGLIPQFLDYSIEVLPPNNNGFEQPIILARHALPKGALSDS FSVKVPHGFFFNATYTLILNNIHNINGTDGSPVLVRGGTEAVINVIA JR316_0005082 MAPLASGRKTIKRKQVDEDEDAVPPQQELRSNGALEMLSDEEDD GDASSDDGQVDEFPEIIDESDSEDSDYDEEEEEEDDEDEEDENEEEDEEDENEVDESE TDADSDDSLHIFPKAKVVTSKITNQPKTVYPEIEPEYDSDSSTEDTPNRVGNVPMHWY DDMPHIGYDINGKKVLRPARGDELDKFLATVDDSSAWTSAFDKNTQSEKPLTSEELDL IRRLYAGENPDASYDPYEPTTEWFTGKGKEEIMPLSGALQPKRRWVPSKWEKQKVMKI VRAIRQGRILPSKPKSTAKFEPYAIWSEPSSSHPPPLPAPKPPLPTNAESYNPPEEYL PTEEERKAWEAQDPEDRERNFLPQKYSSLRLVPAYDRFVKERFFRQLDLYLAPRVQRV KLNIDPNSLIPKLPSPNSLKPFPNYRSLQFYHSNSRARCVSVSPDGAWVVSGDEGGVV SLWEIIVGREVKRWKFEGKIGSLAWCPRTDVSYFAVGIEDTIHFVIPPNIDPAVLSLT LTVLAPATLPPAPTTPSPVKWLTPPSASRSEDTPILTINLPPSTGLPTHFCWHRRGDY LASVSTGGQQGGVWIHQITRRHSQAPFKKIKGAVQSVLFHPLKPHFFVATQQYVRIYN LSEQKLVKTLMPGIKWISSMDVHPSGDHLIVGGYDRKLCWFDLELSEKPYKVLRYHSR AIRSLQFHPTYPLFASSSDDGSIQIFHSRVYNDLMTDPLIVPLKILRGHTVTDGLGVL QVRWCSKQPWLVSSAADGSVAVWCS JR316_0005083 MVNYGGDEVSALVVDIGSSSVRAGYAGDDTPKAIIPTSYGYIPK LPDTDVDMSDSTQENAPQAENSRFAKIFVGQNGPSVWRSGMEIGNPIVEGLIHDFAPI KPLIDHALSKVMLINPSEHPILVTEPTWNTPANRERMAEIMFEEFNVPAFYIANTGVL NAFAAGKGSALVIDIGQSMASVTPVVDGFVLRKGLSYSPLPKLVHAHARHLLTSPTQI RRGIDLLPHQLIASKAVVDANALPQFTIREDRIAGTTQKWRNWAETREVDEWLQTIAG VLDQGWNDQQAASKPSRQYEFPTGYNTFFGAERFLVGEQYFTHNPQLVASNPNLPKTL PALITEALRACDPELRQVLMGNVVLTGGGSLFSGLADRLSAELTRTFPHTKIHAPGNP IERRYGGWLGGSILASLGTFHQLWISREEWQEHGKAIVGQRCK JR316_0005084 MQAQQYQAQAELLAQAQLQQQIQQQQQLQAQAQSRERRTSLNFN PPATAGPMTNSFDLRAATLSAQMRRANQADQLRAQLGVASATADDQVPMTAALGGRFG SRSTSLSGPGSNNQARFDEHGEPPATPSSTTVISGGTSLGHPASNNGGVVPSKSDSAS NWRRGGNNNSVLSGNRAVSSPSVKITPPPSEERVSPPPGLGLDSVTSAAATASPISAS GPKFRPQPLRFNPAVSQPLPAVTIDNTDEDTDGETQSSGSSVKSSSPTTPRSSSSNEM PLSPREEASKRLFEGLGIGRPVSSTPPSSSSLEAEQQQGDGALAAFGNLSLNNSNTGS VANANTAAAAGHRMVSQPTRQPRGPPSGADELGPKNFATRIRRKAIGGLGMLMGARER REFVEAY JR316_0005085 MSHHLFLLSPSDTPLYSLTHQSTKPVASATSPLAANLPTWSTSA FAGTLTALSGASSATQHTTGGAVRVGGGQDRHVIQMIANAGLDVIEDVMRKDNMMYLK SVDKFNEWTVSAFVTPSNMKFVLLHEGKNDEGIRAFFMEVWELASLLAGLRTGGVRSA SGTAVPHTAAPAGSFNVPRFASQQHPTNIFPEEEEDDYVQELPQPGVFAPRNVPMTAA VDGPNNRFAHHQAARGMNPNSMPFSPGFNPNMVPQQLQMQMIQLEMMRIQAS JR316_0005086 MYPTLRCSSARAHTPLIKFLGKRTYPSTPDAPHPHPAAPAELRQ RIADFIAKHNSPQPTAPSTNIYNDFWEAPSRFWKPRIRQIEEDEMNAIMSLTLPSQSG GASSH JR316_0005087 MSRTSYDRYLTVFSPEGRLYQVEYAFKAISGSGHTAIAVRGKDT SVVITQRKVPDKLLDASTITHLFSITPTIGCVMTGLLADARAQVSRARSEAAEFRYKF GYEITPDALARRMANINQVYTQRAAMRPLGIAMIMIGIDPEFGPQVFKLDPAGYFVGF HATAAGQKQQEAMNNLEKKWKKLDNGRGGDDASKAGKTLSRNEVIEMAIEVMSVVHAT DYKPGEIEIGIVSSSELEDEKVRGQWRVLGEKEVEEHLLAYAEKD JR316_0005088 MKEAVSHQAPKVIKKLQFSLLNAQDTVKISEYEVTHRELYTPTD RLPVKNGVLDRRLGTTDKSAFCETCGQSSVNCVGHYAYIKLVVPVFHIGYFKHTIAIL QAICKTCARVLLEEPDRRSFLKRFRRPVLENMQRQSLSKAVNTMARKVVHCPYCSATN GAVKKAGALKIIHDKFRAKKTADELEKWKQTFSSAVEAQKELGMYINKGVHEDLNPLK VLDLFRRISDEDCELLGMNPKWGRPEEFIWQYISVPPVCIRPSVAQDGASNEDDLTVK LTEIVFTNVLIKQGLAKGAPTPQFMEQWDFLQLSVAMYINSELPGVPPQVGQKPIRGF VQRLKGKQGRFRGNLSGKRVDFSGRTVISPDPNLRIDEVAVPERVAKILTYPERVTAH NIHLLRQAVRNGTDVHPGANYVARGDNKKYLKFGNRAAIADGLAIGDIVERHIVDGDI ILFNRQPSLHKLSIMCHQAKIRPWRTFRLNECVCGPYNADFDGDEMNLHVPQTEEART EALELMNIKHNLVTPRNGEPVIAAIQDFITASFLISRKDTFFDRRQFTQICCYLADAN MEIDIPPPAILKPVRLWTGKQIFNVLMRPNRESKILVNVESKCHKWEEAKGENYPWMK KPAKDLSPNDGWLVIVNSEIMCGVMDKATVGSGKKKSIFGVILRDFGPREAALAMNRL AKLCARWLANYGFSLGINDVIPGPDLRRMKDELVEKAYADCQDLIALAKKGKLENKPG CDQEQTLEAMISSVLSKVREAVGQICMRELSRQNAPLIMATCGSKGSVINVSQMVACV GQQIIAGHRVPDGFQDRSLPHFPKKSKEPPSKGFVRNSFFSGLRATEFLFHAISGREG LVDTAVKTAETGYMQRRLMKALEDLTTQYDLSVRNSTGGVVQFRYGDDGLDPACLEGD PQPLDLDRSLSRVLASGRRDGRGLLPYEVMEYVDRELATPRFVNETSPTYLDVVRKFI FDNVANKMAEIRRNHGMFDALEKEGDWDEDTDLSMGASDADKVAVENASKVTEAQLRN FLNICWEKYVKARIEPGSTVGAVGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIK EIINAAKIISTPIISCKLVTKDSEASARIVKGRLEKTLLGDVAAVFEEAWAPEYTYIG IIIDTEAIRKLQLELTIDDIKWAIVAAKKLKIKQEAITVIPRKNRLRIYVDGDDKYYR LRELKRGLSSVVVKGVSSIQRAVINIKDKDDAKGKKGDKELLVEGYGLQKCMITEGVI GEETSSNHVIEVAQVLGIEAARRTIINEIQYTMKSHDMIIDPRHVMLLGDVMTYKGEV LGITRFGVAKMKDSVLMLASFEKTTDHLFDASAYGKNDSIAGVSESIIMGNPAAMCGT SMPALYSIPPVLKKPRKLLFEDAL JR316_0005089 MDPGASQYEKLLTQCKSNDVDAKVDALTKLQAYLESGSPEFQDP DALISILKASLRTSNLHLTNATLSALPAILPHIITRPSNAFVRSSTPVQNSSSTSSVS PSGTIDSSALRSALVAFLPPGGVIDRLGDKEKAQAKARETLVILGGYAFRVGGTNPLS KSGKGIEPPIAIFERFIKEGGLASKVWKVREQSILTLVHIRRSHHLFPIRPYATLLVD CLEDTDPHVRDCARVSVVELFSGPGVTDAARADLKKEMTKKGVRKTIVESVLSKLLSS STASNTLPSNEESGDGDALNGKKEYIPPSLALQGKRPRVASQNASMSRSVSSTSVKEV SRPPSRANVASPQPPQTPISESSEIQPVYIASARDLENEFAAMLKPFDGKETEHNWAI RDQAIQRVRGMLQGEVHVRYQEQFLAGLKDNFIMWSTKTLASLRTTVALNTCSLYSEL AIALGPLLDPHCEVLLTNLLKMAGFTKKITAQQSQVTVGTIITYTSPQPRLVIPLLWN SLQEKTLQSRAFVVGHLKHYLETHGTRSKAAIDANNLTETLEKSLKKALADPSPAVRE TARLLYWVFHEIWPERAQTIIETLDATARKQVEKVCPIPGGQAALPPTTPKVTKKSSV AAAIAASRAKAKAIATAPPSLRHQATSSSHAAAAPRRETSPNASTRSPPARPGSPLRT STSPPSVNRRVVSASISRTTSAPVVNSASHSRTSSSVSEKMARGPSPSLVDQDSKRRR LSSPLTGGIPGSGSRNSLSNNVLTAAQQSSTKPPVPVALGHLTQTVHEGTKKHSRQSL SRIFGHDDDELLTAQTVPIPEDSDSDNSVNLMSFSHSLHAQTPAKSPPHSIKTQTMSP VSDHHPTGSVSNALSSGSLSDMVTNQMPIVEDALRARAEQAESAAERLLELVEPEDDP MNSHPSIPSSLLKSGNGPVQPNTKPKTKPTPLPVIRGKPVPVTPNHRASVVMRQAALF ADSPARGPQPSLLDVLQTQKQDNGWWLKRKILFSQVAPTKSASSAQEMDNLISQLDNG DADVPALQRLAVICMENAVAEPNSPFMSNGSHPSSPSPTVARSFPALHVDIWEKNKTF ERLFISLMKYLEPTRTEDELSYGLIVVWEMLEGQSTYLEGKEGDIFSMILRVRYCNKL NVLEGTNAIRDTLTSKIDPVYGLTTMHASLRVFHSEPTPVADSEEVKAATYAFGLMAL GKFILRLPAEIAEEELPRLKATLIHSLNDKTSLIVRESAAAAIIAAQLVLRDETHLFA LLDGLADDKKNLLTYLFDKHGARGSGLNGATGMDKLEKEIRRLDTRTSTPLRSAQGS JR316_0005090 MATNTEDTAQSNLIDPSTFVFPQPLASTTVTIEFCDRVLHRATW VQTELFLTFPPPTIGSITLIPLNKDDTAGRFRVWLSTPDTDIAVLVWDRKLEGGFPEL KVLVGAIYFREMCPFMGFLFRNNESEINFNQESLWDIPMFEKNGVVYIATDSIIENMC TFRTLSVKIYDQGPVSKWK JR316_0005091 MVSSETQTQLQQSEDKIKDLHRWTVHVEVRLKTRASARFDTVRN HVHTFIESFGRLFLPSTLDGWEEVPELASSVEMITVCESPCPESSLSMDEMNIQIHVY KPSDGDAFEEFSNSSGNLNDADDTMAASLCELPNRSHEGLWDSLIYADDIKMKLLDYI HATIVFSDANVDFNLVSWNRVVLLHGPPGTGKTSLCRALAQKLAIRLSHRYSNARLLE INSHSLFSKWFSESGKLVQRLFTSITELVEEEDGFVVVLIDEVESLTAARAGAMAGTE PSDGLRVVNALLTQLDKLKQRKNVLIMATSNLVKAIDSAFVDRADILQYIDLPSREAI YEILRSCLCEIMKKGIVASVSVPTLKQVQMYEIVKSTGRSDISEGQDKPRNVGLRLLA LASACRAQGMSGRALRRLPVLALARNIGIGNINIGGPTSSKENQGASVEVWLDGMEKA VKDHAKESEKLI JR316_0005092 MSKASQPELKKFMDKKLFIHLQGGRKVSGVLRGYDLFLNLVIDD AMEESSPAQKHPIGTVYSFRDLANALADKSADPSYVWANYSNLLAQLGSYSLPLEVHQ KVLRHCTPSIHTIKRAQIRELEKGTHNYSPPDVESRFKILIRNIRGSGLSPTLSDYNF IIQQFAAYGHFEGTRSVYQEILRDGLTPDHRTFGFCLRSLAFRLSLPINEEIRDTIKA RIQELFNMYMAEMSKYNVPMTGLNLEVGLRIMKETLNLQGLESILRRGYAIDLSNLDR IPLEYTERKDNPIPFPFSTAALNTTLDILGTLGEVSKMIQAFEVLTQPLQQASQHFFN SFDSDDEGDFGVDVEVSPPFPFPSATPNTTTYSILIRHLCHLGYPSLARHYILDAREK SKQAAIDLQMQVQHHFAKKLPLNTIPAPRIAISRLILLPAMGEANRNKNVGLMKWLTT KVPTIIRKQQNAVDFYQSIFDAIKNPEYHLPAKRLTKKTKFLTSQYPGASPQEKAIAA MGGQPRAPAYSYKELFEADIPDPPPLTPPSESQPFNIGLHILILKRNVAELTELNDTL RYIYGRTIQRVKERLGRRVWAGKDIFLRSEGSRVEVTKEKWKEIVNFQTTEVEEPQGD YTNLLVTE JR316_0005093 MATSATSGTNSTPRWGRSGEPGSAFSGLSRNGRGRGRGGARSGR GGGSGRGGEGGGGGPSSKDSKPDDDHKSNPKTDTLQVPSSAASKPSGSSSHSASPSIP EKSITSSPSSKSAKPPSRRASRAIPSALTTQIQPTDVPAPPASAKPSHSNRRKRSQTG KSNSVIPPKINPPAPNDNLLRPNKPLLGPVPHTAPVKDAPPHMSQKPDIRNDIDALVE RVRAVAMDHNRPSTPGSHIDWAGDDDDSLPDLDDWGVNPATFKAQKADVISPIIVDGL RPLPDLTSQSIPNSPLKQVQNIVTNQDHPAKTEPDKVNTDPSTQDASADSDSHSISKE PEKPVLPKVVEPVAKEKPASPVISNTSKTAPNNMISTSKKPIHPSLPSKPLVASSYVP PKLRPGATPMRNTPYPRSPINPTSSDKKPDSKSDVNSTISKEAPHVESVPEKEVPAPV EQLAANDEPVDKPATPKPPIAPEPEVCAPAEQPKSSSPEQASKAGKAEPVFEGLSASI HAPKAMSDSVSAPANISTYPDLPTPNTSLHGFTHTRAHTVGRPPSFSKSPQGEYIPRF SRSGHSTPRGGGSGGGGGFHDSYHNRTHSTPPAGAMANHLRTPPSSRPVITGDAISRL ARTIGRTGASSSSSSKPPQSVPLAE JR316_0005094 MHPFASYVLRSYYKATGWNEDNLYANITRSSSALLDFSVPHGLH FSVSKAPNALFKTTYAMTAMPSLQGSVGYIFTSCDLNVKSSGNVRFKDMIERFKVYDQ PRRPEPKAEEWLAGENVATRDYLLYGRFFLPTGRLDALYSTRLSPTIQASISAISDPP SSEYPELRGPRADLSNVMINVQHDVGKWCTEYTWSAEDEMWGIRMLHNFGKLGLSTES PSDEVIAKRRGMKRVDEEDAVEGGLKGRVSVGAEIYFSAKERSAGVSTGIRFTTLPDA TPPSFQVPVTGPNTDTSTNTPRAPPSQPPTTITALFNPMLGHMSGAYTARVSRDLALS SRFDFNVYSFESEWAMGVEWWLRRPPTSPSDEDGLDTAASYQEPPSIHSTDVHGVVKA RASTNNDVSLMWEGRLRNMLVSLGVVSDFSSRSKPIKALGLEVAFFSSEE JR316_0005095 MDNTPDGIPPQDISTLSYDEDVAYEAQLPTEAEIAASSLANRIS RSKVYLLSESSATNRPVNGKYVKSRILISELVGFFLLLLVFQRGNTMTMRRNRRIWTR TWTKAHPVYRTNALLLRGSPIEHLPTARIFAYATHFDAHPMGLEWVDDNTCVLVFKSR REALEAFTRLQKSASEEPEEDDCTTARPLPVVLWPPEERINETLGQGQGLKGPIRMRW AKRDDVKKKNAMRESKFYKRHGSMAGKELFNGRDLPPPKRRRHDDYRDDDARRAELDD ELDRFLAEDNSNDERQGEMDSESIEVEDLPPSPPSKMRSDYILKDTSKMRSDYISGDG RTLLERTSLIRVHKSGFDEDEESPTLATRLTIPLRRRGRGRRGVGDRNEYDTLDPKTL ESLSDRLQPQTTEKLEWGPGTSDSTKGDRRRGEIRIYGASNDLSGDRERRRSHRRRER EREGKHTGKQSRGQRLGERPKKTQQELDDELDAFLRAPS JR316_0005096 MSDAGEPSNEPVVNKNKAHRKDKPWDTEDIDQEESSFATLFPKY REKYLREVWSAVTKALEAHGIACTLDLVHGSMSVRTTRKTFDPYMILKARDMIKLMAR GVAINQAVKVLQDDMACDIIKIGNLVRNKERFVKRRQRIIGPDGSTLKAIELLTNCYV LVQGNTVSVMGPFKALKEVRRIVLDCMKNIHPIYRIKELMIRRELAKDPKLAGESWDR FLPQFRKRHLKTSEKTAKKNEKLEAKVEARKAAGIETTAEELARAQAKKKVYTPFPPA QLPRKVDLQLESGEYFLKQSEKEAREIAKRKQQQAETTEKRRAERAEAFIAPAETTEP TVEEKRRHKKRAAMEMEMDDEEPEGIEKSKKRKKRKEKKVDEES JR316_0005097 MPDEKETLADSSTANTTTASVPASQDAPPAYESLNLAASNSNIG QDTWRRGSSLTQQPISLPTAPNNVTTPTPFRPPSSTQRGHTRTLSSSSTKSTTSLKTK KSWFNFKSSSSSTQSSSRTIGEVRSTVCGLVRNLVVGPQEQQFSADSLSPAAQGILQS CAEACTTHSMSLSTILQEKFVESHSPLYWAIVKRPVRPHNSRSTAQTTVSLLDREEVE ESDGGTEPSDLLGALLSHAKPLEASTIAEMRLACLATSDQKTFQRLHLAIPEFSSIPG ADQILLGARHPTDFITVEIGDGTEGAFTATMQIPQFHKRMMVSREIGLEFVARNRLWR FSFLITPDNVWYGPPPGTWCVSISLQEPSPPTWLDAHLVIDTTTIGHVSDEASTALRV KSKQMMEAPRNGLPATQTVVSLDENPAFTSLQYSGSSYIPDDEILRVKLRAKLRKPAQ DID JR316_0005098 MSTFIAFRKAAAALLRPSDARKLPKLVYTSLWSTSIRNISVANR AHPKNNQIPYDVVQLKDADGTLHHAQKLSKILESMDLNTHVLRLIAHDPPIVRKFTYA EDLARKLESKAQKKAVGSKRQLVIKEAHMSWHTAGADFDMKVGKIRDELLKGDVRMTI VFNPKPRVRNPPYPAMIAKADEAVNKVIDVGIEWRERDFNNGILKVYLQSTVKKAKLQ LLTQHEVEEVAHDISERHEKQALRRKRKEEENARAKIRREEEAAKRAKELAESGLFSW ISPL JR316_0005099 MDVNEHKKWMQEAMAMAEEALEAGEVPVGCVFVRDGAIIAKARN RTNELRNATRHAELEAIDSILSDKNLTPEIKQYPLSATKLYVTVEPCIMCASALRQMG IKEVYYGCANDRFGGCGSVLGVNEKLPHPFHPGYSAQGGFCREEAIMVLRRFYITENV NGIFTQSSEIMLSITLIPSIPAPIPKSKANRVLKTEIVPVTLPH JR316_0005100 MSHFSFPPTAQSALPVAIALIPLYIVARIVYRLTWHPLAIIPGP KLAASTSLYRAYYDVVCDGEWSEHLHVLHERYGTVVRVGPNELHFSDPNAYADIYGTG STFPKDEKMYRCFGSSKSVFGQTDFHQAQKHRAALGPLFSRRATLRLEQEIQKHVDHL ISQLLTYQKASKAADLHLALRSVTLDVVTSYCFGSCFNALDYAGFAHPVAISMDATLH LCWLFKHIPILRTLTDNCPQWIGLALMPATKGYYDQANQLGAQIDEILENPQTLTNSE HETMYHYFIEHQGQKHGLPGVKREESQQMTTQEKAQLRLWLLHEGLNLRFAGSETVGN ACTLASFYILKDQFVKKRLVDELVGAWPDVDEPMGYEELEKLPYLTAVIKEALRLSWG TVTPMPRVVGPAGGVVAGLSLPPGTTIAMGNTIMHRNPDIFPDPLQFIPERWLRPDSA ATLDRYLVAFSKGPRACIGINLAWCELYLILGNMFRKLELTDDGHSSLKDLEFREYFI PLYRGHHLHAYVEKRDQ JR316_0005101 MSITSKLAALSVTYPYQVVRSRIQNDAQAAMFPTIPATIKRTWA LEGTRGFFRGLGTNLVRVLPGTGITFVVYENIAWLLRTTAKERERRAQLLNDV JR316_0005102 MAILGLKVSIHPRGDAQTDHSHASTVLPESVVVNLFPPKPYWGM RLDLIYVWLVALVAVLTIVAIERYATALPFSISTAGKTVCSYAHSCFNKPPLIPLGGS CSGSIELNAGEKPIPQPRPGPPIAAELVDSSGGQSVMSNIYANRAMEPDSLPTADFHT EIPQDCTEDFAFRIFQQGARTPCSGLLLVITLVFLGYLGGILVVRLSRKLLRNIIEAS LDNSTCEEIQNIVPGTLLDGVPSDQFLRNASHPLECVFLTPSGPCTIDPRSIRQITVQ EEEQTFGLPSEALGSRGSPEITSLPSCFTSIPRPGRPKSSLKVTFSEDHEVLILPPNT VTRDRNLRRRLSRRVMAAAGSVTDVTPIAKRQ JR316_0005103 MQHTLQKLAGLAFRGVVRPWPSTPRNIIPRARPIIPPIRHQFQA ISTALSSRNAPSPLSSDEANPEHRAESGPSSDIPLTLAAQGRAAAFAIRQLLHEKNVA DAYVIINSIRYADLPKTADKFFGSFTSMKEFDSIALAFSPGVSPRLPCHTLLHGLLRL GMADKASKLASDMVSSGIRVQCRTIEAIYSGLIHASQTQSALKELPSREELESPGILH LDPSKALDKRTGFAIRLLGLVRQSRQRRSSRMFKLLITLCVINGEIILASLLFGVLIR DCQARHAQANEALETPKPTYAHVADICHLADDYLANPPTSYGAKLQYQAGLQALANLA NFLDQQLIQMPNIARLLSSLCHCPRGPDEVWVTGVDGNIIRVQAYSYINGVLDKLIDS LSKVRKKYPIILDSDSCHSLLGYSLNNRYSIPLAAKVWRYMLLMRFTESNFTHAILDR AGEVLDLTQLRTLDNPITVNDLKQIKHTGDNYILDAYLRRLIAEGRHGTIIAALPSLL PGFDKITCRSLRLGFTRSPCHTSDYGPVVFGALLTCLVQAKQFKKAEKLFLWIRVAES HSWQPDENGVVTPWCIPIHIYTNMIHLYESMEVWEKKHGRRVKALQRLRHQSNVVEVD GTIFKMKNEPDRMTPSPRECAMDIYRMVKDAAPAIERKLSQLDQLGLQAKIEKRHLDI PRPDIPFFNAILKFARRPFKGKPQSVYYYHRQFRQTLDTYLATGRLRRAPLPVLLEIA QDLVEAGIPIPLLYRTCLIGRIPTEKFGNADDIWPGIVTIPYDKWRAKGKRNLRLQQH LATAEFSPANILTY JR316_0005104 MAKNWDTYSRQGIDLAHSASSFGFSAAKAGTRLGFSIARGLAAA TVGLTSTVLDIALFGGSTVTRPVFGYAVSTALTIAEQITLAPIHLSEYITSTSLLAAH SSINVLAVIFPGSSDASFSLASFIDLVRREWTVQNADGTVPGNQYGLTQVARAIVGWV SLQGVTQEWQEKRWFKYLREMDVKIAPKTHRTLTHRSSRIRVTSDVIFPGQQGPQIIA ADIGEPEPRSRAQSAFLSRTKSNISLNRHRPSRAGMPVDISPLAPSNEDLKATMRRLS KMVLAGYGGASLLFFGVSTSYFGGSNETKRSTSPPSTSLSASMAREKKLEETQLANAV DAAEAEAAGDGDVPEALGSAQIFLQYSWWDVLLGKHDQEIFEQSTSHSHTAKDKEAAH MRSKKNTMKATAVFGKEHLMPRFWVLTDHGRGQVVLVIRGTMSLNEIAVDLTCEPDVF QPATTPSSSDIDENPVPGQFVFPEISEKEESSSDHKYHVHGGMLRLAKAMGDVGKPVH QAVLQALYNNPEYDLVLCGHSLGAGVAAILGMIWADPKTCLTVRSSGLPIGRRVSVYA FAPPALTDAALSRLSDKLIVSLVYSHDIVSRLSLGSVRDLKNAAMWLCEAESGNAVEG WSAVTARAKKIKNGEGSQDDMDWLVSVRKTLEANMQNADMYPPGRVLWAMREGDLHPS HQLQQISEDKGAARTDKGKLRLFEVLDVEKVFSQIVFARDMLTAHMPHQYDRVLHDLL JR316_0005105 MRISARAQGTIPVPVPMISAPPIIDTTPYSPAGLLAVKIYVFGG LAFMIGVVLTAIGFWIYTVVIRGEYADSPPWLDLHPERMREKEKARIARLKQQKAEKI EAKKRKQEEKFTPPPVRYQQRKDGRNRAYSLPAKPALRKPSDSSSTTLQMRKSSMSSS PTKGKRVSWASSTSTLDVNGCASEKPIEEKTVASNPRRRRSTSQSQTSLPPLPPLPSL YEIIHPLPEAHTYVSPSVELRARRGRQMIAMTTPEIAARWAMPNEPITSNQVDPLDEF KNPC JR316_0005106 MTITAAVLAEICSALPLSGSIYIWAAESAGPKYARFFGFTVAWW SCTAWMTFVAGNCQTTANYIVSQLAVWDVDYPGGVGNDNIKWRSLIWVISEGLLLLAV AINYLPPRVYSLVFRASIFIMMLDFFLCLIWLPIGVSKTYGFRSAHDVFLQTANETGA PPGWNWILSFLFTAGTMTGFDASGHIAEETKNARVIAGKGILSSAIATGVLGFVTAIL FLFCTPSLDVFFATNAPQPFVQLYAVALGKRASIFMTIIAVIGLILNTSIAIVAASRL VFAVARDGVLPMSKWIGQVDEKGQPKHAVTVIYLFAATLLCSILPSQVAFTSLVSAGG VPTIAAYGLIALLRFTLTPNSFKTSYFYLGKYRKLFYLVTILFNALVVSVMLSPFYFP VTAENFNFACVIFGSITIFAVCCWYFTPPEKWLRQEQILQALHSTEDEETSDHHD JR316_0005107 MFGRHFDEKDEILSHITRQSIDVLKTSFKADVSNEEWLLIRGLK SRFGIP JR316_0005108 MAPKGKGKKGKKGDDDFWDKAGEAIAPNNTSHGDAADDDAFSSK PKKTGFSAFSAVGLVDDIPGEDDDDGPAGGLMSLMGSGKARTKDKKKKNKVEIDLDDL EESPAQAESKKPIEVTAEELADEEWGSVKDKKKKDKKGKGKKAKQQDDEEEGKEDEQD SKQMQSVDTAPTAATETPASKEDDEEQNEAGTKVLSKKEKEKLKKEREKAKKKAQAAA KKVAEGGDAAPSPPAVPESTTLAPTQAVAGENNEEDGDDATEAKPDSKKKKKKKAAKK DEEPTPAPSATTKKKGGAIGALKALMEEKKRLEEEARRIQEEERKRIEEEERRAEEEE RKREEEKQRKKDKEKAKRELAKKEGRLLTKKQREEKQMAEIRKQALLASGVQIEGLQQ ASGSVPAATKKVVYGNRKKKGPGAKDQSPTHSRPRSPEPMVARVSTPAAAKETNDAPK SDWEASSDEEPSPPVASNIKDSWDATSEEEEVDERPVAAKTAPKEVPVSKISAPAPKT EKPVSGKIAPSTTVKGLSTKPPAPSAKPPVEESSSEEDSEDSDEDSDSDSDDSSSEDE LTSAQRLAAQKKAEAAARRAKAHQEALAARSKDNLRSPICCILGHVDTGKTKLLDKIR QTNVQEGEAGGITQQIGATYFPVDAIKTKTAVLNRENQQEYKIPGLLIIDTPGHESFT NLRSRGSSLCNIAILVVDIMHGLEAQTLESLRLLRDRKTPFIVALNKIDRIYGWDATP DGAFQESLAKQSRSVQREFEDRVSKTILAFAEEGLNACLYYENKNLGRNVSLVPTSAI TGEGVPDMIMLLVNLTQQRMSDRLMYLSELECTVLEVKVIEGLGTTIDVVLSNGILRE GDKIVVCGLNGPIVTQVRALLTPQPLRELRIKSAYVHHKEVKAALGVKITAPDLEKAI AGSRLLVVGPDDDEDELKEEVMSDLTTLFENIDKSGRGVCVQASTLGSLEALLDFLKS SKIPVSGINIGPVFKRDVMRASTMLEKAKELACILCFDVPIDKEAERLAEEMGIRLFK ADIIYHLFDSFKAYNAEITEAKRRDAAPQAVWPCRLKVIAAFCKRDPIILGVDILDGS LRIGTPLCVVKIDPTTGKKDIIDLGKMYALMKQITD JR316_0005109 MGLLTIIRKNRQKEKEMRLLFLGLDNAGKTTILKKLNGEDISSI SPTLGFNIKTFVHGKFLYFEYLWVYTFPLGTPPLTAKFILLGDVGGQRTLRPYWRNYF EQTDALVWVVDSGDRTRMQDCKDELHSLLTEDRLAGASLLVFANKQDLQGSMTDAEIR DVLDLTSITTHRWRIWPCSAVTGANLVSGLDWVVNDVAGRLYYSTKNPEVQRKTDSAV SLK JR316_0005110 MSSTQSTTCVHFASPSKNGFASSSLLSLSTFSPRSWAVSFARSS ILAVLENAITVGHLTISDSEGTYYYGKYHKGCNDVHLHIVNDNFWFRIMLSGDLGFSE AYMIGDVQVSSLKGAMDIWLQNQSGMEDTLSSTIAKISSAVSSLYNNLLGQTRSQARL NAIASYDQSNELFKAFLSKEMMYSCALWSEAEGGVRGDLEMGPSPGDLETAQLRKIRH VLRAARVKPGHRILEFGSGWGGLAIEAAKSFGCEVDTLTLSIEQKKLAEERIKEAGLE SRIRVHLLDYREIPAEFEKAFDAFVSVEMLEHVGSKYYNLYFKLVDFALKSKNATAVV TASTFPESRYSSYQAEDFMRKYMWPNSCLPSATALITAAQTASQGRFTLEGVENHAAH YPRTLREWGRRLEANLTQDMIAKDYPSLHDQSDYAAFKRKWQYLFAYAGAGFSKGYIT CHMITFIRDNDAPILQCD JR316_0005111 MAEAGDSGNIKVVVRCRPLNSRELARGAKCLIRMQGNQTIIDPP EAGSAQDSKRATERKSMAFSFDKSYWSAGPRDDPGYCSQQTLYDDLGKELLDHGFSGF NACILAYGADKGIIPLTCSELFERVNNKKADDPNLNFTVEVSYIEIYNEKVRDLLNPK NTGNLRVREHPSLGPYVEDLSKLVVGSYDEMMTLMDEGNKARTVAATNMNETSSRSHA VFTLLLTMKKRDEATNLETEKVSRISLVDLAGSERANSTGATGQRLKEGANINKSLTT LGKVISSLAMASQNEGKKGKKGKAEDFVPYRDSVLTWLLKDSLGGNSKTAMIAAIAPA DYEETLSTLRYADQAKKIKNKAVVNEDPNAKLVRELKEELEMLKARVAGATSESTYDP SVPPEKQKVTYQTKDGRIKTVTKAELQEQMEASEKLMESLNETWEEKLQRTQEVQKER EKALEELGITVEKNLVGVHTPKKMPHLVNLNEDPLMSECLIYQLKPGKTMIGRLDSEL PAAIRLSGDNILEEHCYFENTEGKVTIVCMPNSVTFLNGKQLEPGQPHKLRSGFRIIL GDNHVFRFNNPEEVRRQRDRATMKTNMHLSVSAGDIDSENSPRPQSPTSSTDEQFDVD WNFAKREAAFAKLGLDPALDNLPDEDLNKLFEKITKVKTLRDHNSKARPESSLSQVDD IWSETSRPQTSDANTDDTSLYAGPSYTGSPAVDGDLKEVQDQLENRLQEIGGETNEAE DLKIEKEHMEHQLRLVRTQMKRLIEARARGDNDVVGLDFEPVVFSARQLRLIRKVLDR WRAHRSFSMAEIILTNAVMIKEANVISKELRKEVSYNFTIASGGSLAAPTSAVDTIAG LGEFGDVADPILASATQPSVAVKVLDKRHNAIYAWSLDRMQQQMQRMRNLTTYIDRPS YTQHFSSDEPFFDSPPPEYSFIGNALISLATLSRKLSSTSTVPIFCRYTSEAIGSCRV DIKIVNVVLSSKYHSSPPTRSSSPAPGTVPPGSKLTFFLTIDQVRGLSSHDFRGVHLQ VRLSSFVGTSVTAEEVFPSPALDMDVSSLSELKFRRNFSVITTSRVLNHLRQGYAPIE FFAALKPTYLERMERWDELREQKQFIRPNSTSPSPEPRPATLPLMRRSETDFVVEQVH DVVAWLQICELGPEGSYIPVPVLSQGNLDPGVFSLHQGLQRRIVISLSSNSGQQLPWQ EFTKVRIGNVRLLDAKGRIHDSSSKALVTLPLLQDQIVEFKPDGSGTLTGEALWDSSV HDSVLLNRVTASNQRVMVQITWAIAVEICSDPVQFSMDIAVAMQTRDAGPPSKILTFF GSSKILSKTSTLFTVRLSPPLTRSAKDLWRLDTSEKYVRGEESLGIWKPRGISVVEDY SRLITTERRAADVQAIRVILTASPPKPIAQDTLAWRANDLLRKSVSLWQKQFGHRGKI ILSQDPADPEENGTSKQTPDRPVPDGLKLTSETKVVPRSDGSTKKGHLMILTDASQDI WERKWFVLKRPYLHIFAHSNELEETGIISLTGVNVESDPHKESLLGKPNSFTLFTSSN SHALAAPTPKELQSWITKLDPTRLPQT JR316_0005112 MVRKLKYHEQKLLKKVDFLNWKQDANLREIKVMRRYHIQDREDY HKYNKLCGSLRAFAHRVALLPAQDPFRSRMEAQLLSKLYDMGVLNSSAKLSDIENKLT VSAFCRRRLAVFMCMSKMAETVSAAVKFIEQGHVRVGPDAITDPAYLVTRHMEDFVTW VDSSKLKRTIMEYNDELDDFDLL JR316_0005113 MNGPLRQSMHPAPGLVPSTANMLAIGNPPFLQNPAHMVQPSLSQ GMGVPPNMNPSSAPMGILSNGQVPPNVTPRYGMQSNPMMQQHSAQQQRPPVLRQPQNP PHLAPGANPSLQMQMQSAQFPGIMQQQPTPNNAVRRVPSQPQPMPLGAMQNPAAANMG MNVNMSGLGMQSNMPAAMRQPQHPNQQSMRMQQQPPSMQITDMRNPPPNQGMQGMPRA PSTPQVMNSLPQPPSIGSMQHNGMQPPLQQNSFSNGGPMPSQQLSQPLSSSPRPPQNH TPSLSMGTPGPSQTPLSRPRMTPENSFIGYPQFPTNPSRINPNPLNYPNFAPPSTPPV PIDIPQTSPGMIHSQGTPTRSFPTSQQFEIPMDIYPPNFGSMPPPSSVPHPRPPSLTN PHPSPQQQPPNQQQQQASSSQQQQMQPRHQSPTHIDPLNPPHPQRPQSQPQQGPGRPP SQTATHTPRSSQMSLPQGQGLSNMQGPLTAMGRIPTSQQTHVLPVATLPTMPSRPPII SGGVPPLPPSVGPSVPMPNDVTPSSQAALQRTAALNSNNTHSGILRLLQFSNVLSGDA PKLHFWNEVVKEYFTPKAVMKLTLWRDNLRTEAKPFEIGVPILPRFFLVTTQSGVKSM TLTLDGARERPVPPFQGRSFVECMTAVWTYRYTNGYIVMLKGPLTVNAILTQVTPPNS TSQAPQYIWKFDDFTFDANAHEKFISLEYILGNRVIEWTPPSYAASMPGMSSQAQMQQ HHIEEDKRCEEPRVLIDHGSIPGEPVNAFGIPQATMRCLELAESVSSMADLIAFVNDT QLGPLDALKAYANRIRETMAATGGGPMQQSQSQSMNPPLPQTMITNQNTNQNNNTNNG NNNNTGNSNNNTSLGPPYSSYPSTTTIGQSPSVTLYSSAPSSVTNPQPPNPPSSMNSP QNTSSSSSVNSPEKQLKTILQQQQIGTPGQSGSASSPAVSTGGTTNNTPAMSNTSLKR KQGDASSPTVGNSEQQPAKRGARKKGRTVLDAPKKRRRGKLTG JR316_0005114 MSLRQTPTASAMDSSMYNQWVQSPIQDNVPVDLAAIATTNELGE FTTLTDLTAPASVSQSFYPTYAGYYLSSPYTMAYGTPWHSSVPLSNYSSLNGATTSSS TQNQNQNQSQQQQQQQQQPQQPQQQSQSQPSQQQQSQPSQQQQSLVQQQQQQQSPQQQ HQSPQIPHQTVQMQNNTSHMMIDPALTHNGPSSSSMQSSFALSPSFTPSQPQTQQQQA QQTQQQQQMQQQQSPPFYGHLMYASSYYRPQPSAPQGTLSPQALHSPTNSIMSSLIPQ TFLSHQIQSPIQTSPPPQHQQQQQQQQPAQLQPQQRQFQQQVTSAASSSATPTSTDGL QVQGQTPPAQSQGLTTEARESRTRAFEASIRPLLQPNAFSGAQAVNTLTSLIADYGAS EVDAAMRLEILARIRDGAGNHYYRAWSENTTAMDITREWLRASARTGNTTLVDTTMPL LHLIDRLPLTFESLIASKLGKIILKLVKDEPSPAIKDMASNLERRWRTMLNEAEGNVG NAKAPENKGNEDAKTKKRKLADGAQPKPSQASKKAAVGSSSASKPQGMKREPNAVASS SKSSSSSSQSGSSSSGSGAGSSKDAAKADSSFFSAPKQKAKLPSFKKAPPVKKEDSGM GVMGQSTVSATASSSYDAFQEALKSMNRRKDSPAVSTPPSATGSGSGTGPNAGEGGSG GGQPGLGKNGKRKKTVTWANDDQLESIKLIERAVYDDDPVDGTHFAHSLRDLDRGEGA ALHSRLFEETGDWAEPMPLALSTETPERGGQSEEKKTQEEREQTALSAMYMSANQIPD SPAEPTNLMSEDESEQRITHMTVGEMDAVFWAPEPPVLPPNPPAAVHAAPAIPTTGIV PAGQSVAELVGQLGPADPNAMIGITPNVPPVVTPNVDYTSYQTVPPDQLQQLLQQLAV YNVNNMAGAQNGTEGSGWASGSSNPYGVDYGSANGYHEDTDMQQQQQAQHGRGSWGDG RGRGGRGRGRGRGRAEEGFRPYMKRKPCTFFAAGRCKYGDQCDFSHDPNPN JR316_0005115 MSALANFERSRTEAPSLHAVADSLRSCAHIAEHFAKQLADAEYS PELADFINDESAKGKRRAQLSPEEDGVKKRKRNSKPKDPNAPKRPPSSYILFQNEVRK ELKEQHPNLSNQDLLTLIADQWKNMSEEQKAASRVAYNKAMQTAKDQYTEEKKAYDNR TPQEIEAANAAAAAAASLKKAKPRGPKPAAPPAPAVKARSPPAAHQMPPSSPDTSSEE ESDEDESTAPILQRPPDSDSSDDESEPEPVHKKRRAASPQAKAATKVKKEKGMTV JR316_0005116 MSAPPPYETNPDTRTLPDGWISQFDNKFYVNTKANPPVTTWTHP LGPPPPAPQPQYAPPPNPPPVNSGYNGGGPYNNNGPNPGFPSAPGGSGYGYNNNQGGY GGYGGGGYQQQQQQPPYGGGGGGEEAQKQASFWFLSALISHETDGRYYTGLLGSLFGK NKISGGGGGGFGGGGGGYGGGGYPQQYPQQQPQVVYVQQQGRPQKSGGGMGMGGLALA GGAGLLGGVLLADAIDDIGDNDYGGDDFGGGDW JR316_0005117 METVVENQDSRALPEGWVQNYEPSYYVQMNVMPPKVSFTHPADL APAGKQKSASPSSSLVSESRSGTSAAPSPHSSPVPLANVSPQPKGRTSAQELYASYLN KTMPPMINASGLTSLAVASGTSQAQVQAQMDERRFMRTSPPPVSSVNWVGQTSASPPL RAPIPRPVPGSRQLPTPPHSSDESPVTSPAPYLQTNSNTSPNVQTTFGNVSIRNGAND NTSKPTSPLPNDANYQLHRAQSLQTGSSVPDHFQHAHQRSQTFAPGQTRQVGHNPNNV VSNEIPVNGLRQTPALVTHARLPSQSPSFTTVATNPVASTAQSQPNRSRHYTTIATNP VAFEAQPQGNQSTNFTNMATNHVNQMGASPGAVSVGNTGVQSLPTIPFSQTARAMGPL PSPPMSPPNNTSPTFTGTTFANPTFSPQINSTVARPKNQLTNSMGKIATKLAVGAASG LISQATGIPTTVVRSVSNIITDPRVTAMFKRAFSRQNGPIGDNDLQAVLQGHPNANYQ GVIDALIKQQQEFNTIQMQASMAYKPIPQSPVDYPALIAEVQRLQQQARVQAQAAQTQ AQIAAAQAAASQSTLNSQYQSIANQLTQQIAQQGIQAVATNQSAYLPLLQAYLAAQGQ QLPGGGLQQGQQQNVPQAQSQSATQQQVQVHGAPQQQQAGQQQTQAANGTIQPQQHQS PNTFVANVPQKPVQHLSVTGGTSFAPGRPTAIGGGQTAVSSGGQSHPPGVQIHAPVNQ LAGGQPQTSGVPPHVSAGQIHSGAHTSQSAGQHPSNNGHQQQNSPAGGQPQTSPTQQN NGGQGENPYTKLYDQIQDVYQQVQQQQQQQQQPSYTDMSNSLYNGGVQQSPQQQPSFT DMINSAFNGNAQQSQQQPSFTDMINSTLNGGQQQPPLPDMLNSVLNGNGQLQQPSLTD MINSAFNNGGQQPSFTDYLNSALNGGSASTLPVYDTSLLYNDPSSGGSSSGIMDNLVQ SFANTSLGGNGSLQTDTSGLNGFVNGLTQGFSTDQTDPSAFSAGFDLGSLGDSIASSI TNSWSDSS JR316_0005118 METVVENQDSRPLPEGWVQNYEPSYYVQMNVMPPKVSFTHPADL APAGKQKSASPSSSLESDSRSKSSAAPSPHSSPVPLANMSPQPTASSVIWVKDNSASP PLCAPIPQPVPGSRQLPAPPHSSDESHVTLSVLYPSAHANNAPNAQLTLGNMSSGNGA NDNVSKLTPPSLYDVNYQLHQAQALQPWSSLPDHLQHVHQRSQTFAPGQTRQVGHNPN NVVSNEVPACVNGFQQTQALVTHARLPSQPPSFTTVATNPVAATAQSQPDRSRHYTTI ATNPVAFEVQPQGNQSTNFTNMATNHVNQMGASPGAVSVGNTGAPSLPTFLFSQTGRV MGPRPPPLNNTSPTFTGSTFANPTSNLQINSAMTRPNNQLANSMGKIAAKVAIEAASG FVSQATGIPTTVMRSVGNFITDRRLIFMWKGMFEKKKNPIQAKVLQAVLEGDPKANYQ GVIDALIKQQQELNAVQMKASMAYKPIPSHL JR316_0005119 MSNNQYQSIANQLTLQNFQQEIQAAATTQRAYLPVLQQVYLVAQ GHQLQQGQQQNVPQSAAQQQVPVYLAPLQLQASQPQTQAANGAIQPQQHQSPNTFVDN VPQTLVQYSSVTGETTFAPGRLAAIGGGRPAVSSGGQSHPPVNQLAGGQSQIFGVQAN VSAGDIHPGVYTSQIAGHHYSNSGHQQQNSPAGGQPQTSPTQQNNGGQGENLYTKLYD QIQDMYQQVQQQQQQQQQPSDTDMSNLLYNSGVPQIPPQQSLLTDMNNSAFNGSVQQS QQQPSFTDMPNSRNGGQQQPPLPDTMLNSVFYGNGQQQQSSPTDVINSAFNNGGQQLL FTNYLTSPLNAGGSASNPLVYDTWLLYDTPSPGGGSGGTMDNLVQSFANTSLGGDDSF QTDTLGLNGFVNGLTQGFSTDQTDPSAFSAGFDLGSLGDDIASSITNSWSDSS JR316_0005120 MRTTRSSARIALSSSTATSISNPSPALSSRAESIKTEAETPMTS DLEEDLVPVKTRNASARGSKRGAKRFTENDDEEESISVAPAPKRRRSATVTQQVYVAV PTAKIKGKLQKETPKTRGKGKAKDVVSARPSSDSENEPEDSDLEYDEDEAREIDESDE SDSEFRISDADEEDEEIMIDDAVRLSLQTSQANGAGSSSNRVAGPSPAAMLRAAAAER RLARQREAETVENFQELDSDDLDEFSSEDEPLADILSSEGKGKGKELFTSTPTRMISS KKSMTLSELKKLAKERRSAFRNARIANKKEERALVKELGRRLTHAERTSLALRRNHPE LRDVWGDLEKDIPIIQPKKAEQPTNLKLTLLPFQKESLYWMKQQEKGVWSGGMLADEM GMGKTIQIISLFVSDGSKPNLVVAPTVAIMQWRNEIEAHTDGLKVLVWHGSSRESDAT ELAKYDVVLTTYAVLESCFRKQHSGFKRKGLIVKEKSPVHQVDWNRVVLDEAHNIKER STNTAKAAFELKSKFRWCLSGTPLQNRVGELYSLVRFLGGDPFSYYFCKLCECKSLHW TFSDKKSCDDCGHSPMQHTCFWNTEILTPIQKHGMIGPGKNAFKKLKILLDRMMLRRT KLQKADDLGLPPRTVLVRRDYFSPEEKELYLSLFSDAKRQFNTYLDHGTVLNNYSNIF SLLTRMRQMACHPDLVLRSKTNATQFVADVADDTTVCRICNDIAEDAIQAKCRHIFDR ECIKQYLEIANDDNPACPVCHLPLTIDLEAPALVLEENMANVRQGILGRLNLDNWRSS TKIEALVEELSNLRLKDATTKSLVFSQFVNFLDLIAYRLQRAGFNICRLEGTMSPQAR DATIKHFMNNVDVTVFLVSLKAGGVALNLTEASRVYLMDSWWNPAVEYQAMDRIHRLG QRRPVQAIKLVVEDSIESRIVQLQEKKSAMVDATLSADDSAMGRLTPEDLGFLFRL JR316_0005121 MASTSKQYTWEEIAQHNKEGDVWVVIDAKVYDLTKFANMHPGGP NVIYAESVAGKDATQVFFGLHRHEVLLRPQYARLQIGTVQGETEQIKAPVPGALSKVP YGEPTWLSQGYFSPYYSENHRKFQTAVREFFETVVKPESVRCEESGKRISQEVVDKMA ETNIIAMRMGPGKHLKGRTLMGGIVKPEEFDYFHEGIIHAELARVSSRAVVDGLLAGL VIGLPPILNYGNPELYDKVVNETLSGKKFLALAISEAFAGSDVGGLQTTAVRDGDYWI VTGTKKWITNGTFADYFTTGCKTETGFTVILIERGPGVETKPIKTAYSSVAGTAYVTF NKVRVPVANTLGKVGAGMSVILSNFNHERWMVCGTSIAAQRLAVEESLKWSTQRIVFG KPLTSQAVIRAKLATMISRVEACQNWYESITYQMNQMTYAQQSDKLAGPIGLLKQFIS KAGRETAEDATQVFGGRALTVTGMGKFIENYHRTSGFDAILAGAEDVLGDLGVRQALK KMPRDARL JR316_0005122 MFNSFRRAGSRFGTRSLATKPSEYGQPTTISHPHLVQRNDLTPG IPISEYEQRRRKLMDLLPDGSVAVAVSAPIKYMSANIFYKYRQASDFWYLTGFEEPDS AVVLEKNSSQKGYKMTLFSSGRDLAKEKWDGARTSLALSKDLFHADDTMLIDKFSSYL KSLLPKSSHVYVDLPNVTHNTSRKKSKSLLRYLTGTPATEHEDIFESLSGSSRRPLAA QLGKLRAIKSKAEQQIMRAAADISGRAHAKTMRFAEPGKSEGALAAHFEYMCALAGSQ RPAYVPVVASGPNALILHYTANNQIITEDELVLIDAGCEYNGYASDITRTFPACGTFS APQRDLYSAVLSAQKQLIGLCSAQHGYSLQELHRKSCTLLKSELNQIGFNLGNEGDLE RVLYPHYLSHPIGIDLHESSHMDRSAPLQDGMVITIEPGIYVPPTANFPKHFHNMGIR IEDEVLVGAKNATILSVSAPKEIADIEGACQGLLGLEPY JR316_0005123 MQAAIPPQARSKSLRACLLCSVIQLPGDFRKHGCPNCEEILQMK GAPDRISSCTTTQFDGIIAVIDPDNSWVARWQRTAKYVRGMYAVRVSGRIPEDVEMEL ERRNIKYRPRDQSDQD JR316_0005124 MKIAVEGCCHGELDAIYSHIGQLERKNGYKVDVLLICGDFQAVR NHQDLQCMSVPAKYRQLQHFYKYYTGEKQAPVLTLVIGGNHEASNYMWELYHGGWLAP NIYFLGHAGCVRVNGVSIAGASGIFKANDFKYGHYEKVPYDQGSMRSIYHIREYCVRK LSLLSETRIFLSHDWPQSIEHHGDLAGLLHRKTFLRADIEKGALGSPPLMGLLRTLKP EWWFAAHLHTRYEAVVVHEPALASVPGTAPVANPDEIRIEDEEGVEREGEGEGGSGGG GQAAAVSRNPDEILLEDEEETVETPPLPPPRARETKFLALDKCLPRRQFLEVIDIDTP TPSPDEGPVLTFDEEWLAITRAFHPWLSTTRHQPPFPDEADARGIVARERAWVRENVR SLAVSECQSFARTAPGPGHEGGNKFKQRWLSVLDAAPWYTNPQTEAFCRMLDIPNKIN PPPTNV JR316_0005125 MVNSHTTLLNSHNARILCLADIRGKLSALNDLAREHNAAAIIHT GDFGFFQANSLERINDRTLRHLTMYSPLIPAPQRTHLLAPENPPALIRSTVNIELLSE FPLLLSGQLTLSVPVYTVWGACEDVQVLEKFRSGAYSIPNLHVLDEATTRLLDIAGLK LRLFGLGGALVNHKLFDNGDGAATIAGGQGTMWTTALQIGELVDTAQRVFDPSETRLL VSHASPGREGLLSQLALVLKADLTVSAGLHFRYASSYNEFSVQPDFAGFRHKLTLAKE AFDKVWDSVKGQVDAVIDDNQRVLLDKALSVVERIPPALPSSGPGAAAAGEEPAWKNC WNWNLCDAAYGSLVLDVKDGRVSAELKSQGFNFAYRRSATVPATPAAPAAPSLPAQAA PQVKTPPAPSSPVQKQQPNGTPADPKKPQPAPKSKKDKKDKTNPSTPDPVEPDAAPAA PEPAPTPAPAAAPVSGARTPKTGKPPRHPWTIFMRMAPHIQVTEADIREFYNEAKAGI TRVNLPHPFAGKARLAYVEFGDEEAMKLGLEKHAETLKDTTPDVKQATDRESRTAEHQ NQQAFNNASAPNQSPTTQNANTNTNNTNTRGGFGGRGRGRGGPGGSGFAARGLSAAGL TRGGGRGGGQNQQGQQGGTTGNGDAGANKPSTGDTPSATATA JR316_0005126 MLAAILDADLRDRLALPVFADGLLVRHSVFCQLHVYQGLVHPLL LPTPFAPLNPQTLTPGAPITLLPHATPAYFLAVYQGPTAALAAQFADEGVVLAEHRFV IVWIAVQNTHGDHKGLLATYPQELCLVSSIYRPSLSHLPELPPQLHQLSPVLSPPIAR HPLPSVYAFRALTVHRSKPLRSVAAEVGGYVDAVARERERERERLKRERDSPKTPKQP PAPAPVQSFYPSPPQPLPVKASPVPSPSPPPPAPLDPDPPADPWPTFDDYLFDDLGYT FAHPTSTAPSTNIPNPIPSNPFEDAFTDDDFSFFDRPATPATSPPNQPAWTPAPIDTP ISIDNSPPELVRDTTVLSSAAPSPDFHTPLTPNVYLEHSHSPGFDPIPFSDYHRRADD KHANGKFAPRPPDQEGWRRRYDEITDPRIRLVRKLQARQLKSEDHQQPLWQSDDMDVD SPSSSSSSDESDIFQDSRYRGIEVDDIPERSTTPPPAYLPPGAALLRTKFEHRALLAL SVPLRGTSSPAPPASTSNTGLQPPQSLASVPTPVSPAAHAGQEAERLRALAAAAQQVA REAVENPVWARVWRAASGGHGVCHAATALASTRLGGIGEARVSPRDVKLVMKALENVR EQLIQEGHDPAQLKVGLGMEELFGLDSTPIYPLEEPMLSIAKGDVVLDLKPPSLLFWE KLGLCPKGGPKNFDALVMFEDDDTRRVWVDTWVEGLKDVYKAKRYGAMNMAKAPMSID GSPGLIAVRYDATLRKHFANLVASMSVFQSPNPLVVFLVLPFAIMSLASPVLRQILAL CRKLLASNARQIILQLVPEVHVVHGMEPSAPYAYGTQMEWMAASVYNKILVPVDRERT ARAGLIHPGMPHAHRPPEVRRLFMNPSFTLARPPRASITYVRAAHAPLDVVDRYTLLH VGYALSACGRWVVACCTDQRGEAWDSRVWLVAGDADTAEEPKGDGWTWADVIRRVWDF AMAFAKRANVEWRVVFARLGAMEQSEVDAWAVFLRESVVGSRELPPLHHYVVCVVPDA PWMFTPWDERNLPLVVPTTTTRVQATSASVPGVGGVARPVNASKVQEEPQHQQTVFTD VSSATYAVFPKRTLPIFVPPSLDDLGLTRSFVPEDPAGDVDPAPPSSSSSARAPVIPQ ATSILIRVPRATSIPTLSSSSASPMASLSFSSATMIHTHLLHSAHSASYTQHAQTTMS DAGRVPSDDKLLEEVTKGYFDLAVLARVRCGVEVAGGSTRDREGLPFHLAAVDLMRMV LEGCWEASLSSFAGEV JR316_0005127 MAARLLVVAAVANAALAFSNTSPVVAWSSSSSYALDSLPARLDS TYHTVSLLESILSSEDICNHDAVVLVNQPGLHASDLRTLEPSTHIARSIASAHSSRQY PYLPSHHSHANGILSLAESVSSKCGSRLLQYAPGKDKVTLQPNSKHVLCMNMPHLDKS GHSRKTTMAQHESLLGDELSSITSSFPNHLIIFTGSPLGSHSKRQVPDRPILDLSSDS FSSFAPTNTTLPTGGVLKRYQLLTPGLITALLIAFFVLVPVVMMGMKALASIQNPIRV DVSKSYNAQERKNQ JR316_0005128 MLAAATRSARSLRLRPLSNVSRTFTSSTRRREEALRPASLTVFS DEEEMLRDTVKRFAADVVAPKVREMDENENMDPAIIQGLFDQGLMGIETSPDQGGAGC SFTSAIIAIEELAKVDPSVSVLCDVHNTLVNTVIRKYGSKEQQEKWLPQLSESKLGSF CLSETSSGSDAFALKTRAVKDGNSYTLNGSKMWITNSYEAEIFLIFANIDPSKGYKGI TCFLATKDMGVKIAKKEQKLGIRASSTCTLDFDDLKIPEENIIGGEGKGYKIAIEILN EGRIGIAAQMLGLAQGAFDKSVPYTYQRQQFGQPIGTFQGMAFQIAQAAIDIESARLL TYNAARLKEEGKSFTKEAAMAKYWSSVVAQRVSGSAIEWAGGVGFTRETGIEKFWRDS KIGAIYEGTSNIQLQTIAKFIQKEYS JR316_0005129 MLANKCSQVWSCALSGFATTVCLQPFDLLKTRLQQGDGKARLPQ VHSSLILSTTRDIIAKNGLRGLWRGTFPSLVRNVPGVALYMTSLTQLRTFLARTPYFA LVKKYPDGATVNKNGSVLPSLTSQGNLMAGATTRVAVGFLLNPFSVLKARFESNIYAY QSFSGALLSITRQGPSELLRGFLASSLRDAPYAGLFMVFYEGIKGEASYLMPASSSSH AALIHSVSAASAGAIATLATHPFDVIKTKVQVRSEDRYHGFFRTIGTIWTQRGIAGYF DGASLRLSRKVLSSAIGWAVYEGVLMFMRTT JR316_0005130 MSGFLFRLGFRPHAHLTVLTTQRGLPFLKNNNKLGTSVLDSLQT RAFSAYPRILSRPIHNQWQTRSFTPSKKDLYASPLRRWLSGTRWSNSPRYHSPPPPPK REFLAFLDRIPPNAVFVGIISINVAVFAIWLAAKEKYRQERDPTLLLWMKDHFFTSWK NFSEGRVYTLMTSVFSHMDWSHILFNGFTFFFMANPVLEMLGSRNFILLYLGGGLVSS VSSLAYAKMVGKVDYNSHGASGAIYTIVTLLACVAPKLTFQLYGIIPVPAWLAVSGFF TYDMYRTISEKSGTTDTVGHIAGILAGIGYYLGKRFRLF JR316_0005131 MNKELSNKLFRLEPVQYGDIPNFDNQRKRSSDVLFEECMDYGDK DEYKHRQNIMDKFFDDEHIDRQVSSRSSNWTKNNTEIPTGTTPSRNNNNSTVNWLEKE KFSIDIYYLFVHDVYQKYLSHFECCPDCKEKGIEKPRKRKDTFTA JR316_0005132 MLTPTQIEKALMAINTNKELECPELADTLKGARWIHQSINPFLN VKSVIQEGLEFLANHITDGMTRQQILDLQPKLWSFYEMQDLMPDLMDIIKKCKDNSAL IGKVIDLVSSAAAHSRSDDLFKAHKLIPELILFDLRVPLDLQAYKLACARVNRGYKHP AYAALLIPRVKFAELADLNLVIAISGKTWPEAKSILKADRLYAFILTLLSGLSDDNTW AKETVAWWQENIILPSEPVDHDKKSSSLEGSARDGRLRDPVERRKAQMAAAAQAPAPA RAPAPASPRAPTPEPARVPTPAPASPQARAPSSHPALDNGSAPALAKAFAAVSLAEPV PQQVSHRRMALNAIRIPSESPPDLPSEDSSSLSSENKRSPSPPAPIKIKLPAKRKAAP RRPGPKRKDAPPSDPFETGDEALPTPNSDATAADGEEPPAKKAKKGAQTMGTRQPIKR KAKKF JR316_0005133 MKLWYHVPASQPPPPSIFKYDEPYTSKEEAYRQIKLARDWFAVW MGFFAYFAACAKYDKYCAGKMVREKGELLPRWYTRLLEEVPMLQRSWLYGLLTSPACV FSPDTQRAGIVIPWYEYDNRRPEIQFFLDQQIPVFFPWCAIAEQAIINNPTLCYLEPP ANLVWDALEKFLNRFPSVPLAGLILRSYFRFHDRPLHSTKHILRMEHSTSLVTKYMYE KFASQTDKVKAAMEENQMEATVAELREIVSRAMDMDLAEAKRAIANLPTHDWMDKGDY HRRGELYDHVSIFLEKHERNQRFIIATETEDAKIKRLQREEALPGYNTLVYRWKSVTT PGGKKLYMRVCLLQSKHERLFAKVPPSQRTYNAVSNEWDIFDEVDLPRKYLQFVDPPP RKDGYIYDYPIQAARINPQSIIPDNDVPRVESVPVIPEPCVDSSSGGVTSSHETQYET FPMDTEEFQEGPSQPLVHRPEPGPSTMDTDTDEASKIHDYDWDTADLISNLRYSYGFV ASVVPKKEDKTPEGWKHACQHFGFCQGFSSFPSLSSQNYLTLSLSYQLSD JR316_0005134 MSADWSIGVETPEAALYVLRVFQSHPGHTVVSVAHRLLSKGVQF RTLVGRKNVVQVHQPYKEVIFYRKVSYKFTNDDYESSMLACRQILDQQRGRAALLMGG IVGRIAKEYLSTESVLQGPSVELLRNGRGYVANPEAELLAYCNDGLTEHDIAIIIGSY SLMTDFKNQVGVKSWFPPPAVWNEIDKNGIGWLEWTERNEYWYQTRLELI JR316_0005135 MSKVLKGFQENFSRQNQNSNVASGSSHQATLNNEPGPMDVDVHH EYLDVDMVPPPMPPAPPPKSPTPPPHPPTPPPPIEWPRGLPPRVIRLPQRFRDEVPPK PPLIIPPVVEEEPPQPQEPPSVEMSDSPNIAKDPADSLRKASEGTPMALPSDWFLDSE PKDNKSLLFKNRSTQLIMSWFYNGHGTKSYADTDKLIHQVVLDPDFDPKDFDSSFSTA QEAARLDEISTQKNSTDSTLSEGSFSIPVPCDGFIFDSEEEAPQFVVENVMYQKPLEV IKQAFAECTSETYTTIPYREFWRPSPDEPPKRLFSESYVADIFNEEYEKIKSEPRIGP HRHLEPFVVGIGIFSDSTHLTSFGDASIWPILMYILNQSKYTRGKPKEFAAHHIAYIP KLTDTFQDWHQRQFGKAATSEMLTHMRCEVNTGVWGLLLDEDVKRAYAEGEAVELADR VGSKMDMRNRLKLARVDSKIRQFDIETAHKALFLGKKINSKAVNGLLQQTSAFPIRNA FSKALFEYGFNFYRMFTANRVEIRKLLCEDRELLEPTPPDLHYHISSDDFMLRLKTHL YARLSGVNEFSDSIGTHERLQITIFGDRIYEHQVLRINYTSYDMCRIQDTLKPNSSRC DIMVLASNKGADQQVHLYWYARIIGIYHANVVISTPDDYYRASKHKVDFLHVRWLGVC EDCHYGWKYRRLPQLVFGDINDSASFGFVDPSLVIRATHLIPRFILGKIPTLGLSVAY RSKENNEGEEWERYYVNFFVDRDMVMLYRGGGVGHASTRAATNSLRQDRRADDIASRK KRREAHEAPDPDLEPDGASDQEQDAQDQAKVAPENSADSDDNEDDSDQHTDSEEEEEE EEESDEDEDRGDLDELGFAEY JR316_0005136 MDEQGVQFGLAIDRDGLRLNVAVDGRNGHAKIGVNVDTDNKVEI VVSVEEPMTGRVDTEPVVSTHGEIREREEQVVAVENRIKDSGTVEDSDVEMRDGEGDD QDVEMME JR316_0005137 MSSTNLKFVNIPKLLKDRSNWITYKAQMVNHFAAKRLTRHLNGS TRKPKIPWPEKDSQYFIRKSTIATTEEQLEKYKVELDLYKQKQAQAREAIYKTVSCFV FLEIKGKAMTALVWQKLTTMHEKKGIMMYTNTLAKLVASQYVKDSNMHIHITAYNKES K JR316_0005138 MTKKDVEKRAAVVNNGDTKTLQLTLAINCKGLTLNIAADRNHRD TKVTFNVHNNNTLAIALSFEEPGTVCVNLTPAATPTITFEEEEE JR316_0005139 MESLEEHRVYHYTKGRTLGQNTGGTIKDIIAEGMHILTKGKKIP LTDYNKAFQKLQKQQQLWSMADQALQLFPSTPPDTKPNVRVLSTTPFHPLTPIQPISS AQECICKEETMVDTRGEPEEEEKTKFERLLDEVEQGEKNLTLPHIFEEDVSFDMNEVF VDEGKDEEELSKSEYND JR316_0005140 MAKSKNHTNHNQNKKAHKNGIRKPASTRSRSMKGVDAKFRRNAK YAAIGSYKARLEAKQAAAS JR316_0005141 MFQARIPSLAARLPSVLSRSTQLSSRTLARPHCFRTISTTRPTR ASEAPKPQVDEALIKALQETEAYKKLSKSQSAIEAIKKFMNVLQKSGVDTTSGKRPSM LQMSKLMLNSEFRDASKNMIEEFQKAGLDPTDKAMIDELTNLMKMGKF JR316_0005142 MDFDYFSIEAILAENQKIQCTFKQEIPNMGHLGGGTERDIAVSS KMQIPIWLAYTIIYSDWADFNIPAPFNSRVRNALKAEACSVRLSNLVGAGGLWYGFGK TIMDILSEEQANEMSDMLSKAFRDRLVEVIDQAQHFAALGQAGSGSSGNSAQAFREGL DTTERELFYLAQESAKRMKRWYEESDRSRR JR316_0005143 MAQNESSTPAKDTPFFSNILQPGSSLHPTFLLFVDGAFSVLLVV FLILIFLTSGNPHIFALIAIELCLWASVKWFVNELKKVPVVEKDAKEDEKEDTAKETE SKKTI JR316_0005144 MAFSFGDIPNSLSGQFFDDLSFTYADRDSGLNFLGHESATPPAS SHYLAPSPSGTDASFYVPPRRTSTRHPDPKHIPRPRNAFIFFRSWYINNNRDSKDVQQ NELSKQAGKAWNSMSEEAKRPFMQLAAAEKEHHYNTYPDYVYSPSTKSGGNPTGSQKT KGKRRSPSAYDDIASRTTSDPSKVTSASWYHSGEGFSFEQGPPSPFHAHLDSVPSQFA YQQNAHDFMPSYMPTLPNTFDMFNQSSNSMSLDIPASDPSAYSRYSGPSSLTCENPYP STDYLALLEHPFSEETYNCEDMMQRCIDEVLAATFLEFSGGYYGQNGSAFN JR316_0005145 MSFSFGGTPNSLSGQIFDDLSFTYADRDTALNFPGHEGATPSLS PYSLASSGIDASFSVPPRRPSSRRREPGHIPRPRNAFIFFRSWYINNMHESKDVKQNE LSKQAGEIWKHMSVGEKKPFLQYAAIEKERHYAMFPDYVYSPNTTTATNKKASKYKVS RATSSSVSPEASSTSWNHIGEVFHSVGSETLSPFHDHIEHVSNQLEYQQYTQNTSSEC LPTLPHSWFNQGLNADLPSMTTPLSPNPSSYPLSDTPLPLTYDNPYLGFLDYTFPDVC SEADGLMQQFMDDSMEWLELTNPVSGFSANFGQ JR316_0005146 MAATTLLLSPTTPSDYFRIISPTLSVPTDPQISTPVGLLACQRD PLLRTLETTVVTAYVSQPPPPQASAGKKTKKAVLAPDLPNEPLLHVLLHDTVIFPEGG GQPTDTGIIETTADGKAWEVVQAKRHGGHAVHYVKLPTGVDADAGLLAFQPGAKVTVS LGDNGYERRYDHMSMHTSQHLLSALLETRLNLPTLSWSLTSYPSPCYVEVPRGMTQEE IASIQAEANRLVFEGRKVHVEVEELDVAQEQAKPVQKLESGRAVGKGLPEDYTGGVKR VVIIDGVDKNPCCGTHLPTIHNLQLFLVPHTEALARSSTTTARLYFLCGPRLIAHLTS THTLLASTAAIMSCGPPLVPERVSQVVDERKKAEKRVSDTEQELAEHIARDLATKIST SEGSPFKFHLHRTDDTSNALGFLSSIAFALTAAAEPSRPYVVVLSSSPTTQTASSVST VLVLGTTDSSVKAAGDGLKSKLGVKGGGKGPRWSGKFTGVWKAGKEDVVVQEVLDSI JR316_0005147 MDMTAVAAYELVQDALNIRQHRTRAINAMIASLPSVQKDSQGLV LEEPCPICLMPFASIFEEQEAETAKDSEGKDEGKDEAELGGVTKLEGCGHIFCRRDLV EWIRSQHGSCPTCRHTFLDIGQPIESDDESSDGGEYIPNPDDFEDDDEDAYIDVDGFT DTDAETDGDFPVQQMDLDFDEMWEDESVNDAAVGLAEDIGVEDEEMEDAEEEEDRVSE WGLTDGESSSMSSSEGEIAIDGDDGEGNNAALETEVSVSVHEDDDALENEQEGGHIAV STANEQEQPK JR316_0005148 MSFSFGGTPNSLSEQFFDDLSFTYADRDATLSLLGHEGAIPSLS QYSLASSGTCGIDASFSVPPRRPSSRRREPGHIPRPRNAFIFFRSWYINNMHESKDVK QNELSKQAGEIWKNMSVEEKKPFLQFAAIEKERHYAMFPDYVYSPNTTTATNKKAPKC KVSRATSSSVSPEASSTSWNHIGEVFYSDGYEPLAPFNNHIEHVSNQLEYQQYTQNTS PECLPTLPHSWFNQGLNADLPSMTTPLSPNPSSYPLSDTPLPLTYDNPYLGFLDYTFP DVCSEADGLMQQFMDDSMEWLELTNPVSGFSTNFGQ JR316_0005149 MEQDTSSKIDSLPHSDSNASLDNVELDEPRAPETTSENEDHIVE DDTEASPTPESTNGQPIERVEQEPIEEPIQINGDNAIHTNESEPVVAPPIPPKVEQPV EGISVPRESTSEVKPTETPNDSRQDFRVQSPSLSVASGNPHKRSMTISKGHNVSMVLI TSALETIASSKEARRSTPLRESTQKALDLIRSNQGGDRPREIFEPLRLACETRNEKLM IASLDCISKLISYSFFTEDDQGSYALPSPPPSPNPARNRSSGGSQGATPLPSLVDLVA NTITSCHTETTPDSVSLQIVKALLSLVLSPSILVHHSSLLKAVRTVYNIFLLSTDPVN QMVAQGGLTQMVHHVFSRCRRGNVKQSSSETNQAEYAGGSQLSSARESFALSRSELDT RTSVSVTTEGSHQSNGSAEKVPGNGVEDSGSENKEEPQPPLTLQSFEAKVPIDVHPEE AHSHHHLTLDDLFVKDAFLVFRALCKLTMKPLNTESERDLKSHGMRSRLLSLQLVLTV LNSHMPLFVDPTAIIYSSSNNEATGFVQAINQYLCLCLSRNAVSPIPQVFEISVEIFW RVLSGMRTKLKKEIEVLLHEIFIPILEMKTSTLKQKAVILGMLSRLCQDPQALVEMYL NYDCDSEAADNIYEHLMNIISKFGTAASLGHPQRAPEPPSPALSPTPKNQGNAQVTWN VSGLTVPGTMDTSTMGLSEGQLRRQGLECLVAVLRSLVAWGTSANKSSDEGTAPLLSA RSHTEESKRDPVTPEANPERLSVSGQSVETFRQGTPDYGDDPTKFESAKQKKTTLLEG IKKFNYKPKRGVQFLIETGFIPSNSPQDIAKFILNTDGLNKSVIGEYLGEGDEENIAI MHAFVDYLEFRDIPFVEALRTFLQSFRLPGESQKIDRFMLKFAERYIAGNAKTPFASA ESAYVLAYSVVMLNTDAHNPQIKKRMTKADFLKNNRGINEGADLPEEFLSQVFDDIVN NEIRMKDEVEAGLTIQAPGPGLANAIASVGRDLQKEAYVMQSNGMANKTEALFRTMMR TQRKGSKGGDQYFSASHFVHVRPMFEVAWIPFLAGLSGPLQETDDLEVVELCLDGFRN AIRIVCFFDLELQRNAFVTTLAKFTFLNNLGEMKTKNMEAIKALLDVAVTEGNNLKGS WHEVLTCVSQLEHMQLIGTGADLVEGGKKGRSRKVPTEELANESRSTHITVAADMVFS LSHYLSGTAIVDFVQALCDVSWEEIQSSGLSQHPRLFSLQKLVDISYYNMTRIRLEWS NLWDILGEHFNLVCCHNNPHVASFALDSLRQLAMRFLEKEELPHFKFQKDFLKPFEYT MTHNQNPDIRDLVLQCLQQMIQARSQNLRSGWRTMFGVFSAASKVLTERVANSAFEII TRINKDHFNAIVQYGAFADLTVCITEFCKVSKYQKISLLAIAMLRGVIPVMLQSPVCA LNTEVAAPDQPMDDTMIKFWFPVLFGFYDIIMNGEDLEVRRLALDSLFSTLKTYGATY PVEFWDTVCQELLFPIFAVLKSSQDLSRFSTQEDMSVWLSTTMIQALRDLIDLYTFYF DILERFLDGLLDLLCVCICQVVENDTLARIGTSCLQQLLENNVKKLSPARWERITATF VRLFRTTTPHQLFDESLRVEIDSSSEAVAADSESNGVAILPAPLSPNNETPKVDVRAS LTDRRRIFKQIIVKCVLQLLLIETTNDLLRNDDVYNTIPPTQLLRMMSVLDQSYQFAR SFNEDKDLRTGLWKVGFMKHLPNLLKQESSSAATLVHVLLRMYHDTRPEHQAARPQIA ERLVPLGVGVLRDFNKLKADTQSKMIQAWTPVVAATLDGFCRFDRQDYLTVIYPLATD LLSRDIAPEVREPLKTYFERVGYVKRIIDTEP JR316_0005150 MASEEPESYLAILKASYDYTPQSDDEIAIKEDQLLLLVEKVDDE WWKVKIKSSSQESDSPVGLVPAAYVEQAEHTSVVKALYDYEAASPGELSLREDDVLLV FDTEEDWILVQNANEEGKAGYVPGNYVEVAGEEEPAAPAPAPARIIVPDSPPRPVSSY VDPAERVASTKAASQADPDDIQTWSLSEIDKKGKKKKGTLGIGKGAVYFISEADKTPV QKWQTKDVSSVTSEKAKHVTINIGGANPITLHFHAGSKDNADAIISKLNTSKALSSGG AVHEEDSPSGRGADTSARDPKSVHFSAAGPVIIPDQGQDDEEEEEEEEYEPPSSQAIP PRAVPPTPARPAAASSSAAVALYDFTADGEDELTVKEGEQLTILEKDGDEWWKCRNAQ GVEGVVPASYLEESGAAPASSTPAVASVDREAEDRAARELARQQEEDRLQQEEEEKKR AAAAARKAQAQQKAKEAALAAEAERQKRKEAAAAARVSTPPTTRSPVSADSSRSPTTS NSRTSTEATRPPPESTRIWHDRTGQFRVEAAFLGFNNGKLRLHKVNGVVVEVPSEKMS LDDMRYVERYLEKVKQRPSNVPGLSEDDIPLALSVKGKHATSSSSSSSQQQQQQQQRA TSTPPPKKAPKIDWFDFFLSAGCDLDDCTRYASSFEKDKIDETLLADITEGTMRSLGL REGDIIRVKKAIEKRKPTDNLNKPNPRVEEQLRMDEEYARALQAQENGGPKAAAPNLF AGPGGVLKPRRGRPQPNKSLPLSTVDMKAIGSVPESIQRTTSPLAQSPATAQSATLPA RPSSAAPVSGFDDDAWTNRPSSTKPVSSPPPNRTPSAPPQTQPLVPTPAPAAAPAAQP QPTPTPTVSAPPPQTTPAPAVVATPPVPTPTTTTTTTPSLANTTDTDIFNQLARLSAL RQNTTPQPPVVNLTPSPVSVVPPASYRAGFGMSSSPLPMGQISIQPTLSPPATQPQPY NGPRGPFAPVPANQSLLQPLIPTQTGFGGFIPTKPTLNSSPSPFQSTLGAPSFLSTQP TGFGGGGHQPLMSQPTGFTPMMSQPTGFQPQQPMMSQPTGVFNSNFGPTNGIGSQPLQ SHITGFNPTPSNQSSFPNFGGLSSPPPLPNNNQTNNTSPANVFAQMKSGTFANDNENS STGTNGLNGQTPTWGQSYQGYTGY JR316_0005151 MSNLNPTAFTFVPRFAVQQPQQPQQPPPPPIERPEQTEAPKPAP TISLNIGGASTPSQPPKVQPAAPTPPPVAAPSQPPAQPQAQAKAAKPAQSAPSKTFST EKSKTDTQAIAQEVKTLADKAVLEDLYGNLKEHLNIVFIGHVDAGKSTMGGNLLYLTG MVDKRTMEKYERDAKEAGRESWYLSWALDSTPQERSKGKTVEVGRAYFETDARRYTIL DAPGHKTFVPSMISGAAQADVAILVISARKGEFETGFERGGQTREHIMLVKTAGVSKV VIVINKMDDSTVKWEQSRYNEIRDKMIPFVKAAGFNPKTDVTFIPVSAYTGVNLKDRV PKNVCSWYDGPSFLEHIDHMPMVDRKINSPLMMPISEKYKDMGTVIVGKIESGHIRKG DSLIMMPNKVPVEVASIYTEMEEEVDRALCGDNVRIRIRGVDDEDISPGFVLTSASTP IHAVRQFEAQLAILEHKNIICAGYSAVMHIHTLAEEVTLPALLHYFDKATGRKSKKPP QFAKRGQKIVALIETAQPVCVERFQDYPQLGRFTLRDEGRTIAIGKITKLIENTTIEE VSEGVANISLPAAGAN JR316_0005152 MQSTSSPSDELAAALADASALLGSSPSPSNPAKDMETILKTRLL AYYERLNLDKKPEEIAQLSTLEDLQMLTAREALTVLARVQKVLDLEASAESEAPANQV PSIGTRDLGQLRTLLSLAFKWDAEPLFARVIQAWPVTPHHTGPKIIDLTVDAEDYQRL SSLLLAVFALVFPEGAEGRIAQTLITTTILTRHITDLLLPAISLGWLPQALAASSAMP PLHAARPLVMRLLRLLTPAQAIVALGGILSATSPPPPLHTRKTCSLLLTKQLLRPEGV PGLCEAMFSAEETSSDEVKVEKLEQIAKTLNTVPANMKAQEYYPVILPRVLKLLVNEA RTSYRRAAAFTVYRAISPQDPGPEVNQKYASSTILDMLHKPLLEISDTSPEQPKPDQT ALAPHEALSALTILLSNTEPSPTFIPRILSPIVSALYSLLYDLDQVKTADPRLKESVT GLLVSWGKIVDEGEGSKILWSIVEGGKDGEWKVNLEGHLRKTKTVDKAPGPSLILPGQ DQEEGEEDFDEDLNLFNLYPDPIHFVQLIKQIDRGDIASSLFLKLLEDYRDMKSRSNE DSMKILHKLQIIMQMQNRLSEGTTSNILRKPNQLLMFIFHVLDSANMFIQQDQGPTRV PASSNNLDEDELEEGDSDDEDPDSEVIGPDDELIETAITLLLSILEADETLSARTHPI FNDIFSKLELLALKGSSTLRPLAREARLVITARLADTSGYSKSKKKPKGDGEDAQETY QKALKLLQDPILPVRAHGLLLLRQLVSPDFAKDQEHQSVKKALLPSILSIFLQAVQDE DSYMFLNAVQGLASLVDTYGKEILQGLVKDYSGGLSGLGAGNLTQQDVDVRTRIGEAL SSVIKRCGSALGLYVDLLVPPLFATVRASDVPTTLRTSSLSLLADCVDTYPLAMLPYL EDLCQAMVHLLQIESLPLRETEKKKTKSENGDEGQKEQEPSPPPPPTMDSDPTSRNSK FPPLRRAALHFLSLLIRSATKLVYDDTDINSAAAFFSKDVIRRLSLTLGYISVTDQDD VVRVMAREAKENLEGLQRAAFGI JR316_0005153 MPPTLKLNAVAFISPQNQPILIRTFVKQDEHAIKYHYIAHTSLD VIEERVAAAGKSPECYLGLLFALEDVAVYGYITPLKVKIVIALPLSDSVVRDAEVTMI FKALHMAYYSSISNPFLKLHYSMDGSTEQSPLLVVGSHKWKNFRRRVDEISWIVGQTP PSS JR316_0005154 MSANVPDGAAAAILQPSHPVPDDAISVQGPNFDTPQTLDSFLSS YERIGFQANSLGKAIKIVSEMRKWRLSDEPVAADESDHYLDPEVRANTRCNVFLGYTS NLISSGLREVILHLVKHKHVSVLVTTAGGIEEDFIKCLGKTYLADFNLDGAELRKKGM NRIGNLIVPNDNYCKFEDWLTPILDTMLAEQKATGQVWTPSRFIHRLGKEINNEESVY YWAYKNNIPVFCPALTDGSIGDMIYFHSFRSPGLILDIVEDIRRLNELSRTSRKAGMI VLGGGVCKHQIANAMLIRNGADYSVFINTGQEFDGSDSGARPDEAVSWGKIRAGAQAV KIFADATLVFPMLVAATFAKDAAKSQT JR316_0005155 MSPERKGVASLPEAIDLSHHLSALARARSVSPLKNLARYYGRPG IISLAGGLPSPEYFPFDTVKADILSSDKFVSTPDSHGSSSGPLSWLLSLFSSESDSTI PISIPKYPSTPTDLNLATALQYGVARGIPQLQSTIVAFTTRVFQPAYADATVLLHVGN TDGWSKAVTTLCNPGEGVLVSDWTYPSALETMYPQGVRAVPVRMDGQGMSATGLREVL AGWDAAARGMPRPHVMYIVPVGQNPTGATMGAERKQEIYNICVEFDVIIVEDDPYYFL QEGPYVHPTQRFSTFKQTDMSDDQYLASLAPSFLKFDYQGRVVRLDTFSKTIAPGSRA GWFTCNPIFAERLERAAETSSQGPSGFTQVLIGKVLQTWQDKGYIQWLKGLGTEYTRR RNFFIDTLLAKFHLEVVPADSPHNLFPGTVRYIASAKGAYLDEKRGSGAAARKPLFSF VPPTSGMFIWLQLHLEDHPKFKDVAKESLEMQLWVKLAEGGLLIGPGNMFAADKTAST ASYPGHFRISFSNADDADLVKAIDIFAAVITKFHQDV JR316_0005156 MHSYHTESIWRTSFLGRDVSSVAITARPRIIRLSFPEDSFRKTV LLLRWSRSHSGLRIQQSGNQKCYNCGRFGHFARNCQNPAGGVGAGFASRAPPPGRALN TSTLPPVKCYRCGGPNHLARDCLAAPGTGLNEGIPTGPAAANVNKSKTCYKCQQEGHI ARDCPEAEFVG JR316_0005157 MMFTATLGPLIAPTLERRFPPSARHGTIYPAPKHSEGAEGATKG GLVTAFSKFYTDNVLFPLIVSVLPWEASPPAFIEDRAKLLGRPINLEQFAEGRPSSIS LFSSHLLLLEEQLSDSREWLSDTKALSLTDISVHFLLAWGRRMPTVASLFDESRFPLT IAWLDRVSAAITALKATQTPPSKLSGETAARKIVSAPHEPYDVVGFDTIEATRLGVGL GDTVQVAPDDYGKDYPTNGKLVALSRSELTLEVQGSEGLLRCHFPRLGYVVKRASV JR316_0005158 MKFNKPDPPSSRYLQDIARDQLPPPSLHRSRHGSIQDPAQDYTL PHPSNRRGRREYVQDIAYDKSPSTSSVNRGRKGSSAVHTIDHNTIPLPTPVKRGHKGP VQDIAQDRMSSPSSIKRGRKGSNQDIAQEQRTSPTMTKQTRKGEYIQPPIQEFIIDFL SVAQDLSKESLPSPLPAKKGRNGFMKFLSKAVPCTSAKSVVEEPSVICSQEVKSVSSS PPSQSEVALSRNKFSTDLIPTRGSFSISARESLLPQFSPEFNSMPGSSRAGHRWPSFM EIETPVLEAGASPRNIVPSPSSSLSAGRRSIHAIVEDADSEPVQIEPSSGNTIAIEVP ADRQSDHATNTSSDEEPPAPTKMSWADILAAMKNNAEEDASSVPINDLEEFLSAFGLK VSKKEPAVEEMSLFQLNKVVTDHEERDAQTIMDWLLIHPEATGFMSSYPALTRLVEVD ADTNEIVNTTSFVNITADLEGEGMGQTVGSMDSLPSVYSQQTSPTHSVENIPQLIFTL PTETDLALDPVMEEETVPAPELLNVAWTPTPMQRRRVYSVTPPKRRISRLRKVASAPD LQLVAAGSSFDDSDDEDTVPSSREVSGVSSTVWTPFSIDSSVDANDSSTMTVVSEEER RKSDPNVGENMLVANLLPDSSLGSFSSNRGRVSVIDAGTTTTDPSTASLCITDVVTRV SAEDDGVSVSGFVSAPSTPAPDAHTFESTGNEAVEASSGETQVRSRPVGIYGGPLNMA SLRSQMNKVEEIIIANASNRASMSAPSTPQVQSPGSPKRDSQGATPKRRGSMGYKPRA ITGIRPLMLPMRVALRDFDDDGNRTVTHPPGTVSPEDSPRNIVPF JR316_0005159 MRRNAAQNLAGNGANDQSSNEDGIASSNFNNILHVSGFPNLIEP RDHVPSDIYCRILSMAGNGFPFWPGSAPMLGEPYSQRTIEVGDVGFLNGMGNFMFEFN LFLPSDDPLNQLNGYNVPQGYVPLDPPYDSEISKVPAYYSPGSVIVTKGVDVHRLGEN PLRIEFTSSEPEAAALVLPDGARREDLATSRIQEYLKEHAENWIQFFTNKSYYNPIPN GGIYVITGFDKAVSYSAFSFPGRDCVANRRISVEYAGRFLYHDRKTGIASYNWKPGLS DPEWNLRTDEVHPNQTPVSVLPPTPPISDHVLPAKQDPKQPIPPCSVNHRDDLGTDSD DDESSNDDESSDDDESSDDDESSDDDEGSDDDESRASGFVVDPTVQVRNWSFHPSDLI LQIMLSKYPEAKVAFVDDYIWSQCLKIDKPIDCFQDSNDPLTFRCLQGGFVLDWGALL ENVFNSYRIVETDGILSLTPQPKKRISWIWWLRSLRNRLKPKRLAVKPAWGQNLILDL IRQGEAIKAPDEMFGIDYFY JR316_0005160 MSAKAPSRSSDHEKFSDEQSGYRVNTADPDAEFGGTEARKVLEK KLLWKVDCRMSILVLIYILNYIDRNNAGAARLRGFEADLRLQGKDRMIPVGVKGN JR316_0005161 MSFIMLINFYIYVKKYLKLWLLNITYCLGILLVFLFGGLGYLSL WFFNGILKYLGGEPIQTFPEFVASLERVEQDVELDTLPQDSITPALAPAPSVVDSRKF PAPYSHKFQADINTPPV JR316_0005162 MAAGILDGMEGKLGHAAWRWLFFIEGAITIAVAVLAVFVLPDFP ATTKWLSEEERALALRRMEEQGGATSEEDAQTGALAGLWMAITDWKVWWMSLTLTSWV VSLSFNAYFPTLSATMGFNRNVTLLLCAPPFAFTAFVAFALSRHSDKTRQRFYHCVGS LGVGLLGFVIAISTMNTAARYISL JR316_0005163 MNTLGYVARQFDVLASPTSEKKSDDKPRLPRVSTWSTKSFLLPP PTVPTTRTTPKRSHSSPSFRPQPQQPLPPDVTMAPSCKPHIDSVIDRIFVIRVFLLVW DHLKSAWSSLVRIVQDRQSVRLIQDSKPLQALKDKTEEVALTVVDSVSSSSSSLSPTP PPQVASVLENVSASRAATPPIPPRKTPFHLPKTLVLDLDETLIHSTSRPIPFETSTGS GILSLGSFGRSNKGAGHMVEVVLGGRSTIYHVYKRPFVDFFLRTVSSWYTLVIFTASM QEYADPVIDWLDAGRGILEHRFFRDSCTQLPNGSYTKDLSLIEADLSRVCLVDNSPIS YTVNEANGIPIEGWTHDPSDEALLDLLPVLDSLRFTSDVRRVLGLRSAGVMHRHHDS JR316_0005164 MSLDNISSEDRQPLLHWESAAPAPRIVLHTVEGEGEEYGVNERW DDANANSNAIIVGEERKKLEKELLRKLDRRMSILVLIYILNYVDRNNAAAARLRGFEE DLHLEGTQFATLLSILYIGYILMQVPSYFGALCTRFLLGFVEAAFFPGALFLLSKWYK RNELSQRTAYLTSGILLSNAFGSLIASGILNSMQGVLGYSAWRWLFFVEGTLTILVAI WAMSVLPDFPENSSNWLTPAEKALAIKRMAEDSSGQETYVSLGDSTSNKLLGRWPGLY LAVTDWKVWWLSIALFFMVLSLSFGVYFPTIAATLGNGPTISLLLCVPPWLFATGASL YLARLYLA JR316_0005165 MTASSKSRPISDWLFTQVQQGATERKVKEWLQVSSFPTTVHVEL IKQKLIPDPFIGLHEWDVQWVGEAEWAFKTDLHVTDGELASPNVDLLFEGLDTFATVK LNDEVILESSNQFISYRVPVKSKLKPGSNELIISFESAFRKGRAIEEEHGKLALWNGD SSRLHVRKAQYNYGWDWGPVLMTVGPWKPIALETYQTRITDLDVRSEVSETLEVKLSA TLTFSEESPGFASFVLKSPDGKVEASSNKIPIVTGHAKVSFEWGAGQLQLWYPVGYGA QPLYTVEVELTDANGNVLDSKLEKIAFRRALVVQEKLIDQEGLSFLFEINNIRIFCGG SNWIPADSFLTTMNADRYRAWLQLLVDGNQNMIRVWGGGIYEYDDFYSICDVLVWQDF MFGCGQYPAYDSFVESVREEAIQNVKRLRHHPSIVIFDYQIAESLNLELDYSDETSDF RKTNFPARYIYERVLPSVVGEYSNIHYHRASPYSGQGKPTTDRTLGDLHQWNVWHGTQ EPWHNWDILAGRFVSEFGMQGYPDIRTVDYWLGGDKSERYPQSRTNNNHNKADGFERR LEVRVSLFFLGGGEESQLRAVDTLDVKRERICSLAASCYVYYTQIMQAEVLASAYRLW RRNWAGRGREYTAGALVWQINDCWPVTSWAIVDYFLRPKPAYFSVARELRPYTVGMTR KEKQTLLDPTRSAAEFTLTTELEVWGTNSTLADKSAVLEVTCFNLDLDSEDSTWRESR SREVRGVVLRANASTELWKGVLPGQPERRSRAEVPKPIVVSARLLDAEDHGTVLCRYS NWPEPFKYIHFPPVDALGLKAVVGTDGESVVLSTRIPVKGIVLDVDVDAGDKVRWSDQ AIDLVPDDPQTVIAKGLKGRPVKMRFLGDGSA JR316_0005166 MPPSAVPKRRKIVVLGSRSVGKSSLVVQFIENHFVDAYYPTIES IFQKTLKYNGVEFECEIIDTAGQDEFSIFNSKHAIGIHGYVLVYSVASRNSFNMVQTV YDKIIDFCGTQDVPCVIVGSKTDLQSSSPVPSRQVEPSEGERLAQQNHAAWVETSAKN NTNVDQVFELCLAEIEKRSERNLLVPPSSTTAATKDKGYSCCIM JR316_0005167 MSATSTPNDSPIQPLHNPSQAAQIVVPQAPLGSVANQAPGMGAK ALLAKKMAKTHNPAFISPTDNLMTPVTQKLNAAKKKHFNKSTKPVQLFSPARENDQSS DDDDEDSAEKAPSSDPTATAAAPTTTSSKIAMQVDDDENPF JR316_0005168 MEHENLSPLQWGSMIDGVRRFLASINDLVPAGQLSTQQVTQLID ALPNLTEPRLVELGEKGKRPLTNPKSLHDANLTSFYPYTAPHLHCTPATDSSCPICMT PYLAILAEEETAHAMDSPAHPVEELGVTKLDRPWQCGHIFCRRDISKWIIEGHDSCPM CRKRLVEPEPEPEPEPEPEPAPTGASTQSNTDTQSPPPPPPHQPSTSTSSSTSTSTTN PTNTNTINIDDRTNIDLNSYIQSLNLNLTQIPIPIPIPIPIPTNDDDNDNPALAAWIT HMQREMQAQLRRSAHNRDEEEEREEEDRSQFAGMYS JR316_0005169 MDNNPSLSSRNTRNEPYDDPFSDHSRLQAPYRGPDPLSQADGRS LTSRPYGSSTSLAQDPQNEMYDDDEYVEKLPLNVGQGFAGGFYPPPQPVDPNSFGDPY ADGRPMSVVSTSTNGMDNAWRRRQTIKRGVTRKVKLTNGNFIAEYPVPTPVYSAIEAK YKSANTTEFSHMRYTAATCDPDEFNEANGWSLRTKMYNRQTELLIAVTSYNEDKTLYA RTLHGVMLNIRDICKTKQSKFWRSHTEDGNPAWQKITVALIVDGLEPMDKSVLDVLAT VGVYQDGVMKKQVDEKDTVAHIFEYTTQLSVDATPQLVLPQANDGNNLVPVQIIFILK AKNQKKINSHRWLFNAVGKMLQPEVCVLIDAGTKPGHKSIFYLWEAFYNDPNLGGCCG EIHAMIKGGKKLLNPLVAAQNFEYKMSNILDKPLESSFGYVSVLPGAFSAYRFRAILG RPLEQYFHGDHSLADRLGQKGIYGMNIFTKNMFLAEDRILCFELVAKAGERWTLTYVK PSKAETDVPETAVELIGQRRRWLNGSFAASVYALVHFFSFYKSGHGPLRMVALHIQAL YNVFSLVFSWFALANLWLTFSIIIDLLPAQKIYLFGNLVITHWVNLAFKWIYLSFLAL QFILALGNRPKGERVPYAVTLWVYAFLAVYLLVCSVWLTVLSFKQLPSQIQGKSFQDA VFNTTLGPLSAAIVSTFGIYIIASFLYRDPWHMFSSFLQYLCLAPSFTNVLNVYAFCN LHDVSWGTKGSDKAEALPSVSSSKNKDTDVAVVEDQTQAQADVDAAFKETVTRAITKI NKKEVPEKPTLDDQNKTFRTRLVAVWMLTNATLAIAIENINSVPSQDLEKDEQALAKK QSTYFAFILYSTFGLSAVRFAGCLYYFLKRNLFRWFRRN JR316_0005170 MSVILYRYDSSPFSHKIDNVLALRRIKYEKVDISPMLPRPEITD QLGIVYRRIPILAIGNDVYCDTSLIVSALERRFPTSNGHPTLLPESAGAGVIKAFSKY YAESALFPPATNLIPWDKLPAAFLKDRSEFAGSPINTKALAANVPNAQSVISSHLLLI EQQLSDSRQWLFNTVTPSLADVSVHFIFNWARSFKGTEQLFDASRIPLTMQWLDRLSA QIKKERKSQSPPTKLSGTEAANKIVSAAYEPYNVVGFDATEASRLCVSLEDTVQVAPE DTGRNFPTIGKLVALSREEITLEVKGSAGLVRCHFPRLGFSIRRVPGSKL JR316_0005171 MQSAWKDERYPHKRLCSILRRFVDLGGGDALFFAGRKALLLDET ILANWRSNGTVDEGELAILKELALSIERGRGYLRADELQWNPGFEDYGDIIAELSTEG RGPKRSNVFVPTEKGNMKLMRCISGSTLVTKAVGDDCDTPDMK JR316_0005172 MSFSFGVAPNSLSGQFFDDSSFTCADRDATLSLLGHEGAIPSLS QYSLASSGTSGIDASFSVPPRRPSSRRREPGHIPRPRNAFIFFRSWYINNMHESKDVK QNELSKQAGEIWKNMSVEEKEPFLQYAAIEKEHHYAMFPDYVYSPNTTTATNKKASKY KVSRATSNSVSREASSTSWNHIGEVFHSVGSETLSPFHDHIEHVSNQQEYQQYTQNTS PAYLPVLPHTWFNKGLDAALPDTTNLLSPNSSSYPLSDTPLPLTYDNPYLGFLDYTFP EVCSEADGLMQQFMDDSMEWLELTTPVLGFSANFGQ JR316_0005173 MPIPQSAQHQLWVVQATIDSLVESTLLQSFTTGIYALLFLQAIG PSVKQKKRIVSGTLSTLFVVIVINLAAAWSTCRSVVVVHDTSRESMAQDLFTGPESTM QKVAYGTLAISVIIADTFMVWRCYMLWQTKLILAVYGLVLVGEIIILPIFLVLHITLG SRFGFLDLYFILSLCTTITTSGLIIYRIVDVSSRGNNDISRYHYTIKILVESGLLYTA VILICGVTHLMLDFGVVTPGVIQAVQDFDAILVPVTGIAPTLIASRNATKREEKREKE NRSTSQMISQLNFHRDESRADRSQSTQSENFETSQTTPGASRQWQWQARTV JR316_0005174 MSYERHGRGGGYRNKRNHYRDRDDYDDRRRDYESPDDKLKTAII KLGEVDPIEELPRLEQQIRDHVPRNIPILSEAFRIGVTEQPYKIPFYAALLRLLHNPT ELSIPGESPLGRLVLEDFWKGFQAYLDKVEWRETRLCIHFFAHLTVARLISTDSMFAL LRSFTSVLDEFGVSHGRAKRAALCAGEGLLLAGPVLKAASPAITDEIITAIQTYADST AQEKWLVSPSTRISNQMMPSDGTVELLDILLSSLVTLNATDFKDTENCVPQPYKNNEE IDSTVLTPYVLPAVLVPPEVIEIDSMSTESGEESQVKKDEWPEFFIRLFPDDVSPDPK SPPGYIVRTALLDIIDIFEVNRKECARLLLEYPKWNLPGTFKPKPGAPVESEPTPGKD WQLESTIIETVLGAYLILPESSKKSIYYIALITELCKLSPSTVGPAVGKSIRKLYNSL SDGLDVEAARRFAEWFAVHMSNFGFSWVWKEWVPDLSLPVQHPRRAFMRRAVEFEVRL AYHDRILKTLPPSMQSPDAYTIPEQAPSPAFEYDDPTNAHHDAAVAVLNLFRGRAKVE DVISHLDSLKSTLETSEDGHINVDSVVRSIVVQSLLHIGSRSFSHFLNAIERYLPLLR NLASGGISGSGGSGNPEAKADVLTAAASFWKHNRQMVAIVFDKLMQYQIVDPTDVVGW TFLNGVAVGQLAELAGPMNLSAFEWDLLKGALDKANGRVTIAKRKVAALRKEDDDARA RSKARADETMEVDAEPKESAEDAVPAVPEKEVPQVENPAITTALKAFSSLTKEQKAAL SRTLEGFVSCLAPSPTDTNANPHARTVITEAAWENRGNWGRDEWNAWETWGWYRQFCR AYAPYLRTYSNTLYAVSFSRFEHAKDPAADLLKLVWNIATGQEP JR316_0005175 MESIPRASRSAFVIPPGPLYLLKLLPFFLGPPTVAISILRADAV FANRAIPTWLYIAAALLARPLFSVFQRYYTRYSVAKAAAASGAFVIPHVQEERPAFAG LSLMKQLVQDLKNGYPGDLILKWKEMYGNVYQLRLVSDNRIVTFEPDHFKAILATQFE SFGKGPILHSQLKSLLGIGVFNSDGLCIDDQRIRFHRTMTRPFFTRDRISDFDIFEDH ADRALKLAKDRLAEGQAVDIQDLTSRFTLDSATQYLFGHDVQSLSAGLPYSPESKVQN STEFLNHPSNVFADAFLAAQIQVAYRARMGPNWPMGEFWGDKIAPLRRILEDFIEPPL RKALEEKKQRELLGDSKVDDSEGETLLKNLVQETQDFDVLKDEMINLLVAGRDTTSTT LTYAFYMLAEHPDIAERLRNEILDIVGPTNRPSYDNLRDLKYLRAFLNGRSYFHNVYA YHLIMNFLHIEVLRLYPPVPFNSRMSTEAAIWTSRTPGSKPYYVPKNTRILYSIFHMH RRTDLWGPDAEEFDPDRFLDERLHKYITPNPYIFLPFNAGPRICLGQQFALQEASFFL VKMLQQFTNFRLAPDAQPEDSKPPASWAEGSGRRATEKIRPAMHLTLFVKGGLWGRMD EVKA JR316_0005176 MLTSLSGWITTLSLIFGGCCSNAITLEQITSQNPHAGSILTFLQ FLIIALHGLPTFLIWTRLGPRFKPRRVPITAYIVQVALFYLISLLNNAAFAYQIPMAV HIIFRSGGLMISLLFGWLISKKRYTATQVLSVFLVTLGVILTTFSAQPSSKGKMTADT YTYSKGIGILTLALVLSGALGLVQDWSFTKYGRPTLTSKSSDIPPQWKESMFYLHLLG LPMFLPLLPKLAGQMHELSVGPRSQFDFPIPIPTTANLTTAFPMNIIPPYSLPRLPIQ IFQQSENASLLSITQDANSNANAILVSVSLPHIYLPLVLNTVTQLLCVAGVHRLTTRV SALTVTLVLVVRKAASLIISVIGISKVGAETWDLTIRGLKYIASSLGLTILEDGWSAN MFGTDLRSVMSSVGGTLVGVETTKRRPQVDNRMMWAGAFLVMLGTVGYTIGSQKKEPV KEKKKVE JR316_0005177 MGRLFNPRQPQASSAIPVLPQEIVNLVIDEVASSLQSSTEPRRA ALSTLKACTLVSHSFRQQARKHLFSQVHVRIDKHGHQHNGRIQSLGMAESDGVVHFFR TLIFTVDLPFRKKSFVNLPFSGTGAIKPKTSRWSVLRFVDVFRLRGTDAANTIAALNT ACLHTLEIVGRQSFYVWDCNGKIGHGLGDICAKETLRSLRISKVVALPSSILKDAIQS THLYNLTLSQISIANIQSDHPDSSYGQGTSSGRVSHLGQLEATGFSCSALLNILKCDG HASTPRYVTFPYLRKLAISVPTLPSEMDELWSFLQHISQTLECLELQQYKWSMYDALS QGSFRLSDLSSLCSLKICTTSFDIGKNFCTKQEMIAKLLGSDTAANTLTVKHIRRDSN LTWRDLGPLSGWEAIDTALFTSAFPNLKEFIFNLKLFYYIKDTDSSSPQSRQTS JR316_0005178 MLRDRPHVRPQLPSMNKDEPHFGQTLMAAPQSNHSAPLELPQEI VHIIVNEVAAISLPSDSDAATDHSMDIGTLKVCSLISKAFYYETRIHLFNRVDLHFGQ GDSTFSTQKRAAKFVKILTRNLCGANLVSTIRFLTITIHVPNPDPPTLMGKVRLRLEG KPHQIMSRAGLVKDNVMKLLEVMACSKTLDSFNLHQKPVGRHAWDSKYNPFIARICSN PLLKSLTLNGITDLPFSILLNIFTSDSLREVTFSNMYWLRQNANELRNLIIAKSPIIS KIEKLDLSNTPYMYFLHALGAGDIFPPSQNPVELIVSFPRLRELVVCVPTRIEEERHC VQKFISHTASTIEYLEFQYEWTQRSSTVYMPVNQLERLRDLKFTISASLFETEASQLA MIQLLPSESSIPLTSITVEIEVNLMQFRPHASTYYEEFARVFFAPGSSWEVLDAAFAR QSLENLKKVVFEYNLVHFQVQGTTVSLPQKEAPIDPHILFPRLSSNPSMDVQINVTST FLPVIIMI JR316_0005179 MTIWSRSRKAARLPQELLDAIVDCVASKGDKCTLRTCNATSWLF RPRAQKRLFEDIQLVVDDNVLKRMENLRQLLDSNPALLKQMHSFKVSVRGVGNLVPLL RWLKIGRESPGLLSVLWDLKAWLKAENSFLWLLHTISATRVEKFSFVLEEAYLNWTSL NDETQNLFLSLQSSSRVKRLQFVNIINVPPSITSEG JR316_0005180 MKSITIFVAAAFSFATSVYGHGYMTIPHSRSRLGAESGIDTCPE CAILEPVEAWPDLTAAPVGRSGPCGYNARVNVDYNTPANTWGYSPVVTYTAGSTVDVE WCVDHNGDHGGMFAYRICQDQAIVDKLKTPGYIPTAAEKQAAEDCFEAGTLKCTDVSG QSCEFSGDCSPGQPCWRNDWFTCAPFGNGRCQGVDNSRLGSCYTSIAGGYTVTKKIKI PNYSSKHTLLSLRWNSFQTGQIYTSCADIAITGGSNGGSPSSTTSSSGPVITSPTCST VSVTFNHKVSTVYGESIKLVGSIPQLGSWNPSSAVPLSSSGYTSSNPLWSITATLPAG TSFQYKFIKVSSSGAVSWESDPNRSYTVPSSCDSPAIASSWR JR316_0005181 MAQLSSRQQLDLHKSMLDYLYNAGFTKTFNEFRSEVPELADFVP DPTSPASGLLVKKWTSIIRMQRKVMDLEARLAQAHEELANAGPAASDSAKRVNKEWIP SSTPKNTLVGHRDKINSISFHPLYSVLASASVDATVKIWDWDTGECERTLKSHTKAVS DCQYDSTGKVLATCSDDLFIKLWNVPDDYKNYATLRGHEHSISSVNFMPGDAQLISAS RDNTVRVWDVQTSHCIKVLKPHTEWIRSALPSADGRLMLTCSDDHTARITDMESGAMK IEMRGHDNRIECAVFIPQASIPAVRELVALPATVQSAKVDSLGISFVITGSRDKTIKL WDALRGQCLWTFVGHDGWVQALTFHPCGKFLLSAADDHTMRVWDLKTGRCLKKIEAHN PFVQCVAWGPTPVTEGSDDSDRIVNVVATGGTDKLIKIWRP JR316_0005182 MLAVVQPYSPSPDVAHRARSNISASSRSAPSNLAPNPPPSLQRH QTAPPAVSAPAHSHSHTHHHHHPPRAPTPTPPPPAPPNDTDADTDAAPFDIHSYPPAD LLKLLALLLTHIATTNDRLEPSSSSSATHGPMPPLDPSSAPPIWHTLTTASKNAISTP ASTLTFHARNIPTISLEAYLLRILKYCPTTNHVFLSLLVYFDRMSKLGDDAVGKAFVI DSYNIHRLVIAGVTVASKFFSDVFYTNSRYAKVGGLPLPELNQLELQFLLLNDFRLVI SGAEMQQYAEQLMLFSKSASSSSSSSLSGAEVRAGAAEVRGAGAVGLGGVNGHGHGSG HGHGHGHANANTNDVTSPSAASSSGSGSGSGESSQTVVLVNDAPTPHQPYVVVTSPSA SSSASHTGSHSQSHSSASHSSHSHSHSSHTSASSSSSSHSSSSASGSGSTSTSNPHSN SHPHSHPHTQTPARPIDALGGLPPPPNPGMNAMGHPRGVPHTHPPHSRGHVHVGYGGY GGYSSYGGYEGYEGRQGGYGGGQGQGQQGGQGQGQGQGQGTPVFGAHAQVHGHGQSQQ QQRMYAGPGTYHRYTPHQDEDSVMFSPGRSHSHSQENSYEQEQENEHENENENENEHE VEAEVEDDDIENDLENDLENETETSTDAGTDVTETETETETDTSTSTDEEPTVRAHGS VAGSVAGSVYGGDV JR316_0005183 MIPPEKFVPIDEEGGRKKRVSYFYDSDIGGFHYGEGHCMKPTRI RMCHSLVMSYGLYKKMEIFRAKPASKREMTQFHSAEYIDFLARVSPNSLRSNKQEQYK YNVGNLVQDCPVFDGLFQYCSISAGGSLEGAARLSRGKCDIAINWAGGLHHAKKSEAS GFCYVNDIVLAILELLRHHTRVLYIDIDVHHGDGVEEAFYATDRVMTISFHKYGEYFP GTGHIRDIGVKKGRYYSINVPLHSNISDENYKDIFETIVQSAMETYNPGAIVLQCGAD SLAGDRLGCFNLSMRGGGGYTMRNVARAWAYETGVAVGVELAGEIPQTEYAPYFSSSF SPTSNTLDVLPSPTAQDMNTPHSIARTKRVVLEYLREVGRGGGPSVQMFDIPPLPELD EEMDDLFASSASSLSPLIFNSNSRSNSRSNSINRSNSNSRSNSIFNSDAGSSEAMDLD PDEAHKDTRRPIHILDARVQALHGDEGVSDSDSDDEGEGGRRGCMSWREGEGEGEDGE EEGLDEELDGELNGKEEGEDGKEEEEEEDKEEKEVEEEEREDGKEEKEVEMEVEMVDV EMEMASTSTSAESGEGASKSNRA JR316_0005184 MDSSTFHLNDVHTFTPNPLFPSANPHMMSDTPRHICKPELLTWT HLPNMGITAETGSNYNADDDELPQYDDVDQGQRVEHRKLRPPQVEGSVDMVDLETDGN VSSPANPLKIRIIRPASAFNLDASTSNSTLDDGGQAPMSSPSNADVGAVAPANGFKIK IPPFSKRVSGILPKSQTLNHSNQSYSESDGDLPSLIAHPRFSAFPESVSSNPYINQHR PQLARKINVFHDSHGVTMEQFETFTHAHVSPVVFGKRKFVDLQQRPDILAMNLEMLRN AEGQSNIPDFTEISQRHHASGDAAIPTISRAAQRKQLFKDIFGVERVQVPIYSPFTAS RIGKSSSGSDESRLYYSIGSDLPTTSDEMTSDSDVPTRGNLSRSIHRFKPYARGLKRR LSPPSSSTTLYVDSTEPVEERTYSADEDGLYESQRQHSTGEALLDGHVASEEASNDDI NVTIELRPPTPTNELALPTSPTVQQPIVIPLSPSKDVVELVSPMVMELRNLAPNSPPP TQPMPTGLTFTLNPDSALAMPNVPPKESTSSFSLFSLSNHVQDILNPPEDLSWGAGVA STSSSQYDGLPDIPLADQLPTHSPENSFIDLPDESGAAPASVPTAVLDISDIEEEEGL VHGHHSTVFDPAYRTIRSQNRWAQDKSAWVANNVVIGEIWEQYVNNGRIHIFVQESNV KEEEEEEEEEEFDELESDSDTGDYRDSDFSASPSKRAKGFRVIRKRENISFSQRDEPT ATAPRFLARQIETWSVALRSLVKGKKRFLERDLLEMKEALQGIYDNHRHIAPTSSVAG TVFAIMALPAKEIPGEDAIGLRPLARKAARAWGIRTFKPRSEHP JR316_0005185 MGSGQKNAKAKAKPPQNWLVTWGKRLGIFSILLATCAWLDTIKD RWYVLDTVLLHELAQSAIAASPNDTAGMISHIVKNLTETYPSNDIRLNTHEEWVFNNA GGAMGAMYIIHASITEYLIIFGTPLGTEGHSGVHTADDYFHILVGEQWAFEPGALVME RYTPGMVHLMPRGVVKQYKMHEGCWAMEYARGWIPLMLPFGFADTLTSTLDVGTLYNT VRITGREMIGNLLIGHYISRNHFTNIVSKLVEAKKI JR316_0005186 MNGLQDPTKKTSITSLLNPQNASALHSSPLNNSNSPSINHGPTQ TPSAFYDSPYTTSPVYNLRAANWDPTSESSQQKSVSSGVQYHHEYPQQSHSAMAAVAH PQSNGYSYPLNPPTTARTRVGHSNGYPAEQPDWQPRPQSHQPSGSHVSYGQAQRDERP TLTAEYPMSNQESFTPNNVPSEIPAPNPVWQSTERASVRIAAKGTLQEPSQVANNHGY NQSGSGYDQQNLYTHPTEYNSHLQPPTSIPSSASPQISTVEPSGSSSSSSKRRLPDSE ATPAPKAKRAKPKVKSTGSESSTPGPSKRGYNAKKRSEAAIISAQNADAFQKAQQGGS DIRQSQGDLPGTLTTADGSQYIPELQFARCMSNRYKKEDFPRCVSCTRRWAGDTCRFQ GIRYFLRDSQRKLCGVSFNEVGHSATPMEFPSKWNKPLKIEQITRIKLSIAKALFPIL VAEQDHLSVSVIVRRPRESDVRATCDTCMTSLFSETFMCRICGREVCNECYQQVRELT EQPTQATPSELTALVMKREKHAHSNPFFLACTKRIEHGVSEFIRVTRFSNDELQKSIN EMQKILTQDKEKTQASPVPAGRPGTSGLAISNMADSVQPTERHSSTHPVPIHPTAASV SSSPDHSFTQPSNVSPTVPSQITTYAERDYSAKDFPDPLTSPIYDDYTPPNVSSRISD IPIFRAQIIPAHLYDNLPDIRHSQVDGPIPMFSCLWRKGLPLLVKNALPRFKLPWTPQ SFIERYGDKDCLVVECQSDIVKKVTIKDFFGWFGKYGGRTECWKLKDWPPTAEFKAAF PDLYEDFSNAVPVPDYVRRDGPKMYNSMASSQEPGSKGSTRLHMDMADALNIMLHAEA CEDGTEGYAVWDLYRAEDSDLIRGFLKKRFGQPSANGAIGQSGANSKSAGDKSVASVM IGLDPIHNQQFYLDVELRKALFDECGVKSIRIYQRPGDGVFIPAGCAHQVANMSDCMK IAIDFVSPENIDRCEKLTKEFREQNQSKVWKEDVLQLRTMMWFAWQSCCIKEEEIHNA EPKDRPTDTTSSNPTHGG JR316_0005187 MARTKQSARKSTGGKTPRNQILEHIVSKTPRDSGSQVAKKTTNN FAKKSVSYGGVKKPHRFRPGTVALREIRRYQKSTELLIRKLPFQRLVREIAQDYKTDL RFQSSAIMALQEASEAYLISLFEDTNLAAIHSKRVTIMPKDLYLARRLRGEVRH JR316_0005188 MRLLTLSAFFALLPYALTSPVFHNSTVHDDINARAPSSSKSVII QMFEWNWDSIAAECTNFIGPAGYGYVQVSPPQEHIKGSQWWTDYQPVSYILTSKRGNR DQFKNMISTCHAAGVNVIVDTIFNHMAGIDSGTGTAGSSFTQYVYPGIYQYQDFHHCG LTKGNDIVDYSNRYQVQNCELVNLSDLATETEYVRSRLAAYANDLLSLGVDGLRLDAA KHIPAGDLANIVNRLSRRPYITSEVIWGGGEPIQPSEYTGIGDVQEFRYTTALKDSFL GGGISNLQNLENRGWVSGSQANVFVANHDTERNGASLTVNSPSNTYITSHVFSLAHPY GTPTILSSYSFGGHEEGAPNGGVASCSSTGGSGGWLCQHRYIAISGMVGFRNNVGSAG LTNWVSPQSNRIAFGRGALGFVAINNADSAWSTTFSTSLPSGSYCDVISGKSSSGSCT GNTISVSNGQFTVTVPARSAVAIHTGATSSSPGTVDPGTGNPNPGPVSVTFQVTATTT WGQNIFLVGSLSQLGSWTAASAVALSSANYPVWSATVSIPAGTSFEYKFIKKNSDGSI NWESDPNRQATASGGAQTLSQSWR JR316_0005189 MVPWALLLCSLPLSAFSKPTKTLGVSPNLLSKYVPIAKTNTWKC LDGSKEIPWDFVNDDSCDCPDGSDEPGTSACPNNKFYCQNEGHIGEFISSSRVNDGLC ETQCCDGSDEQPGVCPNLCKEIGEEYRKKRDAELKLQRAGAKVRSGYIAFAHQEKKRL QGEVERLAEEIKAKEKEVDRLQDIAERTESLSQAALEHKKESPLYKSLIIHNNALKSL QREHKKHLEREKALGQILDALRTGYNPNYQDMAVLEAVRGWEELAKLPHINDVGKDSE AEKPSENESDEEEEEALEEGMWDAGQLEHQLDGLISTDYLSLLLEHEEYINGPQEGSI LFELAAYLPDSFIPVYEDVKDTLVSWLQKFGIVRGDDGAADSSRAQQAYNDAKNELDR MRTELDTKENDIKEIFNINGFGAKGEWKKLDGTCLEKDTGDYTYEVCLFNEVKQKPNN GGTTFSLGKFESWNPSSDVQPGQPEFYQKQVYKHGTRCWNGPERNVVLRLSCGVENAL LTVQELEKCEYEITGTSPALCLPPEEDKKNGNGKKKDEL JR316_0005190 MAKAKAAPAASSGGKSAKKKKWSKGKVKDKAQHAVSLDKATYDR IMKEVPSFKFVSQSILIERLKINGSLARVAIRHLERDKLIKRIVHHSAQLIYTRTTSQ E JR316_0005191 MIGFNVGDSSYYIGIDVGTGSVRACLVDNSGQVKSISTQNTKTW RDSHDSNIFEQSTTDIWAAVGIVIKACLKETDFEGEPIAVTKGETLGRHGERNVILWA DHRAEAEANFINGTGSIVLDYVGGKMSLEMEIPKILWLKRNMDPQLFARCQFFDLPDF LTYRATTDSARSCCSLTCKCSYVPTTGWQKDFFKQIGLQELVERDYAQIGVREGDEVL IAGMPVGQGLSNTAAKELGLVPGTPVGSALIDAYAGWLGTIAARYTENGVLSNEIPSI EESGRRLAAVAGTSTCHIVQSQKGIFVDGVWGPYKDPIINGWWMNEGGQSSTGQLIDF VLTNHPAYPEAVKIGKEEGKNVHTLIQERLERLRTTYKVDTFTELTKDLHIYPDFHGN RSPIADPRMRGVMFGMELDNSLNDLAKKYHATLMAIALQTRHIVDTLNSSGHQIASIF MSGGQAQNRPLMQLFADVCGMPVVLPANSDQAVCLGAAMLGRFAAEFGNSGKEEQAKK LWEIMVEMTPAGTMISPSCDAKERKLLEAKFKIFLETIQIQKRWRQEMQEASKRDQLP LQECVPYVYCMVSQFGVLLLLLKHQDHWQLILLTMKIYMIVSLDDRSLYYCQSKSE JR316_0005192 MTSTQSYNNLHLPQEILDLIIDEVARLKPVLDSQAALRTCTLVS RAFCRRSRKHLWADFVFYMDRSSRKRATDLIHMLRRRDNRSLVAHVRSMQLVFNTPVE DEQHRLVRAKNTLSKAIKRPFQKYFKPQYTVLDVLQHIQNCDFEHFSVNSLEQTRPIH WTKDEFSKVIKPTLLEVLSSNTRIKSFSLCNIGSISKQMVAAAFFSPEMEDLTMRNLQ FGEDELVTFTVERDCVLADLRKLEMINVPMLPLLSLIHLLVPHSWPSGSLSSSDFTSS DLEPQPLFPRLQTLVVSVPYPEDMNPLWKLILGGASCLENLEMEYHYRRYGIPELGQL SLRRLTALRNFRFRTVSPDDIVWVEASQRVLLNIFTFPCFTEKLRSFELQYLFSAAWD TSDHTNGKPLERLRNAAHWEVIDDWLVSDHFRSFETLQLSVKVVHSMGGSDAVYYIPA DEMLAAALLMFPKMTAKAHIEVIMEGQIFFRLNPTM JR316_0005193 MVFSNLQPHEKEAFFSLLDEYFSARPEIFANAAHSDAPPSTNTP QGAAVSAVGRAMASNPEATAKFMSAGLKQISSARSSGTSAGGPSSSAYASAGAGDSDN EGQAVTSVAGRVAAFANARNNQPTPSSASSSQIAEKPSSASSLVSVKKFGNSVDMSSG KGFIGSLRSKPASPPQVAVPPAFAPRQNNFAPPPRRTSSSTSTPTPDPAAATPPPPPA PRFQQPKYEEPEPEPEVHGEWAEALYDYNSGEAGDLVVSEGDRILVVERTSDDWWTGE VNGKKGLFPASYVKLL JR316_0005194 MFQDEPSVAANAERIRQIYAELPGVFAKDVAKRQQMMMMMGGAG ASAQGMGGMGGAGSPPASAGASGNPGVAGAGVGGNMMGMGMGMNVNMGNMNVGGMNPI NPSTAASANMGAGMANVANMAILGGMNHAQNALKRERPADDSLLGIGGGSGSGGAGSG MGADGMGGGVPSYKRRDTGENKISSGPGASSGSVPPSSTSPAPTSHQQAQQQQNQNQN PNQHRTPTPSGNNTNQNQNMLMPPPSSIPNSASSSASTPASATSSSSMGLSQQQQHFQ QMQALQQQQQMQSQQMQQQQHQQNGGGSGGINVNVNGPTQFSLPMSGAVNGAGQGQGQ QVQLSPRQQNQQQQQPPRPPSSSSRASPSSASHHHSPSHQQQMQNAGQQRQQPSPSPS ASGSVAGPSDASTSQQPPQHQGINQQQQNTQALNNIGINLSEAQTNAQTRERQRMMQV QMARQQQLQQGMGVGGMGGMGGVSGGQGGGGGGGMQGMDMSGVNPSAMGINMGMNTSG GMNPARLGGVGAGVGAGMPGGGPMDPARLAAMRQQQLMMQAAQQQQRGQMQQGHMDGS GGMGGPRSQNADMIGGGGGGANPMVAGMRRTSGMGGDGGGVGGAGGMMPGGGPGVRGP GGNMPGAGGPGPQLPITPAQYQQAMAILNNPNHAAYQYLQNNIPNFESMPVNAQIQRL IVLMRNMQKPDHASQLQQQQQQALSAMNRSSGSATLPNMQMSGLSPQQQMQLQQNTGG TGFMNNGMGNSPVSPVQAQSLANQQNQMFGLGGAGGGGGGGGGGMGGMMGGGGGSGPS GMGMGGVGSMDPRMLTSNMGMQGGGMGLTAGAGGPGNLSAQQAQQRQLMLLQQQQNRN AANVAMGMGPGGSGPAGMNSGGGGGGGPQFGAGMGGMGSGNVSMTLNQQQMIQERLRQ QQQQQLQHQMNASSPTHAGSPLGSDSGFAGPSSAASMRSGSTIPGIARSTRSPSDGGA PSPLTSRGPPSRVASSSHEDYQRMLVQQQQQQAARAMSSQSPGFNQQMMNAAQQQMLS NQQQQSNYGMSPPGSASGTPFLGGGGGGGNVSAPSPTNSQNWGTPAQGGGGGSGYPFA PSPVASDHTRHMSATPAPQQQHINPQHTPPSDQILPNDFDLFNWNA JR316_0005195 MIRLDHLSPKSAQDHHHHWDDTHTHDFLRIDGSDSKPAVVSIQS PDQNTPADSNSLLPQPQENEAPEETPQKEEEEEDGDDGQVQREQQPGDSSDLPSTTTR PTTGHSEQPQPASEDISNAQQPQQNDESSRVSTPLSEPPPDQDDENENENDDDEAPPE TATTSSSNGIDHGHKRAHVDDLQQSESTTQGDGEGSSGGQGQPHEQGAGAGGAGTLLD SMDIPLGGDDISMPMDMPAPLDHVEHAMNGIDMDFTDLGMSMTGGLLDNHSVGIGGSG NGGSGHGGTTESGGSSSSNHSGSGSIHFGGGDHSTSNGSASHTATGSTPIPHASSSSS LLPSHSHPHPTANPTPTAGPSPASQPNLDSNHSQHLQHQPLHPPTPSSNLASSSSSTN VNDSLSSSSFASSSINPASANAMNPPSSTTQHSTTPTGSSNATNTNQSSEDPRAALIL DLNVELFK JR316_0005196 MGRVIRAQRRSHAIFKSHTHHNKAPARLRNLDFAERNGYIRGIV KEIIHDAGRGAPLARVVFRDPYRYKQRTETFIATEGLHTGAFVYCGKKATLAVGNVLP VAQCPEGTIICNVEEKTGDRGALARTSGNYATIIGHSPDDNKTRIRLPSGAKKTVSGD ARATVGIVAGGGRIDKPLLKAGRAYYKFKAKRYNWPRTRGVAMNPVDHPHGGGNHQHI GKASTIARSAVPGQKVGLIAARRTGLLRGTVKVKEV JR316_0005197 MASIQTRNHSTLPPEIINLIFDHLANLDESPLEIQAALRSCCLV SKSFVYNARKRLWKQVTLSVDDTLPQRCNRFVRVLEQMNLDDKVSLIRNVQSLKLLFQ EPIMGQRLSGQKERESVLRSIKRLFGKQPDIVDVFQMLENPNLTHFCLAAPEGTSFLW AHGVHSINPAILRILSYPNLKSFSLCNITSVSQEIVTAAFFSGSIQELAIRSTFVGLR RVIPPGIEITSSLADLKKLEMIDVSVPILFRIILDLLPSANVPRPFFPHLHTLIISVP SDVDDMSLLQEYVLAASASLETLEMDFHVRHRYTYSLPALEGLSFSGLVSLQCFKFQA ASPDIIALLEECQRSFLNIFNICDFTNKLKTIELHFLLSAIYDYSSGTSLEKLEQAQH WHTIDRLFVSRSFYNLELFKITIEVRHARDNSNETHSISTQELQRFAANVLPRISVAI QIKLVIQGRVVFCENPKLLRNRTFFWMSPTGATSPTPGWGSLQPFHHVGPPGPALTAV ALFGGLSIAGVASVRPHLPLHIARPPSTLIRISPMGYLVLEAGFSYLEWLHIVSGSLI DPINYNISSNNQPLYHSPLIIPTNNFIHSKDLIVPPSKEVAAIPAMTKDLIVPPSKVL SVVPAMTNDLIVPPSKELAIVPAMTKDLVLVDLSPVCKFEYNGSIPYRKWPERIVAKA LAARKAPPMTCPVQIPDSFRWWRQCDNGAHREEGSDPSSSITDTPLDLEGSNGHVTEP TRVYVDENDPDGNPDDDPVTIGQIYPFPSGILIFLIMILMAILFSKICKYLRKYLSTT KRRIDITGFFLFFILFGPGADKRRSIIAHFLGYEHILQIALSVITAQHEEEHISDDES YSTEQDQDREISHCMFALPTQVKLNMNANGPVFVNHSASKWKGKGKMTDVLPGTVARV ASLGAKVENATASSSRPMKTFARLYIHPKRSHPSPYKNVSIFVQADNPNLGFICYNRP DKTNPDSRSNNFHLINYSVVQPAQPKEPGRSRKPSRRI JR316_0005199 MNEAGEYAVFPLTVFDRLFERTTFVTGWLIEGTIDTAALVSALQ RVTEKWRMLSGRLQSTEQNNSVQWFLKIPLGRLPHDYATFSLTTSTSTTPLSKYVKIP IPSVSTSLPLDVFLHPSTPKSYTVWESSNHPLTCWNITYFPAASNGGVDYTCIGFARS HGIFDGGGAALVINALVAELNGRDWEVPPLPQAGLNVNPLDDVLARAAQAIQYSTPAD AFPAYTVVGPGGVLKLAASHVKERFWAGADRRIILLPKVVLDTLVEEVRSSLRNGQKI VEHVTTGDVLVAWIFKTVYASGTKPQTKIHCTNFANFRTILGSKYAAAQTFPHNAFVP LPYPILTVSDVQSFSLSTLTNLFSASRMSLSMEHVVSGYKLLHNNCFPTPPGADETMT VSNVSASRILAADWSAVGSRRTLCGYRYQATPTDVLFTNAVYISGRLDDESTVLDVTL NKARYDLLSGQVQRLATRIARNQKYSKPEKRGKK JR316_0005200 MATTEPEKIWTEKNSRHSVNIGSSLGRALKNRKNKDSPAPAKKS ALPERDFFSFKFNFKPKDVDLTQPATLDIRQGAETTQVKVEYPSTTNPEIAHPFSGTE IPAKEVDCILMYDTETGTYTLEKMDSFVTYTYEGKPVASRRTASPSPGASIPSSSTSA ANTKQDDYKMTLEDFGMEEGEFEEYDGTKPIPISYIEPVKEEEEEEEGEEIEIPLHQA RIQKPPPPTVTRPVKALPKPRAKSKSPPLSKSQSSMPPPPVPASALASASASSHPAPS IKTKPAPAKTKKGKAPAPVPAPVPKPTPPAPMPLASYPSEEIIEIVRPIKKQKTSTPQ QPPPTPAPPVRSGIALPDGNSGFVTLPSAPPAPPPPAPVQEAESSDEEEDWEPVPTAT PTIPQASMSSFSHHTLDLEDQLEDEIFGDGFGDEEGEGDGDDSEIDPDDFARQMEEEE DFLGAAMSPAPSEQTRRPISLNELAGGVPLGDSDDDFSSSDDSEDD JR316_0005201 MQFTSSFVALVAAALVSAAPARFYGKRAPGATDILVYKFADVLE QLESTFYSQALSKFQDSDFQAAGFTASQIAIEQFKVIQSDEATHSTVLQAALKSFGET PITSCKFNFDSALTDVATMAATARVVEAVGVGAYLGGATLMTDPVLLDSAASILTVEA RHQTILNLLSGTGTAVPAAFDISLTPSEVLALAGPFFDGACDLGIPANPALSLTNTGV VAPGTKLSFSTPAITSANDTSKLFCQMMLGGQPFSIPLPFDNCVVPDGVNGPVGIWIT SDGQPLTNNVRDRATTQLVAGPTMAFIDTQPQMLSQLTRGSASGSSGSSSSDSAGAPA ASSVSTATISPAEASSIIASASATASAAGPNATASESTPQTDASVSTPATPGSPNTAT GPSSDGSINVVGWSNI JR316_0005202 MVFRSLCRVLAICATVSLAAAYHGSEQAKFTVASQAGTASYNYN SSEAQSLHLSSIGSTDFTTLSHPAFPNTRIRVKKTNFCDSTVNVFTGYLDVDAGAKHM FFYFFESRRDPEKDDVIMWINGGPGCSSATGLLMELGPCSIDMQNNSSNGTVWNPYSW NSEANIFFLDQPVGVGFSYADYGETVETTEDAAKNVHAFITIFFDTFTQFSGRPLHLS GESYGGRYLPVFASEIYDKNQIALREGGSIINLQSVLIGNGITDISTLYQGRYEVECG TASLPVPFQSISTCVRMKTALPRCQKAMRQSCVDRFDSINCQAAVNFCDSELSTAMHA SGRNVYDITKPCEGELCYREMNVIKTYLNLPETQELLGVESPYNFEACSRVVGQNFAA HLDKWAVHTQDYVANLLDRGVRVLIYAGTYDWQCNYIANQLWVDKLEWSGQASYADEE WRDWTVDGVKAGEVKETPLLTFATIRGAGHMMSIFL JR316_0005203 MLVLYETAMGYCLFKVTDTAKIESADLWKEFQTPETASRLLKLK ALHRFTSTATAVEDITSLQQGKLGKGLKQFLTEEVLDKGKGKEALVVIDPHLGRAITK KLSINVNAMAEGSHGDLWRGIRSQITALLEGLDPKDLATMSLGLSHSLARFKLKFSPD KVDTMVVQAIALLDDLDKEINIYAMRVKEWYGWHFPEMAKIIVDNVAYAKTIKLMGFR TNASSTDFASILPEDLEAVLKAAAEISMGTEISDSDIAHIHSLCDQVISISEYRAQLA EYLRNRMNAIAPNLTALVGELVGARLISHAGSLLNLAKHPASTIQILGAEKALFRALK TKHDTPKYGLIYHASLIGQAPPKLKGKMARMVATKAALSIRVDALTDADGKSDEMASS IGIDNRTKLESRLRALEHQSEGGAVRRFADSGKKQQRFEMTGETKTYNAQADQVDLVS TQRDDPMEAAVKAVLDVKEEKRKAKEEKKAKKRAEKEKNADSDAMAVDEDEEGDKSKK EKKDKKRKRRESEANGAGPMDEDEPEQPKTEEEKKAKKKAKKEAKAAAANGSESPKKK KKKSD JR316_0005204 MSKFSLAPAPVIILTGANGGVGYGICQRLLFQLCQLNAPDSLPQ HFASNVKSQEKAPVGYRGVTLIMACRNKQRASAARTKLLNWLENQRSTLRGLPNYDED YTSTFIANCNVHIHELDLASVSSVLRFSAEIRKTYPYVSHLLLNAGVASFVGIDWIQC FKQLASGPIHAITVPAFYTQHIGEISGDNLGWVWQSNVFGHFVLFRELEETLKKSPFE STRVIWCSSLEASSHFYDSADWQLIKTDHSYEAAKYQIDLIANCLDLIALQNSETTKV TRHFVGEPGVCSTSISKALVSGLLDEVKVIAFYIARLFGSPHHTILPWCAAVTFVHLV LAPLCYLPIFLNNDPSKPVRFSAQTGRWGDEYVGLTEVKEWEKHKEEGYALIKKCDKL YESFKQAEAVTQDI JR316_0005205 MTSEAKTQATISSLPQELIDLIVDRLADLPHNQTTYHDPLQLQD IIACSLVSKAFRSRAKAHLLLRLHIRANEGFKMQAESLVSRIKNTSSEYCADLIRSFC CAIINSNPAQSSGMMLSMDSQGHHKYLPRPTPLDRIYTHLGRHFTEILRGISDPAHKL RHFSLVSLSELNHVDHGAGEPNSLAFQLAFVSACTTVNLHTLHLANIADIPPSLLIEV FCLPFLEELTLNNLGFSEETEASLMTSDVTIRQSGNSITRTLAPKLRRLEIRNICYLG LFNLLQLNSESNPPSSSSFALLKTLIISVPFDEQKIPIYGSLREIMDFMSWMPSNLVN LEIESYAYPTGNQLSLPYSSYTQR JR316_0005206 MAPRSCALCHKAKAMVKRPKTGQQICRDCFFYVFETEVHNTITE SKLFNPGDRVAIGASGGKDSTVLAYVMKTLNERYQYGLELFLLSIDEGITGYRDDSLE TVKRNQQQYDMPLKILSYDELYGWTMDAIVSQVGRKNNCTFCGVFRRQALDRGAAMLN VDHIVTGHNADDIAETVLMNIMRGDIARLGRCTSICTQGEDTIRRSKPFKYAYEKEIV MYAYFKKLDYFSTECIYSPDAYRGHARVFLKDLEAARPSAIIDIIHSGEAFEIKEEIK ATQKLQQTCKRCGYMSSNELCKACTLLEGLERGMANSGITDRGRKKMESEGPAPDNLR TIPFFKMNANANAGNADAAAVDITVENAFVG JR316_0005207 MPAKTKPTLVQTLLNRPSQSYATQIQAESGPKKEYYSTKQEMLR IAAAAAREAADGGDGQLQRVHGRRRERGKEDFESSSSMSTSTRMSENDRISAAFHPPS STSTSTLSSHTLAKPLYRSTGPPPPPRHHHQNHHHEVDDDEEGDVDSDLEEVFFTPNT SPRTSMASSVATLTFPSLSARKPSRRSLTAARDSHSHSHLPLQLPVDAPSASDSAGVN PTPHTTKTPQASLPQGPHAAVPSTRTPSSSSISRARHAHVLSTASTSTMSVSSTSLDA HSVFSGFVDSEESTVTVLTSPYQSDEMGSGSGGRGKGRAGVPVPVPATATMIKGDRGG TAGASGTATATATNGRARGYAHQHVEPDKDNAKGKVKAKEVERERAKEVENKEREARK TTQPDAARSRANPSTSAIANASNSKQQAGAPSAWAERSAKIAAAAAAASKAGAGAGKV DAGAGKVDVKRTTSSGSASRDANAKGKEREREREREREKEREREKKGVGAGAGAPRVS SQSQSHAQNQSQAPQTPTQTPTQTPTHRSSQPPSTSTSTLNQSVPSTKTYPGSPSSPS SASPYSPSPYSPSPSQSASLSSTTTPTTTKKQPHTFSAFAALPASSPVSGSGSALGSG LGSSSTKGAGKTNGHASAGGVGGPSTPSSGSKSASKVNGHADANGDVNAAVPSSSAGA GAAPSSAAAAAAAARSSSGKAVSPVPTSSSASTATSTATSSATRQQQTQKQPQSQPQS QQPQQQPRPQQRKKPAVYKPPPSTMLGMDALLEEDEDEDADAGFDAYAYNGNAYNGNA ERGEGEGRYEWSPSWDEGLGAGVGVGTGGGGGGGGKTLRHTRGRTAQGHGQGRDASSS SSSASSHSNSQSTATARHPKTQTQTPKTQTQTQTQTQTQTQTPKTLSDEVQALHTSFG PPGGDRPSYGTQGYSALVLPRAPAPLSLSLAHLGDDGGGGGNAFLGNGRGHTNGLGLG HGWRNGHRHATLKPLSLKTLTTLALSPEGRVDLTQSGLASGTMASVEVVRGLARARAG GGVGGVGGVLGFIRRRAGSGAGVGVGRSRAASVGVGAGGIAANANANPNANGAIPSTS TMTSPLSFTSYRAPPNYVPSGSVLVQVWAVGVDGVDGRLVGVRFGAGGGGGGGGGGGG GGGGRGGGAGAGGGEGGVVQDEGEETEQETEMETETEERRAGETEAEAEAEPDTEEET EEAQYYSTPPPMTQPQKKGGFAALGRSLSLRLSRGNGNGNGTVKQQKDKEKEKPKRSA SAVVGALRAGGNNASASAGASAGANGSATMRGQSEPPQTPPRHKDGAGGGGGGHKRSL SFSLKRGTGNAASLSSPASGKSQDQQGQKKNILKKEKTRLKRAQTVKAEVGYIPGRSF VGRVLECGWEVRDEVVRKGEWVVGLLDVRKAGALAEFIVVDRRRIHRVPQPSGAGEDG RPMWAPAASSSPTTIPLSLEELALLPLCGLPAYRAVRTFLYAFSSARDREGLSAPSAA LDFVGNGNGNGHTNNSAMRPPLSPHNSSTRRGTLPEHDADRRRRVLVLRGHDGAGAMA VQMLAARGWRVSVHVPCSAMPVRARVDGAVVDRFMQVTEERARVWGADEIIHDDGAPG GAGADVGVGGGYGEGYGDGDGDDGSAAAVRLMEMIREEGDVFDAVLDTIGGREVREAA ERLLRSPGGRRDHGQFTTLVGDAPHRVVPSAGDNFRAGLRALRVGGAGAAVDSVGGGG VDESAGGGGKVGYAWISVAQDVDWEGVDVGETLGTVVRLAMEEGLRPVLDDMEYDAED AGVGVGVGVGAGSRAVRFEDAPGVFVDDGPLRDGGTVVVRVAV JR316_0005208 MLTAATLLGGMSLAGVPSVRRYIPVSIHRSPTALARISPMGFLV VEVGVSYFEWLNTISKPFNEPFYTNTDINTNTTSDNYIIPPPPLFTHTKDIIPLPPKA LSNPSRITKDLVFVGHAPTCRWAYSRDPIDSDDQPGLRRSARIASAVLAARETATRTT SAPNARPACGRAPFVMNGGRRGGASGGGGSGPGGAGARNGATSGRRGRRRGAAGAPAP SPPNPPSTQFDDDGSDPDDDPEEVGWVYFFFFAVLSTLVGIGSMPKVKPPTTHIDEFN GDLVVSKPVTHPFSRITRMDMTSFLLFFILCGPGSERRRANMAHFLNLQDYNINNFIL QPENHSISIQHMDQSVSTTTPDTAEDIVKSVPAATENHDNNGYSFASDHAFYADSEPS GSNIEADLSLALTKTCEQAVEEESVVLDGAVTRWKGKGKAIAGMDGAVLDSASVTELE DAVNSEHVSTFSRLYVPVYKYRRTYPKTITVFAQADNPAQAFVHFHLPNHHSNNRVMA VDLSRRKIPFLAKRIATASDGDVVEGEGDG JR316_0005209 MARTKCFAHKSTSGKKPRSSGSEPMSSGSEPKSSESPPPKVKKP IVESTGRRTNSDSRWARKTVNQNRPDPSKVVTARKMANKRRFRPGTVALREIRQYMNS TELLIRKLPFQRLVREIATHFKPDLRFTPTALLALQEATEDYIVSVFEDTNMAAIHAK RVTIMKRDLFLARRIRGDLTRFGMA JR316_0005210 MAGPHTLPAVRMTAEGEILIQEGVSLELPARSKFGVSYAPSEGH RNTVMEGLQQSRSTLPPITNSSDDEQHDSEAEDVAGNTTSPTWKVAPPPTSPTAQVPM RSFADVPAPGISRALSMPLPSQLSQLQNPHRPGRSDSYKTSSLSRSSQDDQVREVSVE LADSIQLVIQTMLQISPPQVLDPAKEQFSACALSVPTSSMSAMFTAMKNINYISANMS ALCEQASASTSRPMGQENPPSPIPTITKVDTDNEFDIGEMLQCVGDALSGAAAHAGVD LVLYHGDVGLKHVYVSGNESGISFALSHVVRQVLNTAERGDSIEIGLLVSAVSNSLAD HDGPTESPPVDDMLSAAPLESNGPVKVKLRISHKYAPLDTHQDTDRPHDVVTTETRVQ PTFSTLLLRRILKQIDGKLTADLPPPEAFTSGRTCDLEMVLNRAPVPAQPIPLQPFDD GVNPEPTLEQLSSFGETLKGRKVKLYASAKGSFAHHLTSYLTAWGMDVTHVSPDGQVD GLTDATPSGQEESLLTSQSPLLPTYAGDTIPNLQIKPDTSAPVPATPNFIFIDDDIDI LKERLQALRFENQPTMVNNSRKRPSLSSNHRPKSSPAMARLATFQSNFMRSPPVVIMH FTSLSNYKLVRDVMQSIMHSYAVTSTPLPEVMIIPKPAGPRRFLTALHTAVTKPIVDP LFMPIATSPMSPGTPNASGSFFSPALSEHSNIGPNPDSNPSSQAQSPLVKNLSRPAGS ARNNSDRSARSSDSVGNGPSILPPSPLALPDNVEYFSVAAQKLGTSPSSGLVIQSPDG QTAGIYFHPRSKSGSKHPVTHSMERDKGQLVVPGPRRVSVSRVSSDGKKDDNVSFSSL HEAHQLAGQEEAKPVASSSTMDPSPNRSTEDQREQPSFAASILSPVPRKASDEIRKLA SAGSAPTEGTPSIRRTAKRSETKDGTPVAGAKQKGKVMGSDNVVPPISVLIVDDNPIN QTILSTFMRRKRIKYDLASNGQEAVQKWRTGGFHLILMDIQMPIMDGIQATKEIRRLE KLNAASGYPPLSPTMTDEGNTSISSGASSTSETRSFNSPYRSSVIIVALTASSLQTDR VAALAAGCNDFLTKPVSLLWLNNKIIEWGSIKALQMWADLRPDGVRSVSSGLEAQARN VAEKLHVPVKERPSPSSSSTRRSTSALEDNAAALALASSSGTSHNLSNVPPSPLSSSF MVPDRPRSRSSNISTSGQNSRSTSFDTARSSFETRTSIEMDQDSTPKPSTPETKTKYR ESGMQQKRSTPPINLEHTDDSLQTCSPSTSTASKAIKAEENGFSKPVDADEQSTSPNA SVASNDSNGFYS JR316_0005211 MSSWSSSYPPPPRRSRSRSPPSRGYSSGRPPYSDTYSENYRPDW DGRDTYDRDRWHDRERPYDYGRRGRSRSPPVDETGRKRRRSMSPYDRERYDPRPRYND DYDGHSRHGYSSPRRHQPYPPSRRSPPDPHTFDFPATLKQYADWFRYFYPTQAMEEDN ADKAAEQEAGDGSKPRNGIRSRWEKYKKEFAANQLQTMFEHHRKSPWFAEKYDPAPEF ESLRARVRKEGWKGRLNSFLDDLESGKFDPNLREPEAEPSSPVKESANGDAAPADDTK AVPSADDDMQFNVDGDEDAGDQEANRPDANGKTFFDNKRGNRGEEVSVEAEGNQVMIR TIPPDIGRVKLEEACSKVPGYVYLALGDPLQKRNYYRAGWLRFRDDADMAAVVTELSE KKIEGFKLHVTHNTRPFVNKVRYTPEVASRPERLEKDLENAKTLAGILEEQAAKLRAM NTSAPPVSANKNGDASKAEDQDAPMAPPVEEEEPEPEPEPRESGSAAVERRIEKVMTD MREQGLVDANDEKAYREKKVVVSLDLYLAYLRAAFHTCYYCAVVTDHLEELQRKCLKH ERKPLSKALLEELKLAEAEKEKKNQEQDQDKEMPVDGAEDKTKVKEKEKENGKVKHDS RDWKRNDERWLEWLDSKVALLINRDGVDPRAYGGKSYEEELSKAVEPFLKQEDEGKFR CKTCQKLFKATSFVEKHIANKHPELVKSLDEIPYFNNFALDPHRIQPFAHPPATVGNS SQAPPPQAYGIQGPSPFHAGGGDYGRGIPYFAGNMTFPPGGHPPYYWDPSHPAGYPPG MYPARRDDTNSNRRLSDRISGFAPESAIIPAAAGLPPKPTPAALDSALVSGNPAMNRR NNRNNSLSSGPPPPPPPDAKEDPRAAGGKRVSYHDMDLVAEGDVELMY JR316_0005212 MLDEPKTNQKNVLKSLSADESPEAGPSRVSSEEPTEEEHSQGEE EDRQTEEEDGSEDEFDGMDPEGFSGERTLKPLTPEALAAFKAAQDRAGVIYISRIPPG MRPAKVRHLMSAYGAVGRVYLQQEDAKRAYLRRKFTSTKKAHYTEGWVEFKDKKVARS VAEMLNAQPIGGKKGTRWRDDIWTMKYLPRFKWYMLTEQVAHEAAVHTAKLRVELSQS KSEQQQYLKNVELARVLEKRAAKKKEKGEEFELKPNPQPKKRRHEGEQKEHTVKKKKE IPLDSVLNSIF JR316_0005213 MKFNKPDPPSSRYLQDIARDQLPPPSLHRSRHGSIQDPAQDYTL PHPSNRRGRREYFQDIAYDKSPSTSSVNRGRKGSSAAHTIDHNTTPLPTSVKRGHKGP VQDIAQDRMSSPSSVKRGRKGSNQDIAQEQRTSPTMTKQTRKGEYIQPPIQEFIIDFL SVAQDLSKESLPSPLPAKKGRNGFMKFLSKAVPCTSAKSVVEEPSVICSPEVKSVSPS PPSQSEVALSRNKFSTDSIPTRGSFSISVRESLLPQFSPEFNLMPGSSRAGHRWPSFM EIETPVLEAGASPRNIVPSPSSSLSAGRRSIHAIVEDADSEPVQIEPSSGNTITTEVP ADRQSDHATNTSSDEEPPAPTRMSWADILAAMRDNAEEDASSVPVNDLEEFLSAFGLK VSKKEPAVEEMSLFQLNKVVTDHEERDAQTIMDWLVIHPEATGFMSSYPALTRLVEVD ADTNEIVNTTSFVNITADLEGEGMGQTIGSMDSLPSVYSQQTSPTHSVENIPQLIFTL PTETDLALDPVMEEETVPAPELLNVAWTPTPMRRRRVYSVTPPKRRISRLRKVASAPD LQLVAAGSSFDDSDDEDTVPSSHEVSGISSTVWTSFSIDSSVDANDSSTMTVVSDKER RKSDPNVGENMLVANLLPDSSLGSFSSNRGCVSVIDAGTATTDPSTASLCVTDVVARV SADDDGVSLSGFVSAPSTPTPDTHAFESTGNEAVEASSGEAQVRSRPVGIYGGPLNMA SLRSQMNKVEEIIIANASNRASMSAPSTPQVQSPGSPKRDSQGATPKRRGSMGYKPRA ITGIRPLMLPMRVALRDFDDDGNRTVTHPPGTVSPEDSPRNIVPF JR316_0005214 MDVRLEHNLNLRTWHAASNIISRSTNTHRRPRVYYKSLPSIRLF LRGIRIGLSDPEWNLRIDEVHPNQTPVSVLPPTPPISDLVLPAKQDPKKPILPRSVNH RNELGTDSDDDESRASGFVVDPTVKVRNWSFHPSDLILQMMLSKYPEAKVAFVDDYIW SQCLKIDKPIDCFQDSNDPLTFRCLQEGFVSDWGALLENLFNSYRIVETDDLVASQFT KPTEVKEIFDRKDSDRKEISWKSTLKDIENQGTPSLDVFIDVLTTQNRTPNPYDIIHQ LATQPLSCNKHVLQIIID JR316_0005215 MNIPNFSDGSGSIDREEFLQIPQIATNPLASRMIAIFDEDGGGT VDFQEFVGGLSAFSSRGGREEKLKFAFNVYDVDRDGYISNGELFLVLKMMVGNNLKDQ QLQQIVDKTIMEADQDGDGKLSFEEFAQTVANTV JR316_0005216 MSKVNQVRGRHSLSRRTIGPPLHILHELRSYIGHTVNTDLQTNR GMKTYILCFETKKKEAQTEVEEDKNQELNDKLSIGIDGRGTAAHSSSKSGRHQFSPLS IPYPIYCDRNFSNLVATTAQKETIYALSTPAGKGGVAIVRISGPDALEVWKSMLHSHG TNKPLREPTPWKLQRCRILHPEDGSLIDDGLAVYFRAPYSYTTFPTVELHIHSGRALI SALLSALSSFPQLRPAEPGEFTRQALLGGRLDLTQVEGLHDLIEADTEVQRVWALGGA GGETRSEYDALRNKIIHCLSQIEALIDFGEGEDIEAGVYAQAQIEASELLVTIQKHLS DSRRGELIRSGIKMAIFGPPNAGKSSLFNYLANRQAAIVTPIPGTTRDVLELTLDIGG LPVVVADTAGIRATQDTVEVIGVQRGISAVKAADVSVCVLPLPEALAAFDNGKPIIPE DIQNHVTSSTYFLFNKTDLVSDRDNQDVRAVYRSNGLAGRAWSASLTDGKGTHAFVQG LSTALKSQFEIDDLKHHAPLITRARHRVHLESACRFLEAFLALPPEDVVLAAEELRYA AQAVGRVTGVIGVEDVLDALFRDFCIGK JR316_0005217 MHLQRLILLLVGGILLGLTVSSVDAKPGPVKRFSIKKKAPPSPK FETKAQKYARELTTRKPTRRSTAMRRQTSPIPTVSATIGATDEFGTSIGSLVYREQTI EWAVSSDDTPAILIVPQAGFSATSTSRVQTSTLAWSSCAAMTAQEASTLVLGSGSLTA LCLRNVVEPAGPGSPPKTIVVTEMGHVWVYETDIWGPGVVKWVNHDFSTTDITTVSVT SSYHYPDGPQLLGLPDVSAYIGYLMSIQIAAGYPLDQYPIFYTVVVISSFIIYRTLKI VQQVKKRMLYALPLTGHDSDTKLKT JR316_0005218 MSQGITQTFGLVRTVAYAVATLFALLELALGAAIINWTETKLVL GGYFSFAALAIATGILTFLTLPVMLFFSRTRKGAIVNLVIIEAIWTFVLWVLWVAVGG SAAGTNFIAACDNNFVVDFYADIGLKTVCGEMKALTAFGFLTWFILLAFNIFLFFLVI RQHMRGNSNVWTGYIAETDFDHEGAGAGSGVVEQKISPTFSPQYPPQSPPAGTPQTQT TSPYPQV JR316_0005219 MLLCLYPGPRVHRLPQRTDFKLIPAPLDLSLPLPEKSPLPAIIV TPSSPTNTRDFSIAFLAAEPKPTVRQRLSSAKILSSPSLRLRSVLFVLVVMFILVCHL VTHSLAARHARLELVVQTGEVHIVEGSNKWFDIASMLTRQAPVDDTLHEIEPVGEPDA Q JR316_0005220 MAERHRLLLQSPQLTEKNTPRSTTRTSTSSGYILGLTSLSSFYA ASASAPFNVIDIIDKSTLKHVQTLSGHEVGTTSVHTTLNMAGFAKEYLVSSGKDGSVK VWDERSNSHSIKMTNLGDRHGLLCCDVSPDGLTVVAGTELKGEDASILYWDPRQPATP VRTHSSTHSDDVTTVSFAGSRDPASNNNILLSGSSDGLICTSNADEDDEDEAVIQVGN WGCSVSQAGWIPGASSDAAGIWAASDMETFSTWKSDLDQLLSLDIRSPVLHQHRTWVT DYLITAHSSSTSSPKLGVFTGSNEGDIALLSNMNTSVPDAPWCLHKLWTHGHVGVVRA LVWDEKNQTLVTGGEDGKINAWPIQPVDLDPGAKEEDNEDDSDDAMQVDPKPRKREYE ADGDRKGKKARRHFNHLALSSVGSFFTSISSSKSSNEGNDSSSDGGSTGKDLDTTQQS TTLGLTDSCIAQTENDTTPHASDCHSLGQDYYWNHQFTTSPDNNVPFNGIHSSTKPPH KAHISTFLSESQHSFGGLSRMRHLFDRNDFCDFDGASNSITVTAMNSAPLASIAMFPS SVPSLDGSFFSTPSESYSIPQTHVRFGDSETPPLTPDLAGKNLGPLLEPSLSALCPSR FSSRFTILDASENIAAEDVEDGAIYTSPYLYEIKEGKKPERINDVDQDIGCDHNSESL VATEGPDEWYGMEYTLEMSSRERQPLELQSQSFSGGEHSRSRESWAAIRRGTVHPVYE DEDYHRWKNWHRYLDRQAERKRHKRGYEFKSRSKQLAWLYVDEIRTRDIMYWQKEVFG VVARDVKDRLQYLVELRPDPFFPPQKHDWSWCLKRSRSVACVQELKMKSNF JR316_0005221 MNESLINPFTLSHPTAVQTSLFAGALFAKFDPTGRFIASGRLNG SAEIWDLETRAPIRWLDGHVKAITSIDWSRNSRYLLTSSKDWNVIIWDLSSTYDPPQR QKSLRFDVPVVSASFHPKNSQIVLVLLATGEAYVCDSRKQHRTRTELLEPLPDEVEED EENAGHGTQRAGMTVARFDPSGKNIFVGTDNGYLLVFNTRTKIMIGRHKISGAGIMKG FDFTRSGRRLVTNSSDRTLRQFILPTYPSLPVDADLHNPPSFLDVELEPTHRFNDPIN RTAWHAMCYSPDGDWLAGGAADPAGHKIYIWDISNDGAFASALDGGREPLTYLHWHPS RSSFASTTNQGSILIWHCPNPERWGAFAGGFEEVDENVEYEEREDEFDIEDEAVQFER KMKAEEEEVDIDTVVTGTQAAVVSKGTHSGNMGGAATPIGTGNGHTMDLDKLGSGMDE IIDIETSWAEEEPDLDIPGGWKMKVIMESSEDY JR316_0005222 MTVICDRISICGTTPFWIQNHARADFFALPSLFLLTPPIPYTSL DGFATLLHILAIHSLFVWPMAEQQHSQRRHPASLLPLGEHNPHLLALVQRRVSMDMIE YVARQASKVIRIDGESEPEDSNVPMDSQPLPTPPQTPVKVKPSVQVPLKDIPGEKPQP DPVPLLSLESFILHLVRCSNVQVATLLTTLVYLERLRTKLPTMAKG JR316_0005223 MTAGMPCTRHRVFLATLIVTAKYLNDSSPKNIHWSNYAVLFDVA EINLMEKQLLYLLDYELRFDEEEVCQLFAPFMASSTDSSTVARASAVNKVAKAGKARA EAQQQQSQPQPTPVEEKEPQYPAQAHIAPNTAGDVGPTLPSSTPSTSSLTSAVRGIAR KLSTAHLRQPAATTATLYSGVSTDSTASTASSTSDIASLVDDSGSCSSSSGWTSNGSD VEEEPFNNCQSVGIVEPSSSSSQLGRTIPVNAVLAGPGTMKKPFSLRPIPTNAHKANK TSTPNGKNDITPTRARKPSDTSSIHTIIGSPLLSRRHAIKHQPTEGKRLISLSTKASS SSIAKKKEHFRLPASSTMPAISFSSSVAQSVKLRSGIAANRSASGSGQGLMSSQSSGS VASQSSSSTRGVGAIISRMWGAAAANLKGGSQNHAPAPLESGARPLTSRPEGMPV JR316_0005224 MAIDSTWLRVRDSYSRFIFQEKYFGGETWRLSVNEDEQALPPLY DTAAVIRKIVNKPDPSKLIPDVYHCFCDISKLLTIADGDSQESDISNLAYITICAGSR YWQFLYDETTGSSVFDWYPNSETTAAEDPSLAYKLLHERNKLVFLDYLVRNGIRPEYW PHRQEVMIRILHYVYTRNGEYPLMLEGNDPFPRYLRLIPVGPSADPRQVPIFCQYLLL MESFFRHVKTTETGLFFGNLHAHPGISNFLEEASTEICWLHTDLVDSQSRGHQQASHA YATLMESITAGLGEVFSENRYHNAMAMFYYAAIFAEPIKRALKMLPAHYLDAYSEVTV AHARLLSIIRSKKKVIYNQFQGSYRDFEARFVYLKAWEPQYARTDENRLRFTPHWWAF LYGTDSSGTDSIMPMPVNLVQPRQFASSPEPEQQTTPSDHTTVEFIVTASSPELK JR316_0005225 MMPPTFNVPQELIELIIDEVACIEDDTQRLSALRSCSRTSWSFL TPCRQYLFAEVTLIEDAGDNRKESLKARLRLQKFLQLLQNDPYLHSEHHQLSSHIKTL KVELSFARAASNKRGFREQNRQCAVLPSILEMMHHVHKFGLYSEPGPVSVSWDLVDDS LKDSLTHFCKLQPIATMELYNISEIPVTLMTDCLGVVSLSARGLTGLTGITEQGPRTH SQSPFSMLENLTVAFSPQFFDFLQSVPFSTLSTSKLPYSSLRRLETSLGRNEWDFIRS VPSLSHLCISRTSETFNRYSYLDFRRLQTLHHLKLKWDFGNTVGLKTLLPVIAWPKPG ADPQFEDTTLPQTVPITTLEIFGQWNFCSRKNEQQHFMASAGWNALDTVLSGNMFHNL CFIHFDLSLHYTETPTSPKSVEKYLLNKISAKLSQLLPVLSKSPSTDVQLEIHVVGHD ALSRTS JR316_0005226 MSFSVDDLVSSLSSAHVGQEAMDIAMLQAQLAETLFSAGPSNSF ASSSYSSSQNMPTRGRSLGSRTCNTPRARTPSANWGMDTGRGRTNSVSSTYANEMDED ERLVEELLMPSSQFDNNYASSSSSATSLSSPIPCQSPMNSVSFSSYNPYPDPMPSSPT TSLFTTTDPFYIAQLQSLNNSSSQQSQSVFAQNGRLSQNSPFALASQYHQHGMAHNSV PFKPFAAAF JR316_0005227 MDAIQPIPLLELPQEIVELIIEYVAILKPVAEARTSLQACSLVS RSFSYWARQQLWRIIVFPLHSGTIKAAHVFINKRANKFIRTLQKIQDKGFISNIHSLH LVFEDPPQTPYTPKILQHRCLNPETSPLQMALLQLLASATLRTFTLSNVTGLSAEIVI AAFFSKNLQEITIHRSVMRIERRFGGSPFIPKITGELANLTRLEITGGYYFEILYMLV KRAEKLRIQPSPLFPNLQTLVLSVPGHEDAMIMLCENILNFASNLQSVEFEIHHAYFR GQFVSYDYLESFSHMDSLFTFRLRTIAPSTVKWVESSQRALLNFFTVRNLAKYVRTIE LHYQFSEWRTHTEGDSLGTFDQGEYWEAVDRLLTSEVFIHLELVKISISIRYYPSIHN KTYPIPPEELDDFAEFTLPRINKASHIRLELNGEFIFSREQAF JR316_0005228 MKIANSQQVPPNESDLGWFTLSSMNSIQSLPLPELPQDIIELII DHVAILEPAAEARTSLQACSLVSRSFTYRARQQLWRNVVFPLYPGTTKAVPGSINKRA NQFIHTLQQMQDKGFISDIHSFHLVFEDPPQTSFKLKSLQQRLLYRPITRLFKKSIIK SYDIFYVFEELKKRQLRHISIENKPKNRLILFWNHNPETSSLQMALLQLLASATLRTF TLSNITGLTSEIVIAAFFSKNLQEIMIHHSVVKHNGRFGESPNISKITGELANLTRLE LTGGDYFEILYILVKQAEKLRIQQSPLFPQLQTLVLSVPGSEDIITIGPSTVKWVESS QQALINFLAIRNLGKYVTTIELHYHFSGCRTHTEGDSLGTFNQAKYWEAVDRILTSEV FIHLQLVKIWISIRYYPSMHNKTYPIPPEELDDFAEFRLPRINKASHIRLELNGEFIL SREQAL JR316_0005229 MDSVIDDFNKSLVIDRTNNPGASNLNNPSAQTQVLENTDLLQEI FYCLPTTLPESRTWNKHLLSISLTCKAFLEPALNVLWEELPPHRWIHLISLISSVSLQ GNRLIANDVLSDQSLHRFDYYAQRIKNIHMTTSAFNAMSFDIASCIIVSRQFNAFPAL RSLRWTCDVVPTELNASSLVVLASQPLTTLSIQEECDPEPSNMFFVYSLMTIISIRSP DLTHLDLRGEIVESAANLLPKFERIKRLSLLAPYCQDANIIKDILWHTNTLNDLTELD ISVLFWMQRPTGMTIELAALKTLGLYGPLAFIDYFFSVVTAPQVTLLDIIISTYETRG QSESFRWIDQIAMLAPSVQYVIASSDLHAMENSDSPHNRHKLPSSLFFGMLACKRLAH INASLVLEEKDILSLVNSGKQWPLIKEIDLHGEEGRLGVLPCLLSQIDLFAEAFPNLK KLSMAVSFRMEPSEIERMHERIRGNHPCHKLESLTLRSAVKQLSQEVHAPEYIERCKE EFGPLTVNESIEKVASQFIDHVFPHLLHFSIDEIFYLDEGLRTALESMVRDRINGARD HICPLAT JR316_0005230 MEAPTATVPARRRRHSGSMDNSHAEGIPNINKILGTDNGPIEPP PRPKSTPGERPTQRRRQKSPVRSEERKQGDDDGEEQENGPVMQIDDESRANPKPSTAT PITILKNTHNHTTTAVERPTRPLPSSKSRKMASTATPLNPHAPIHHPANPLMLPVQAQ VPRGAAATTQRRLFVILEQACLEAYKVSGGSSGAKGRGGKEGGEAKYTLLNCDDHQGI LAKTGRDIADARPDITHQCLLTLLDSPLNKAGLLQVYIHTARGVLIEVNPHVRIPRTF KRFSGLMVQLLHKLSIRGVNGPEKLLKVVKNPVTDHLPPNTVKLTLSCDAPTQRLSKY LPTLPTTHNIAVFVGAMARGKDDFADAYVDEKIGISAYPLSASVACGKFCCALEELWD IV JR316_0005232 MAPKAALFMSTEEGEPLIPFHTFRFNLRTVLTAPDNATLPSDPA SHKPPLHILHARPFNHLSVWAYLAFAFIVLATTTVISYAIYSCYHSSRKKMEAGMALP VIPVGQNRVTWADLETSDTLVKVEHTAGVGEKANLGSVLDSKIGLDMARNRGGHKRID SDSEVNMFSRPSNSSSMPNVNDMSFESDTQYLTSPPLAHTSDKSATYIPFHLPPPPPS PTPAHKENLERQYRGRVHQSPVSPSPSFSFTTSLPQITPINPQLSADDRSRQPWNSTA LTGSSRSTRQLHNTGFEEWDDTSQFDTNNNRFVPHLNPFMAPPSAQLWNDPKLSPVQG SMISKANTSGSSTTGESSFSKAVMEASYSSPPVSARKRSKSILGNDVRRHREDKENVD GSFHDMPYGRNIA JR316_0005233 MSEDSSPPAYSTSGAKGTAANSFPPSYTPPSTYVIGSTTTTGPL VGLQEVKGHLLLLNIFANLKSTVEKKEFILPNVPHEKDRKWAWFVGLSVERFDIWCRS INPKDASAAQLSAIPPIDVIMVWHSYMLNPRWYTEDCMRIPACKNLKTLEPLFNELLL NSSILTEPPSKARIEFWERQSNLGFDLLNDVGKMSEKTISCPACDKRISYISSDGSGY LQQKFSVFCLKPGCPMGEITKERMALAKLAQDLSMTSTGNPEDSLAGCFFTSSTLDVE KGKKAKKLVCDAVKSKADIILKKHTYGSPQYQRELYLGIMELCKYSLSNLRTRLGFSY QPRLVTRIMTAYNDDKIYSVELVGAVLRQGSFVGKMYDLGWTAPGFFDAASDELALHH ALARYHAFLDLMSSSPASFFVPTLDIDLVWHTHQLFPNKYELDCNKHLGRFIDHDDKV EGIRLSSAFDITCRAWKERFGVQYTHCGCPIPGDTIGQRLSRMVGIYTQPSSAAPSHL TPFDRPDLLSATHPSDHNAVRFVARNERAHRLANQRYENLAKKKQKEREKAAKKAAKE QSEGRRNRSGSQTNYVGYSDGPDVTRSTSNGYRHDTIPFLVPVPIIYGPELVTGTAAC VSAGGQTAGVEDVEVLVAGEALPVGVVVEAGVAVAVDVGEAVVDVVVEEVVVVEVAVE EVEVVVSTDD JR316_0005234 MSDVALPPAYKVSSPDDSTVTVGNVDKSLPKYNVPSTFSIGNAV TEPLVGLREIKGHLIILGAFAELKKKVESVDTSNALYSPVNEDQKWAWFVGLAVERFD VWCRALTRDTEKDVKPVVLPPLDVIMVWHSYMLNPRWYAEDCMRIDACKNLKSMEPAF CELLLDPSPILSEAPSKSRLDNWKYLTGLGFNLLDEMANMKTKSILCPMCNTALDVDY INKEGTGYLQQKFSAVCVKDGCSFGEINKEKLALGKLARDLAMKTSDAPGDHLPGTFF TASSADVKKGQKIKKILHWEIVHASNKTPAEANYTYGSHDLYLAIMSFSKYSLSPMRE QMGLPYQPRLVSRIMSAYNDEKIYSVELVGAVLRQGSFVNKMHGLGWTKSGFFDEPGD ELVLQHGLARYHAFLDLMSSSPVSFFVPTLDIDLIWHTHQLQPEKYEKDGKQYLAKFI DHDDKVEGIRLSSAFDITCRAWKERFNVTYTHCGCPVPGDTIGKRLSRMIGMYSQPTT TPHSHLFPITRLDVLAATHPSDHNTVRFIAKNKREHKVALRKYESLKKKKEKEHKLQS VKNESSDIPTANRRDEKAISDDSVDGESKFRNYAAHDMIPFLVPVPMFYFGGELPSGE ACVATGGLAGFIAGGGAEVVAGVIVVEGDVAEAEDVEEDAEGVEKKLGVFSLVQDCMK LLIN JR316_0005235 MASTIPSLNADVWLHIIKEIGHEKNRAKRISTLVTIAQLSWFFV DPAESQIYREVTLAEDERDDASQEDWEKNVKDFFTIVTARPRPIAQYVESLVISLSPS HNQRQAESAPQPHFLPQILDLLPNVSELTLKRIDDGDSELWQRPDHTLLDAIGRMLPS VINLSLQWAPSIKLDKLYINVTSLPTVWRPDAVIKPSNKIEHHKVLAYPMTKLEIKAS DKVIEYLALLDLDSFLVLFENIQTLCLQTNGKEGSKKSWKIIQQLPKLVKLCINGLNG SGNIDLLDVDIGIIKNLEVLEIICNTQIHNKLQPLPPIPGLGEYFHESTLMTPTNIEG LIIRLDWDIIENYVCFATGFPSGLAWVEFDKLIACSERFPCLKTLTFDFTIRTLSEVT FEDKTQGPEILSLENLTHKELPHIIQRGQIQLVVVTDIMVSLGRSMFEEAWD JR316_0005236 MAPVGQSARPVTPSRPYLPTAFPKSTCLRRGLCPVTALRAQGPE ALESHSLYYEVHGPKEVADSIQKAQPEEIQRNNPNNDEAFQEEMKKLDKVVFIMGLNS SSFSWGPQVRWFGKGGSGNSERKAAALVFDNRGVGNSGYPRGPYTTSGMAEDAICLLD YLGWTGERELNIVGISLGGMIAQELAYRIPHRIASLVLAVTTPGGHIWNNFPPMKGLT SLTKLMFTPEPIDKVPTVLDMLFPAKWLAERAQSDPHAEWNGNTEDNREGKTNRDVQQ EGFLRRVAITQPQLFLGHISQMAAGLTHHVSPTRLAQIANTVPKIAIVTGDEDNLVRP IGSVKIWKAMTEGPGQNTAEVRKRVELLQWEGTGHGIHSQKEVEFNQLVERCMREGKA LVEAGFTPRS JR316_0005237 MSQPQAASASPMPEGVTDPNYRPEPGRLGNLTMVQLHTLEKFKK ELKEEGHFVEERMDDATLLRFLRARKFDLVKAKEMLLAAEQWRKEFGVDDIIKNFDFK EKAEVDKYYPQYYHKNDKDGRPVYVERLGKLDIKALYAATTQERQLQRLVFEYEKFLT ERLPACSKAAGHPVETSCTILDLGGVSLSNFYRVKDYVSQASSIGQNRYPETMGKFYI INAPWAFSTVWMVIKPWLDEVTVKKINILGSGYKDELLKQIPKENLPKEFGGECECSG GCSLSDAGPWNPVK JR316_0005238 MTNHQLRPLKVNAQTGEPFLQLRDHTNIIITPPSPEDVPVMVPY HNDPRVCDCLGERPLFPYTIEHAKEFFSITKDASDELLKHLDDAREQPEPIILENCPV LAIREVHEDGTDTYIGDIGFMRCMLGELLTTEGVFDWENKKLREEENNKLATGDPRII WSFGAPSHHGRGIMTDAVRTMLHEWGVPRMRIRHMWVSTFTDNEASVKVVKGKMRGVN ALEWKYDA JR316_0005239 MSKQASNATSMSPRKSLTYTPKRNFVLRTPTSKLAYTCTNASDL ISPTIPIILPKELSVDCSPETDALCLDALPSFEDNNADGDNATFLASTVARSKGYFQE SLLLLSQDLSPPSIPSSIGDSEQQSAPCLKEILYQTIPADLWALVSSTAIINYSEDTE TLRVTIGGMEPPSPEEMNCEFGSWKDDTKVSSLELVVTDSADLFHVFAAKPYKAVLWL LHWFEAVYGDTIQSISLNFPMSLHVSSRPNVEALISAIALDNGSVRSSEVYPKLKSLQ WTGDARLLRPLVPTVASRSIEQLTVISDITTYGAQWLLKYLTTSKLHTLELRSLSLDF HPRSHPSFVLPHLETLRIGTAFPLNPLMAQIQMPRLRSVSLVLDSEYSSKHGGYEPLS LLFAFFADPNVGSKRLVFVDVQCGIEPEKLDHVEGLIAQHVSPDAVIVVC JR316_0005240 MSGLLSKASAIFITYPVYTFVLGTLVYALVVKILLGKSRYLKRN PRNLPLPPGPKGYPIIGNLFDVPKDKPWVGYSEWTKTYGDMIYFEVLGQPFIVLNSLQ RTIDLFDKRSSNYSDRMRMPMVLELMDWDYNMAMLPYGQWWRRHRRAFTEHFHHNIVW KYQPTQLRETRAFLHRLLTTPENFMHHIRHTFAATIMSVAYGITIKDTEDPYISNAEE ALMGLAEAGIPGSFLVDLMPWLKYIPAWFPGAGFQRKAAHWRKVNADVAQKPFKFAEE ELQKGTAVPSLSSTLIERLPDKSDPYYAEERKIAQDTAAVAYVGGADTTVSTVQSFFL AMALYPDVQKRAQAELDAVVGRGRLPEFSDRDAMPYVNALVKESVRWNQVIPLAVGHM ATEDDEYDGYFIPRGTVVMGNGWAILHDPEVFEDPMEFRPERYLKDGQLDPDARNPDC AAFGYGRRKCPGRHMSDNALYSIVASVLSVYDITPPRDESGKMVQLKAEFTSGLLSYP APFECVIKPRSPEAEALIRDCVE JR316_0005241 MPLEATMLIIDNSEYMRNGDYMPTRFDAQADAVNIVFQTKFDAN PESTVGIMTMAGKGRVLVFVFPEVLVTHTRDHGPILNGVLAARTKSGGLPSLPTALSV AQLALKHRENKNLRQRIVVFVGSPVDTDEAEMVRLAKKLKKNNVAVDVVAFGDGLEEG APARVLRAFVDNVNSGDNSHLVTVAPGTHLLSDALIASPILSADRSASLPEELGGTGA PAAGGSSAANDFEFGVDPSLDPELAMALRMSMQEAQAREAASAAAAAAESSASSGATA SAETAAPATISVPAEPTDDEEEALLQQALAMSKEEHNATEDADGDVEMDDDDEEDDDD EEDEDEDGEEDEEEDEDAAIARAIEMSMQTQEEEKGKTAPKKK JR316_0005242 MEIPELRTDQRVGARPKSGRADPSCLAERVNPIINWSYTNVWEF LPSPAARHARRDGRRGAQNLITERTQIRESHQKIRRDLWRHR JR316_0005243 MPLPPGPAYLLQNLPSLLFPPASAILAAKLLTHHGVAVPTWALL LSCVLAFPTAVFLRVLYRDVRDRRAAARHGAVLPPAVYDRWPGGVTLLAKTLDNFRNG YPGDMFVEWSEKYGHTWNLRVLFENRFFTTEPEYIKAILATQFENFEKGPLFNDQMRS VLGTGVFNSDGEMWKFHRAMTRPFFSKDRITHFDIFDRHASDALSQLRTRLRQGAPVD IQDLASRFTMDAATEFLFGNDVRSLDAGLPYPFYCYESESGSHSMADASLVSAARASL PHQQAHPATLFSKAFDEAQRLIALRSRRGVNWPLAEFWRDEVSVQMEVVNGFVEPILR AAVERKRAAVAAGDEKGVGENGEKVALTADREVKDGESLLDHLINYTEDETVLRDEIL NILLAGRDTTTNSITYAVYMLAEHPTVLHRLRAEILDKVGPTRRPTFEQVKEMRYLRA VINETLRLYPAVPFNIRSTAHPVILPGKYGNKPFYLPAGSKVPYSVLLMHRRKDLWGP DADVFDPDRFLDERLKKYVTPNPFIFLPFNAGPRICLGQQFAYHETSFFLIRLLQQFS NITHAYDAQPPASRPPADWLAGSEGPKGRDRIRMKSYMTMSVLGGCWVRMEEARGWEG GEGEGEDVV JR316_0005244 MPRKRKATIARINNLRSARRRSTPTKITNPTDQEVKEHQQKIHA GDNEDDEAETEGPITIVPLEHQEDIQTGLRSPAPSLEGGQYTFRASVDTGALQGRPHE QEGVFLEWSAFPNAMGKVDGSNSTAVPNPFHTCSSNPQAQQ JR316_0005246 MDDGTAAVVMDNGTGMSKAGRVLAGMTIEDTYVGEQAQAKRGML TLQNPVEFGMVTNWANMEKLWHHAFYNELCVVPEEHPVLLTEVIHNPKTNREKMMQIM FETFHVPAFNVSVQAVLSLLASGRRTGIVLDSGDSVSHMVPVYECFSLPYASRRLDIA GIDITQYLATILTESGYVFATTAERDIVRDIKEKFCYVALDFEKEMQLANESSGSDIR YELPDGHTIDINSQRFRAPEVLFRPSLLGYEWPAVHKMLDISISKCDVDMRLDLYSNV VLSGGTTLFPGIAERLSKELAELAPKGSKVNVIAPPKRKYSVWIGGSILATLSTFKSV CISRREYDEHGARIIHRSECLHQQVLNDKASSRGRLTLQRLEMDYSEDTPAVDPHMRA FTVRLLTTDRVLAGRGSPKHYWVSTILSRGPTDAAWFRKPQRQIHRVCGFCLSPSTPR SFLTVETIHKNLSKSLRIDAQTRRGILNLRYPIEHGVVKDWEGMEAMWSHLFSEQLRV DMDADPRPVLLSEPPLNPRTQRARAAEVLFERLHAPAMHMRCAPQLALYASGRASGLM LDVGDGVAHAVPIYEGYSPVAVPGAMRRWDFGGRDITDFLGRLFREKGYALSAGEGAT REVVREVKELRGYVALDYDKEMTNLREKGEGITEKTYELPDGLSIVLGNEMFRAPEAL FQPTLVGEEGPGLHEMVWASIAKCDIDLRRVLYRNIILSGGSTKFYGFVRRLQKELDN LTPGSGVEVKIVDIPERKFNVWIGGSILASLSTFRRMWVTKRQWEEFGEEIVHTKCV JR316_0005247 MDSRAELGDDSTPHRTIWTIVRTCLATIIACTWVAVHPNIPGPK HGLLRILFTRLGIMFMVLIAPEMIITWAARQYLAAREIQRDLGDLDNSSDNLPWYKRS TYKLRTEAHIQGLFDGEFKVDSRKEWTIVHSYFLLMGGFAIHDQHDNSVKTLDLQKMI ELKKKNRIRQWPPVAETDLTDKSKGDFFSKGVVIVQISWFVVQCIVRKLQRLTLTQLE IATLAYAPLTLALYVLWWDKPLGVRHPVVVELTQEYHWISPLSIHETRPTPSMTDALD WFEEGARVKLCRLWSSLGVFQRTAIICLVLLLSPLIIPMFTLGVALLLIMAGLAISVP ILLFQRTEIAAEGDHVPALYAPMIASEKWRTFCQYVCPAIVGFIFGGIHAFAWFASFP TTFEKWAWRAATITIGTFFGWRIYRLLLKLFKDVSEKCTCGVLFVGLPGFLSGLLNAC FLWFLCTVFVPARIALLVLPFTSLRALPAGAFRDIDWVSIIPHI JR316_0005248 MDDEIAALQDLTQCAGDDAPRAVFPSIVGRPRHQGVMVGMGQKD SYVGDEAQSKRGILTLKYPIEHGIVTNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAP LNPKANREKMTQIMFETFNAPAFYVSIQAVLSLYASGRTTGIVLDSGDGVTHTVPIYE GFSLPHAILRLDLAGRDITDYLIKNLTERGYPFTTTAEREIVRDIKEKLCYVALDFDQ ELLTAAQSSALEKSYELPDGQVITVGNERFRAPEALFQPAFVGLEAVGIHETTYNSIF KCDLDIRRDLYGNIVLSGGTTMFPGISDRMQKELTALSPSSMKVKIVAPPERKYSVWI GGSILASLSTFQNMWVSKQEYDESGPGIVHRKCF JR316_0005249 MEEEVAALVIDNGSGMCKAGFAGTSMLFYAFVTRRDDAPRAVFP SIVGRPRHQGVMVGMGQKDSYVGDEAQSKRGILTLKYPIEHGVVTNWDDMEKIWHHTF YNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAFYVAIQAVLSLYASGRT TGIVLDSGDGVSHTVPIYEGFALPHAILRLDLAGRDLTEYLVKNLMERGYPFTTTAER EIVRDIKEKLCYVALDFEQELQTAAQSSALEKSYELPDGQVITVGNERFRAPEALFQP AFLGLEAAGIHETTYNSIFKCDLDIRRDLYGNIVLSGGTTMFPGIADRMQKELTALSP SSVKVKIVAPPERKYSVWIGGSILASLSTFQNLWCSKQEYDESGPAISASERNEKIDI RIRLELGVELEDITLIPHHPIID JR316_0005250 MPNAANLPLATRSHLHPRTRSRRSQRSQYSYLPSPMLILVAYSY SESKSESESDSSPPKPEPSRAGNLKNLLQSIPRYIQHPFFFQLTAALLFQFPLPLPFP LSTLITLISLCYLTTVPMLILAKYIDRLSEYLALRGIPAGKERDAARAQHRRALAALH SDMERRIYEWPRRMFSRGPAMQDSRADSSPPPPPPSLPRLVYNDDDASYVSASAATPH YADVARRKRIMGLIRAD JR316_0005251 MATKPGDSNDSKLGSLNFQVPWFPVRELTGFKLFRFELGQRLSW SLPTWRIINFITNKINNNSKKFIKCGPHVRVQEALTMEFIARTTTIPVPRVLDVFSIK ENVYIVQERIKGPILEDVWYLLSPDEQRSCMLQIKDCFDQLRSLTPAAGSDSERVQAV DGSGLIDSRVGQGVWGPFENHDEFHRHLNHPILRELHERYPALQEPLAKVAGKKYRSV FSHGDFGPHNLIWVDGKGKGKGKGKIVVIDWERAGWFPEYWDYTRVYAARWYMKEWCD LFSAVVDRYDDELEVQDRIDEYFER JR316_0005252 MTDAPPPAYTRVSDSNCNSTPRDTPSLRRTLPRIDIDFDSDIDA NGNASVDALGTLDELDVPPPSYSSSTSTSVSALTPHSTLPTSSLSPRSSSPFPSRTSP SFPSRSPSSFTPNLEKPSVNADIPIHQILPIGHTKLTAPLVSTREVKGYLALLGVFGG VRRRVRLGLGRGEGLMWVEGVRGLEMGGIGLGEVGTGKEKGKEKGKGKEKEKGKGKEK EKGKAKGKGKQKQKEEEEGEQRWRMFVALAVERFDIWCRTLEPGDVHTPIDVFLPPVD VLMVWHAYMLNPRWYAEDTTRIRTCQILKLCESKFAEMLCDTGVVDLVRSDLEYDYEF EIHSNDKYNYNHDYNSEDEDDHGNKDDWNYKDDSDAKFNPDSKSNYNYNYKDEEEEEE AGEKRYDKQPPPRPRPRPRTRTRARPRQSKLREERWCARTGVEFDPFVFFECGGMCVG SELGVGVGVGSGSELGVGVKEGKEFGKGEKMKEVKEGMGMEFGKEVGEKEAIEKGVEK EKEKKKEEKGKRNGMNGKGKNVPCPRCGCDIWVEFVNDKGTGYFQSNFFTTCPRAPDG CDSIAVTGTNTNTNTSANTSTSTSTNTSTNASAKTDAGVGVNAGTAVGKEVCVGKGKE VATGVTINKEQLALRKLANDIAARAYPTYVERSSHLAGTLLTQSTSDTEAGNKIKRLL CDKAKSKVFFSSSSFSSSAKQATPAPTYTYGTPEYEREMSSAVMRYCSYSMGRLREMM VGECGEVDVVDRIMSAYVDDKIYSVDLVAAVLRQDAFVKKIHDLGWTVPGYFDSPRNE RVLRYAIARYHAFLDLTALSPTSSSSSSFIPTLDIDLVWHTHQLTPEKYAGDCKTLVE LFVDHDQSVVFSHLSLQVQPLLTLLSSDGNKIERGHGIGGKMVLAFEETCKAWRERFR IEYTLCGSSSSSSFSSFASFSSSASFSSSSTGVGISKRLSRFVGTFAGNLNGQPPVRP PHPALVPPDDGGVDGVASGSGKVRVGVGVREDVRKAMHPSVHVAGRGGLEWKCGCESE SERKVQHPG JR316_0005253 MSDTFHLPPPPPPPPPYINNTSTPTANVNANVTNHNTSPNPNSN VTNYNYVIGNTPLTDPLVKLSHVKGHLVLLRSFSELKAKVEGFDFGRLWVPRHQDEDK ERKWKWTFFVGLAVERFDVWCRSITEEDCMRSLYSIIPPVDVVMVWHTYMLNPLWYKD DCARIDVCRKMKSLERHFEQLLLDPSIMTSNPPAKPRVDHWEFNTRLGFDLFDDARNM VMVKKAISCPMCDAVIQVDYVNDEGTGYLQWNFKTACFNQGCTFGEIDKEKLALGKLS RDLARPSDSDNPANHLPGIMQSSSFGESQVKESRRIKDLVCQAAMKTAKANDNLLQGD GKEITYRQMYLAIMEVCKYSMDMLRRRMGFSYQPTLVGRIVSAYNDDKVYSIDLVGAV LRQGSFVNKMRELGWTSSRFFDGSSNELALQHALSRYHAFLDLMVSSTSSTLSGSSSK FLVPTLDIDLIWHTHQLIPAKYESDCTMLLKRFLDHDDKVEGLRLSSAFDITARAWKD RFGVQYTYCGCPTVGDTIGERLLHAIEHKSKAKAKSTTLLIPPTDQPDALSATHPSDH NSVRFIAHDERARNEKAKRYDSLIEKKEKERQAAVKKAAAKAASVNGSATEVTRDRDK SVNCGFNTAFLFPLPLEFAKGVSSPTAIASDGCIDLDGSVVVSVAGCKRTIAPAPNKM DHIAISEYCGTLSFPALLLLDCGAVEDEDEVLEWEVAFVSLAELAVFDTVTLPLRLSD GVGVAPAPALVAAPTARLTPFEIDAVVTQLDDLGVV JR316_0005254 MFTVLLATVFPILGFTAAKDFPPGVQLSIRTVWLGSLSVNSIDD FCLFAPPTLQPIANSETIEVAWCTKPRNNARLIPDGTITGVSFLKTDFYVQVIGYGDL TKLNIPANDFGGELDPHGAYGTGNPIGGNVTSNVTGDDENFAEWMVSINLYRGNGQFC LRVCTNANSTYDAAHMCWHELDEVGCGFVMPGNYNINGTLYVTPFSSTHELSFLISHP VNPVMPM JR316_0005255 MLLESVFVAVLGSLVSANTSSIWSTTDNVYTTSGWSTTDRVITT SQWSTSDKVITTSQWSTSDRVITTSQRSISDTIITTSQLPTSDRVITTSQWSTTDRII TTSKTISPSPLPTTSNHSAVSIANSTKTTTRTTSDAVFTTSSGIAIGANLASSSIGGD APSSSQPSSAAQLSPDFNLISVLAVGLYMVYGIGASAFSLL JR316_0005256 MSNNTGIAVHKPRGSSATFDGVAANSSRAGCDLMEARIAPQDDK CCCLSARLRQFFSNNFVVWSKEWITCDGAHTSPKGLHTTKHNNAGGTACVNDNSNFVH FTYPDENCAVNQDSIAVRQEKDTPTQRQQPGSIKMFESSSNLDISGGSFVSVARDYIH HEHKNTVIVMSSSTPLVPKSKSKSSARVARKKSSIGPQPIERPFIRSKCHSDSEANLN RLEKRIKDLEVSLNRLDNLAASITLTIVEESDCQPETKVYYSTDPAHPSHWGDHNAIN SEGCSLPFPILSYALPDDEFVSFEQSLSNSREHEETSPPFFDQHGHPVVCQASRNIWN AWHAYWAAVHASWTAWTMSRTPCHWTPPTLGTWTPMW JR316_0005257 MDALFMPLANAVGASVDQIKLISCLLIAYPLASIFVRIPSSQPA LRHLYSIVVALLFFFPVLQIYSAFFQLLGSILATYFVTKYDKSSRMPWVVFTIVMGHL TVNHVIRAIYGFSYETMEVTGPQMVLTMKLTTFAWNVYDGRRKEEELDKWQLSKRITQ YPSLLEFLGYSFYFPGILVGPYLDYPEYMELINETMFQHAHVKAALKDGHRLPPGRKR AAYTKMFMGLVYLGAFVLLGPTYNFSVALKPGFTDMPLWKRILVFQLGGPIERAKYYA IWTLTEGASVLTGLGFTDFGLHGKPNWDRAANVKVWTIEFPSNFKVLLDAWNMKTNVW LRECVYKRVTPKGKKPGNLSQMITFFTSAFWHGIASGYYLTFIMGGFITAAARQARAN FRPLLVPPTAPNTPPPPPTLIKRIYDIGSIALSAMILNYSASAFIILSGRDSITTWKA LGWYGHIIIFGSLIFFYAGGTAYFRKLQKKKGVLPPPRSAKKPAENGNGSATGTPVSE KNFVLPPSVDKFVPPPQ JR316_0005258 MSEQSLHPAEASTNLRMAPFLGLNEDETKLVYLCPDEEILPTTK RLDKPQPPSTIAHPTHSMYRSAKDILDSRRYNEIFDLTAQLPVDICTTIYKLVLPTAP EHDSFYDIHQEMYLKLYRTTLLKLATVCHRWRLIIIRTRWLWNLVPLVFTANNLGSAT ALAKLWLSRTGRLPLSINIYIPHDPEIDWTAKDNEKISEFRKFSDVINACSDRWNCLD LRVPGALLSNFVGRPKKLEMICVGSTTPEPYYNDYVALATKTNDEEKPTPTILVISRV QFEAIDASWAKLTRVTGRHISMFDIFDILEQATRLEFFELECVICDNYSIQNAWATTI THQHLRFLKIIDVRTSALKWLCADNLITFPCLNMLHINVQRGDFLPTFYDFLHRSGGN VQHMTFIAPLSDKVLFSMLSNLPCLLHLDLGATLFSERGFTAERILTHLLQHHERYIK AFKSATGELLGVCVPEVPLFVPVLKHIRYRTMVQEEYIRICNLVEGLEEGSQEEPAEK GPGMGTAMQGTLQGGAGPSDAIDHPELEDGVSVVYLELSSSLGIEVVYDDPSVETIGE KIAGMMVEVPAKDQAKEGSF JR316_0005259 MGIDITHHHVKKGSRTAPKSEDPYLLLLVKLYRFLARRTDANFN KVILHRLFLSKNNRAPLSLSRIVKETANTPDLASKIIVQVGTVTDDIRLTTVPKLTVA ALRFTQSAKERIIAAGGEVLTFDQLALRAPTGSNTVLLRGVKTAREAYKHFGMGPHKN KKPYTISKGRKFEQGRGRRKSRGFKV JR316_0005260 MVSLSQHEYNDSATAPVDPYSDAYNAPPAPAPSERDPLPPRGRS RSRSPPRRDTNGNGANGDSGRYRSPPPYRSRRSPPPRRSHAPLQPNPSNVLGVFGLSI RTQERDLEDEFSRYGRVEKVTIVYDQRSDRSRGFGFIRMSTVEEATKCIETLNGIELN GRRIRVDYSVTDRPHASTPGEYMGHRRSGRDARDRDRDRDRDRDYRDRDYRRDRDRDY RDRDRDRDRDNYGRDRDWRDRRSPPPSKTGRYSPEYRRRRSYSRSPPPRGSSPPRQLN GNGRDYDNSVANGAPSASAGESNGNRW JR316_0005261 MPFPLVLQRSFSSQLKTISSPSKRTVEIEASTLIREDHSVRHVS TALFDVLPTELKVEIFLYCLPVLPTFHPDEAPVALTRVCRSWNSLVLSTPRLWSSFSI SIEGAGAAAAARDIQLMDNVKRWLDRSNPYPLHIRLTHIAQPHLRDNESHRSGQILSL LITHVHRWKHVEFFIPSGTSYVLLRLGAHQLPALKSLSLRTYGSFGSLGLLQFPLYRI PWSQISAVTLQLEQTLMSIEHCLHILGQAGGLQECSLNVNCTTPKCDTPLYPVVLPSL KTLGLTLRRGAMVNLVHFLAAVESPNLQELHIGWFVPTAQSPTTNFHDLLPFFTRFSH SLSQLTIAYLPLSTQQLHAILYQLPNITHLTLKYPLDDPSQDPITDEFFSAGTFTTPS TEATAESPTEFLLPRLKHCNLECHGAGYTSAALVAFLRSRTCQNYDIDPSTVLHRMSV MKSFRILSMKVILDAVQALNTQWRVEGKNISIDTLTVR JR316_0005262 MPRENRKRGKKHKKQNEDESKYNQESAPQLETREEPSWIVPAQD NFGEFNPEAPFGYVDADVKAYFRTVDIQIRDWQESQHDTGEGDGDLDPNEQRRLFFIA ALSEMRGKEKQLATDPDCSVIIERMSYSMDDFVRRVFVDTLSGSYEILLKHRFASHVC QTLFTVAKDTISREMRGIMPTVPDSEEEGELRTLKQLILDICEELLPSFHTLIMDPFA SHVVRSLLLLLSPNLSTSDESSQSAVRSKKSAAWKARQGQMKSVFSDGQGKGKETIRS APAEFRQMARRFVETVREHLDDNETRAMAASKVACPGLQMLLEVEADQDLANQPGSLM DRVMVGVITACKNDSTADIEESDYLGTLLRDVNSSHLLETIVSRCPDDAFNALWKTYF KGKLARLAAHPVANFVLAKALERVSESQLTTIFEELDATWNKLIRTSRTGVLRALIDR VSLLGSSNQPISEIVYSAFNITTSEEKALLVPCVLTLLPLPDYHIAHAEKTKTEETSH QHHHKSRTVQDPLEPKIQGSVLMQSILRLPEGHNQFAIDGIRELSIDDRIKIAHNSSG SRVFDALLESPTVTPKMKRQFVIDFIGHYHKLVDDKLGSRVGDRCWSYSDTYLKEKIA RSLVSQEQALAASFYGKFFARNLNLYLLQRRPDDWRNLQSERKKQEEQEKKITVGPTV MPPKTTQPDLAEEAVTPAKPFESRKRKSRPENEIDALFNEKLGKRVKKGALGDAIALA PSPEKLKKDVKDEKNLGEDKELQQVLGAIRPLLQRDSLRTFRHSEHATRIILQGQRRS YVQAGERNSIGAFTPTAAALFILTGVGLAYYFRQEKVRLEEEREKERGLKSYGRPSVG GPFNLVREDGKPFTENDLKGKWSLVYFGFTNCPDICPAELDKVTAVLNNIQKEYGDIF LPIFISVDPARDTPAQISQYLKDFHPSFIGLVGDYANIKAVCKSYRVYFSTPPDADPK GDYLVDHSIFVYLMDPNGQFVEAFGQNVGAEHIQSKIGEAIEEWQKETGKSV JR316_0005264 MHLPSGLHLIISSLLFSTSIAAAAIPDASSSLVAARDSTKLHTY SSSSPSGIELDPSTFSETIKEGLWFIEHFSPYCGHCKHFKPTWQQLVLDTRAKGEDGL GGGEVPEVGMGVVDCTLHGDLCDANKVTGYPTLLMFHDGKIVDQFKKARELEDLKAFM KRHVKAAAPPPPPPPPPVAPVQPPAAEAVKQPPAVTLNPNINPNGEVLSLTPSSFTSI MATGPTFVKFFAPWCGHCKKLAPTWKQLARHMKGRVNIVEVNCDDHTSLCKQEGVQGY PTLMWYANRGAKGYEYNSGRKIDQLKAFTDKASSAGVQVLAESADLDKHIAEEEVLYL LLHSPTDTEILRVIRGASSVLLGSPQIFASSDPALHTRYSIPAGSPWALVALKDHDAK TPSSIHYGSSPSSLYTSSSSDESKKLNRWLLTHRLPTTTELTRDTFQSVMNAPQSPLV VLCFSPERTQDAVMRRLKDIGAKWRHRTEGSGIVHGKEVVFAWMDEDKWTDWMKSMYG LAKRPEIEGQGDLDTVRVVIADHSKLVYYNTDHAGNAIRFTSSSSMFAAVDDAASGKS KAHNSEGTIERMARYLNNKMQSLESFVIEKPFHSLFILIAFFALAFVVITRLVNSDVS SAQNEWKELKGRSGRLD JR316_0005265 MSIIFYDIPSTSPGYSVSPNTWRTRYCLNLKGLPYTTEWVEFPD IKTLYEKLGVPPTSKTLDGNPHYTLPMIYDPSTQKYISDSLAIAQYLDAQYPGTAESG RGTIFPSGSAGVIQNFAETAIPLMMDARYLITWSLFRKLNLSNATYYRALREATFGMK MEELVPDDKLVNEIATLEGIMKEIDTLYSNTNKGEGTYLVGDVISWGDIMLAAVLVIF RISWGEDSELWKQLTSWHNGRWGKLMESMNRYE JR316_0005266 MELPPGFFYTLGLVPYFTIPSVLVFYSFRLLQAYQPVAALIPTW AIVIVAIIARPVFFYVGWYYKVWQDRRTAAANGAVLAPHIKESAFEIIPELISSNSTG YPGGMIYRWSRIHGYTYQLSLITSSTVVTMEPDHIKAILATQFDSFDKGSTFISQMTS LLGTGVFNADGEMWKFHRAMTRPFFTRERISDFDIYDRNCDLSIKAAKQRLKEGYTVD FQDLVSRFTLDSASEFLFGNNVGSLLAGIPYPPSASKRADEYHTHPSTIFTKAFSAGQ LKSVERIGLGKDWPLAELWKDHVKPFRKVIDDFTEPLMKAALEKRERDMREKEKNPEL DDEEDVTLLTHLVRHTQDQKIIKDELVNLMVAGRDTTMCLLTFSMYMLTQHPDIEKRL RQEIFDKVGPNGRPTYDQMREMRYLRAFLNDSLLFVCIRFRGSAALSTCVRSYLHIHT SFIKLTTVDDTLLGRTSNKDVLLPTKRAGQPPLFVPAGTSILDMQRRTDLWGPDALIF DPDRFLDERLHKYLTPNPFIFTPFNAGPRICLGQQFAYHEATFYLVRLLQNFKHFTLD YATNSPPPADWAQGEGLKKTEKVYLLAHLTMYIKDGLWVNMEELNAN JR316_0005267 MRLRIQTQNPLPPLKAWFTPDVQETPETIHDLKENLCREVHVLK NGALKAEQLTLLLDGFELLDDTPFDTVVRDGDLICIKSRPLDRTANSKVALGGEQEVP RGLKRKRSISVREPTVTLSKKRVVKRAESPEDSSSESDSSSSSSSSSSSSSSLSSSTS SSSSSSSTSTSSSSSSASSSPPKPQSSKAPITVASKSIPTSGQTATYHTPPGFGKPAT HSRNQRRRKKRELEKAAALAANAPPKGSSDANSLPLGQPRTRNEPAAAGPVVQSTPTP APQPARVEDVQEKTPIRSEDASSRSTPVYITKDQVMMGSLRNKNKKKGFKLSMANPIP QKIVFSAETSAGTAPKDIVAQNEPPAPAMDVDSSPHRPPPKAARPPPRLVPPSELQDA GLLPPNMFVTSVDVEAGMWDNISAEQQSSNKRKNRKKAAKSRNEDEYYTRDDWYARDE SFAEDYSSVALPYSEEVEADSNSKYPSTSDHFDWEQAEQVWDKSAVVSSSEQLVIGAI VGWKELAMNPQTLSPEFLLSAAKIIRVEAPTTPTPSGHQISVAVRAIPRSGSSQAALS FGLAGDWDADGEGEGSLGEEEILSWAEGMEPQWRILKGLD JR316_0005268 MLPHILHSTTRAVAVVQNQTHTLRNVLQLQSSGPSSGSGSSWGN GPGPGGSKYNTGSRFYGYNSAGRAVTQANAITSQDAFSSQTDENEDITPRRPVVSRTP KTTRMRSSSVSMGTSGRVERGEKMGVLKTVQLHRRAKHTFAPLETLASAKERLLAADP VDSSSAPLRIRRNSTSEPLSPLLTPSADVPLEDVEELPKAKASAGKEGHPPSPTPGAP TPSSSSSDFVQLFGRLEKLGDENVVADTVRHLLKKPKVKVKEFNAALHALATTRLAGH PIQSIIQLYNTMLSQSVVPNVQTYETLIGALLTRDEEVRKAIVTLEMRPKQVPLVGRM EVASMEADQDRIAKLKEEQNFSTALSLFEGVLAVGGREKLAHSTYVKLLSACAGHTDV DAAIHIFAQLEAIKDMKIGINVYEYLILTFSNAKKIEEAEHIFEAFLTASRSGKLRRY PLPMADAERRAQIMVWNVMIEAYFRAEMPDKAIELVERMVNSTAGDRFTPQDIPIATS STFTTVIAGFLLGGDLQSALAWFNQLLTQAKTPSSPFEGLGGKAMRPDSVAWHLVLDA LAAEGNLQELNRLYTIYRSVSKEDSLHTRQIDHVLIHRANMDNLDKLDEQTALQTLQF LLDDLVEYEPVQEKLEMKTNICKAFVSRGDFETACAVMTTSILEQFQADYDGSLAHVL QWLRNTSKDFIDHVTTTLVNRNGELPFLSALALARMGENLALPKQLSFAPHILHAYGR ARFQNLIPYSELSVNDWETLLSYAAYLEANVVNGNPENLPPIPGYAFNGLVSLLDDLS TQKIEFSIFKLDTQRKTLDVLGRLYPDPKARQQFLVKLGPSYESAGKQFDRLNLAALE NALKELPNPSIERMESTTMPVTSESENSHLRIDRYQTKVIETAAFKRVGRRYEFDVIK GYQAFSQGVEKGVVPMPHTFSRLIEGLGRAKETEKVAELYQIAQAVLPSIEEKSKFAS WVSIENSMIIALGHSENLEAAHNHRLRILEAGGSPSADGYGVLIQHVKDTTDDASAAL SLFNEAIAHGVQPNLYLYNNIISKLSKARKADYAIELFQQMKASGIHPSSITYGAVIG ACARVGDVLSAESLFTEMTQAPDFKPRVPPYNTMMQLYTTTKPIRNSVLFFREEMRRA GVKPSSHTYKLLMDAYGSIEPIDLAKMEATFAQLQKHTEVPITGAHFASLINAYGCVN KDLDKAISIFETMHKVPRAPAPDAVVFEALINAIVAHKRTDLIPVYVSKMSESGVHMT AYIANFLIKGYANVNDMNQARAVFESLLDPPTGVAAPNNHAPHDSNTPGVPVMEPVYR EPSTWEVMVRAELGCGNRRGALALLERLRERQYPEAVYNRISGVLTDHSVPL JR316_0005269 MADIHQSIFLLGATGYLGSQLLVLLSASSINYHVVALVRAANQE KEEKLKSIHHNLSVVKGSLDDHEIITEQASKAKYVINCASSDHPGSIKAILEGLEKQS ANRPGDPPVYIHVSGLALLNDNARGELVPEDQIPRYTDIGFSLDQVPDDAPHKNCDSL IVAAGTRRQNPVRTVIAYPGWIFGVGEGIKKSTRAIDLFLNTWKPIRYAGTWGPGHNS MNNIHVKDAANALLLILQAAIDGKADEGAEGFYFLAGDEPNVTFHDIATVMGDMMFEK GVHIKGGSEALPTSITEPYGEFFWKLFAANHRAVPQRLKRLGWKATETSKLPLLESLP KEVEAVLRQAQ JR316_0005270 MPLFKTLSPFGSFAFDPSPWFSHLFSNLVQHFRQLKAYRFLSQS ILFLEIASAFRRPTFRLLKSVYYAPHDSLEYIDGGSALGEEISAVECVEVDDLKDHTK PVVQSPLAAAFVANVGGDAPDINNNMTVGDSRERLSDVSMSETHLHVQSIVDMSSIRE SQVTAKIRRRALLIGVGNNLRREDLNDLPSTNDLPPTNEYSCREHRDVEDMRSLLEDM YGYDPNEIVSLLDDNKEGSIHPTRENIVRQIQILVEGAKQNDHFFFYFVGHSFQEDTD DEEEEDRKNEFILTADGEEIMDNELRQLLVDPLPSTCSLTAIFDSCHSCSLLGSISLI LDVTESMFHGSTEGKGEQIQYWQKINGSWKLINMTDYFEHLNLDATLPNNFLLKSALA SPSSTRRATGFPPPHFPSGDPSNKPRPPIRRPRILTEISEYTASVPTSMPTPNSGRAI ASPVSLYCHGNCRKDPIFMRRNVPQADVICLSSSKDSQVTWIRDGSTMTQFLVKMLRE QPRRKLKDVLTLVSHDIHRSYIEMHDESRDYKRQVKKWNTEIKLGKKKKVIPPPNLEI HNFQDPQISSLRPLNMDRYFEP JR316_0005271 MDKEHHPSIFIFNVLKAGARHVSDAPHDPKLLLAAFSAALESAL NKPWIVQGAIYAPAGASIFIDTEDDQWHDHVGCPADEDLRKMFSEENSPLSNASEKVN ENTEYVPDLTSRRACASIFKVPYTPYKSYYPPDDAQNDPKSLLKQFIDAIHSVLHKSE LIISAMYEAEAKSANIFMMDG JR316_0005272 MGLPPGIVYIISHLPSVLFYSVATYVGLYILQDQQILPVVPVWV TVIATVLARPVIFVFQRYFSLWQNKRAAAANGAIIAPYVNKSPLAIIAEVVESIRNGY PAETVLRWTKEYGNVVQFDLFTSTAIIVDEPEHVKAVLATQFDSFAKGSLFLSQMDSL LGVGVFNADGKHLRICNSVCQLIKGDQVKCGSAFHRSMTRPFFTRERISDFEIYDRNW DLSLKLAKQRIEEGYSIDVQDLVSRFTLDSATEFLFGASVGSLSAGIPYPPEHVENNT AAFYSHPSTTFVKAFTEGLILAAVRTGLGQEWPLAEFGQDKITPLRKTMDEFTEPLMK MALDKREKALAEGVELKDKEEMTLLGHLVNHTQDTKILKDELINLLVAGRDTTMCLLT FAIYMLAEHPDVEKRLRQEIFDIVGPTSAPKYEQMRDMRYTRAFLNEVLRLYPPVTAI KPVVLPPARKGGKPIYIPKDTTCLYTVLNIHRRTDLWGPDALEFDPDRFLDSRVQKYL VHNPFIFCPFNAGPRICLGQQASSIFAYHEATYYLVRLLQNFTGFTLDQSANVPPPAH WKSGDALVAREKVRPTAHLTMYIKGGLWVQMKELKANEV JR316_0005273 MDSIPPGVHYLIQRAHKLTAPPLLTYFAFRYYLAPTYGVQYPAL HSAWTLACALIGSLPSTLALAVLWSEVRIRVDAARKGAIMPPRLGDWSPGNIMTVIKN IREFEKKYPGDGFDQLCKQHGCSTFNARVLFENRLITAEPDIIKAILATQFDEFEKGP ETRTLFYPLLGTGVFAADGDLWKFHRSMTRPFFSRERISHFDNFDRHAEDAITQLKSR LREGHPVDFQDLVARFTLDSATEFLFGNDVGSLSGGLPYPYYIKSGVANTDVVPHLSS RFVGALAEAQMITARRMGLGVHWPLMEFWHDKLKGPMRVVHEFIDPIVAEAISRKRAS VGHVREKDDETLLENLVNSTEDPITLRDEIMSLLVAGRDTTASTLTFIVYMLAEHPKV LQRLREEVLERVGPQRRPTYDDFRDMKYLRAVINETLRLYPAVPFNIRTSKEATVWPN KHGKPFYVPANTRTPYAIALMQRREDLWGPDALEFDPDRFLDERLHKYLTPNPFIFLP FNAGPRICLGQQFAYHETSFFLVRLLQSFSGILLAPDAQPPKSRPPAEWAAEGENMRK RREKIKPKAHLTMYVQGGLWVTMQEA JR316_0005274 MGVLDVVPAGVLTGDNVRKLFDYAKEHKNVTSTSVANAVLEAAR DIKSPIIIQVSQGGAAYFAGKGLANDKQQASILGAISAAHHVRLMAKAYGVPVVMHSD HCAEKLLPWFDGMLEADEAYFKEHQEPLFSSHMLDLSEEPKEQNIATCVKYFKRMAPL GLWLEMEIGITGGEEDGVDNTGVDNASLYTQPNDIYDVYKALSAIGPNFSIAAAFGNV HGVYKPGNVKLQPEILGNHQAYTAEQIGKKDTKPLYLVFHGGSGSTKEEISTAVGHGV VKMNVDTDTQFAYLVGIRDFIFKKKDYLQTQVGNPEGADKPNKKVYDPRVWVREGEKT LSERVKEACKDLGNVGRL JR316_0005275 MATYEYDEAGVMAAYFIITFLAMVLVPLTISSLTKISAKGITDG CQCTPCVEQREAISKQERGSLLNPKISRRTYFLLGGWSLFAFVCYRVSLLKVENKIYN PFEILGISTSMPEKDIKSHFKKLSKQFHPDKVKATANMTIEAIQDRFVEITKAYKSLT DERIKENWIKYNHPDGPQSTSMGIALPKWIIEGKNRIWVMAAYGLVFGGALPALVGRW WFGNRQKTKDGIHAQSAAAFFKTIKEESSMEEVVGALGKAYQWELPVGKSKSSAEIDA LEKTIKEEVGAKWTEVRKIAQDFNGELHESRRQALVLIYAHLLRLEVKDAGLKKKQQQ VLLQTPMLLNALLNVSVARTWLLPTLSIMRLYGYLAQAIPTNASQRLRLTQLPHIKQE DITPLPVKANDMSDVLASLEEKDDPRTKDVKKALEKWGRVDIVSATFKVIGERIVTPS SIIYLLVKLRITPPGSTPSTPVELTVDETKKAVQLEEEIDEKFLASRLDAEELPSSKT NGGAAHAPFWPGTRKPSWWIVLADDKSNRVVVPPMKITDIPYSQPGSDRDYRSYKIQF QGPPNTGLFTWKVYIVSDTFVGEEATTEISLKIEDPPAAEEAPSDEDISEPDEDTIAG QMAMMRGGKVKKRVEEESEDESSGTDDDKNDTDSSDSDSD JR316_0005276 MELPPGIIFLTQRAPKITLPPLLTYLAARVLGSDSLYGYQPSWW ALGVAMLASLPLAFTAAIVWDEVYIRLDAARKGAVLPPRIGDWTPGNILTLIRQVKSF ERGYPGEFLEDICAQVKAGVFNNRIFFENRLVTTEPEHLKAMLGTQFDQFEKGSETRT LVYPLLGTGVFAADGKLLSFLPKLCSDASIISFSGDLWKFHRSMTRPYFTKERISDFE NFDRHAEDAISQLKGRLRAGYPVDFQQDLVARFTLDSATVFLFGNDVKSLAGVLPYPH YITDIPSTERQTEEHPALRFVNAFAEAQTIMAWRLRYGYMWPLAEFWHDRIKGPMKVV HDFVDPIVAEAIKKKREGHGNVKEKEEETLLENLVNSTEDHITLRDEIMSLLVAGRDT TASGLTFVVYMLAEYPEVLRRLRAEVLEKVGRHRTPTYDDFREMKYMKAVINETMRLY PAVPFNVRASKCATVLPSKPGSQDRPMYVPANTRLPYATFVMHRRTDLWGPDAREFDP DRFLDDRLHKYLIPNPFIFIPFNAGPRICLGQQFAYHEMSFFLVKLLQQFSGISLASD AQPPQSRPPSEWAEDTSYPRKQKEKIRPKSHLTMYIEGGLWVTMEEAKDIDF JR316_0005277 MVISAVAGVMALSSGIYGDALGISMFNHHSTYRSLVTVWIAAQT LADILIATSLILYPAFPSNPEKVKRPYSGSRSSHITGKLMRTGSFSAVFSIAVLILFL ASKQTSVYIVFLLPLGRLYTNSFLGILQDREKEIKDIQGSGEITTDMWAVPSTAPGNQ SFSLSGMRIRGERALSTIEDMSDADKSDDRLGKRRSSDDRSRMDKISEMNEPTAV JR316_0005278 MSSNSKPEVSPLAPPQPARPNNTNLAHLNPLPPSVFTLPQTAQL EALYTIIRDKETSRGDFLFYSDRIIRLLVEEGLNHLPVVARTVETPTGAVYNGVGFEG KICGVSILRAGEAMEAGLREVCRSVRIGKILIQRDEETTLPKLFYSKFPQDIASRYVL LLDPMLATGGSAMKAVEVLMEHGVPEERIIFINLIAAPEGLTTFCSRFPSLKVITGWI DQGLNDKAYIIPGLGDFGERRYCT JR316_0005279 MKVPPGPKYLLSLIPFFAKPSVIVYFSLSLLRQHVKLSIPQWMI IMLSIFARPCLFVLENYVSEWLNERAAAQHGAKLATRCPESSLSLVTEFTESILTGYP GDAMLRWFSLYGHTIRFTFLNNTIMSTDEPGHVKAILSSQFESFEKGPMLYSQLESFF GTGVFNADGEMWKSVSLQLFLNETMFTPIIRFHRAMTRPFFTKDRISHFDLFARTCDM SLATAKNRLSEGYSIDIQDLVSRFTLDSSTEFLFGGSVGSILGGLPYPPSSSRENPPE FYNHPSTTFVDAFTKGMGYTALRLIRGNQWPLSEFWKDVVAPLREIMDQFTEPLMQAA LNKRGREEMIGTSEIKETMDDETLIGYLVKNTQDERLIKDELINLLVAGRDTTMCLLT FSVYMLSRYPDIERRLREEIYTHVGPNGNPTYDQIRDLRYMRAFLNEVLRFYPPVTNV KPVVLPPTTPDEKPIYVPANTSCMYTVINLHRRTDLWGPDALEFDPDRFLDERLQKYF LPNPFIFCPFNAGPRICLGQQLAYNEASFYLVRLLQQFTGFTLDKSANIPPPDHWASA DRWKSREQIHPMSHLTMYVKGGLWVHMKEL JR316_0005280 MGLSPGLAYLLRTLPYFAVPSAVTYATLKVLLRQTDTALPTPVI VFLSLLARPVLFFYNLYYSEWADNKAAAVHGAIIVPKNPSKAVILYQICKKLAAIVDN FMLTKMDTDRRSLLSSPTMSSRERISDFHIYDRNATISLQMAKKRLAEGYSIDFQDLI ARFTLDSAAEFLFGGSVESLSAGMPYPGQESSKENSSLHDHPASIFADSFMEGLHHTV MRIRMGDAWPLDELMSDRVLPFRKAMDVFIDPLMKKALDKREQQLLNDKSPDEEEEET FISHLVTEPYYSSLATTGANTLSSILDPKVIKDELINLLLAGRDTTMCLLSFAVYMLA EHPDIETRLRNEILEKVGANETPTYDQMREMKYMRAFLNEVLRLYPPVPADSRISTKA TVLPSKKAGGKPIYVPANTTVLYSVTNIHRREDLWGPDDIPTALKFDPDRFIDERLKK YFTPNPFIFCPFNAGPRICIGQQFAYHEATFYLVRLLQQFTEFSLDQSANTPPPAEWK FGDNLKGTEKIFPMAHVTMYIKLLSSEVSL JR316_0005281 MSDKVVGILGARGGQLGRMLAASASLLNVNVVILDDGHHGPAKR IVAPTALHLSHVDGSFANPEKIRELANKVDILTIEIEHVNTATLQEVQSAFQHKELVV HPHPSTVEIIQDKYRQKVHLSSHGLPISDFVAVESSVESISDVAGKLGLPLMLKSRTL AYDGRGNFVLRELGQAQEAITFLNNRPLYAERWVPFKQEIAVMVVRAVDGQVQSYPVV ETVHKDNICHLVFAPLRSRDPSLSQRARAIAETAVKSFSGAGVFGVEMFLMEDGKIFI NEIAPRPHNSGHYTIEACETSQYENHLRAILSLPLGSTALKVPSAAMLNLIGYSSSMQ EIQKTVDVALTIPGVSVHLYGKSECRKGRKMGHITIVADSDAQLRSRLRPLLESLPES TSEEVDRYAPIVATPGSGFSDPNPLVGIIMGSDSDLPVMLPAARVLDQFKIPYELTIV SAHRTPDRLVDYARSASTRGLRTIIAGAGGAAHLPGMVAAMTALPVIGVPVKGSTLDG VDSLHSIVQMPRGIPVATVAINNGMNAGLLAVRILSAGIPGLIEAMDQYMKAMEAEVL GKVEILKEVGWEKYQVKRT JR316_0005282 MSHPIAFTRPEYDCQTIDSSGSAQNWTLVKERLRILNCGKDAHG YSEFSGFGCPPLTLGKSGDIYLDLDPASINLYGRYTDEWKLWPGPHSRDDVLLHPIYQ NRCLWCSETIGWQALNRVQLHQDKSRQIVIANSATYEEHQKYVKKRQILETNGPNPKR SKTTKSPNQSQASSSCQRIPKNSRPTTNSPSRFVSSGGQLTTASVRVHMAFGSQVIAN TSAGLRSPIAEGNGMGTGEEPSNLVADFEKLKDVANTAVYGLQIAQQQIDRLKQENDF LRSTLAQLSQNDRSRVHHTPRVESALTSLDRGTERLTGQTPDVNTEVPPNGIPDDADF LSVNSSRISGNWIPNDSLVQMQTSGAHAVESDLDHPPSSLRVADSMPIDIGCTAQSQA LVNDKEAADLPMPPSSVHSLHSYRVESETEDAPAGAGIEAPLQLKLKLEQAHMSILYG QVDDFIACRLCRAQGSPIFEIKAATQDSRKAMERHLIEEHPVEVETMCCLKYDDLQQL KDGDYRRYTLRTIGRKTGLRSNLSDAQFCHHPTLANNKGIFPLIATFTERLDNYRMGE YVPTNLTADTNFMELTA JR316_0005283 MPYLTPKSGPSTGTVESSITVNECKTSDAQEVPAITACPVPEKS ILIDTGELESIKSSSYPLVKLEVEKINDATAGRLATSLLGHVLFLKNQVPFPVMQLGR LPGGKMNTRAAKQRTELLASYDTISSHLDTTFSALSTALARCAGQNSKLAQVHLAILV GPSVTTAKSRLFLGIDGLEQSIWGVRDERTISRDHAEIAEDEREDVQADKSDEGDSVE DNDESDDIPEDSEDDDSEETSSEEESESESEEEENGDKSIGEDPPPPLYVSRAEELQF LQKAERLLSRTLAAADAEGCGISSELAPTQTHVLIRAPRRFSHPAWLPQQNVSNSLDT SLHDFLNNSGLQTAENQSINRPKHTKKTKVEGVWITTRQGIQPSAFLRESLSVSSTGL DLEDDEMIWWSWDGKFQGFSDW JR316_0005284 MPSPLLSRLGSSMQATSSRLGYHAYTIFLFTKSDIKTTLIPVGC FALGAAPLSPTNSLSHAVQAIFWLWLHLLHFNLANQVHDPEEDMINKPWRPIPTGRIT LAQATVLRYLAPFVCMAVSLLYSRAVFYAALIFAILVPIYHEAKGDSHWLSRNFMNAF GYACFSTGSTLIASPNRAQLDVPGALSIFTITAILATTIQAQDFQDVIGDIKTGRLTL PIAFPNFSRYTPMVSLLLWVPYLTSVWEIGTVGTIAFTVLTIIVGLRYLLLRSTKDDQ LSYVWYNVWLAIAFSMPGYWRYYKESAAL JR316_0005285 MGVLDVVPAGVLTGDNVRKLFDYAKEHKNVTSTSVANAVLEAAR DIKSPIIIQVSQGGAAYFAGKGLANDKQQASILGAISAAHHVRLMAKAYGVPVVMHSD HCAEKLLPWFDGMLEADEAYFKEHQEPLFSSHMLDLSEEPKEQNIATCVKYFKRMAPL GLWLEMEIGITGGEEDGVDNTGVDNASLYTQPNDIYDVYKALSAIGPNFSIAAAFGNV HGVYKPGNVKLQPEILGNHQAYTAEQIGKKDTKPLYLVFHGGSGSTKEEISTAVGHGV VKMNVDTDTQFAYLVGIRDFIFKKKDYLQTQVGNPEGADKPNKKVYDPRVWQIPIICI ANDRQAQKLKPLMATTFSLPFHKPPAQSIRSRILTIAFKEKMKIPANVIDQLINGAQS DIRQVLNMLSTWKLSSDTMDFDEGKDLAKMNQKYSILSPFDITSKLLGPYVYSPTSRE TLGDKMDYYFQDHSFVPLFIQENYLKTEPARIRNLEGPEKILRELQLMDRAASSISDG DLVDALIHGPEQHWGLMPLHAICSTVTPTYNLYGNGAGYGGPNAMSFPQWLGQNSKQN KLARQLGDVQIRMRLKVSGDKTEIRQSYIPSLFPYMVKPLMDEGVLTTSWQSAVDEVI ERMDEYYISKEDWDTIIELGVDEKKDDVIMKKISTATKTALTRKYNASEHPIPFHKAQ DLGKVPKKLAGGPAPDLEEAFVDDVIDDVSEDEKNKDLDEDITKDSLIKAPKKKKAPV KK JR316_0005286 MATYEYDEAGVMAAYFIITFLAMVLVPLTISSLTKISEATTSAK GITDGCQCTPCVEQREAISKQERGSLLNPKISRRTYFLLGGWSLFAFVCYRVSLLKVE NKIYNPFEILGISTSMPEKDIKSHFKKLSKQFHPDKVKATANMTIEAIQDRFVEITKA YKSLTDERIKENWIKYNHPDGPQSTSMGIALPKWIIEGKNRIWVMAAYGLVFGGALPA LVGRWWFGNRQKTKDGIHAQSAAAFFKTIKEESSMEEVVGALGKAYQWELPVGKSKSS AEIDALEKTIKEEVGAKWTEVRKIAQDFNGELHESRRQALVLIYAHLLRLEVKDAGLK KKQQQVLLQTPMLLNALLNVSVARTWLLPTLSIMRLYGYLAQAIPTNASQRLRLTQLP HIKQEDITPLPVKANDMSDVLASLEEKDDPRTKDVKKALEKWGRVDIVSATFKVIGER IVTPSSIIYLLVKLRITPPGSTPSTPVELTVDETKKAVQLEEEIDEKFLASRLDAEEL PSSKTNGGAAHAPFWPGTRKPSWWIVLADDKSNRVVVPPMKITDIPYSQPGSDRDYRS YKIQFQGPPNTGLFTWKVYIVSDTFVGEEATTEISLKIEDPPAAEEAPSDEDISEPDE DTIAGQMAMMRGGKVKKRVEEESEDESSGTDDDKNDTDSSDSDSD JR316_0005287 MELPPGIIFLTQRAPKITLPPLLTYLAARVLGSDSLYGYQPSWW ALGVAMLASLPLAFTAAIVWDEVYIRLDAARKGAVLPPRIGDWTPGNILTLIRQVKSF ERGYPGEFLEDICAQVKAGVFNNRIFFENRLVTTEPEHLKAMLGTQFDQFEKERISDF ENFDRHAEDAISQLKGRLRAGYPVDFQDLVARFTLDSATVFLFGNDVKSLAGVLPYPH YITDIPSTERQTEEHPALRFVNAFAEAQTIMAWRLRYGYMWPLAEFWHDRIKGPMKVV HDFVDPIVAEAIKKKREGHGNVKEKEEETLLENLVNSTEDHITLRDEIMSLLVAGRDT TASGLTFVVYMLAEYPEVLRRLRAEVLEKVGRHRTPTYDDFREMKYMKAVINETMRLY PAVPFNVRASKCATVLPSKPGSQDRPMYVPANTRLPYATFVMHRRTDLWGPDAREFDP DRFLDDRLHKYLIPNPFIFIPFNAGPRICLGQQFAYHEMSFFLVKLLQQFSGISLASD AQPPQSRPPSEWAEDTSYPRKQKEKIRPKSHLTMYIEGGLWVTMEEAKDIDF JR316_0005288 MWAVPSTAPGNQSFSLSGMRIRGERALSTIEDMSDADKSDDRLG KRRSSDDRSRMDKISEMNEPTAVSAHTIVELGRKTSDFDTPQLPSIIFQIASKS JR316_0005289 MSSNSKPEVSPLAPPQPARPNNTNLAHLNPLPPSVFTLPQTAQL EALYTIIRDKETSRGDFLFYSDRIIRLLVEEGLNHLPVVARTVETPTGAVYNGVGFEG KICGVSILRAGEAMEAGLREVCRSVRIGKILIQRDEETTLPKLFYSKFPQDIASRYVL LLDPMLGKLSISQMFRQQADAFSVATGGSAMKAVEVLMEHGVPEERIIFINLIAAPEG LTTFCSRFPSLKVITGWIDQGLNDKAYIIPGLGDFGERRYCT JR316_0005290 MKVPPGPKYLLSLIPFFAKPSVIVYFSLSLLRQHVKLSIPQWMI IMLSIFARPCLFVLENYVSEWLNERAAAQHGAKLATRCPESSLSLVTEFTESILTGYP DEPGHVKAILSSQFESFEKGPMLYSQLESFFGTGVFNADGEMWKSVSLQLFLNETMFT PIIRFHRAMTRPFFTKDRISHFDLFARTCDMSLATAKNRLSEGYSIDIQDLVSRFTLD SSTEFLFGGSVGSILGGLPYPPSSSRENPPEFYNHPSTTFVDAFTKGMGYTALRLIRG NQWPLSEFWKDVVAPLREIMDQFTEPLMQAALNKRGT JR316_0005291 MGLSPGLAYLLRTLPYFAVPSAVTYATLKVLLRQTDTALPTPVI VFLSLLARPVLFFYNLYYSEWADNKAAAVHGAIIVPKVQEPLLSVVSKFAESFQGGYP GVFNSDGDMWKFHRAMSRPFFSRERISDFHIYDRNATISLQMAKKRLAEGYSIDFQDL IARFTLDSAAEFLFGGSVESLSAGMPYPGQESSKENSSLHDHPASIFADSFMEGLHHT VMRIRMGDAWPLDELMSDRVLPFRKAMDVFIDPLMKKALDKREQQLLNDKSPDEEEEE TFISHLVTEPYYSSLATTGANTLSSILDPKVIKDELINLLLAGRDTTMCLLSFAVYML AEHPDIETRLRNEILEKVGANETPTYDQMREMKYMRAFLNEVLRLYPPVPADSRISTK ATVLPSKKAGGKPIYVPANTTVLYSVTNIHRREDLWGPDALKFDPDRFIDERLKKYFT PNPFIFCPFNAGPRICIGQQFAYHEATFYLVRLLQQFTEFSLDQSANTPPPAEWKFGD NLKGTEKIFPMAHVTMYIKLLSSEVSL JR316_0005292 MSDKVVGILGGGQLGRMLAASASLLNVNVVILDDGHHGPAKRIV APTALHLSHVDGSFANPEKIRELANKVDILTIEIEHVNTATLQEVQSAFQHKELVVHP HPSTVEIIQDKYRQKVHLSSHGLPISDFVAVESSVESISDVAGKLGLPLMLKSRTLAY DGRGNFVLRELGQAQEAITFLNNRPLYAERWVPFKQEIAVMVVRAVDGQVQSYPVVET VHKDNICHLVFAPLRSRDPSLSQRARAIAETAVKSFSGAGVFGVEMFLMEDGKIFINE IAPRPHNSGHYTIEACETSQYENHLRAILSLPLGSTALKVPSAAMLNLIGYSSSMQEI QKTVDVALTIPGVSVHLYGKSECRKGRKMGHITIVADSDAQLRSRLRPLLESLPESTS EEVDRYAPIVATPGSGFSDPNPLVGIIMGSDSDLPVMLPAARVLDQFKIPYELTIVSA HRTPDRLVDYARSASTRGLRTIIAGAGGAAHLPGMVAAMTALPVIGVPVKGSTLDGVD SLHSIVQMPRGIPVATVAINNGMNAGLLAVRILSAGIPGLIEAMDQYMKAMEAEVLGK VEILKEVGWEKYQVKRT JR316_0005293 MSHPIAFTRPEYDCQTIDSSGSAQNWTLVKERLRILNCGKDAHG YSEFSGFGCPPLTLGKSGDIYLDLDPASINLYGRYTDEWKLWPGPHSRDDVLLHPIYQ NRCLWCSETIGWQALNRVQLHQDKSRQIVIANSATYEEHQKYVKKRQILETNGPNPKR SKTTKSPNQSQASSSCQRIPKNSRPTTNSPSRFVSSGGQLTTASVRVHMAFGSQVIAN TSAGLRSPIAEGNGMGTGEEPSNLVADFEKLKDVANTAVYGLQIAQQQIDRLKQENDF LRSTLAQLSQNDRSRVHHTPRVESALTSLDRGTERLTGQTPDVNTEVPPNGIPDDADF LSVNSSRISGNWIPNDSLVQMQTSGAHAVESDLDHPPSSLRVADSMPIDIGCTAQSQA LVNDKEAADLPMPPSSVHSLHSYRVESETEDAPAGAGIEAPLQLKLKLEQAHMSILYG QVDDFIACRLCRAQGSPIFEIKAATQDSRKAMERHLIEEHPVEVETMCCLKYDDLQQL KDVML JR316_0005294 MPYLTPKSGPSTGTVESSITVNECKTSDAQEVPAITACPVPEKS ILIDTGELESIKSSSYPLVKLEVEKINDATAGRLATSLLGHVLFLKNQVPFPVMQLGR LPGGKMNTRAAKQRTELLASYDTISSHLDTTFSALSTALARCAGQNSKLAQVHLAILV GPSVTTAKSRLFLGIDGLEQSIWGVRDERTISRDHAEIAEDEREDVQADKSDEGDSVE DNDESDDIPEDSEDDDSEETSSEEESESESEEEENGDKSIGEDPPPPLYVSRAEELQF LQKAERLLSRTLAAADAEGCGISSELAPTQTHVLIRAPRRFSHPAWLPQQNVSNSLDT SLHDFLNNSGLQTAENQSINRPKHTKKTKVEGVWITTRQGIQPSAFLRESLSVSSTGL DLEDDEMIWWSWDGKFQGFSDW JR316_0005295 MPSPLLSRLGSSMQATSSRLGYHAYTIFLFTKSDIKTTLIPVGC FALGAAPLSPTNSLSHAVQAIFWLWLHLLHFNLANQVHDPEEDMINKPWRPIPTGRIT LAQATVLRYLAPFVCMAVSLLYSRAVFYAALIFAILVPIYHEAKGDSHWLSRNFMNAF GYACFSTGSTLIASPNRAQLDVPGALSIFTITAILATTIQAQDFQDVIGDIKTGRLTL PIAFPNFSRYTPMVSLLLWVPYLTSVWEIGTVGTIAFTVLTIIVGLRYLLLRSTKDDQ LSYVWYNVWLAIAFSMPGYWRYYKESAAL JR316_0005296 MAPPKLAKPAKGAKSGNDLRMFFGPGGGQPKPVAKSMPSQTSTV ASQNSNSGLSSKAKGSQKTLLESQKSQTPLVIEDSDDEPLLPVKKSSAAKSKQHTMII SSDEEEEKKPTPRQQQTTLKRKKSTTLFASDDEDTPPRKKLSTPSSKPSKSTPKATAS KLKSKKAFQDDDYDAESPGESDDDDDNFVVEDDDFDAKPSQRKTPVKKHPVASASKAK AEHASSTKVHDDSHSQTSQQAPKKFNWAAKKAAQLAGPAAHGSKEVPDGAPDALAGLS LVFTGELSSFSREEAIDLGKRFGARVVMQPSSKTDYVVLGDNAGPSKLNAIKKHGIKT LNEDEFLHMIGTRKGLGNGKVDEKTKKKLEKEQEDIKRAAKEMESREKKERAEAQSGR VVDPKTQLWTVRYAPQNLKDICGNKGQVEKLQQWLHDWPTSLKCGFKKPGKNGLNLYR AVLITGPPGIGKTTSAHLCAKLEGFTPIEMNASDTRSKKLVENGMNINNTSLDGFVKG ASETNSLGVLITDKSCLIMDEVDGMSAGDRGGVGALNALIKKTKIPIICIANDRQAQK LKPLMATTFSLPFHKPPAQSIRSRILTIAFKEKMKIPANVIDQLINGAQSDIRQVLNM LSTWKLSSDTMDFDEGKDLAKMNQKYSILSPFDITSKLLGPYVYSPTSRETLGDKMDY YFQDHSFVPLFIQENYLKTEPARIRNLEGPEKILRELQLMDRAASSISDGDLVDALIH GPEQHWGLMPLHAICSTVTPTYNLYGNGAGYGGPNAMSFPQWLGQNSKQNKLARQLGD VQIRMRLKVSGDKTEIRQSYIPSLFPYMVKPLMDEGVSAVDEVIERMDEYYISKEDWD TIIELGVDEKKDDVIMKKISTATKTALTRKYNASEHPIPFHKAQDLGKVPKKLAGGPA PDLEEAFVDDVIDDVSEDEKNKDLDEDITKDSLIKAPKKKKAPVK JR316_0005297 MSPKFSQVFSSLPSEAQNSLVENHLARLLDFLPEDRSSEVLLAA TRLQRKYQEAPILDLRMKKRQINGLLDQLIRDAKISFIKERSNREELLSEIIHTLTGW LSDIWTVVYEHNVNFDIAHQCLVFVAEALAQLSESSSLGGCKCTVMNLPVDVSFRSRK GKVVKRFSLMGPQNIDAVLLWIWRDLFVSLLANGNESDKKKLPDFLEDVESTLGIGAL ERVLYGGRTTEIDGDDDDDDYEDVEDNESEDDIFEEHAYFDEDDSDYDSDASDRCPCN LHAPYWPEKINKERLPLRDYVETRLFEIFKVTPSMRIYNTLLSISQDSTSIEMRATRV LRDIAGDSPDNLVAALDINIANNKQSMIAFLLNSYGYLLRPRDTMTLQCAVAMLDNSP YHNQCITILETQLNESLKAICAAVRSSFPHIEEEDNKNEIVEILKLRSSSSARQERVE RWGGRISSSSSGSFHPMAFAAMVMGLPVIPGTEEGDDSDILNYVDLDQLDPDLDDLRD EYRPDLKGTFDGWVHIAQSIKGGPAILAKLYTKALEMLPWVRGSDIVTEMINRLRDRP NKNHVLDALATLNSFSKTQRKKLSVARAEQQKRTAASKAQTSLATSTTQANEASSSTR STTTSPTLSSRATALVSSTVSPPGMAPMFTPSSETQFSFPPVSSVNGPPLPFPFPQSF SPAVGGMEDVD JR316_0005298 MTFINLCNVILACVVLAKATTSSFKPSLYDKTTVVCEAIRRTPS KEGVNIDIKYVDINPGAPTSIIMVHGWPSLWSSWSNQIQEFQEDYHLVIPDLRGFGES THPGDAQSSGTLQDMVNDLVCVLKDAKTSSAICMGHDWGSAICYEAARLRPDIFTAVI GVVVPYVPSAGPYVPIQDLTPHFPTLTYQLFFDHQPQAAVDELERDIRRTIRGTLRTF ASPPPIQFLKSNKSFLDAWSDIEEIPAVPFFSPEEEDYFVDQYTTSGFKHTLQFYSNY NRFLGWKIANEQGNHTISQPVLAIYPTEDPVADWVEVSEILQSASFLPNLTTKVLPGS HWVHLEYPEKFNFIVRQWLMAIVATKLSRDSGFGDEL JR316_0005299 MTFPHQDPLAWKVALTFVHLLSLGLTIVRIRHRWRTNRLWWDDY VVGIPWALVLVCAALLWAKLNSKDTPWYMPNAQGFIHSYWFDGFIFLTITWFSRISLT LSVARLFMPSHPYRIYGYALVVVLFLMYLTSILFTSLSCPGSPWWKIDASRCLSNPIR TGGVVIGIIWITIDFIADVILVITPLLMFWRVRFPKPRDRSLILALFAGNILTMFTSV LICMIWLSHGLIGPEAAILFKMVGELHATLALLVTNLQIASMVIYRKFRDTTDKSQTR RHNAQPIAVDVANTPPEPMTLTTPTGAPSEQIENPDVQSSSTSLSEAPSSQKCNFQLS SQAQPLFPPKQSSAIKSEIKWQYHIKTKKPGKLRTLDVLRRSILSDLFFRLVSITVIH CLALGLALIRLTHRWRTRRLWWDDYVVIIPWVFDLFSLVILCVQYSSNKGWWYKPDLS RFIFSGWFATFRYLTVIWFCHISLALSLVRVFLPGHWYKKAAFIFVIVLFLLYLLSVS ISTFLCRGSPWWTVNYDRCRKLSVRQIVPLALSISSDLIANAVLIIWPLLIFWRARFS NPSDRILVLALFASGVLTMMALGIFSITWLAADKFGPDVRLLFKMTTHLHQAISLLVI NLQIVSMMVYRKFRSSRDRFRRHRRPRERPLEVPDVIHQSTLSIISDYPTSLPSYTVT VAPSTSLTSSSSEQSSSEQSGSASVPQSTTSTSCNVLSSELVTTSVPESVSAVSSVVS SQPERGLSSTL JR316_0005300 MPITGKTIPHSRQPLYFKSLKELDNWSAGGASNRYDGIVKYQSR RPGVESLNRGKLLVCHDYKGGYTESPFARSYTFNFWPTCDTFIYFSHHRVTIPPPGWI SAAHRQGVKMLGTLIFEGGGEEDCLRLLVGKMPTSKTGQVGQSATCLTLPLSPHYARV LADLARERGFDGYLLNFECPLAGGVEQTRALAAWITLLHSEILEKVGPHGETLWYDSV VINGRLAWQDRLNSYNLPFFLSSSGFFSNYTWRPNYPALTAQYFLSLDPALVGNTAES YSHRTSKTVRDIYIGVDVWGRGQHGGGGLGCYKAISHISPETLGLSVALFGQAWTWES EQDKPGWTWDSWWEYESKLWVGPVDGRVEVPEAPRRQGEPDCLHGPFVPLSSFFQQLP PPDPSQIRFHTTFCPGTGLAWFVDGKNVYTSPGGWTDVDKQTSVGDMLWPRPKLFWED EREDVIPNVLSAFCMDDAWNGGNSIRIKIFAPGSNDELAAYRPLWLPIQSLSIAPRKP YKASLIYKVDDDPASGLEIEFALAVRTLSGSTDDFVCNIVSATTEELSEGWNKLSIEF NTSTSDEVSSPPSHVVIGLVVAMLTEEPSEPVKLSILLGQLNASPSLPQSYSEEDPVI LWADFTPTPSTSEDVTPARFSGTLTWEVAACFPPLTSLNITTPEDPISAWNTQPTINW FPSYIYFNIYALPFTDQWNVGPVEQAVWIGTSGWDGQKNGFDVLPENLPMSVPADNIK IRFFVQGVNDRGEVLKWGKCAFIDVSA JR316_0005301 MLTFSKVVAALAACAALVSLPVVQVQGRQMRRKDIHARQLEAAK RFQAPAPARRQAVQNITFSNPAAFKFFVNGSTIPEVDFDVGPSYAGLLPISGNKSETR QLFFWFFPPGPQGSPDDLIFWTNGGPGCSSMEGLLQENGPFSWSTGTAKPIQNQFSWT NLSSVLWVEQPVGTGFSQGKPDARNEDDVASQLVGFMQQFLLVFPEMKGKNLYLTGES YAGTYVPYIANFIYENPTMLDLNLQGIWISDPSLSFDIVQEQIPAVNFVKKYENVFSF NQSFMAELDQINDKCNYTGYMEKFVTYPPKGLLPLPNGSTRTSRACDVWDTIFEAALV VNPAFNIYRIFDTFPILWDVLGFPGSFEQEQTPLYFNRTDVKKAIHAPLDVDWKECSD IDVFPKGDGSLPPAFTVLPNVIEKSKRTVIVHGLADFILIAEGTRIVLQNMTWNGLQG FQTPIANDSFIVDGVGALGNTHTERGLTYFEVDLSGHMVPQYSPKAAFQIMQYLMGFR DTP JR316_0005302 MALARLPFTLSLAWAAYTSATPPNPPPRPAELRVELDYSLKEVN LRAALARASLVLPALIEIIIVLSDLSSISPQPQRSNHLQVPEPLTNPHTASSHIYLTP SFLAFWTIAMTGAHLRKRCFTTMGAMFTFELAVRRDHKLITRGPYAVVRHPAYTTAVV VMGAGALCWSGPGAWPFAYAFDFERVSGDGSGTGTAAAAALLAWIALAACWVPTVWNA VALPGRARVEDKLLHEKFGKEWEVWAERVPCRFIPGIY JR316_0005303 MQSFAFHFKKTLHFLRVDGVEYGDQCGGLALSVAALERGLDLII SNDVSPTGMKAVTNTDSKKKRSLAFAEANWGKKVRKWVETALLLKPEHWSRIQNGAVD ILAASMGELGSSEAEEDIATDPRLMIGLDD JR316_0005304 MPAPAASISPGDSERRVVVGILALQGAFVEHKTALEKLPGSRKL EVIFVKTPDELARCDALIIPGGESTTIALLARISGVLDPLRAFIKHKPVWGTCAGAIL LSHSVENAKKGGQDLLGGISITIARNGWGSQVESFEAALDVPGLRNPEDPFTGVFIRA PVVLKLTPGPSDPPIEVIAKLSPHLLPSSLSSNANANLNADADTNPNHNPNPNPNPSP DTTKDDPKTYVALRQGLHFLTTFHPELTSDNRFHEYFVRECVLGGAGASASASASASA SASASASSTTATSTSTSTSTATAT JR316_0005305 MSDLDADLYGDLYGNDDGDNEQPLEEHDTGADLSADTPAVKTEA HDTGLGHGVSSKPASSSAEKPHANGTQQQQHQASTTSTTNSSATSTATNGSAQQQQQQ QQQQYAQQAPQQIPTYEQPLQNDYRDSSAPRGDGGLGGAGGGGYQNMSAGGERSVRPS EMKDEG JR316_0005306 MFIGGLNWDTTDESLRDYFTQFGKVDACTIMRDAAGRSRCFAFL TFEDPASVNAVMVREHVLDGKIIDPKRAIPRQEHQRATKLFIGGLAGSVTSESMREFF SQFGKVIDSTVMLDRETGRSKGFGFVSFEDTNVQPFLGFGNLEIDGKLIDVKLAQPRY QRDNFPHEDAAVAGGDFSSGGGGGMGGGMGGGGAPRFNQQGGGGGGAGGFGGAGAAGG GAAAPAGGAGNTPFDPQALAALYTRMFQMSGAGAMNPAMMAGGMAGGMGGAMGAGMGG AMGGGMNPMMAAAMGGAGGFAGGMRPGMGMGMGMGAGMGGGQGMVGMGRGGAGMMGVG GGGAGGGGGGMNQNIPRGPRGGPGGPPGAMGAAGVGPQRSQRGHNNFHPYAR JR316_0005307 MSPSLSLSTLTLLPLRLPFRRPHKAEAIHRIRLNALALLTLCVL AWLLPVPSVPVAIGVVGREFLFARGGGGVGGGGGGARGSGARGGGYVGAGAGHAMRRG YGYGQGQGYAQRMVDVDEPHGAWRVWMWVCIIESSMVAIMTYNLIEAGYALRYPPPPL PPSSSSSSGSPLSPKRLPSSPFSVSHSPSSPSPFSLSQSQGQGQGQGQGSPLSNSTGR AQRPFKVLSPLSSPQAQRPFSFGASMGMGRSMGGPFTAASSSFARSTGGSPFLGASSV GGGAVDGSGVGGGAGGGGGDLSVANSNVNANPSSTNSNSNNNPNSINNTNTNANANAN TNINSNANVNANTNANMNTNTNPNANTNTNSNSNTNTNTNNNVNTTAYAQSPISTPSR VIHYTSPGIPLPHHASSMSASASMGGSLNGSIGMGSEYEYLSTPSPVISAYRGKHVGD VGRALDGSYVSLMMRSRRGRGGAGADEDEDEE JR316_0005308 MSADDEDEDEDEDEYNDDDDDDDSPKHKKKAKGEKEADEAVQED LDSDDLPDIISINEFDFDFGFSALCFAILFASVPTIEALIAAGADLKLISKAPANQSP LHPLTLTILREDEEEACQVAECLLKAGATSSSANDFMRTIFHAAVHSGRSKLVETMLR CDPHSSKVVNFPVLQNQHVVFPIVTAINKKYYGIVALLLANGAKLELDEKDITRATEA APQNTLRYLTSYGSNTITNYVELAYQPLEVAIKNHDDLGKLLINLGVTVDFGTLQSLG RYSDVAQRRTIKDWIDMAIVSIENQIASKNVENVEVADSPMAAPDEEDDKGWMAYYKK HHNREATPRPHMKEQEAATKRRQARIEKQDLEYMQDIREFLVEIQSLLTAKGAKTWAE LYPTVESQATIEGGSSRNRRNFRSLVSHRTVKPSDTLKISYVHLSPKNSYDRNPVAQH LNSAYEELFEACFSGDNDRVQRLCLPIEGQETDGSSDTPLSAAIAGRQWATAKLVLAI ATAQYHPADNTDKIKFNRHVDLDDDSDNESCASDDSDMTVDQQEIKFIDIATRPSAVQ SVIHPKTMLTEAHVRWLRLSADSTEPSRAQAAPLAKAVADHDLDAFKHIADLYQSLAE PLQIGEDIMDRILDEDQADILDEYLRRTGDGLDIALVKKEANVKEDLPIATNDANKMY LGLDVHGKKRIDLARKNDPNATHDAGEEKRKVPLLWRAIKLGAKNIIEYLTTEKPFEA YRAYATSTTAHGELKARWLRRYLFGESGAEVASLPKGGPKKQLAVWLGWTINALGESP LAAAIISNKMEMIELLNKLEPELMAQALKTKIKFVGMNMLFFAVQMGSDIKIVDYLLA KSISAADKDSIKGWNMYHYLSQKNNKKLLGHLLQKLPQDVNETLLKQQSKRRFNTPLQ VAIKNGHVEIASILLGYTPTSSALLQIRDVDGQTPLHCSVRRQFVQITRHILDTSRAL PSISAQHSSLLGDLLHTEDSVGLTPFEIASVQVLTHRLEQFSWGQSVHQYAVEHIASY GQVEVEPRRTDVKRAVVKLADEREGSRWKKVFGMVYVSRTRDDHLQESATEKGVEDVK RVVARLEAEAAAGDGEKVGGALDMDVLREWVKGMERLLERSKERERRYEEEEMKDVEE TLARLEELKKQNESWRLYTDTLEVAPITESQDLTGTYDVVRAAIAALQVEGLERTPRR HLVHLFDVQRSVASTLASASGGGNTANGDEEDGDGDGDYYDRESSREARRLLRAHRHI AKHGAVGELEGEGDVDVEEERRERKTSMVFQHITMGPDML JR316_0005309 MQPTTEIQAFIERINALPAGPGVTLDAALQPSLDDEAELRKLFA TDKKNARLANPYVGLVDVFDAPATIRTTRARVVNDKEDLSAKYVMPVADENRREEGTP STVADLEEFKKNWAIFTEGSLSQLINWNNVVAAGGSVLACLTPLHESDKTSKRAIRKY YHSNAYPTSDVDLFLWGLNPEQAEAKIKEIYEAVRDSVPWDVTCIRTKHTVSIHSQYP YRSVQIVLRLYQSPAEILAGFDIDAPCCAYDGNRVWANPRAVVAMMRQCNTVDMTRRS PSYEVRLSKYSQRFFEVYVPTLERSKIDPTIYERSIARMEGLARLLALEKLADDNMRN TFLEGRRQLRGRTFANALSQQRRRTRRKYKGDLKGENDIGVVEINDYDVASLHLPYGP GWDAKRIDKLVYQTDLGMNCKTIETASFNPKNKGRRLHRHPAFFGTVEECIEDCCGHC PKAIDDDEKKLQAEEDEIYIRGRIQFIQEDPGRQSMTGSFKPIDVGEWSDQVYIKPSQ ELFTAISAHDRVTVQRLLAGGEIDVNQRDHVGRTTLHVAIFSKVTDIALDLIEAGARI TARLADGRAPLHLAAQFDQIEVIHKLFEKSAKNAEEAEIKANEGKDEEAMDSQDAVER PSSEDDWSSHDDEDVVGKGASSREDKVA JR316_0005310 MASNPPLPPSLLSVPRITTLFASLIVALSSGTNYVRSYSPQLGA KLGISHTKLNIVALAGNVGVYSSGPIWGRIVDSRGPRILLGCAFAFLLGGYSGMRYLY DAGLPSGVSSLSTAGFILLVLFSYMTGTGGNGGLVSSVNTTAKTFPDRARASATGLVI SGFGLSAFFFSSLSNLFFAGNTSSFLFVLAIGTSVPMIMGFFLVRPIPLPSEDAYDIV EDCVEEVPRRSSTTRQTSRTRLLDHDFVEPRQTRYARRLQEEDEDEASGVDEEVELDS QHTPEVSSLLAASARHRSLSRGAAMSVGALPNLHGVKLWLSSDFWLLFSILSILSGTG LMYINNVGSMSQALYAHQNPKYDEIEASSWQATQVSAISLMNFLGRIFIGLVSDFAKN KYEMPRSYSLVLVSFFFFISQVVASMEDKIENLWVASSLLGLAHGSVFSLFPTVCLEW FGMPHFSENWGYLSLSPMIAGNIFSIVFGHNLDAHDGSKQSPSSIATVTASAPQCLLG LECYVSTIYLTTAATFTAILLSIWAGYRDRLKIAASIRNKEAMRSLEEVDY JR316_0005311 MESSDIDSESLQAVSSLKSKFEKLALDTTSSTYKQGELLAVSTP PSPRPRAASGSHTNTPAEAISLRSSSSSSDLRSPLKRPPPPPPPSRNSKLNVPTTTAS PSTSISPLLRPVPLPPVSNSAGKESALAPSIASLKARLVPTPPGSPSRTHSPRQSLTA YPALQVESKPTLPPRPPSYLPPSRNTASPEGLPSSSSVHSPFSDDEGQNAEPSTSKFA PPPIRQRQPHVNVNANPNGNGHGNGNSIESGSIRQLGAHFNDSSQSSYDSDASQAPPP QRLSRITAVPPPRPPPRSRPSVSPNHGERSAIASSVPTTTVNPPPPLPIRRSTIMTQD HPAAGSSNPPPLPTRSQISPANVIEIPTNERKVFGSSKLPPPPTRTIALGDKLPPARR SSTPSSDEESGEEDEPKTQAIDLMPDTSTSSRRPPTLGFRDGYPEPRVHVNPHSGSVA LSGSQVVVAHGHHIKIYDLAISPEPTFNLDTKSLGVKDTKVTCMEFRPTASKVDRGYL VWIGTKEGHIFELDIRTGHACGSKYAAHMHPITHMFRHARSMITLDESGKALVFSPDP DNQEDITLHATVPRVIRTTEKQDFVKFLDGKLWTAARVEHHGTAPTQRLPIIRVYDVF NPASTGRSLMPSEHVGPVTSATIIPNQPRMVYVGHEEGFISMWELDTEDGFPKCVEVM KVSSSDVLSLEGVNNRLWAGSRNGMISAYDVSQKPWLVTNCWNAHPGLPVVKLLMNHY AVMSVGRLCVASIGRDEQLRLWDGLLGLDWIDKELVKVETTFSTFRDLTVLVCSWNCD SARPDSLNGIDANFSFLHDVLHSVNSPPDVIAFGFQEVIDLESRKMVAKNVLLGGKKK PEDGGLSEKVTGAYKRWYDRLILAVKAAMPSDLTYCVVHTESLVGLFSCIFVKSSERS TFDDVAVTTIKRGMGGRYGNKGGIVARFVVGDSSICFINCHLAAGQSAVRRRNADIAG MLEEKAVFPPADHSLAYVGGGDGSMVLDHEFVILNGDLNYRIDHRRDAIISSIHAGDL ASLLSHDQLLREIKFNRGCRLRGFAEGPLTFNPTYKYDPYSNEYDTSEKHRSPAWCDR ILWKTRVMSRVRQLHYRRYEANVSDHRPISAAFSITAKTYDRETREKTKAALEAEWAN EQKRLLAAVTSFYVKQALI JR316_0005312 MNDDGVSCSNSRRIEAIKEHGTLNSDGYSSIPYGVLFVKTEHTL EALNGTLRSAKRQKKVAFNAELLMMPRDKDVEVVWLEK JR316_0005313 MDSDSAQDSVVPTLSESALDALEMDGSMLDVNSLHNDSDSLTPT IGEMVMGLQSIEEPSIGGNSEPSIERLSHDIDQESASIGEQIFSQYPKSWDSTICSRV IKDIFHVFNMFHIVSNHGLRIEFARQLRDAIFIPDANDRANINAWGAKQTPPVTFEQL RSSRPKWLWRRCKRIIPSADILYDLLKKVFQTFGPLKDATTGVALFNFSTWKTCGHVL DLARCGFLSDPPGIPLYVEVCVDSKAPGLTIYRCLRGTNMTEGGVHTHLRSHLPTSGA SVEHVHSCISDFVLRHNLIVGTFNSTGQRYRGHFSIWLTNSIQERLEYLRDVLINPLE LTGWVNGNLYMQTSEVLGILPIPLEIRTSAGMTEYTPSLDRRQKHAFLAQMQKTRKPI LPVHNPDEKQLFRHLMQKNPEYNSVSSGPIWKEAVKVWNHYADTEPTISYKLIEQLKS YYSKWKTLVNSKETLTMTYDTRRPLALELTDPTRSSTAPRVPQTSLQPARISSGLLPT SVSLGNFFNLPQHSLQNDQHSSTVRTNIQSQSRTLSNPPTRPNFNVSASRSTNEAQTH LSIGIPGRPAYEIGLDLQNWAQREALAQQRHWKKRKLLVPVQNADQNVAQAVGRCSFA GHRVAIAEMLIVMVAIQSSPTSLAIVVGKELTQHAALILELSQPVNRGKRELKWHFEC NKHQYRAECEKEIKGDDMARTTTTPDDADSNHILKNVSVLDFVQRVWGVETEQCHMIL NKEFKPSLCSLRQYQKALDRRSDAGIQSWPELRQLFREMATVLIKDVCDILSIDHKEV KTRFLDGYETRDTAKDGKPDLLNVYIPVGKATAGALSPKLEDSDLAEGTSIPEWSEVR AFIEFKQSQISSLEEGEVSSICSSEDSDESQLSTTSHSETTHDSEIAGDKRSKSSDLS MENRDAKKRCSSEIIPKYELQLADYAQKCLATGYRHYVTGIFIDGFEFWLWYYDRSGA MRCVGHNFSERLGMANLALALFAPSQSDMKHAGFDPFLYAIRGDPDLPVKSSEISLLI RPAVDLMGQCYKFTKGCGEKHLFFIKKIICLPKAIHGRGTVAVIARHSLSGQKFSDNL YALKLSWQQITRRHEGDIIKHLRNVLLDWRDHLPGPVFHTTVSAAELSMPRQAVRKSG SPSNYPSTEERDTLHVIATSRCKPLWQAKDVEEFKQAFLDCVECHYHAYKTGRVLHRD ISEKNLMIYQPEKTDKSFLQDGSHTTESTLENDDEPRARGILNDFDMAAMLGPDDKPI STCPGEPHNIGTLTFMARDLLTSPTLRRFYQEIHPTLPDFHLYRHDLESFFYVLIWAA TRYDLAAGKKRSLPENSPLLDWCHGTLGKGYSIKIPTKSMMLSLKQGIRPEWKGVWID WIEPLYFLFQEGYRCCHNARTNDDAAGYITGHGNLTFEKFMDTIKVVPRNFGPKE JR316_0005314 MERRPVGRPRGSGPKQRAREAVANGGVVKASKRPVGRPRTVVPP VSIELGSMIIAGNTSATRPANIQREFSVSDLSNMHISNDSDNPTTGHTRSPHTVVLPS STSHDPPNLLSQHSTSNIQIIPEENPSRAVDWGDEDEDEVDLECLGEGIGQEFEFAQN EDERGDEVDPLDQNSELQESSCVDCAPPAWLQKAFNSKISESSSENRDENGLPLLYAK HRTIWFPQPSPYFLLLQNEPSPSKLFNPRMCLWDPRALFRIGCPKCKFPLRRHGHISR PRRVVDIDSEFYIIGFRYRCGVCIHPVSGKHTVTFNSWDSRILSMLPQDLAAEFPAIL SHRSAISKPLFEIVRSGFQNGMAAKQISDTIRIHHLLKYDTCQGFSSFPSLSSQNYLI LSLSYQLSD JR316_0005315 MDSMNISSPPKSSEPMPVDAIVDGENGVEFGSIRLSEGDDGIQT EQGVDIGLLNGSQADGGRQTGQGVDFGLFSGSQGDGGREGAPNDPTLAYQRNQALLAM AQRIYAENEAIACPIRASSNSDGNDNIADHLRSYQCVAIDAAALARAGGLKGIMELND ETAIQVMRDIEITF JR316_0005316 MWGLVVLDASFNLVSSLIQALTWEDMSENVSPPPSPKLCAAVHI TNPIVSSPSTLPALESFPTTPHFVLGPPSATLDPSSSTLDLLSSVDAASSNTISSAQK RKLKRNMSAKNARSKRREKAAEALSYRVHAFRASQKATSQKTPVSTSTSVHCEINVHD LPVTSTAFTALDPPPNTRKRRRAVLKSRTRRKPQPVFTLADLVGPDSKFRFKLVKASS TPTAIVDSDDRIIGVVANHPDDKDWAQLMQEAADALESHRDSVSQNDLIHRRGAFKAL RCGVSYGGGQEVPGNLVDGDNADILKALNSMKAFKRLASFASSVMCTWASSLFNYYAT MLDGLHNEHRHLVRIFRDSIFSAVSYNFGPRTVCYKHKDYANLAFGFCAITALGTFDY TKGGHLVLWDLQLVIEFPAGCTILIPSALIAHSNIPVAEHERRYSFAQYTAGGLFRWV SHGFKTREDYLATLSCEELAAHKENDSKRWEFGLSMFSKWQDMKGPRHSEH JR316_0005317 MNPSAPLKRYFKVTSNSRKRKHNLCTSEGPDHESIVPFLLVKRR KVISSFKFLSLPHDIQHLLMDEYLESDDILSMARTCKGLRFRCIDMYLLRHKVVTHTS QSRVRIKILDSVIPLAIYILLSAIPENHFSQATHASIDMDVVSLLDFLDLICHFLESR INVFSLSLYFNCHDLPTLSTSERLPSVFVTLLASLGTSCTSLNVEAQKNGSRSKSSQY AARSNRCARRGLLSPSGKSGKRVSKLNSFHRRHRLIESKAKDSMNQFLSLDLDLSLFQ PYAMQKLVPFLLKGSTSIVELKLTSPTNSMIHDALQDLHLPFMKTLTVSVNDVHSIIL PPHFSSRHPRVRHISLLNEVDILTTNPVPYSERPVLELPPLTTAVFSANYSNWSMTDV SSLASIEVHPLSTIIPSSSGAICTAIRSLIVPINRYKGTPAPPYSHKTCTCFAGVSED LAVNIQDLRIYVYAVTSDLHYGPDILIKKNQLLFDDSRWVSGKRCSQNLGTRVGQIPD ARPD JR316_0005318 MKRKHHEVQIDGIKRSKNENEVFRKALCEEIGDNFDHSLGSLRG VKVGALKRICAMFGLHVEKSGGVHRPTHIKQDYIDSILTHLTRLKDEPEAEEFDMEVD SEIQLLPDAPTNANSRACVKVRLYNNARDYCTEYLDEMEITYHHAVGIVIGDLKAMLG SNTAQVRLTHRS JR316_0005319 MEFGGVSELLWLTSELLAVGSTRGRIAILALQGNKLVERCKKKA HGDGAARVPVQSMDYCPETKRLVSLGQGLNPNSYSEPRQCYAINNIGDDNVPTNTLSL SKTEEIVLGHEDGAPDNRHM JR316_0005320 MTSFLKHLVKTINTYIALLAENSVPDQSPLKGQVLREPSSVSQK RKRMDGEKKEILRKKCRVTSSILQPAAEFLDLPHDIHHLIISQFLDPVDILSLAHTSN ALRALCYDLYLERQGVLQIGICSESDICKRHMTIRITEYIPRLALFILLSALSSDHFS RVRACLWIDLASLYYFEDMIFNFLEESQLVVAGLYFSYGCKSLQALSSEVPGQMSRLL ASIGTSCTLLHIWERSESNRAMTAMRFSRPNSGSNRIHNIHRAADSVLSMDLTLSIFD SPSTRTLAPLLLKGSSITSLVLSCANTAMLLNILDEVQMPALLTMGLFVLSDVSGISF PSQFFSQHPTLQRLTVTNSGSDSVNGVPQESILNLPPLRAITISSNYYGWTMTDESLL SSVVLPSIVVDNSKSEDWAAFNLLIKVAKLVS JR316_0005321 MPKAHSTPRHVDLAMLRWKSAQKPDFKNRFLYSMPRQPSVEQCG TAEEKETPSRARIITLSYSPSKPTASPVPPATTLNSASTNRGLSHNDANESMPTSGAQ YIEMKSIPKPANLTIGRFEPFLQWSKDLGMPLKDQKKEQLAILTKEVILATDYPHVQD F JR316_0005322 MNASPDMKILSFEEILKIEAEVFQPSSPHSFHPFSCSSSGTESE NAHSEDQFNAHIKATVEITKSRLEKSALALFDFVVDQTNWDMDSNLLQLGEDKISEVQ MWHEKLETPLRDSPKYTPMHFASYTTTAWTFIHEIESLLAQYQEWKDKVSQSTQNSKF QTSSTTPGSVSAILPTAEPNSLARG JR316_0005323 MRNFREALGIVKEEKEKALKELALIRKHNTHLVDSLDKSVDSIM LKDKQLKDLRFQLEQTRGALECAKMEAAVRQACSENRVKTLREEIEAQKADIEELRGS NEDLKSVVQKLSKEVRHQQDHIALRYIEPESAENHAMEALRKAIKESQEAKRGKYVSV ARERSLNNLDGHSERHRISKERICAIVDVPTGLYHSLKTKLLPEGNCLSNKSISDKPS PAAVSTNSSRILRKGKVVEHTGFAYPKEHTVPDCIPEPVGTLTATAAPNKVLSGDGVV VEKTTAEDLLLRHLLSNRCSSTAGEQQQRWAAAHNTLRGVVNTRSSGSGVKRTTCCTA GQWRVVGAAQMRHHTTLHFIGDAERQIRRVGEEGCSGGWRACHANVVVSVEGSGGDLD ASTGITLYVVAGSKAS JR316_0005324 MPLQWARPSFPLWEDDPTPSDLEPLGPAHGTAPDSNEANAMHVD ADQHEEEEVVVELSVEGGEVDVRMRRPPAAKRGIKSKEENVVHSLIRAFLTDKKLIKQ DGQEDHCNEDEINPLPSFPTSPDDSTVKSFMAMQTNTGPHRHNPLILWTSPMSHPWNQ QVLSHLLDDFPHYVKGKKLLELTQLLKKSIGADTNILEILDAIVSPSSVRQILEKKLD ARRQGMQAAIRRTHRMQRPTPMSVPLTDIELRAQVKKLKIENDRRNRRRERRNIRYIR RFEIIEEQIMLAADDEARDLWRSIQKFYAHFRNEDVSSDESEGECRPPLVKGIRRIRR HWISPAVSAVFHFIDKHYTSEHSTGMKKRGAAPLPRDLVSTTVDFLSKPRPELPVNFY SSELTAVILRGLRPKPVFQIPQIPTGDQ JR316_0005325 MNDAERRPVSAVVVEEEGGDFSECSDFPWIKLCRALAVRSVLGS LGYTGDQGDVDRGYLAHRESYRRNVALLALARRLYCANDAVTYPTGASSGEVIQPRID DVEGRRRAFKRVATEAAALARAGGVKGVMNLNNEIAVNVIRNLEIVLE JR316_0005326 MAFRVRYVPLSPQGSKPRAMTSETELKPFEFVPLSQDIINKAKK GFEDLINELCPLPTPEQTSFAHNDFSNFASTSTFPFDDMQSRLHFFNPTPCQKSSTNF IPCWIKLPDLNCPDSIPHRILPTCKWEQTPSSTSFLASKVT JR316_0005327 MSATIEQVSGQSFDYVIVGASTAGLVLANRLTEDESVSVLVLEA GAANLGDPALLTPATFGSQFGNPQYEWGYATEPQAHSNNRSVPWPRGKGLGGSSGINF FQYHMPTVSDLDAFEKLGNSGWNWDLIKKYQKKSERFVPPAVKTETMNYDLSVHGTDG PLVTSYVATESGIEKPYHDALANLGVQRSADATSGNHNGTFLTHMTIDPQTHVRTYAA NAYYAPVAHRKNLTVLTLARAAQIESTTSADGLLTATGVEFIYEDARHSAFAKKEVVL SAGSIVDPQLLELSGIGNKEILNKFEIPVKLEIPGVGSNIQEHIHAGATFEISDEKKD EVLTFDCLRDPAELGKQMVQFMTDGTGVFGMGLSMMSMLPLSKISPNAEQIYADLEKS LEEGIAANRYTPLQQKQYKLQLETLRKGQPNCETLLAQAAQPIPGIAEDGKKYLSLSS LLNNPFSRGSIHIRSTNPLDHPSMDPHLFEEEYDLRILVEMFKWNRRVVQTEPLKSFV KQTEVFPGPQVQTDEQIANHIKNTISTVYHTIGSCSMLPLADGGVVDTKLKVYNTSNL RVVDVSIVPLHVTAHVQAIAFAIGEIGADIIKGKL JR316_0005328 MQSTDGLEGFPDTLASPSFSRVTTLKVQCNGLMGHPPPFHHSFE RFLVHFDSVTTLHVREQDIYILMKHIITDSLSENVLFQGLRHLVIFEFDYIGVSFFEC KCRYHPGPASGSIQQFLRLRKQLGMPPIQDLTVDLTTAEYQTKDSRPALNIDPALDSF FGINIVWKVDERMVYIRDCEKQAE JR316_0005329 MPPAAARPPARKRNRKRKRRAASSSSESSSSSDSSSDEEVSPPK SAVVPTPTPKAKTVESSSSSSSSSSSSSSSSSESSSEDEDDKRPTGNRGRQAEKAAAP TQATPRRLSPSPSPPPATLPSFLPSGDGGDQADAQEKEKQLKDRFRQFWMASVADGFR DDLEEIRKACILKRLLTSLG JR316_0005330 MSVDPRRPTRQDTFDLRDQIHADDSQNLHAHADAALNYGTDDEE HSVLEDDSEVDEGDEMFEEDVSSTLSIPNESIDFDMVYALHSFAATVEGQANVVKGDS LYLMDDNNSYWWLVRVLKTQEVGYIPAENIETPFERLARLNKHRNIELALPTQAEQVE IGIGDRRNNVQFRSDTNSPTGGGRDNNANNRRSIRFNSIRYHHRFIPGLKEGEVEDED DEWDTDGFEEEDLEVVQDQMEEDEFASMSMDVDDGMHWDDSTAEDVQLNLPSGAGGGA PIPDALMPGSMREYQEQQRLRAQQQEQLQLQEEQLVRQKMQQQMQLQQQQQQQQQQQA MAQQQAAQQAALAQQAAQQAQQQQRQMDSNAASAQGPRRIDPAEVTETRKMTATPTIA RDSEDGRTGQYLPSIVVEEERSRRLRDDESSLLSDESSKKKSKPKEKMAPPVSSATYN KQQAQQQAQQQNGSKLRKEPSIKSEKTDTEDEGKDKKKKGGVFGGLFGRKNKDKPKDK SPSIASVESNEFSGRASSESSRSSQRPSASDGGISPTTSAAQQQQQAISLRNTVADMR AGSNQATPSTPERSNTPQVSEHVNQLRQRDQQQYTLYKEYLNRSPSSPPEAQPSYGLQ SASAVMLSSPSSSSALGPPTTRPRPGSLILTSPSAIDGQANLSVIRVFAGENLQTEAT FKTVLLNPSTTSTDLVRQAIQRFRLPSGEDVDDYYLTVKQVEGGASTVLQPVEHPLVV FETLLESTELPKVKRSSVGSISSISSNLSMHPAIKKLPMNDFTDDSAVKFYLNRKGDH PLDDILNGEDGDETLTSPDRSQADSDLPHSGSKSQFLTVSTVGGANVAPERFTSPATR FAMQLVIYAEDLPNDMAFHPQTEAIVFKNTLTDPNAPVLVSPNLRRKVFMFPKNVTVA EVTEISLERFGIQDGVIDGGDEVEDKTTKRRSGVRVRYGLMISIDGQERELSPSSKVI DAFPRPPQFKAPNSQNSVSKRRSLDSTQLLGSIDDIRQDDPVFVLRRSTSYRNSTSRK RFSAPLDEIALQHLHRESTSSFNSEAVAQLEEKDREREGGKLKQPSRQEIIAAQRAAT RATQKAIVSASTNSVRGMDVLLPGNAVLRSARYDTGDRMRYSYVEPDGETYDVSDIIE EEWREMHNGGGGGGNNNKNDLLEGVFIRNKDGIGEKLDRVLHKIRKGKGRDRGSRDYA SLSSVSADSRPLSTLSATLSTSEYSVDDGENPSRSVTPGSAALAGKMNMEKREPTEQE SLARSTPVANASPEQQRAASRPGTTTPTGVRTSPTPTANINANNRRNPSIASIMSVNS GGRSTPVQSQSSLSRVEEENSKSRSTPSSTRSQQQQRRIVIPKDDFGLTQMMSIIEYK ASVPSKPTPRPHPVDELLFGTPLDLQALHPSVRDIYADGFKHLEEIDKVLDSYIGRSV VGAF JR316_0005331 MQSTSKVQAFIDRISALPAGSGVSLDAALQPSLEEEAELRRLFA MDRTNARLSDPHVGLVDVFAAPSAIRTTRARVVDGPEDLDAKYVMPIADSHRRAEGMP CTVADLEEFKKNWSIFTEGSLSQLLNWNNVVAAGGSVLACLSPVPKRNKASKRKIRTY FHSKAYPTSDVDLFLWGLTPEQAEIKIKEIYEAVRDSVPWDVTCIRTKHTISIHSQYP YRSVQIVLRLYQSPAEILAGFDIDAPCNRVWANPRAVVAMMRQCNTVDMTRRSPSYEV RLAKYSQRHFEVYVPTLERSKIDPTIYERSIARTEGLARLLSLEKLTTDNLRNTFLEA RRELRGRRLAAALQRPRRRTRKYKGDIKSDKGDASVLVEVNNYDVTALHIPYGPGWDA KRIDKLVYQTDLATFNPQNKGRRLHRHPAFFGKIDECIEDCCGNCPKPIDEDERKLQA EEDEVYIRGRIRQVHSCVFLLIFYIKTCLCRFIDENPGRQSITGSFKPIDVGEWSEQV YIKPTLALFNAIASHDRATVQQIISSSSSLPEQDSEGGAININQRDHVGRTALHVAIF SKATDIALDLIDAGARITARIADGRAPLHLAAQADLKEVVSKLLERSAYNREEMQKRS GYEVSNDNGAMNVQDEIERPSSEDDWSSHDDEDVVMSADSDDEDDKDEGTKKRGSTNE DTEVETAENEINVEEENQPDIIDVNEFDWDYGFSALSYAILFASIPTISTLISNGADV KLASSSTNRKTPLHPLTLTILRPAESDEEEKDACAVAECLLKAGATSTTADTDQMCTI FHCAVAAGRTRLVETLLRYDPHAHAAVDFPMFQNHRFVCFPLASAVANRHYALVALLL AYGAKLELDERDISRAMAAASPNIVREMNHYRYNHVTNYLQLAYQPIELTLKNHDDLG KLLLNLGAPINIAILESCGMNYGAGGRMTVKDWVDMMVSALSRRIEDKKSESAQKKLE DTVTPSFSGGLDKGWSIFFRDQLTVVSQFKANEPWRISEHARIARQEKDTIAQMEDLK EYFTEMQTLLADKGAKSWQELFPDIETHATTTADGHGSWKDGQHQTTSAKRTVSYTTL SGQNRSGYGHYYSRKVPEHLLPAYDELFEACYAGDNETIQRLCLPSNGYETVDGPLNI SVRIVNSSTDDCENLGKSFSISLQTGRRWSTAKFILAVAIAQYHPNDKRDRIKSDLNI QLEEDDDSDAASDASGESNATVEPINFINIVTRKSTVQSPVPPGAMLTDAEVEWVYHP PSTSPFSSALPMLMRATALEKAVAEHDLDAFTHIIDLYNILPEKLRKVEFGTKVLRCI LSHNQVQMLDAYIRRTAVGVDVAVIKRSLSIGNDNGNVARSKIQGEQPVPLPTSTTND ANKVYAGLNVHGKKRLDLARKNDPDASDFDDDSDAEGRRDIPLLWQAIQVGAKEIIAY LRSERVYEAYDAYINAHAPSQDKARLLNYYLLGDKTKPEGRMSPSTKMNWEAKMKREA KARLAGLLGWTINALGESPLAAALITDSVEMIETLEKMEPGLHEKALQTTIKFVGINY LMFAVEANCPPDVIDYLLAKGVSPTERSAHRGWNIYHYICAQNNLTLLAHFLKTLPRD VNEELLMQRSKGRGNTPLHVAIKTGHVELAGTILRYTECVKDHLLARDAHGQTALHAA VRTGRAQTAELIFDVAGRLTASASKGSSSSGSSLQLELLNTEDSVGLTPLEISRNAEL AMRVQGARSLYDGVQCGGVQPNGDVDSLIRTRRIVVQRAETKDEKRQREARGSAFYVY VMGARRRVRKDWDMDERGTEECVDELKNMLARLESVGYSASGSALQVEKVKQWITYMD HLISREKEREKERGEEIQMAEEDYERRKKADKGDQLEGEEEENTGIRVQGRTEEWDTL ATYFVLEDFVALYKDNITVGTITHNRHLVHLSDVLCSVEATLAKASSAEGLVHEDYSD PDRASQEAYGGRYRRGHRRIQKYGAEGELEKEHDKEEEERRQRKRSMVFEYIALGPDR H JR316_0005332 MTATIDQVSEKTFDYIIIGGGTSGLTLATRLSEDPALSILILEA GADNLNDPAILTPAAYGSHFGNPSYDWAFKTEPQANVNGRSVPWPRGKGLGGSSAINF FQYHLANKGDYDAIEKLGNPGWNWELFKKYQLKTETFIEPEVKDQSINYDLAHHGNEG PLTVSHALTLSGLEKPFNDSLVKFGILPAPEPNTGTWLSPLTIHPKNRIRSYAANSYY TLASSRQNLTVLTGAHVVRLESRKDGNLLTATGVTFIYDKQTHLVKVGREVVLSSGAI LDPQILELSGIGDKKLLEKHNIEVKLDLPGVGSNIQEHVFFTATHEIREDKKEEVQTF DNLHDPVEREKQIALFQKEGKGLLGLAINIMAFVPLSQLSPDSDTIYTQLKKTVDEGI ASGKYSSLQRKQYRLQLEALKRGSPNCEIILVQGAPAIPGLAKPGKKYGAFYLLLNHP FSRGSIHIKSADPIDYPAIDPHYFEEEYDIHLLIELFKFSRRLAKTEPLQSYLLDEVH PGPECQTDEQIADFIKTYLVTTYHTIGSCSLLPLEDGGVVDTKLKVYNTTNIRVVDVS ILPLHIGAHLQATAFTIGEIAADIIKGNV JR316_0005333 MATEEKAENARLSSFVGALALGDLVKSTLGPKGMNKILQSASTG EINVTNDGATILKAIQLDNAAAKILVNISKVQDDEVGDGTTSVTVLASELLREAEKLI AQKIHPQTIVEGYRIASRTALAALEKAAIDNKKNPEQFRTDLFNIARTTLSSKVLSQD KDYFANLAVDAVLRLKGSIDLEHIQIIKKVGGKLTDSYLDEGFILDKVIATNSPKRLE NVKIMIANTSMDTDKIKIFGARVKVDSTGKLAELERAERDKMKAKVEAIAAHGINCFV NRQLVYNYPESLLTEKGIMVIEHADFEGVERLSLVTGGEIASTFDRPDLVKLGHCELI EEIMIGEDKLIKFSGVAAGEACTVVLRGSTNQMVDEAERSLHDALSVLSQTVKETRVV LGGGCSEMLMSCAVEEEARGIKGKKAIAVEAFGRALRQIPTILADNAGYDSSDLVTKL RAAHYEGQADAGLDMEQGTIGSMKSLGVTESYKLKRQVVTSATEAAEMIIRVDDILRA APRRREAV JR316_0005334 MSGNFRARRPASPPPGVVYSSNTSQRFPHPRDEHLDADARRAAA SKGYAPGRKNYPTGGLNITSGEWKLLFVIVIVACAVRLFRLSKPNSVVFDEVHFGKFA SRYIKTRYFVDVHPPLAKLLITLAAFVFGYNGDFDFKDIGKIYEHVPYVAMRMVPATL GVATVPLAYLTLRALDCRATTALLASIFITFENGMVTQSRHILLDSPLIFFTALTIFF WCGFCNEDKHAEPFSPSWWTWLTLTGLALGAVVSSKWVGLFTIATVGVSTMFQLWNLL GDLRVPPRLFMRHFIARAVCLILVPIVFYMAMFQIHFLILENSGEGDGFMSSEFQHTL GGRGMADTFADVAFGSTVTIRHVNTQGGYLHSHPHNYPGGSKQQQITLYPHRDSNNDW RLLNATIDGDKEFDWQSSPLEYITPGTRIKLRHLATEKHLHSHDIRPPVSDVDFQNEV SAYGMPGFVGDANDDWYVEIDHGDKRDKESYKRLRTLRTTFRLRHALTGCYLFSHKVK LPEWGFEQQEVTCNKNAVRANSLWFIETATHPLLPSTATKVNYQLPGFFAKFWELQQV MWTTNAGLTDRHTYDSRPESWPTLRRGINFWVKDHRQIYLIGNPMVWYLSTLSIAAYV AIRGILILRAKRGFRDFDNTKVVKYDTLCGFLFVGWGLHYFPFFLMGRQLFLHHYFPA LYFAILLSCSVFDLVTSTLRPRVRLQIAAVLMVLAIWNFQHMSPLAYGSPWTKEKCKQ AKWLKTWDFACNDFYDNYSQYESFGVATPQKSVTPAFTTIDGDGRGPVVVPNPQNPGH PPPNPETSVIADKPEPGRDIFAGEPVKDVKSDGVYVAAHHQPEQKIAKEISSVRITTT AEAKADSDSSSHEAAAGAAAPEPVLDAEAEAKKAAAELFPEAA JR316_0005335 MSHPHGQIAALAALISDAAKVVEAQYASTERPYVPSLDDTDPHP FDERSSKELRTAIQIIEGACAQLSATVARPSHTIVNRLMGFYEPNCLNVALTFKIPDV LNKKPAGMHISEIGRETGIEEGKVGRILRLLASKHIFREVSENVFANNRLSVQLLSTN PLSSLGLHFTDECQKSTVLLSDVLADKEWGHSYAPNHTAFNKYTGFPDPLFVYYETDK PKGAERGARFGLGMIGWGTASEAQSVIHEFPWGELPDGATVCDVGGGIGNITIQLAKA YPKLQLILQDLPGAIQQAQNEIWPVQCPEAIAENRIEFKPLDFFAESPVSGCHIYYLK NIVHDWPDADCIRILANVREAMVPRSRLLVQEYILQHANRVPDDKSLFKQAPEPMLPN FGAGRIRQYNLDLDMMTALNSQERRLPEFIELGKAAGLKFVKLWDFGETALRLGSSGT STPLDM JR316_0005336 MVLDGVADAEDHYGVERRGTSLIDTDKVFDAFLTGCAGAGPENC QFWAPTADDIRQNLTVIMKHIRSHPMPIKADSAYGILDYKKLRRTIFSTLFSPYTYFS ALAKALSELAAGNGTAIYTLVPSRKTPECHCDSQSRTPKNDIIADAPTTIICNDGEEI QEDIDALEAHLQLTMKASQWGDFWASIRFGCLGWPKFPTTQFRGPFEGKTSHPILMIG NTADPVTPLSSARKMAQGFEGSVVLQQNSLGHCSLAAPSPCTYRHVRNYFVDGILPPE GTVCEPDVELFPVADSLQGHISTEAQQYLDSQPTHLQGREFVQAVVGLSKLDIATVFF JR316_0005337 MPPPRFKLAILMATSIVLAGFMLFDLGARANLGGKFRNVSTSES WDGFRSWEQLRPSRDLVWRNCAPGRQCARLIVPLDYSNQDSGGEAVIALVRKPAVVPK DSKLYRGPILFNPGGPGASGVDFIIGETGDLFSTILGPEFDLIGFDPRGIARSIPRVS FFKTDIERSLFDYVSLPLVNGSDEGIHRTLARAKIVGQLAAEADSGYLRHINTDYTAR DMLRIVEAHGRSKLQYWGFSYGTVLGATFAAMFPVIKHSKLLA JR316_0005338 MGGSIFVQNTTPVTGSLLRIIPTLTRQLCNRWKVFSLVEEWLLR VSYHQLQSGCDSLQSIGAWEWIAPNKDLVWEECAPGRECARLIVPLDYANPNNEKAVI ALVRKPAVVPRDSEKYRGPILFNPGGPGGSGVDLIMGKTADLLATIVGPEFDLVSFDP RGMLVRSAHQNFTMLKHASRDSQTDMERHLFSYTTLPLVNNSDEGIHRTLARAKLVGQ LAAESDNGYLRHMNTDYTARDMLQIVEAYGRSKLQYWGFSYGTILGATFAAMFPDKIE RMVIDGVADAEDYYALDRHLTSLIDTDKILDGFFTECAEAGPENCHFWAPTADDIRRN LTVIFESIRSRPIPVKTDTTYGVLDYRKLKETIFTTLYKPYTLFPSLATALADLSAGN ASALYTLIPGPKSPDCQCSPESSPFNSSVTDATTGILCNDGEEILEDVVALENHLNNL MKMSFWGDVWAGLRLNCLGWPKFPKTQFRGPFEGKTSHPILLIGNTADPVTPLWAARN MSRGFEGSVVLQQNSLGHCSLAAPSPCTQQYVRKYFIDGTLPPAGTVCEPIRGIFSVP DLSSITVEADEEQMILKNASSDLHDPAFLQAVIDLSGIEIVSGPL JR316_0005339 MAPNNRWFRSSVFVVASILGIGLFLSARDQRATFNQVQRERQVN DEWQRPQARVLSGRNAAVVVNVPLDYSNPDGEEAIIALARKPAAVPRDSKSYRGPILL NPGGPGGSGVGLIMGQGGDLLRTIVGPEFDLVGFDPRGIARSTPRISFFKTDIERHLF NYASSFLVNNSDEGIHRTLARAKLVGQLAAESDSGYLRHMNTDHTVRDMLRIVEAHGR SKIQYWGFSYVGPPELQCTADRFLTITLDTDKVLDGFFTECAQAGPDNCQFWAPTATH IRRNLTSIFESIRYRPISVKTDSTYGLLDYSKLKQTIFATLYNPYVFFPSLAKALAEL VTGNGSTLYTLIPDSIVPECQCDPELSYTSDTDASIAIICNDGEEILEDIDALENHLE SSMKISQWGDVMAGFRLNCPFKGKTSHPMLIIGNTADPVTPLWS JR316_0005340 MPPKGSKKKKAVVNATVEGQEVEQGPSSVAENKPPQAPEADHNG RPIRSTRGLGGVNARQEKTSNIIFIDFEKAGKRKSRAANVDTSAMPENEMAPPLKKPR NGVTVVSHVGPPIQMQPRPTPSVAPWDVQQVQPSPRQSQGAPPPVQIQRAAQRNQEHS ELDHAVQRPTAPIQRPAAPVQQPAHPVPRPVQHAAHPVPRPVQHAANPVQRYAAPAAE NSVQYYDARLASAIKTRRKQEEDEEEEEEGDSDGERRSEEASGDKCMQGIDEENIGDE EALQYVDGDEGYDDAGQDDEEPAAQLVDEVSDDEEERRARALLRQPSPHVVEVEDVLQ EHRRRNRANKPPRPEALRKAAVSQGAVSQGLTRENNEASDDDEVLGEAHIAHKKSSTS SAREVSKHSVASFTGYWKDVLKIACKLMCLYVVEEVPFPTRENHLLVADGCVKMAVTV FERMNTDKVLPDKKKTLLDRNTAVTAFVYASTFRGRLKTMIRPLVKNAYGLEVPSEVI ATNPNMFENQMGEIEYIKDRVAYWLLNGKYHRGVAKTRYHDVPFGHPFVKKICLDFFY HPTKGVAVPIKGLETKTDFFKTSLPHKAFALVASCIHNCLEEWRDGIDPARGGPCSGI EFKGEEYSLRYDACMLVAAEAEKDTLNQGPRLARLCREVAEEGCAIMRPTKNPPNPYR MTLHSIPQEELDYGPEG JR316_0005341 MSSYKRRRLDSLGNRTDINPFIDIEAAVSDDDESSEELDYEGGQ LLNDNDEYSEDEERVAHSRLYHAMQNTDNTDEWSDLLPMLLPSRMKIRPDNDIEPSSS RELIQKYGNPQPGGLGDNNYMPSATDIMYEIGCKVGREEAVAFKIMQMSTNPTFPIIL ARSVFAQSSIPGRIYVEAPSMQHAHTLACLVRELNPTHLVRLSSERCMEILSHPPPSR PEDQSWVKVAGKRKAWTTYANATGLVFTFQGWKSVVLIPRPPDNIKKSHLDRIFQDGF IITDFDAIDLKYLSNVLPTSSELEQFRECPFVTTETLAQASKAISMTRLKRYDRVKII GGEYLGLFGTVKSVSDAEVEVHIPSQGITQAVALHDLRAAFQIGDSVEVVEGDHKDLH GWVSDFDGRSVCIIAPEHEREVIVPIHTVIFYVPPAHATLRPRKRHSSKLGERDHNDV YIGLSVIVVGNNTFKGYYGIVKSTTPDGFADVELEACNQRVERIKISHLIIHNREHIN SAQDPGPSGGATPMPSTVASFLSPAWNPYSAIPVHSAVEIAELPSTVAHWLDTKYDKL KGLRLKVVDKSKGDHQVAMELLSLTDDTAHLALLGRTLTLPKSVLFPIHPVKKDDFVT PLEGDSMGIIFRIRSIDKDICVVHKYPVTRMKRGDTFPTFPTTSLIQIFPPSRGVKVV NM JR316_0005342 MLPESHPLRRMVDFLRRYEDFTFLNYDVSSSSMRAAIKYRQSLA ALQNSIVETPCVTSATWEQAGSLFAAYRLLDLVALWPAAFGPSLPVTVPLNEIQASTG TKEIFSRFKLVDDLKDWPAMLCSARKRILRADSKSHRNINTPSISDTPSSAFTQGGPS ESTLLLCLARNHFKGVVGKIIGNVYCASLHYQILSGMRGMKDDEHILPDIPTDTATLA NLYASGHPDAALFLSEVTPSHLKIPLHVALFISPILLFVNKSWYSKKCDREQLLKASK ALGNCRPRVLREVEMEIWKIIAAQNCDIQSALYKLVISDCWMECERVIAQDPAFHFFI SSTSSAEVTANSNLARRSTEPHAQLQTVSHHGEKTTCEGSDADAEGDDDHEVDTTEMV SMGQRENGDNTVPTSNIQPPEDTSSVAGKDVSMGQQENGDNTVPTSDIQPPEDTSSVA GKDVPTDEQPGLPRSDDIGSGLLQTENTGPSTAGDCEMNVDPEQADVDHQMEDGPHTN GPENLGDGGAGQGAEGSSDEANRMSEVHEGEKQGQDGERPDKENRMSEDGEEERPDDS SSDNETEKQGQGDVPPVQEDRMSVDGEGVGPEQNNDSSDMERNTLEDSQGEKEGENAP PAPLILRIPPVSQINRSKNTTADSSQKGKGKGRQSLSKRPPKRKPTQATDTFDSDDDL CIDVDLYDSNTTLDVVSTPEKVYGTKVWSTYNGRGQLKSFCVVAHSQADVDRVERVLE LVESDYVDGVPLHIARPEESCFAVFDRSTTKSMYLMESFSERNVVLMSPSQEESISTC SDEFYSQVRRHMGDMTSTRSIQDMSTMSSNPIERIKRGSLSQVMEAARMKGKRGKILN ALDIPLPHAGAHSFDLSTEAAALRATSGSWKYSTPVPFGDMSWGLIATEGAFSDIHID ANGFCSFIQPLHGLKLWIIMYPRRLDVDLSSDRRVFLGDKLDYGETHHQD JR316_0005343 MAYSISSVVCRGGHFYSSTSFEETLTGIIHCFTAGYRATNTNHS TSRFFLQQTIHWFYKVLVEGDSDPEDFYEMYHVPFYSTQSGYSSLIALCVCMILANAL DYETYRNPDQMFSTKTSAQLDAWMRWNTNSLSDEERKACIFARGEALAILEWLCHRTK SIHYMIETDKNKDAQNIMATEKFHEMILCRYARMILAYDAEAKHNNIGGAPCCTDTSL LFVQLQGVCSGELNKVICRSIDQLPRSSVPKMLKLPVITLIDCDACADSSTQLRTPKE ILELGTSVRDKLYLDGMKVKLESTNPIVCCSYRQTNTQILAGMKASGFTVTASNRSSS TSKSATPVSRDPSPEIGNFMEVDYIDNFLGASSEMEDDPPPKASKYNYPYVKEDYNGA GRAFGTGLTFMDQLKQDQFEPQRAENLYYPFASKDEWELSLFLLRSDMSVGMLNDFLK LELIKKLNLSYKSAKDLRNRAEILPSGPQWKSQTIIPEIPSKNQLTLFYRDGLECIKA LLISPLLQDSMHFSPFKLFDKCNEMMRVYTEWFSGDIAHFMQYNKVPKDQLPKGATLV PPIISTDKTNISNMTGGRVAYPGLISIANIMMNFLHRSKAVNGMMAARLYHQCMDIAL ESVKQTARVGTTMADALGNNCFCFTPLAALIVDTPESALAACVAGSTSSVTLAQYETF GDSFRHPSRTADHTINTIMAINNVKPPNHLEPYLKESKKHRLNGVHLPFWRDWPLSDP SAFLTPEPLHHWHKMFWDHDAKWCIAAVGGSELDFRFSILQHRTGFRHFKEGISSLKQ VTGREHRDVQRYIVALIADTVSTPFILAIRSLMDFRYLAQSQTISEAMCLRIEQALQD FHANKQAILDAGARRGKKNNPIDNFYIPKLEFLQSVVHAIRLNGCAIQWSADTTEHAH IEVVKAPSSSSNNQRYEPQVCRYLDRRDKLRNFDLFTAIREMRIDFRAIHSATITDEE EQEEGDEGEENGEVVMDTTSELLSTIMPMTTFQSAKSNRIVDYFYKASLYERGVLEGP VPYRTFSCSKNVVAHLSRDASSKRLHIDEVASIFKIPDLRPAIADYVSLINKESNPRY TNSRGYHIKGITGRRVSPPGCPLPYSKLEVWHKVRIQSTAYQYPHEILEAVTLNAYPP SNKHPFGYFDSAIINVDESEEWPRSGLQGHSVVDIRIIFRIVGETPSTVSPDITGRFL AYVQRFEVLNQPQSLGSAIRGPYPEPITGMYKLKRSQRTDNTIMGGILPLNQIRSLVD LVPQMGEKARQSLTTHNNQAVSTLSEIWHPQDIPSVFLPPAKVGGSSFPPTHSRPTSK QISSDLQSIASHTHPIHPHNSHPSVSGHNPSPTLLLASGTKSDQILPLKSFVYKVLRH SRTSKNVLQIALCYLESIRPKVPQILQEENIGIRSYAQPKSSIQKATPEELAMDAELT ALENSGKINIINNFIDNSMQTFRVADSGSQDLAESCIYPQDSLSSVDVQVSTAPLSTT LSLPSPLLCPRRAFLASLILASKFSQEKCYSNRAWARLSGLPPREIGRCERALAQALQ WRLWVGKCAFGESAATAT JR316_0005344 MQIISYEEILQIEAEVLAPTFPDLIHPTTFPEAASLASQRQQYD LEMAQLVEQTQKMVLLTENRLILAILALFNEINWTTLDPRLLSLAKAKITEGDQWLKA RAEETLRDADSGSPEHILTQGMSIIANGQIHIRTVEDLIRECQDH JR316_0005345 MAKLPIRQISFDEHNHDGRLVQDPASWAIVEVPVASLVTQVWET IICFPEEVEYLWRVADARGKLGGVKVLYICSRYLMLLGQVANQVFSVRFFGYAPKDQA LCKEALISQAIFTQLGIFLMEAVMMLRVFALYNKNPRIKCFLLGIFATSIGLELCGSG DFIRITTSASGCTPKGIKNVSIYYFCAGVMLGQSVLVVAMLIGLILRHRSGKSRTPLV AMLLNQGLATFVLVFALMSSMIISNLFPDLVEGLHSGYAVMLGRFIDFQTCRLVLQMW KLRISETTMVSPQGEDFEPSSSIVLTSVVPESQY JR316_0005346 MNVFFMEVVITLRVLLEFGATGIFIHTMIAPSGCNFSPAAGAKD VSIYFFSAGAVLGQIVPVVSIVTGLIWRHRLGKSQTPLTSMLLNQALVVSMMIYNFFP QLRLGMHDGHAMLSWYNTLLSVATCRLLLQMWKLAADEREKNYPRRDALNRRSSIILT TVIMESHRQITDTTSTMPTYSITTPKNTRMK JR316_0005347 MIQAVDEETQLRAFVLDPASLATAASLAAQVWETVIWFPDEVEH LWRSGHNTESSRAL JR316_0005348 MPSGLAKSTSHPHTVVITDSNNYTNVQYHHSCNRGLSSRPRRRP AQAPPSSTHNDPNLTPSDDFFSPPPIPSFMSPTTDWNAFSQSITGAAQAQAAAAIANA QRSFPRAHPAYAQRPLQHPAFYYPSPPSRPPAPSPASKLDLSGSAKNNGKRKPNTPSA KPHRCSNKCRHPSSAPMHERQWSPPHWSHHAASSHAGEGSSAYATNNTPMSMPEPMIF PSPQPMSAPQVISGVNCIVSNINSGNCKSVVITNARNASGRSGNRKSGTTATQRVRAG TGTGTGTEPGTGKRTVHTSRTTISHNYSVRSRNNGNVRIHINGEPVLEQVIQEVWDSN SDSDSDSDSNSTTQSIGSQEDENEHRSPPNPLSQSQSMPDEHGHGQAQNAIPNFPPPA YTVIVDNASNGSGSTKAKSKFWH JR316_0005349 MLSRVAARALAAPSAARFFSASAAHQTKVAVLGAGGGIGQPLSL LLKSDPLVTSLSLYDIRGAPGVAADVSHVDTASEVKGYAADQLDQALEGVKVVVIPAG VPRKPGMTRDDLFNTNASIVRDLAAAVARVSPEAHILVISNPVNSTVPIVASTLEKAG VFNPRRLFGITTLDVVRAARFLAGVTGATPDQTTVTVVGGHSGATIVPLLSQTAYGKG VTGEAYKALVHRIQFGGDEVVKAKDGAGSATLSMAYAGAKFTNSLLRGLNGEKGVVTP TFVKSPLFADQGIDFFSSNVELGLEGVEKIHPIGPLSAEEEELMAACLPELKKNIEKG KAFVAA JR316_0005350 MLGRLRPEDVRIVNYVFSSIAVLVTCFRLWDRMRTHRIWWDDIW AFMAMILLVVFMAAVEVHLQDPYTHSMRLKLIIAYMYLTDSAFAARSSRMSILLTIIR VSLGRLRTVLVWTGVLFGVTWAILFAQIWWVCEANPNQWKSQIHPQCPLGTQVAVAQV ITDVLSDAILILAPIQLVWRINLDYQQKIRVVAIFSTTLATTAISLNHAYFVLKWGGL PELLAAVLQNRRHARRMGPVTRSLIFWQNWVPLPITSTFRTVGGVVRDREYVEEKRRR RAEAAAAAAAAAAEAEAEAGPSGQGAGAGDVRKVEDPRAPTPPSASQITQSEPTNSVC HDHDHDHDHGKSAGKAAYETR JR316_0005351 MSYLSTDKILKSSDGTVIFARAVGQPDRPSLVFVHGLALSGIVF DDLFKNQDLLDRFYLVSYDMRGHGRSGKPDTIEGHASNLYADDFVAVVNAFGLQSPLF VGWSLGATVACDICANLEPGAISGIVYAAGMPYLGPVTSVVGKPFVLGCLPGLYCTDN VNVSVETKVAFVDSVFTDPDSVPIHIKWAWLGSATVQYPAVSRAVLGRIQDPEKLYEA GSNGLPLLLVYGTEDKHLDGEAADKWMRPHFKDFEVHFIQEGSHSPFYDNEEEFVGVL VNFASRVFSK JR316_0005352 MATSVEFPIRHALRALRALAPREQSLVLSNAMPNSVILTGYIVI NLPTTSTLLVTTMPKRRPATAFLISLLCGIAVLHWTSSYTVLNNNPFLRAASKEFSWQ EVVPSKDLKWQPCFRERQCARLIVPLNHSDPDGQEATIALIRKPALIPSTSRFYRGPI LFNPGGPGGSGVDLIQGTNGDLLSLIVGPRFDVVGFDPRGIARSTPRVSFFKTDVERS LWSQNGLPTLANNSEEGITRTLARSKVLGQLAAETDYGYLRHINTDQTARDMLRIVEA HGRTKIQYWGFSYGSVLGATFAAMFPDRIERLLIDGVVDSEDYYATLWSKNLLDTDKA VDTFFTGCAEAGPRGCPFWAPTAEDIRQNLTRLYGELRGRPIPVKSDSKYGVFDYILL RSAVFSSLYSPYATFKSLAEGLADLAGGKANAIYDRITAAPYQCECDASKRQFDSVID AQTAILCNDGDDVPDDLESSEEYFKSVIEGSGWSEIWARIRFSCVYRGWPKFPKDHFQ GPFNTSTSHPILLIGNTADPVTPLWAAKKMSKGFKDSVVLTQNSAGHCSISAPSLCTQ KYVRQYFVDGTLPEPGTVCEPLGKPFPEPWHENGINKAEELMANMNSEERAMYDAVSK LSQNGPITPAFPFLLEQKYPEVTVRNGKGCN JR316_0005353 MQSGTEKSTQRPAVIISNCGNITTTVTNNYTDTNYDFAGFGSNG FNAHNAHFAFGPANAAHSSRMPGTGRRTYSHKKTSTSTSHSQTHQTRTSTASRPGRAQ AQQVPVPNFAASGDRLGADIQNYVHDVLSLHGLGHHLHPWGMGSTGLNGDSDSHPNEH PEAEDHTAGRRTTTETCEISEDGTRTKTRTVHVTQDFGSPGECDTGIRVHIGTDPGLC NIGSSTGAHSMASDIPGPPPAYSASPPKTEIVANNLNSASTPTQATSTKQSNDGVDVV KRDPRKSWIKRLGGKLKRSLSWFF JR316_0005354 MGGYQISTPYDEVTAAKFQALNVVSAAALAAQLWEAMICFTDEV EYLWRGHICTVKMVYGCSRYVLLLGQIVIQALSITLHFHQQSEQQTFCHMKLICQTIW TQIGTLLLELVIILRVYALYGRHPVAKWCLSLLFIVSATLEVWGSAIFIDKVSHLRGC VSIRAERISIYLFGIGVGFIQISTVAATIIGLITRYLSGKSHTPLASMVLSQGVMTFF IVLALVGTLTVFSFVRDLDGQNGDSILSWYIAVVSIVTCRLILRMRKLSTSDDSGTSG AESDYFELTVLTGSLYTSTTLYHATDVVKREHIDEIPPEEVREMEEDFEKLKKMKNIK IKRDKNREFLLSTIRDRLKPIGLDLFNITLDKERIDLIVPRAFLHATYGGSPMQIFPR IGPKFLKIHGLNDFMYLSPLFQPEAPQVPGAPGLYLDSHPPQGSKKGQWAKLMRVFTK ISDSPSKSQYMGQYQICSCKSLTKDEWAIQSAAAKHIANDAWGLDVCARVLWRKEFGR EPTAAEVDRAYARLANKSAKPAPEEIQAAYLKGEEKMGVYTMKCVGYDSKFQIETYKK FQTWVPPPPKERPQKPIEGEKGSIKSNIATKKRKVASTDPNSRRKRKRKNDEEEGEKE DDDVQEAEYRQTYGSEEDMDFELETLIYRSRGTRSRPIRL JR316_0005355 MVNGILDDTSDEEDPNTFGETILEPGGRHAHIQYSSNVEESASR EREPIMPSTLETDSTTVSLEKDLPDALRSVVVKAEPQEVESIESLNQQVSIALPRKRR RMVPEVVVPTWASLQQRDKKNFDKIKKMNNPKIKKTKDMTLNLSSIYDRLKDIGLDPY EVTLESEIRDVSVSRQFLSSVYGGGPQDVFPLIGAAKLAQHGIKNFMYVNVESHSHAP QFVGNPGLFFVTEQPPEIFDDLYYTFVRLSSAEWLYVGIYCLMPSPSLSKEEWKSMTE KVRRKWVSMIFKKNWAGGFRQRISARRNVNNITPEDIRSVLDSGEETLGVHAMKCVGY DERFQRELAMRFSNWVPPPPKPRNERRGEGTGSETKTKTRAKGSRMPTKTGKRKLGEP TDSETPDSDSNTGVVDQFNEDHIDSKDQKDKAPSTKIKSKQRSQRLRGAFSNHSVNVL ELSDSESAGDVLLVDNWDENSGSRDDPIVL JR316_0005356 MATDFWASSHYKRWLVDRATLNQARLEDLHYVEDPEHLDYLAIY FANVITKLGKKLQFRQRTIATAIVFFRRFYLKNSYCETDPFTVIAACCYLAAKAEESP VHIKVIVTESRSLFSQDIYASKSFILDNGKVAEMEFYLVDDLECDLVVFHPYRTLLSL CKKEPNGEMSSEEGEADDAGVGIGFDDGPRYWGTGEGQLGLTPVAFQTAWSIINDTYR SQLCLLYPPHLIAIAAIYLTFILHPPTRHAIKHENSTGPSRIPELPAPRRSSRQAHHT MAQPASPKKPQDPITFLSELNVSLPLVATIAQEIISLYTLWDQYKDDGSPDATKAARE LTNSPSPLKRSGSAHASVSGVGTPGTDEVPDPEGNYITTAFLSGVVQRIREFRLMDVT PMGRPVAVNKRLERTQAVG JR316_0005357 MVRLTFDLYDHIIEQLANIDIRSIRNCALVCSDFLRLSRRHLFR SITLDSTKPKYTRRLERLLNRNPSIVRNIRDVTLEHNINQRNIGPHVLNRLHRVRKFT LQLCDGTECDFCGDSQYDWGGLSARHRTYFYSFIRSNTIVHLTLANIQNISATFLRCL PYLAVLEVDNVSMLDSPFDRSHCDSDSMGIPKLVKIWSRRSNLIPLQNLLGDEHSNIM PAVDLTYLEDIGVALDDDPAGMEFLGFVLQNTENLKSVTLSASDECQTTFKGKVASKL NKHSLKTLKTIKMMVMIEDHETDPYLSFMDELEQIGGKNVLETLSIEIEAETNCHPTT ELSKWAELSRVLAATDAFPHLRSVSLKVTLNWCLNTRPDELQARLQHIGVEVFRSLRE NDKVKVVFDVVVWDV JR316_0005358 MQFLRVLSIFSAFLAYGFCAPIIITRSDEHSSSSFSDSSSDRSG TPPPPRNHGEFVGVRPKAYEQKTKENNLKNISIHPGVVVGGPDPETGKYDVAMISKKL PHDPPQAPITNYHPAETVYGNVALSPPKKIKPKHMKGWVNQKTGVQEKEMSAENLAKL KKDMEPHAGWRAPTPPPKPPTPPPKSPTPPQSPSFAKKPKRAKKGKQSNVQAEASQSK HAIPGSPQGGTKQAASSKQNAVNHGHPGRPGSPSGGARHVGSANQQHGKAPKRSSSPS GSNQAHVAKKVPRRGSSPGPRPKTHNGGAKPAQSGAKKAAPGHTTKHK JR316_0005359 MSPSPSLRERPRALKSQSMPLVPSIANFNTPQSAQQQPSPTIPN NGMRRTPPPGQVSRLLPTPPHPNPPNFSEEQSHYPRPPLRAPIAGYPNKFQELESQMT PEFFADIDRAAEQQQAQYQSSQQVHSFPRSESPKQANPDRARVPTEGSSPSIPDSVSR ARREQLAREAPQTRQNSSPIASSFVQASSHTPERRMSPAQHSPVIKTNEPHPGSYLAA YNAREASATARRASNADSRLNVTMPSFSPPLQTIATRTPDRSLPVQEEDEVLSKNGTG WKVSTETNEEPYRSPSPTSSSDIQTPDPGSNQRFDASNVYRDEEKGSKKLDESHAQFV ERDSTEDDASYTPRSPATGLPEDNRDIYYPGQTIPVRVPAPVLPKGKARNGPSDHVMR GLETTLLEQQPPQQQQQQQQVPQQASAAQAPPQQSQNTERSTQNIDQHKQPEQDHHQY QDYPPESFYGVGSIPSNARYIPAPQVYPDDFQGYTDDYLHYLNSPRPDAPVPPTPHSQ STAPSPSPLLHVYNNGGTYRPARAAGSPYPYPYDHVLRNRHIPPSQRFFPNGIDQSTI TDKVARQWQIYAQNNHGAVTDSTLSPSATPFRPNTDSRYDEWAILHTTRMMRQNTLAM LPRVGDRDGATSALGDNASIRSSPSHQPIVLPVPPSFTMRKKDRDRAMHARRQAYARK PPPRVESTQPRETSPELSSSGEETAGEDRYSVVAPQLGITINKDNVVNDPLPFPVSAM TPPVNDEDDAGEWIDEDDDDDYEDLIDLEYHPTFVKNITKRRRKWEVGWENLIQAFQA LDRQTDATMILLASPSHTTKLHALKSRSIRRHPNLANSTSIKDIRAGFARVAAQRRLT RPDKSSLVDRLLHSASSSSGTGDGSDGSSSGSVEENLKRALDAALGSLSAMGEVYEQR EARVMEELRRSREDRERVELLLRQVLGDKHPFNAGEKQQTTAMMK JR316_0005360 MLGSTNVTGIDIGPVSKFLGIPFASAPRFNLPVPLQSYNGPIDA TKYGPACIQQSMPIISTDFETAEESYGFLAGSEVHNEGIGNFGLYDQRVALKWIQTYI HAFGGDSTRVTILGQSAGAISASLQMLAFGGDTQGLFHGAFMQSGAPVPVDSMLNGQG VYDLISSKTGCDKTLDSLKCLREIPLEQLKSAVDASPNFVGPEGLHLAWPPRADGIFL QEAPMKQILAGKVSSIPIVSGMSGGPVHKEFTLISGLGNCDDEGTGFSISPLLAIHVL TEDSYRGWIRDVWLPNATEDELSKLWDYYPADPTQGSPFDTGLNNTIYTNYKRVSAFQ GDIVFQAPRRFMLKHIASKQKVWSYLSKRLKLSGPLGSDHGSDLRVNLTDPYVISFVN TLNPNVDGTTAWPEYTNDTPNMLTFYDDPLPSPNVTQDTYRLLPMEYLSNLSLAYPI JR316_0005361 MSRIPQPSSSRTSLKPPTTPGKSRIATTSSPSASAASTRTRTIS TARSKTPTKPKANTSPPPPKDPPAPTLSIKEAIALKRAEAKKAQAKLGSGAGSRGLED IGDLADALPEVKPPEEEDLLGRLPVRDTIEQARSTALFEIHLGLSPDPLKSVPNEPVL PPSEPKPNRRGGDKPAWFEAQDLTVLKAWNNDIQEIQHEISLFGSLKTIDLHKNKLSS LPNTFADLTALTVLDLSYNSLTTLPDKIFALPNLSTLNISHNILTSLPFNAPFAGTNP RSRSNHSTSSGGFFTPEVVHATTPLPHLLVLDASDNKISADSIDDSIPVSLTKLDLSG NPLGLSQTLLRNLASLKKLKEVKLHKATIGDDSFPPTLLSHPAFASLRLLDLSETQVT HDAANQALKDVKQDLNFDFSTEDPPDGVLRVLVGKQIAKEPWELEMERKANAKKAAAS QAALEDDWFIPASPGAPRAAASTEVKAKSPPAKTPPKSTKPKEVVKEAWEIEAEQGLL TEGGRRRARAAAAAQAQAQAKSTQTATSSDGNGTPTQKSPSTGLSSAEYFTHTTQTLK LPASAPATSKAVGHSRAFSMAAPSASSFSSTGAPSTRDLIVPTPTLPLSTIVAQPFAQ SLRVLILANRRLDRSFELPVLLDNITASGFLPNLEELDLEGCNLNDLVPVHRAGGSDA STGTSSPPRINEPIIPTLSKLFPSLRTLNLSYNGLTNASLTSPALMELILASPQRKGL RHLRLRGNKISELDGFLDLAESFKGNREVPAWKMEELDLRDNEIGKLPPELGLLPLDV FLVDGNIFRVPQRRVWEREGTKGLLSWLRGRIE JR316_0005362 MPEMVVESSSSSPAPRFKISVASIASPVESRNTTTSSKITSSDK LSALPSNRKLSNQDALATSTFTTSSSIKRGWRRSFDGSDLHKLSHNVWGTPHVAVHTA RFEPHPINHPMRGTSDQMSSGKETPRQRVSTESDRASLPAMRQAISRLARGSGPSHSS PPLRSSPFKSNHPEEDSDNYLTSRSPSQSKSRAASPLRMLHNWSSGFHRSNRSSNEEP FIPIDPFRSGRFPFCGTAHRHFHHLHDVELGGSSSSGACDCDDLVPVASVRAFFKDTQ TFITDTLPRELYLNLLLRLPAMYFSRVARIFEDADVSRPDIQRMINTSCGTIRGRHVH PHPPGESEAVNPEARSGGGKKGPTTNATLSPGAISGIGLSAHIGTAPATSLMHMPLPF PDEWTPAVVSPALIRFKHSWEAFIDSLLREWKTLNVVSALLASAILTIFQIPEAAEDP VTRTLALLSLICALMSLSYGCMYIVRFGTMRSMFHASRWAEEAQKTKTLIWWNVWVLL ATPSVWMAWSMLLFLSAILSFVWRTGSVLDPEDRPPLGAKAILGPRIAITLVFFLGIV YLALIVRTLKKYGSQQNAARALIEVGSSGRVDQTAAANVAVARGKTAKHRHDEIMERR GRERERSSLPRVRRREDTDTKGRKEGRKKTSGDPDAKVLAPKGVFGLVAIDKGPGARD DTHEFELQVDSKSFEDIVIQEMQV JR316_0005363 MLVDSFILPPSFDYPLFIAAKRYRLPGFAQIEANPLAQTLVVLH STSFHKETWEPALEDLFRYASQPGSTVLIREVWAIDCPNHGESGHLNYQTLKDHPDYT NFSCEKYAQAVHRFLSAGPTLEARVDFTQRNLTGIGHSLGANAMLLLHHIMPVFRFSK LVIIEPLVSPLGSMHLASLAQKLVNRASRRRECWTSREEIKRSFLVQGNSSAQWDMRV LDSFVTHAFHRNPYDNLLHQSCTIPQEISMYLDEAGGVAPLIDLDRICHSIPVHLILG AIPDFIPTYLHKALIDPASGRRFASIITMENVGHLIPQKAPTTLALHIFNAISTYIPS RM JR316_0005364 MFDSLIKNNKINMSLEDQNFVKALIAGDHTRTPHEKKFLFDIIA NKTNGLDVDKLDYLCRDTAVLNMRMMVSLMSLHRLLSGARVDPQTGHICYIDKDILTV ETFFLHRRYLHNNLYNHKTVTAIGYMIGDAFIAADNYMKLSEAIYDPERYITLDDHIM KQIKESDCPELAESRKILERITSRDLYRKAGSRMIRCSDFPRIRAYVTPDRIAEATIL CSEKMDSKDQEIASVLQPSDIIVHFSSRHFGMGEQNPMDILKFYSRDNLNDFHSARST GQYDPQEPVCQHFLLVFVKQEKFLEVVKAGYKQIEENFIEHKVAESSPPAIDSA JR316_0005365 MARSSSPEFDDEADHSDDEEINIDRLIKDPIHDHIPISPTLSRF IDTRQFQRLRSIKQLGTTSYVWPGASHSRFEHCIGVAYLARLMASHLKNNQPKLKITD RDVDCVEIAGLCHDLGHGPWSHVWDGMFYTGRLERKGKNEIPMPVEDQAFVKALIAGD HSRTPSEKPFLFDIVANKRNGLDVDKLDYITRDSHMIGDPISFSLVRLVHSARVIGDE ITYEIKNANHIYEICYNRFKLHKTVYNHKSAKAIEYMIIDALLLANEVLHFAEDVFNA ERYIYLTDEIMSRIEASTDERLKDAQAIFLRIRRRELYRNVDFKVIEWHMRDVFKKHV TAERIVEAARKLVLDQSISGAPGLERSDSSSTISSLEEAEALRAEDVIVDFSAMHYGM KEKNPMDFIKFYTKRKLNECMNADNGVYSNLMPPVFAEVVLRVYTKKQKFYGLVQAGY RAILAELQATSSPSGTQLNQSAGILAVADPRALTPPITEAPLHTKNPCLEIQASPSDL EPLHFQIITLRRPTQNSKKIKGRKRSREDMILTNSSTANKKRKAS JR316_0005366 MPIKRSSNADHPNKRPRVESTPKFTNVSQLRAALNADAPEEVTV ALTSLRNQLTIKPQEPTILPQDERLVLVREWMETSSGAYDLLMLWDKTTVRQANVIAL IVSILSSILTLLSSHYNNHALGQPIMKSLLTPSRLRHLNSYIGGSNNELVIVTLRLFN VMSSFASGRDRKSVLEGFGWEIKSLPKLLNMRRKTHGELDTTNPLSRPDIRTLYIQFL LSFVDVDNSSQTKSLFLEQHREAFLAIFKGIAQDHYSFARRVLEVCWAGIWSDSKLKR TLKVGLFNESTLGHIIKLYERILPEGDDEDQIPADLAHHFLLAICTRPGTGICFRDRG WYPKESDPNETVSKDDEDGKRKNSKIYNKILANLLKSLKVNEDSRQQELALKILSACP ELVAGYWSAAALTLEPRLASKWITNIAVFGSIISLPIPSSTFYLPNTQQYQPTPPPLS IIIESILPSVNTKNNFSKGLQSPSGLVQHCTALALTRCLVKYQTVKGHFRKIAAVLEE DEEEGQWYKRCRDLERDVRRRVPDFQVLVAFSQQKVDPKVQSNPTKLALLAESAQRLL WLYHRCLPSVVAEVRFDVGKLLQAFSTDGDKPELADEPEASTRLYRIQQLHTLSLLKN SDQFVWTSKIALLSQTPFYILLSSLSSSGIPAIRIAITSLLEHILSQSILFQEDSDEP DLWLKALPVKGPTLLGSDDSLFQGETEGVITFFDECVQRCLKTPYRYVEALHTLIHPS NTTAGPPERLETYPSPLLMTFVEQLDAKINNKSLQPSQIFGVVTFLRKLVFNLTSKTT DLYFLRQFESKLETVLRTDRLEPILSKSLSVIEREMSILRQNLLFSSFAPPVPSDLQT NDEYIARFENMPVSDVKEVRLSRTLELKEWLRSSISPLGTDDLSRVLAIVLAQEPSSL PSFLEVLIPGQGNVWVALNLQFKFSELRTLLHFNMLYINTSAAEIVDESCQTILSDTI FVATPRFNDVVRNVHLILHFIASSRENPAVLKGHLKLLARVLKTASSVISPVDYSALK EIIFAHPGGLKDLLTSNLASEVLEGIVFLLESAVQFASESDRILLSDISGYWFNAIVA DSSNQLGESVSTARIWIKYLEPSQLFDLLDFLEHNFKKEQSKRVLIDVVLQVLGSMGT LDWHSEHTLAERLPQLVSLRDSMQDSELLEGLVSVAIEASLPIGVDGCSVVPSSSDIV DVTRRAVGRWTQHKRSLKIELDIRSFLSKGKFSTSTAKTVCGLMYRQPYPHDIFIDWF KTADCLQMDDECSIPIIHAFLDSSDFSAGSPVGLDVWQPYIPKFIAAIARSSSSAVLG HQARQSLVDIVSIFKSEPTNILSILQKEFDVLPGKDLSPEIILTGAMLAHVLQLKDNV AVSRLLDRSMQLCINKIAEDPEASGLSRFMEELEYLVQQAGSSKAHLVETLLTVIIQN CFSSVAAISLAISCLSSCSLKPLVVNRTLQSVIQHPHFFKVCLSSSAELFGVRDKLVD FLYRLFHLHPTNTCQITHIEPLIKIYRGTLSPSDLRILSVFQLFEVQKKLSVAPLLAR WSSNPITPSHTALEAIQSLDPVIVLKTCLNFPRWRSLEDQSKISIEPHEAGLYDPVFL MLLFSQVLSEEPPTSAFAWIELFRTNVASLFIRALSSKDVHIRDLALCQVVALWKHMQ TADLQEKPHVLHLLNLLKDLMPTPVFNSLTEVDNPRRLPTYTSLLLMHALRAIFNPSN FVYPITARFLLQRPYLDTDDVPMLYGLLYSSADDSWKKERTWIIKFLADGMVSSEDWR VLKRRHTWDLMASLFQSAKEDEEASLRMAIIEVLANLTCNMQAAMSLTLKSALLPWIE MQILQSSSKSEGVEWIKILENILVIVDEKKIEASTNGEWRVIICRCLVYLIDIENCST ALQTFPHAVPVILRLSSLGGPPLSELANLLDLAVKCLEKFEQNIEFEDNLNAPGGSDL QLLPCPPHRSVTIHQRWSHTTSSVSGARNLASELLEMLWKASMSMTQKCDAWDKLTPR LLLMNTLRHKRRSENIDVAEWARKEVWAVYDFVKTIPKGRVATYKDVAYAAGGSPRSD NNAPDPTKLQPLWAD JR316_0005367 MEHLVSVCLLLILLAIASQRWVIMPGFHSILLRGPPRDDFLFGV MRLMRLSTHPNVLFENWANRYGSTYRIPLLLGSHAIVVSDMKAVTHIFSKDTYTYVRS PGFRAVIDQVIGRGLLWVEGDIHRRQRRTMNPAFSPTVIKRMTPAFYDATYKLKNVWD KMLDSNAPNAAVEVEMHEWHDNEASVRLALFPGITVKGKLIHHSYVLRLDTIGTTVFG YHFNSLEGKKSAVEESLRIYNTTIPTTLGKLALSLYMFLPWVGKLPTKRKQLNTNLSE SAREMLESIMVNQNQDQARDSQILDESIVELFVKEAEDDGVDLQSPEGKEEVLAKMKN LLIAGSDAPGIILVWALIELARHPEIQKRVREEVMATPGAELEWRILQSSCPFLDSVL SETLRLHPTVGETHRMANEDDVLHLKEPILDSSGQLVDCIHIPKGTSIIIPNHYLNSS TSIWGPDGLNFNPERWMGTYLSRSGDEPNDAISERRRLWTFGDGPRICVGKAFVMVQL KIVLAVLLRHFVFELPQGPNTPLDFHLSLVRRPKVKGMKGAAMPFLISRVD JR316_0005368 MASTVSTIVNYLSSSSIPSLSSPINALNQAAPTLPYNIFTLWLF IKSDIVGIIMPIVAFATFITSNTSPIRAAKAFTFVTLHLLQFCISNQSLSPEEDAKNK PWRPIPAGRLSVRSALILRWFMLPACLLLSAAFGVLDVGIVMSTAIFLHNECGYDAHW FPRTALNAIAYTTFDTGAILISLGDRDKIFEAKTLLPLVINASIILTTIHAQDFRDLI GDIQEGRSTIPIVLPKSSRASMPVLLVAWMAVLMAVHQEWNVVDWAALALGTVVGLRF YFIHEAAADQTSYTLYNVWLIVVRVALVLAQDSITSAGPKAGWIDLLPNEVGTQLFKQ INTTGSVFPKFEF JR316_0005369 MDYTTFLTSVVILVSSYSTIRFLQHRKRSRNHPFPPGPEGYPII GNVLSLFQGERWKIFSDYKKQYGDLVRLHGFGTDLIALNSLDAIDDLLGKRGHIYSHR PTFTVVGEMMGLDQSTPLQSYGPDWRMHRKLAHNVLSITVVKQYCQLQEDLAVLLCDE FIKTPEKFFSSTRLFASRLMLTITYGLSAKDADNLYVEHAHKTMAMVSRSSIPGAFIA DFLPFLKHVPWPSFRKEVEEGRDMIDGLVRLPFEHVKRDIAAGTALPSLTKDLLTTSD PSLDHHIKWTTGSMYGAGGESTYATVSTFILCMVLYPEVQRKAQEEVDRVIGKGRLPL IEDMPDLPYVNAMIKETMRWHPIVPLTLARRVAEDDYYKGFFIPKGTLVIPNAWAIAL ESNPKYEPSEFIPERFLDKDVKATDPALWAFGFGRRICPGKHLAENSLFIAISMILTV FNISKGDHEVTAAFEEDLISYPKPFKCKIEPRSDLIKKLVTTRAAEVYV JR316_0005370 MSITDAPILSSRATSTAADRLIRNHKQVAHVRQLWIFLGSVLAF LTLVNVIRRLVAWFTPITEPHPGSEAKISIEKINDQEKNAPARRVSSSMARRGLTAVV SGFKIFFFRWRIPFGSDFTVSVIEQIFIVVYIVAMLIWLLVDTRNLMPMMYQDRAALI ASSQIPLIVALAGKNNVISWLTGVSHEKLNVLHRAAARTNFMFFWIHAGTRIHSKLPK NLDLSHNWMRSGAISLTALTLATILSIRPIRRAAFEFFLIAHIILIFIFIVAGYYHAR ELHFGGYIWPGLLVWGFDRTLRFSRTVLNGRFWNRSHPSDALVELVSEDTIRLTMRRE MSWTPGQHAYVVLPSVSNLPFEAHPFTIASIPEERSTNDETDVVFLIRGRDGLTKRLR ELARKNHGYRVPALLDGPYGMPPDLRRFTTCVLIAGGSGISYTLPLLLNLARINAQNG SSAVRRIVFIWAIRDTEHLRWISRALTDAMALTSSSLVIDPRIYITGKKAVVAEISNV TTRTSDSESLSSSGSTLKKEISQSDILEYSRSFTILHGRPNLDALLNEEIQMSPGQIA VNVAGPSNLAASVRRTLSSGSSSPMAALKGIPSVSLHIETFGS JR316_0005371 MPGVFRHSSEVQCQGYRQLTFYDKVKGGRVILILAPQGGRSRRS KAFLQVDVKSYKGERDRAVSGKKRTMEG JR316_0005372 MQIIFKKIPSSAIEKEPDRFNTTYHEYAELPEALKALEPERTAS DLETILRSKLYSIFVPIIHSLRANPPEHHAYPLSHEDCQLLARIAHVSLSTHDRRVSE VHDVDLELLARRITRGVPGCFDGRRQWFARMDTGSPKNGRGGVGPFTSAREVLESICT SRRAYDYLKQSSSVPGATDVLHFLPWREDISTANEFRMFVPPSGQVRAISEYSTSGKW ADMPEEELGLVVRKMLECHEAFREKAKEGNLLPESGYTIDLHCSTDDMGEWVVEALEV NMFGAQNGAGSCLFNWVEDGSWQKMYGLGDDLEVRILDSNT JR316_0005373 MLDESPPTNLSAHTSPISPDQPVPPPNPATGKLFLKISHDKIMN LRPRRSSSYASGSEYHVSEKSVNMDDAGDDDQPEEPAPEPEKMVTRHGRKTLKVTYAE SSENDGEDEDEEAIPPASDLFDVDDNKVRRVTRQTARRNVIRDEDDEDESPPNHGRKK KESDLDGFIVQDEDDGFTGPATRSRLSRTRSGNAPPPLTQKEKEAKERQKQRAQRSQR LTRRAASRAEQKSEQDFEPDHSSSPGSADADGSIDDVAQSSDLEMEPEPEPEPDPEDE GDGKPYALRRRKDINYAIPPPLEDLVKPPPRQGGGKNGRSSGGGGKGRPRLGWSASGK ELTKWMDGPGRGDDSDSDYPTRTPRKPFGGIAPFGAGAVAGGGMIGDLAAGGTPSNLG KIGDSALADADPLGVNQNVTFDEVGGLDDHIHALKEMTLLPLLYPEVFQRFNVTPPRG VLFHGPPGTGKTLLARALAASCRTGGRQISFFMRKGADCLSKWVGEAERQLRLLFEEA RNSQPSIIFFDEIDGLAPVRSSKQDQIHASIVSTLLALMDGMDGRGQVVVIGATNRPD AVDSALRRPGRFDREFYFGLPTLDAREKILTIMTRKWEGWGINEEEMGPEAEEKKREV KEKIRGLAKLTKGYGGADLRALCTEAALNAIQRRYPQVYKSNNRLLLKPETIGVGLRD FMISIKKLVPSSARSSSSAASPLPVQFVPLLDGTLQKVKDVIQRVMPVEKKLSALEEA EFEDEGGEEGALEKEMLSQAMQTLRIYRPRVVIHGPVGMGQGYIGAAVLHHLEGYHVQ SLELGTLMSDSTRTVEAAIVQLFVEAKRNQPSVIYIPSLVGWCAAVSETSRSTVRAML DTLAPTDPILLLAVVDGRFSDLPKDVRAWFGPTKDNRVALTMPSADEREAFFEGLLAD IQRPPNRFADGMERKKRKLEELEEAPPVEPRKPTPAELALQEESDMKILTLLKYRLGP ILTELRRKFKRFTKKASEEYGFDPTDDRKVYLEPITVPVEVSRDRGINGVIDITGEQG DQPFMDNDRMEGIADTQQQVLQQAKFLYNTDLDRMMTDLYKGYYLTPQDFLDEVGKMV HNANMYEQIDVDRLHKAQAMFTAAEVSIQEFDPQLRQECERMAGRERQRREEHKREKE KEKGKDKEVNGHGPPAGARRSARNNGLEPEHSITDPVKLERRLKRQRGEESSGADSHG SEGETANGMVNNVNANNVNGERDAKRSRIIDDQDDDRDPLDTLGSSRPGSELRPHQVR FAQTEPIEPMRPLLPESNGYNFNSYQQLPMNSHPSLPPLNHVNHVNHIQYPQPYSPQY PQHHQVDMNMNMNIDMTPRRQGGFDPSLLNPAPQDVYGIHGHSGHFSSRPNDMPLFPR DENDVFSTPSNQSMSHLSIPNSSMSNSSMQNLSVPNPSLSNQPQYPFSLTQMLQEASL PQESQLPPTQLQPPHQRVASRSPAPSMQVSYSAGSERVSTPTPIPVASSSARLLTPEP PKPEPMVIERTPTPLPEFHVSSSLLSDLRRLLKESTTDLNIEQLEQLRATSLGTVWRH RKEWVRDGLVEQLLKDVKDFIADVREDSDLDD JR316_0005374 MAHLDGYVPNCRTLATLNQRPSPRAIELFQSPAEFLMAIHDAVE SHGSQYIHTGIPHGNINSYTVWLGTSSVCSNKMGILMESNVQQKLFQSVNRLSETVLK EKPTARLDYVDDLESFYYLIAWLAMTYTDGGIQLARASYPPKLAAWAAFPESHQSVLE KRIMLEGSGFSEYFKATKTCVGGKKYVKIFYNLLRSLHTLLKLKYIEKSKGNLDHLEF YSVLNFYDKYLHFLKIAIEKTKVVAREYSGACQTPNSREPSNSRHPRPIESYKTITEF LLAIHDAIEGHQKYFLETGDIHGNVNLETIFIGAPSPCSNRRGFLGDASRVDPDPMFQ SVNIVSKSIIERHFNFEPDYLDDLESFYYVITWLSFAFVSPGIRKPLKDLPRLLASLS ANPYSRDAALLKEHILLKDGFSGTCGPFNAFQLKDLGADEILGSLINSLHKILKNVYQ AKLGLEQNSMRESLRTSSGVYYAFLWEIKKSVYMLQTAYPEATTNV JR316_0005375 MSRLRGYLSTTRSVVCIPRPRRIDEFRNPLEFLNGIHDAVEAHG IHFMSTGNIHGNITTHTVCIGAPSGDPTRKGFLIDSPVFNPLFQSANILSQNTIPTRK SLPQDYIDDLESFYYIIAWVSMAYKAPGKIIPTKRFPRVLACWAAEPDTREAALNKET LLRSDGFDCRVPGTALFDVPGLPACVIFEALLGLIHLVLRHLYAEKWANWDVRTQTYT GKLASPKKVYATILDYLRRAAYAMSTSVEPAPGMEAHGEDGEGGEMWWDKLFTET JR316_0005376 MATISAAKDDVDGDSYMDDSERTQPTAEILKELADIKKLDEQQS EQSQLPPPSEYEVLTVQLSENPHDPDGWRRLIKLAEQSNDLEKISKSYDALLKHYPNN APAQIAYIKHYVNHNMSESAEDLFKKFLIRSPCVELWVYYLDYVRKLNTGPAQRDTVR MSFEFALNHVGQDKDSGVIWSEYIKFLQSGEATTTWDQQQKMDSLRKVYHRAVQIPLD NVESLWHDLETFENGLNKITAKKFMADLSPAHMQARTVLRQLINHIGPLFANEKDTLF LPSLPKFDASERALVGKWKAYLKWEESNPLELDEKDKATLISRIQGVYRKAVIRMRYY TEIWFMAYTWTNSIGKNDEALSILKAGLDANPSSFLLNFAYAEALEIKKDLAGVHATY EKFLGILRTNLDRLEETSKADAAANGSQSNGTNAPPPTAPAIIPEPPSNVSSFSSQGS QDDKPPKTTELQKHRTEYGLAWIMYMRFGMRAEGVKGSRTIFGKARRDRWSPWEIYEA AALTEYHCSDDKGVASRIFEKGMESFGDEIDFVLRYLGFLISINDKNNARALFERVIT TFPPDRARPLWERWARYEYQYGDLEAALKLEKRMAEVYTSDPPIKRLAQRHIYLGTDA IADRDLGFALARRATTSVASSSLNRVEASQSLISLPTNSQSNSQTYGNKRPSSPDYRN KRDETRPNDYSSTHKRARPSSPPPRAQQDRERDRDRDGRWDGSGPPRRRFSPPPPPPN RDRDDRPPPPRREPLPPPRDRDDDKRQSTLPAILPWFIGELPTASSFDGPVFRTDDLM NLLRTAVIPSATSRPKSPHGHPPLRGGGGRPPPDYGPYQGPNSGGGGRRRY JR316_0005377 MTIPATRSTHQNTQQQHLSSSQEDIQMQRQLDEFNRSVTIIIWY KANIEPIRLQQMVPTFPCFQLAQFSNLVTDLGLTSGSYLDTYVPTSNQWEQHTITSVR IVATQQRLLYKLRRSLIDGLSEEECLSLREEVQSQIRNRRESQPPSLPSPPNKTPMLP PTPKASTDSIQHKNSLKRPAASQEDSQDARESPKIHVTNGYYMTHNSGSSALVSSPVA GPSTSTASTSAVSNSQPTTQSQDSNVYGMYQSPVYYDSSHNNEPDNSSSANSTTNNTN TENHSTSDSNSTHTHTLPHYLLAPHVPAAPIPYHPHPPLKRWPNDYTVSELSAGFHSM DMLIAQSPTGANMTQRTAFERVFGSRYVKSTVCRHRAVWRKAPRLLREQFEHMGSDDR ACWGEFVRRVENRPPGKHSPMDMMGSPQNEDMNYHDQQGTPEEEEVHGQESVLGTMQN QVAAAANSNAMQSNMTVYDSRAHITSSGHNG JR316_0005378 MGPLSQPVFCNSASELKKARTTQRSDGNSIPPSELAMRYAQYFW IVFWTVSCLGTHRIIRKRWGLRGDHSFKGCGGTTRLGRCSVSGNLFGSVRLLFCRLLT SVVYSSQRIKFQRTDMVKKWKKVFLDLESAESVEFWACIALPFASLIWSTLFFISTAI AIAWGECKTPSDSWSPPEPAFCASGAAVTLILLLCTTQFSSGFK JR316_0005379 MRQYKRLTLLILTALVSLNEATTTHPPPEFSIPDNAGLDLVNML IGNGGDTPNGSGGMIPSTAPPFGMTRWVAQTQPHYVSATPYNWTLNKVMGVVGTRQPA IWMGESAPISVVPGVGPNVVVDFEERGLEVLRGSDGQKNEVVSSGYYSVELDDGHGGS ITIEQTATSRVAHLRFTFDSTLSPYVLFEVSRPSVITSTPTNITFPIGSVSIPDDLEV CGWSDEREDSIIAPISTAPFSKHFKGYFCARFDKGTPKPIYGVIQNDTVSFPHPQHKV SQGPLLSAYAQFPQSKTKTVITMRVGTSFISEDQARKNIDAEIPDSSPSSAADAHLIP GTFQNTAFQVRKSWADILSRVDLKVYIDENSNKGSPRDFVDQQAFWTAIVHTLQYPTE QHEQGQYYSGYDNAVHVLEEGGESYTGYSIWDTFRAEWAWQILFVPDRIPGFVQSMLA DYQESGWLPMWKNIVETNIMVGTHADSLVAEAVLKNITGFDRELAWEAVWKDATVPPE NDLTTVYADREEHVDYEVRAGLSSSYAQNGWVADDIHSESASRTLDYAYDDYAAYVLA RELGKPENVTNFLLERAMRAPFTLFNDATGFMEARNADGSWAGDDNGWTEGDKWAYSF DVVHDIPTLIERRGGNVKFVQSLDDHFNGGHNDHSNEPSHHIPYLYALAGAAYKTQEK VREIAVANYNNTPEGLSGNEDCGQMSAWYIFSAMGFYPVNPVSGEYVVGSPFFERITI DLNSPASSSQLSPKLLTVTAIGARTKQYIKSLKINGVDVDQPIIKHEQIAQGADIVFE MSDEIQGWGNNEAILKAFGVGNETSGAPHEKVSIPGDSEPSSERNADASLRDEL JR316_0005380 MTSRHQACPATGQTYEFCPAQEGDSRSPCPALNAMANHGYISRD GKNIGPQDLVRGLKACYGLSNPLAYLLAYVGFAIIFRKFGRISLFELGRHGRIEHDAS LVHHDTPAGLEYAPIEVDQGLVNALVADVRPGAKEVEARGEEFLMGVEDVARARVRRE AECRPIGSVSAEIARGEMALVLGIWEKSTGIKTGVPVEYIRRWISEERLPEGWKPDHV QTLLGVVKLARSLKAATDKLRKEESIAKAKES JR316_0005381 MPKKTTAAKAAKKAKALQKVEKKETKDALKEKGKSKSKSKKGND SDSDGDDLEGILEKMRKEWELAHTVTEELVEGPPSRRANATLTACPNGNHLWCIGGEF FGEDGKAYFYNDTFRYTPEKDEWRKFISPTCPGPRSAHAVVASPGGGGKLFLFGGEFS SLHQNSFHHYRDFWCFDISTHSWERIDTKVRPTARSGHRMAVWKHLIILFGGFYDPGI TTRYLNDLWVFDTQEYKWTLIEMKLTDSRPSPRSGFSFLPCADGIVLHGGYCKEYAKG KRPVGIMLEDTWLLKITEETPPTPSTSTKTPTTSTKSKPSTSKNSFSMPTFKWEKRKR PSDAYAPCLRSGCTMTLWAAKSMGILFGGVTDEDTSEERLDSVFWNDLYGYNLNGKGK WISMTLKKPKAKGGKGQAKKQVKKKDKWDSDDEGDPLTEQGAGGPVSLPPASADKDIG VEDPLLTVPMPRYNTMLAVLKNTLYIYGGIIEKGSREYTLDDFHALQLDKMERFICLK KPEVVIPEGEQESSSDEDEDEDDDDETEDEEDEYDDDDDIETLVGADETSEFKLGEDM ATIYDEPEVIKKVGAVLDYLSLADENFLDKQDEKPSVDPSKDGQERPAEDAKNTPLPG ETLAMFYARCRDYWTQEAMGSDDLDRKQLRRVAFSLAKERYDEYKPMQKEIEKILEEA GLDEEEMRRGAAAGPTNTSGTSRNRR JR316_0005382 MFRHSFCSPKDLHPPLIKTWAMSNEESSTPNPLQAPQTPISAER SSDRGLARPLPFGKINTANILQRGTTWLCRLAAFLLDAPVYPGARIFFYYFRYVRVEG NDAMDTIDNLGTNNLHIVSTAYMLKVENMFKAWESIKLASLFLIGTAPVILQIEPVYM NLVPRMFTVSSGLFAVASLVSSHIYLQARDRFIKTRIMEETWRSSAMDPDAKFWKLVA LPFAFVSWSGIFFLGTVAYFIWGIAFALNPIPDGASKREKGVQYGELLLSATFVSAIP MYAFYKIYSAMKEIHAK JR316_0005383 MRLFALSPLLLLLANQASADTGLYPPGLQPLITKANVLLSSGMF NEAAKVYSEAIEQSPADYLLYYKRATAYYSLQRHNSALEDFDKVLSLTSNTFDNAHLM KARIFTREGHFSLAKTSFSAYVKAKGKDKEAEELEADMLEGEKLRTKTEKERNAELWN ACVESASQALRVASHSLEIRTWRAECSLAAGDIESSVGDLTRLSHLLQPSTQLLTHIF RLSYFLLSPSPAPLNTLKQCLHYDPDSKPCLSLRRMLKSFEKSFAQLDDLLGKSDWRG IIKLLLTPEGGKNGDLWKRWEEAMLSNVGEEKEVLPLVPPTLIQASIPQPAPTSAKKG KKTPRIHLPLASKVSPQRQKLVRALCKSYTHLADTVKSSADYKTQMERWCDELLTLDG CNEDVDGLVGRGEALLAKEEWEDAVRTLERAFESSGRSDREIHQRLQKAQKLLKQSKQ KDYYKIIGVSRDADQKTIKKAYRKAAKSAHPDKGGSEAKMALLNEAYEVLSNPELRQR FDNGEDPMDPMAQQGGHPFQNGQHPFAHFFQQQAGGFGGQFGGGMPRGFPGGAFPGGQ GGFQFHFSHGQ JR316_0005386 MTCHIVDDMFYTRGSQDDFDRWANVTGDSGWSWNSLIPYILKSE KWTPPVDGHDTHGQFDPTVHGFNGMVSTSLPDTRWQIIASNVSEVPKELPSVFPFVKD MNSGKPLGLGWVQTTTGEGERSSSATAYLSNQFTSRKNLHVLVNTKVTRIIGNSRGFF TGVEIAGSSQILKTTKEIILSAGAINTPQILLNSGIGDMNQLNKLNIRTILDLPSVGQ NFTDHPRIVINLATNTKLQDLALNEWNHTRTGPYSGPTGTFIAWSRLPSDFPLLKGRG DSSAGPNSPHMELIPVIPSSVESVPGATGSMSIVVVSPKSRGSVTLNTSNPLGNPKID PGFFTDPEGFDIATAIEAVKLTQTFYAAPIWKDYIIERTGPPANATDAEIGDFLRNSV VTIFHGASTAAMSPKGAKYGVVDPDLRVKGASGLRVVDASVM JR316_0005387 MEGAKLPLELLDAIVDEISASTRDDPTSKTCLLNCALVCRSMYT RASGHTYSAVSVVSGTERNSEVNQILAKKLEKLHLIFEKNGALAQRVRTFTLDVCFAD TILAIDPFKFLNNPHLPLILDQLSSISAFEWISHIRPFPWNAFSLQIRQAISALLQRP SLISMSLCAIQNVPVNLILNNGNLKHLFLKHIDIYNPEDHELPLTLFPCDSLRLASHV SHNSAETVFRLLLSSPYMFSQLRVLRVWMRRSDEVSAAWAVMKSAASALETLDIEDMS HFQCKHIISRYILAEEYCLNLQDPTMIPGPVDIGSLPSLTHFSVNCLIASGDGVVFPM GIYGILNSGSSPSRIEDVKITIDWIDCVPGTELRKFSEEPGWTALDDVLSGAKFSSLQ KVSLTLKMGYGWRTTQTSGDNPPQRIIALKDKIQSLVAKLLPQTSRRLNRKVYVNLLI YRSRYALDTE JR316_0005388 MSEACSSKEQVDFIPEALSELEHSIHEIIRREESIRSAERRVKE RRQPEDAFVSSVGTMLATHGSRHLHEQTRSPLNTAVPNNTPFVTPLHSVPQSLSMMVA AQKQSASGARPARGFPTRSSSCNLSILSDSSADDEECNLSSLSYLDNLLPSPSVAPQV YSKPSQNAGLGIIGITRKNDDAPFDGLGIVGINSPSRKSSLKSDAASNACELYGKFAG HSIIADESSGSLSDTFLQEVLLTFMEDPFHSRSGSPIPDCKSWYDLESTAALQKQPVD FLSEHPATHPSARYHRSCHRTLTNQPKLTKHFSSATISSELKRIDKGKASVAHIPPSR TRSTSWPTTGKIGYRDGHPAAFTSRSAWRN JR316_0005389 MTSVKMILSLLRRTRLYIAWKKEDLDTVMTPEMERKYWKSAAKD RMKLLRSDARFVGTGGRHTGTMPPVILSYTPALPPEKPRKINRYEDYFITPKTYCKWH RMVYPGTKHPTIAEASRLRATHDPEELRQIHHISRMLPDDQVFPDADGVPKPWSSKYQ KKWRKWLKSRENDVIFVDEDLETPHLSHKIQPEIKNFSPRRRRILNTIH JR316_0005390 MDTSSQQSVPPPSSVLFARGVISRLAIWPILRVAVQENWGGPDA AAKRTWLASVIVDAFEEQTPTPDDQYVEEMILQIMEDEFEAVVEDGSAESVAQDIVRL WDESKVGTQDLVLKFEQLADGLKGKKTEVQVQVADGEEEWSDEDGDDDEAGDEAPQLL EHPIPKASKEPEIDEDGFTLVKGKGRR JR316_0005391 MLSAVAARKAALAAQAERQTLESPTPSGSGSTTPAPSRSETPKR KSTVQKSRSATKKIKKSQPKSMPQQTTTADAFRDQTDIIVVQSEDDGSDDAMSGLEEF DEGPSDRNGGASTRRAWSPSQPVADSSDEDSVDDEAENANPLDISTLFPHLSHPKQVP TDDNVLSTFEPVLDENVFILTEDECHQLGLEGNATLVGLDADDSVCVLGTCSLVVLHG SINLLGATISSSTVKHRVYAPRSAPLPVIRPGKETGSMVHADKFPPRLRDALQHKAII ALHELKTDVVGLGRICRTFEGVFEPSRWQKSSIEAPFDIPGLYMIRQQSRECHAFWMP PSWSKALDMLSPNDNMICGTYIIKGPKNSGKSTFARTLTNHLLSTYKRVAFLECDLGQ SEFTPGGMVSLNVISSPILGPPFTHPTLPNRAHFIGSTTPRSSPSHYLDAVLSLIQAY RLDIQTPTLDFDDSEDETLISDVIPIVVNTMGWSKGLGFDLTQKIESLLEPTDIFDIH TPVREEYPTSIAPVGIGGHNNGYGAYHDQSTMDATTARVHVLDAVVSSSSAAGYTPAD HRAINILSYFHARFPIDAVPGGFNQVNATEWDVSRPLCAQLPYKVDCSVAFDKIVLTG AGSEDVVEEEIARVLNGAIVGFIKCDPGAIDVDIGAQSSRDAPPNDIPYTRQFSPPSP LSSECVGLGLIRGVSSPSPAITSTDHASPEGLSKTYLHILTPLPHTLLAQTRVLVKGE MELPVWGMLDFRNFEGKDVDMGDVVGVERDNVPYLQWGKAPDGALGAEKRRVRRNLMR RGQM JR316_0005392 MKVTAVVLGAKAFTDLGEYAWIIIVWFSCLAGCDIILTTAQAFY LHYHRTGVARTNHVVNTLILYITTTAMVASVLIIIQLSLFVVLKGWNYAQTFLTFQTS AIRIICLMANLSSRKAFGRDGSRVSHDTGTSQGISFGSEGRSVDYNKSNVVDFRTSMA LSHVINISEPADKGKRQGAIRDINPEQENLS JR316_0005393 MSCRTNFEPPSPSFHTTTTTSLDTIAVSTTLKEKDTSIINSSVA EPSVILDPGIILPPCQPFTKDLFEKGDPSVKAARKEYLKSYLTGLLMVILTIFSVFAI YWGSLWKVPAHSLRGWIVDFDGGRVGQGVTQTFLDINRSNHVIKWKVIPPSKFHKGVT DVAHALKDEQTWAAIVINAGASDALQATTDSPDPTYNGSQAITVYVSEARNENAYRAF IRPVVQMTLETFKLEFAIQFASEISTLPNIVDILSVSPQTLANPVSYTLVNLIPFNQA VASAVVFVGLIYLLILSFFIVNIAFHARHVSGLETMLNFSLAFKLDLTRNYGQAGFLV FWMCNWFGMLSVGLALESLITLLTPRYIPFFMILWIIVDVSVCVFPIDVLPTIFHYGY AAPFYNVSKSIRSLAFGTKDTLGFNFAILVIWIIISCLSLPTIQWFIRRKQIREREAA TSHLTPEINLPGDV JR316_0005394 MNASTSSAAKSAPAHNAPLPPTSSSAGRSSRIAPHSHIKGLGLT PEGYALVDGAGFIGQTNAREACGVVVDLIKSRKFSGRALLLVGAPGTGKTALALAVSH ELGAKVPFCPMVGSEVYSTEVKKTEVLAEAFRRAIGLRIKETKEVYEGEVTELTPTEA ENPLSGYGKTVSHVILGLKTVKGTKQLRLDPTIYEAILKEKIIVGDVVYIDYATGAVK RVGRSDAYAASYDLESETYVPLPKGEVHKRKELVQDVTLGDLDSANARPQGGQDIMSV MGSLVKSGRTEVTDKLRREVNKIVKGYVDQGVAEVVPGVVFIDEVHMLDIECFTYLNA LLESPMAPTVILATNRGNSLVRGTTDIVSPHGIPVDLLDRCMIVKTDAYTKEQIGKVV QARANVEGLKLSPDVLDKLAGEGEKSSLRYALQLLTPASILAKLAGRNQIEAEDINEM NELFLDAKTSASIIAESTSV JR316_0005395 MTRLHRPLPYTFEDRTGQLSNSDFDEMYDRFFFHVARQPGHTST KIYEMNNRASRFRSTLPLTRDPIIHLDFQADENLGTISFTKAPQQSTMPMSRYLKKTA FFGASTTRKFMASDGKEYKWGFNLYAGQEWTCTTMDNGLVAHYDLKPAHFRAYDVSGN NLIIYEPYAHIIPGH JR316_0005396 MVEEKVLIGVIGGSGLYHLDNLTFVKSVNPETPWGFPSSPITIA SLPSGTKVAFLARHGVGHSINPSAVPGRANIAALKHLGVRVILAFSAVGSLREEISPG SFAIPTQIIDRTKGVRPASFFQDTSIVAHAMFGDPFSNKFVSWLEPRVRKALEAEGRG VQLFTDKCIVCMEGPQFSTRAESKMYRQWGGDLINMSVLPEAKLAREAELSYALIATA TDYDSWRPQSEAVTAHEVFKTLKANADTSRLVAATILDELQAAIEGNDADVFLEEVGS MKFSIMPRSHKQNPADRKKLAYVLPEYFSGDETVDISSA JR316_0005397 MPAAIDPTYFPIPSVATEKNPLAPRIWPGISPESTTALREALTE NQKKHHVFFNFRGFHNHIPHTLLTLWALGADASIIRKTFEENSKDQRDAFVSPNPITK ENWKDYLGDENYYQAYLEFFKAEVIAKDVSAILEEYIFSASANVGAGDNHPAMLNRLL AGLFHPLIHVGLGVEFAVPGVLAEGLAQIAVHKAESPTLFPPSIFSEGGAVESLVSQF ASKVGLGDKGVTGKGLHAFTILARVLANPALAPVELKNPMGFYKENLDNSAETIVKYV DEWIGGGDNLQDKVKELIWLSSLIYGVGGFEGSDKPFNADFFNVTSYIKPSSQVLLLK GYLSLTLVWYIARHRYPIDIARFFNDESLLHPTPPGAHPTPHAEAYPSASSPHAVTPE PWLAIIQSTLTHPDDHISKLQRALADYSSRFGSIPKGEFAGTELKDAELIDGSLFVRA AGLSTARLGWVREGQPPLGADSPVPVVWDRRGLGLPPVSDLYPARK JR316_0005398 MGSKNNKYAMLTMLLFNSISTASRLSISLEGTASSSPFPSPSSS FIPPPTPGAHEFAVKPVPPVRNGKKTSTTNSTSHPPSQSQPLNPQVPSPPLLLPETDS GSSDLTSELKMHHEPSSQPPSLKGVVQAHISNTNGLSQLPPTSKEALPPAPLSPALIS PMTPTPSSVQRKIIPKEYLEPPRTPGHAEQSHSSSTTPTIPISALPSEEDEKFASENS SPATATASSFPPPSSSLLPPSHSQDVDHSPSKIIIPDNLLDASIPGSGLSSPAFTPSS RPMSSADPSLFSNRPAPPSPAMSRRVSGAPSISSTRSRSSRPPSTSVSRTNSLRHSTQ STHSNAAGSARNSPVINPHRQSVGSQLSQSFAPLPSPQHLTFSLNVPQPSASGSSSTT SSSVGVPASPSASGAATLLPVTPATAVSTSSKRASAITYIKIRDFGFAPSDERHVGLG PEVPKPNRIGRMNRRLRGTRTLSTFSQGSVSSSDGDGEEEDEDDVDLEGWSIGGYGGR GGWDGLRIGLGRFNQHSGANAATVMENERQQQLQNQDFPSRMDLDRNFLDGDDMDDDD EYYDAAQDEEDEETLYPGLYRALYAFEPEGTAEMRLVEDQIVRVVGRGGGVGWAVVID ENAEGDEAGSKADGSTSAPKHALVPESYLEPVRLDWEDEDEEAAVPAAAAGGSGGEHD VATPVKNIPSITLA JR316_0005399 MNLSPPPPPPPFSSQPQREQQQNAAGYPPFKRNGQDAQHHQWAQ AGPGPSSIPSSEHNQDSEQKRTGPLPRLGPSFTQESRLQQQQQQQHTPSYGHHQVPLN FRYDRDGSGSLHHGNHSQTHDSHCYPQPEPCMMPFMHPSATQHGPGIWRPLEQELQLQ QGKISTSSAREVDHRIPSQSMPPPPPPHRPSNPVRNSLLSIAVPGPRTQPQQQDETQN QHQPGLSLSIPPHQQRMLAHAFQQLQSTFPAQSLPQFHPPSGVPNQGPPSSSSQIPPP STPADPLYITIAALLKSLHNGLQSVLNETSSHMVQAMVKMHDDYVKLASKERQKARDL ENVLAAERGAWERSRIDMQLEMDKLRMREERLRSDSVAHHAVVRDGIQKHHAAQDEAG MLRRENEELKSRLAKLGKIVETICYEDSSVGKDVATRNQGPTEDEKFAVASVSTSNPS TSSYSQTNGYTNTSNQSDIEIPPPSTSSSSTYTTPPNSRSVSSTPVPASAPASAPAIP HSAPQLAAHPQPHTHRRHSAPVPIPAPESTQTIAQLRPQSDSRRSYANSIMASPESRS VSPAFVHRQVDEEASAGGSRVEANIRNLNISKRVSTSVASEVEVIDLCSSPMSMAVDL RDGEEAEDVEHPDGQGRVMDEAPSRSRSPSPPELPRTAEDEASIGDHNQMDIEIGDDR TQHSEMEVNVKLESEVEVKLEHDDVQIDASVSQNENTSRRGYDNHVSYHFNAPTYPRN PNRRSSPFLPPLLSLPTPAAGSVPSPSPSSSRSPSPAPASTLMTRPRDSLPTANPTPP PTPTLTPSMSPFSSRKRSRADYEHGECHEESQGPSTRVKVERIEGEEMMMAVDDRPTM ANIVTSSLTNAPSATLQTSQATTDNLDAPSSGSTSSPQACPAIESSSKLSTSRVSTGS VHSLNDGPSSLDVQSPPTQHGNSSNNNPVASRPLSASSNTTSGSGQTQASSSANVFPS PPRETPVPPTASISALKLRCKEEGEITPTTTPTATPTPAPLLISQPIHISPSKEEGEV SPTPSPAPAPTPATPATVPPRMVKDRRVTSASSAVPIPVAFASPRRHTIPASVHSSAN MGGMDEAWDVRPAHTPVLLNALSSRGATVHGDAQKGKEGVVIESVPTKSQPSISNGTD IQNGRESSTAASVATSRPIAALTPGLIPVGTNVGEEREVTNASSHQNISVQSQTPQAR AENSQMILAPSSSKSAAADARNHHNALATTDANKKVDPKAAAVAIGVAIADAIRHPDA KPNPVLNTILNALPLRPPVAVSNSQTVHVTPSTRSNTDSTPAGTAVPIRPPPLPTQSK QFQPSPQASSAPPHPAPSARNSAPNPRTTACPPLVPNSTSHARPGQKAPSSAAFHTMF RSKPHPQPTRPTANLHPNANLPPRPQMQSQPNRSQVQNMHPPPPPKADARTGNPSQVD GHSHNRQHPQQRVQSQPHLNTQSQPQQQNNSQRPPQQHKMGINHMELLYNRTDKCWTC RICLAGRQKELTSPSDSSSTPKPVVKFSIDAPWAELVGHCYNEHPVESQKLERLTPAQ VAEMKQRMHLPQSNPGPSHKGNGAR JR316_0005400 MTFCDNCFKGVTHEGTPTGKWEKIGGVDVYVATPSKEYDKTKAI LFLSDVFGPQFINNQLLADDFAANGFRTFIPDYFNGDPIPADAVVDGALKFDIASWRL NHGPDKTRPPLDKVISALKEQHGVTTFGATGFCFGGRYVFDLAFENIIKVAVVSHPSM LQIPADLEKYATVATAPLLINSCETDAAFPQEACAQADALLAEAKFAPGYKREHFPGC AHGFAVRGDMTDERVRAGKEGAFRASVVWFAERL JR316_0005401 MASSSNSQNTHHSQQQPFVQPGEPLNEFLRSFWQRQITTAEEET PDYRHPPLPLARIKKVMKSDPDVKACEIFIAEITARAFIVADSNKRRTLSRADIAKAL SKSDQFDFLIDIVPREEANFPVPLNGSGTGASGGAAGAGAGAAGGAAGTGAGKKGQGQ GQGKQDQAQNGDDAHTHAHAQADVDDSGTGTGGGGSGRPGSSKEVLDQLESLLGSNGA GSGSRGSLS JR316_0005402 MLHRIRLLCPKAAYISIYRYNSNLYYRRFINTTSGRDNSKSTRE AKTETETKTKTKTKNEDNIDVDGDPNAILMDALQALENLPPHRLKDINAIKKVLKHYA ALTHAPHIIYDGFLNFFIRTCRTTPALFVMQRMEALGYTLLNQSVAKLLVLVAASAEY PPDDCARMIAEIVKEPEYTEEMLRDLLVTIKSYGIDNERQAQTMFVEAFREVREGYIP SLKMLPTFVGAAVRAGKFQDAHNMLAAYHSPSSSSQPHVERPARTQIFSAYLSLLKAL RETFSSDPKYAETYNKVLEQMSAHQVQVNGRLFEQVVKHEAALRDWDAAFRAYGALRG VSKLEASVSDEQKLMRISTSTFATLFKMYTDMGRNRAFGLDAANSTPRYKGMGIMSPR ELFHDFIRVFPTSKTSTTTASSSSSSRVYTSTPLLHVILRAFMAQVDYAGALVALRIF AANGGANILTHQTYYIIVKAIVGRVWYEAGSGRPDAAELVAGMKGQYGLGWRPGLELM TKPKPRLRNAATWTSRFLGLPSAHVKPILGMRLVNHLLFLISRREWEVGRSVYPDRGK SEVWRDVKEDEEGVSKHESASSLGPEAGKDTHKNEIVDGTSPPKPDPAESGPLCKVPT MHMMEHVQRPQPLDFKYELVPLERLLLRAIWAVDGIGEAKGKAMMDGMAGEERVEQAV KKAERLMLGG JR316_0005403 MSNIPEAILEKLKEQDSVSTFSGSLPRVTASSGSTYFVKIGSPA EAAQYSGEALSLKLMNAAAPGIAPRVISSGTLEISKPYFISEYKDIGSLSSKAAQTLA KRMATELHSEEHASLEGFGFSIPTYCGVTKLSNGWFDTWDKCYASMVGDLLSQLESKG RYQHLCQKGRRVQAEVIPKLLGPLVIKPVILHGDLWSGNAGVDRKTGEPVIFDPASYY GHNEADLSIAKIFGGFPSEFYDTYHQYRPKSEPVHQYDLRMDLYELFHYLNHTLIFRG HYAGSAEKKMDNLLRAEL JR316_0005404 MQSIQRKFSTLTTFNHDLIEFTNQPILNLSAQTYAHLRVYFTPT TIKGTKFFYVEKRNIPFPDGTRGFFYYRQDPRFPLIDGAVRFRVVPPDADTFDAPGAE DLRTPDGKTWQRKLFFIYLRRDKTVLDKLEAEKLVHRDARSLHLTFVKRFNRQKSVKR LLADETLSDKEREWAELRAQMVRERRDSEPLPRRPRAPSTRGRGRPPRPHRKVKVRPD PATTVIPAGLKRRRTDERVALEYCRLYTPNDTFTIDLSRVCLYLHVGKPNTPIDTRLD IYYIFRDKRDMYTQESSHGVAPYSVIDFFMDFIVVQFVQYGADSYPSPGKITARFELK TMPDSKNQPRTYFFIRVVDILEPIIPLVEGYDGFIDKPVIGRLLGRQSQRSGKGKRGA DASVSASGSPNTSVSVSGRKKKKADVTEGEYREDKATAFWRMPVESPRGQKLLALFEE AGANS JR316_0005405 MSPTSSTSTSTTAVMTPRKLQSEYNRTMTMAHNGTISPPIPNSL LSEGDTRNPTLRIIHSPPHTPPRKVCLPTNAAPGPGPTRRQRHHHPILRTQIPNSAAP QPHTYSPTTPLQNTSSTTNAPTSTSTSATAGPLDKAFSVHASRMRADLSEIRAVCMAL FMHEQEEKRRLHEVCVRVMRERDVARAKVGELMRGQQTGQVNFSHSGVSNISSMSRDG SPSSPNANVDADVSAVRGGKRTRDADITPSGSSTGMENRSPGSISRSPRTVRPLRKSS PPLSPLQGIQSPELQHFASPPPPLSSVSNGSGTGTAPPNSALSDDSSSASSSGFSSQS RSRSNSYDDLSSTSSSSSASASAMYPYASVKRRKSCDGAIHVHTETLLPPVQSLLMQS DASPHNYNEGAMPRSLNSNTAPHVLKGTFPHVDIMYLPVDGGLVCRACLLDASKSKGT TTLGTRSPQSKGTASASPSPGGSPNLNAKHAATTFPATASWDTLRDHCVFAHPNECAD VQRLHPAEIFELRRRLRM JR316_0005406 MMATLAAYVGFDPEVPRGKYFNVAYFSTHPLATGHVHTTSGTDL YAPLDFFPGFLEERADLAVLAWGYKRACEVARRMKYFRGELAVGHPKFPAGSAAAIGK ETMPVPIDAPQIVYTEEDDMAIDEYLKLTVATCWHSIGTCGMKPREQNGVVDSKLNVY GTQNLKVADCSITPANVSANTYSAAIAIGEKAAVIIADELGIMGVTARS JR316_0005407 MSSTYYDVIFAGGGASACVTAGRLADADPTLKILVLEAGSHSKD LYYHVQPGRFFSNILAQKPILSFHVGQGGKGTGERSHIVASGRAVGGGSSINFLMYTR PAASDFDDWESVHGNTGWGSKEIIPLLNKAETYQPNPTHPAHGSSGPIKISFASAGNN VGEEMISVGQALKDDRGSTDDINDFSSKSLNSWSMLIRYIDSITGRRSDAAHGYIYNK EHPNLVVQTNSKVLRVIFDGTRAVGVEYVDDTIGRARGAVEPISVRAARLVVLSSGAF GSPAILERSGVGSPEILEKNGVEQLVNLPGVGKNYMDHNAIFTSYLASENATTMDLVF RGNENEVQTLADQWTKEGKGLFANKDVDGIQD JR316_0005408 MPPRLNKRQQRELEELEALKSVKPSDVQESSDEDLPGPSQGRSG GAFMNLLAPEDSEEEEEEKTTKSKKSKKKKKKAIATSTSDATPPTPTPPPPAAKTPRT STPVPALKNEKKAQKKARAKEKKAANDELDQVLAELSIQYPPSQKISQSAAGKQSLAD LLSVSLQHLDGEAEMRRFFGSRVVQASREEGQSAGRKKPPPVKSNLTRPQPSWWAAKG REGLSLHAYTDDETNAKLIRQNWTPMQEKWWTVEYSKKYKSMTKAFMDTVLSGDPQGF WDLLGTLPWHADTLLQVSEVYRHRDEHAQAVDFVDRALFTYERAFIGAFTFTTGLNRL DFDRVENRPFYLALHRQITDLQRRGCVRTAFEFSRLMYSLDPWNDPHGALLHLDFLAT KAGMHQWLLDMFDVFVERQKQNAETENMRANPSLLPGWVYNRALALKIAEDAAKNPDH TESTLALREAARDFPSVVPLLADKLDISLPGEIRGHRDFKILTDSSSLPPAEGILHLL SHLYVQRSSPIWKDHAGWFESTIAEEFTHLSSGALPSTERRKAFLGQYTNRNLQFAVY RHIMVLETSYRRLFSFIPRQVLEAKSLACDPLPPPTAVSQYDEAFFGGVDDLYSPRLR TRRQRQLEERRLAQLMPDAAFRQQLQGFFDANPHFAERFPGGILQFAQMVGQLPADVL EDMMLVEAAAGNGNNNAMPGGFDEEEGMEDDRAGFAFAEVNFNPAPPVPGNVAHHMPA NIDQERHEDLNEEQGEEAAEDEDEDEDEEEYSPMPRVIRNILGRLWGRNQPEESSSED EAPLDDTGVD JR316_0005409 MFESTQSDCGEVQDFEVGALRVDVGVVGKNSGDRNAQLKTDIEA VVTVRDDDEVRAVLANEPKAERLVKQEKVSLTFETDFQRNMYFSRN JR316_0005410 MSSVFLRLLAVSAIAYGKIYVDAVCHALGAILSNVQGSIPDCAR TYTVQLGDFCDKISAQQNVSTFQLASVNNGIIDAGCDNLVVGEVLCLGLIGHDCTDVH VVVEGDTCESIALESGSSISAILSNNPNVNSECTNIGIGEVTVGFLSTNKPKAQGHIL PKVLCVAPTVINSTNTD JR316_0005411 MNPLKSLRFQDFSDILPLTFAGLVLLTLILFKSRRSVKTTKLRG PKNQSYLFGLYQFLNETEDAGLVYEAWAREYGPTYTVPGGFGSSRIVICDPRANAHFY SKETFGYVQTKLSRTFIKNLFGRGLLWAEGDSHRRQRKALSPAFSNAAIRKLTPVFYD AAYKMKAIWDSALDAGSGEALIDVQVCLDSVGIAGFGHDFHALEGKNSPVVDVFESFS DEDTSWLSRFVFLMGPVLPVLQNLPTAQNRTLKRLRETMGDIADELLVRNRKAKEGKS VSEEKSIIGLLIKAESSNSSLGMSQEEILAQNVLLLAGYETTSISLTWALIELSRQPQ KQEMLREELSQYINEDPTFDQLSTGLPYLDAVVQEILRLHPPVSETTRIAAEDDIMPF SSPISTSTGDQVSSLVIKKGTVVTSPIRYMNRAEAFWGPNARQFEPERWLSADGCLQA KDNPGQRHILTFSDGPRTCLGRSFALTEFKAVLAVLVRNYTFELPDGPDTKIIRHPSI LPRPKILGEVGARVPLKIRRVE JR316_0005412 MVRDIQPSTFRLESNVNLGAIQITTFLSLILFGIFLSQAYAYFS TNGDNWKLKTLVVSLVFLESSHSFTAAQTIYYDTVTRFKVAQPNSYPLSTTVALETLV TVVVQASAHASKAPEWLSSRVFPSVACLGLSLLRFIGGIVLTVESFMDVPKNENGLMF VFTFSWLITASLACGGAADVLIAGLMLFHLRKLSSPMNHTSTTEVINRLVRWSLRKGY FLFDATTINSMNFTTLAETGLITSLTSMAVIILFQAMGDMIWFGLYILLAKIYSNSLL ASLNARPTIHARHRDQEAPISTMIQFSNSVPISVSFRTGNHDQATTDDLPPPVPSKVR ETDDIIFMHIYMLTTKISCLLQSD JR316_0005413 MSTIVSNIFSTIVSFVNGIKYGFASGCEIVEWLCTYGGPAFGAI ARYINKNSEAPVVLRNRSGGKLISDMEAQHSPANDADFEELIRVRQEIKTLKKILDNR SARTRPGTSEAHEIQLDRFELERKKVLNDIAIEAQIRATYPARALYLAMCETYDVAPV RTD JR316_0005414 MDTFLDTEPVLGEFTEEDLEQEQDTYQKPQTDADRTDGEGYETN TAPSQSRSSSIRMVQSQSQLQQGQGQD JR316_0005415 MYHRWLADKQTGKTSIGNIFIAGLGAGVTEAVAIVTPMEVVKIP LQAQQHSLADPLEAPRYRNAGHAVYTIIREEGISTLYRGVSLTPLRQATNQGANFTAY QEIKKLAHKYQPDLVELPSYQHMMIGLISGAMGPFSNAPIDTIKTRLQKAKATPGQSS FQRIFAIAADMWKMEGVRSFYKGITPACCAWHPGRRSCSRFMNA JR316_0005416 MRVRLDEVRQKMDAEEQARDVFRWNGQIPVSEVLYSIHLAEPPH SIHPNTRRHRNILLALLPTLSEQVLRAMDVDAVKRELQARSRVGKGNREQQVLGIGNG NTPAQAPANKRSVYPLAPYPSTPPPPQPRQTPPQQAQAQPQPHQTLHAPAHHHPHQRP HRSHSRRPHPCSCRAPRRCIPRRCTRSPVSLHSPYLSLSGTESESISISHDTQEISSS FVSDNGSVSRSWVVEGSGEGSEQGGAGERAPSPALSSVSGVSRFAFEFDG JR316_0005417 MHRPPSPVITNTTLHTPAPTHNLTLIRTAAELIELDVKLRSTHP GLKIPSLPIDPAGLPLAQQKKGKEKKRKSTFLNTLSRLASPGGGKGMGMSMGIGIGKK GGNTPLVMAPANGSIGGDVNGWSAAPSMTNLASTALSTDALDRDGVISTDREKEDY JR316_0005418 MYEISTLASFSADTGQRTRHRKSFEACLTHTILTQVVRFTISFL LFYTAHLLSRTLTIPTDISGWLPLHLGYFYNCFTSVSRRSGTEKTRALSSMTLSDIFG VLDYLSWSLFTAAFDEERSDSLVRNIAMHNSVDVFSMGESAPWAAIQQHFFSKVSSHP AWLAPSADIFTGLIIASLIVLIFVAVFLLREWMSQNARLGVFEEEELPDLPPVHAPPP QPQLQHQPRLVRHFAPLDPASLNLERGGNAPLSMSVRSRLYVWRQCVRIWTQTSPWTP TDGEQTPK JR316_0005419 MSSSHPSHEYIRHLPLITPRIEFLLPSQEPLPKITPRIQWTPEL LQRHAIPRGAAPQPSSVAAPGPEELIQAEGPSGQDTVPVPPIGPSIATPATHVQVDPG QPIDPAMILQVAGPSHPRVTSPVPGGEMYKKPKGEPGRRGSGGFNIQTVLRDDFHWSE EDIGDMVGYITREARRSLDMSKSYRSQKKEKIEAICQEATRRWPVLRDYDKCWPVHSV LKLKLKYRAEAHRRVEGRRESARVRAALANIAGVNDAE JR316_0005420 MASAKGVTMTSGGAGGKVLKEQELSPVVNDSNHQHPPWQVTYKL PSILPINTLLFSEDARLLISGGDDETVYVLDTKTGECIQTLEDDNWGQITTVVWGQQE LPNRENGVVLCIGTGRGCLALVALDYDATEPFPVGANTSPVFAFNDSVEKMAFDKLNN RLAVTSHSGEIKVFAVNNTSLRLLWADNIGKVITSGLFFFGGSNQSLLTIGLETSEMK CLDASKRGPPLWTKHLIGGIGSASLSSDETLLLVDNLATGNFDVYQIADNSPLRSLPI GSTRRFSKQCAFFEGSKIAVCGSDTNKVFIVDVANNYVVQTLTTCRGTYMTQTVCVTP SSSRNVFVAAACRGYVYIWEKSTPQVQVNVRADDPPPSRYRRVGSAILPYLGFAVAGT VGHWLPHAWKLGQDIIMKTADYLIQNGADRTMELPREAVDKIFEMAAAASRALDMSSA LVSSRTLDMAAIATTTYTAATATATMTSPSATSLFDMAAAAAGH JR316_0005421 MSQPSRSRSNSKSSVTGATPIYTAMLSREHYIKAVSARSRKNAG KSASRQRRSPEAGDASMVAGPSRFQEVDDDDMDQSFESNSTALVTNTLTSNKTQAAGS IQRAYTAPMVSSFAENREVSTEGPSTEIANVSVDAGRDNLMTRRPPIQVANVNAILAI LPGRNELFPAIQHNRSIANGSYAASTNVQNDRGITNQQSGVDAGIDQVIISQDEYDRL KSTSNAARLRSDDIIATVREVQADRERIAALERGLTEQGETFKWEIDKASKMYTELLK EKVAQENLYLETKRENDRLKELEETVLGFAKCTICYEFMEQICV JR316_0005422 MKRVMRNVRQFLDTEAQNGTLKASCSECCDRLDLTVFTDAFIND GAEVDGEDHIGSMAFHRPEDDSEEDSFNQLLARLEAQAKGPRQPRPVIRLEEEDRITM LQEKIARLPLENDYPLWRVGCRIGSEDAAVLSLLQTAREIHHIRSAFTRGSIRGSIYV EGIMDPALVNLLLSTPGILRNHLGVKREIVDRNHQHELLTMRDVKKDFEVGTWVLVKK GIYKGDVGLISATFSWGAQVLLIPRLNSQSAKSQKRKSSVLVPPAKLFDPEEARKLIS TPIIRNADGSYTLGLLKFDHGLLEKDFDYTSIANSVMDIPYSHFSMFRSTNHPDIMRA RMPRPREWCLGLEEEVLFRTPDVANRSAKWEPAVLKKLDTYDVEAEQSTIEGEREIRY SVRGTWLDILKSPKIGQFVRVVSGPYFDHRGWVVGIHGDHALITKSSVHGRISIVETN AETSSTPTNGKEQYPVPTGNDDLRKGSAETDLGTAVEAQMMPGGIMVHSDIALTLDES AATLDEEEMSMPGGSSCVTGVGKPTAAQESYNQGAVVSTTAASNQFNSGVGDDNKVEI VEHFAVHVNLLDTSFTEPLPLVDVTLLSESDPVHTKFLRHPWTGLEVIIQKHLHPRKG ETGRIKDVLHHTDNAGLQLVIQLTRFNPFAPFQTIVVDYDDVVELSTFNELVLFLDPG PKFFRPIPKSSMKHVRVLPGVPQTIASASGTPMYSEPTATPAWDPSSRTPIGTPQSIT PAWDPSSRTPDPTAHSPTSLALSDVSTSVSSSSETNCHTTSSSVCEHVLLNPKLVDIS LNVVVNGGQFSNKTLVASTVWDANNLVLRCKKYSSWTMVDPAWVTPKYANRIHDNGPL VVIKGEHCGKFVRRIHHEGTSDNPTVLVAVVTRSKDRVDVLTGERFILSTDFLCSVPE SKKDRDLNSNVMTQLKDQYKKKIL JR316_0005423 MDPSLAIQVRRLASQSLDVANQWKRQAMLVLHREKDANVIHLRN VHGGYGNQGLSGISANAMGHAT JR316_0005424 MDDASMENLSLPGAFNSSPTEQMDITSSDDVTRNHPGPSQTPQS PTEQMDITSSDDDARNHPGPSQTPQLQSQILKPQESGKRKRSDHEEISSRKLIAVEPE YLQELEFAVDELTKKLKSKDKEIKRLQSIEPSVNDLKDAEIRHLCVVEQKVETLIIGK IYISKEKSASDGAYKTLQSQFEDYKRVRFPGFMLSYLRLSIEQDVDRQHKEASRAKEH EMSELEAKFKQQIEELQIHHDRTVEELNKKVESQSLQISSLVEDSLEESETAKILLNE PDGEGSNILEEDTSHIKELEDSNMAFTERITAYEAQIAKLNADLEEQKAESSKLTRAV QELEGQSVDGISKQERITELEALVRAKTTELEELKHSTSHAQDLENRINELQARLGEF EREREGQSIDGISKQERITELEALVRAKTTELEELKHSTSHAQDLENRINELQGSLQE SDSEREKLIQAQQVSSHRENVLASELQTVREKRSEQIKTLTAQVRLLENELSGNQTAL KDQGQELERLRAASTQRRTADEAEIAKLNADLKALKDSQQSSADRGALDADHIQKLEA QLTAQTNRVQQLEIMNAASIENASAYETRVKQLESDLEAQKAESSKLTRAVQELEGQS VDGISKQERITELEALVRAKTTELEELKHSTSHAQDLENRINELQGCLQESDSEREKL IQAQQVSSHRENVLASELQTMREKRSEQIKTLTAQVRLLENELSGNQTALKDQGQELE RLRAASTQRRTADEAEIAKLNADLKDQKAESSRLTRAVQELEGQLTDGIDRENRIVQL NNDLDAKTAELKKSREESTSHAQQLENRIGDLQARLQESDSKREKLIQAQQLSSHREN VFASELQTVREKRSEQIKTLNARVRDLEKELEQAATNSRDSLSKERERYQQMSVEFQK QQAALSQTTEDLSTVKAALEKEFEDHGNTKSLLELLQSDLVDSQKAISILNSQLEATM ADHIEFGEEAQDSDSAMDPDAPESTPSATGKSQKAAGKRRQMSMMPDTTLYTLMNHRN GDLQVNMEPENSAERPTFSNFFNQTEFTPTLSTSANRSSPVRDGDLASSSNPGPSIPN RFSKPGHYRRGRATHQSPPPPSSAIPRSGRDDVRGVEASTAFHADTPATVADPSVVPP HSVFSSTPPVTPVPPVASVSAAERAFQERILNTLSTLSSDVRGVVLDVQELRSNANTQ PATPRRRIPNRKPYSPYKQAGPNASRQLQQYLLGISEDDDIFIMDSIHIASPAEVDKF ENSLRDPPPLEPLQLHFDQVKVKWNAYLADLFAEQFLRLHSNLNATADQIKEHFMARV QMFREKLISIVPRPGETSEQCFDRVREERLNANRRKRRRTRQRNKLYVDRYSNCIDAG RHDIADVVLTLGEDGMSEDETDGEDSTVVLLTQWRNPKLLTPLKIADSLRPATSVNGT RRPGSRPLKRRRLQSAREGYQPAPDQRPENYYYSSWRACLSRYDIALLKMKDAKPFFG E JR316_0005425 MADEPGLMLQLWLRWRRVNGGQIGELFLFEYAQASILRHPFTEE EDGNEDESLRRPYANLTRESERSSSKAAVNKLQLK JR316_0005426 MEAMRQNLDTNIAVDPNRWRADAQIAALRDRKKKMNAKSFNPHH TVRNENQGETDQEDVQFELEQARKKKFNQHVTVAQDGDEPGLVSPSESDDTEDDEDDF HEDDEVDNEGHGHGNGRRHRRPHPLRRPHFEEEKDDEDDEEDQAKLCTPTHNLTLIRT AAELIELDVKLRSTHPGLKIPSLPIDPAGLPLAQQKKGKEKKRKSTFLNTLSRLASPG GGKGMGMSMGIGIGKKGGNTPLVMAPAK JR316_0005427 MRVRLDEVRQKMDAEEQARDVHRNILLALLPTLSEQVLRAMDVD AVKRELQARSRVGKGNREQQVLGIGNGNTPAQAPANKRSVYPLAPYPSTPPPPQPRQT PPQQAQAQPQPHQTLHAPAHHHPHQRPHRSHSRRPHPCSCRAPRRCIPRRCTRSPVSL HSPYLSLSGTESESISISHDTQEISSSFVSDNGSVSRSWVVEGSGEGSEQGGAGERAP SPALSSVSGVSRFAFEFDG JR316_0005428 MYHRRLADKQTGKTSIGNIFIAGLGAGVTEAVAIVTPMEVVKIP LQAQQHSLADPLEAPRYRNAGHAVYTIIREEGISTLYRGVSLTPLRQATNQGANFTAY QEIKKLAHKYQPDLVELPSYQHMMIGLISGAMGPFSNAPIDTIKTRLQKAKATPGQSS FQRIFAIAADMWKMEGVRSFYKGITPACCAWHPGRRSCSRFMNA JR316_0005429 MDTFLDTEPVLGEFTEEDLEQEQDTYQKPQTDADRTDGEGYETN TAPSQSRSSSIRMVQSQSQLQQGQGQD JR316_0005430 MSTIVSNIFSTIVSFVNGIKYGFASGCEIVEWLCTYGGPAFGAI ARYINKNSEAPVVLRNRSGGKLISDMEAQHSPANDADFEELIRVRQEIKTLKKILNNR SARTRPGTSEAHEIQLDRFELERKKVLNDIAIEAQIRATYPARALYLAMCETYDVAPV RTD JR316_0005431 MSLPDSVIIDDSDSSILYEEGKWITDTSSLNYTVSGRPFNNTAH ITFQQASFTIRFQGTGIELWGLSSSSGVWNGTTNGHLIDSLSSSFGGSTAPGEQPSFR FSAGYDPTEWQEGSINALTMTVTGTKDNPVAFDFARFMPSGFSKPEDITVGVMEYTVS DDFTYGAAPPGWDSSDFLSTTTPDAPYTFSFTGTSVAGLGGNGVNDSHIQAMASYSID GGDPVKFTVNNLATSVLDTITEQTIFQTNQVAPGNHTIQIVYHGNSTTTPLTLMSLLV TGTKTILSVDPPPPAKPSSSSLSNPSSSNPSPVPSNSSHPKTHRGIIAAAVAVSVILV VLLCLIGFLLYRRRKRRLESKRFSSYSLDPGPVAMAIVPFFDYSALSIRNGDSVGEAS EKNSSQTHVGQVETQQNSLPASSAPIRVVTRVHEDSGEVPIQPVEQIVVVDIPPSYTS LNDRAQREEGPREVDPLLKARSSYKP JR316_0005432 MAIYYDTVTRYEHAKANSYPIAASVGVEIVITLIVQCFFSLRIY KLSNRLPVSISCLVFALLRFIGGVAISVKTFSDVPRGPVNGIGLGVRFDWLITSALTS GAATDILIAICMTYYLRKFASPTNLTSTTMILNKLIRFSLQTGLITSMTSVAVIICFQ AMPNMIWFGLYIILAKLYSNSLFVSLNARPVRQNGNARGNLTHRSILEFSPGTEPISI SFQTSRPGDLKPVFKIDDV JR316_0005433 MLACERQHAMRYRLAILVFFFVLSFFLAVSMSVGFLFELLDGSK GRILQYWIYSVFWFGYLGKVNTKEPMAETDVVSWYGLKGGEHCLRYSTREYTAKLTVG PSMDTIGQEGMIQLCKETPTVIHNRKLFTDFCQDLGFGRGVWGYWIVDFQEDDCETKW GEFIDLGCGYLNGGQQDHFRRVESHLENLQAGSSWQIMCATTPADIDGHHFGGPDRCH SLPQQGIYGIWDLLDGSCERKTTGP JR316_0005434 MPPSDAPNQTPLYRINVELDLNPFLPVSYVTKIIRYGVNPPESL VAEFAIALNNKRAVLRMGDTSTRLSNVLYSVHKSPKHFNWILAHQLHWDCREVLRDGS PLCIDPSLPADSSSNQSIKIAQFIPPPPDRSPPHPDATLTVYPTGHQIMDEIIVSALV VERMLTR JR316_0005435 MHVRNPYRIPPDFTQLSESYPKLKQHLIHDPKTGKPTIDFKDET AQRRLTEAIMQRDFGVTLDIPSTRLCPPIVTYRLNYALWIQDIVRAHEDVLGSHSRAR PIRGIDIGTGSTAIYPILICKLEATWEMVATEIDYESYESARRNISNNNMQSRIHVEK ASPDQSILFPLEDDRTFEFTMCNPPFYGSAAEVVQSAEAKEFPPNAVCTGADIEMIYP HGGEEGFVMKILDESERFMTRCKWYTSMLGKMSSVATIVEVLRQRSITNYAVTEFVQG QTRRWAIAWSFADTRLPDTMARIQSISPKHALYPCMPPKNTLVQAFPGPATHLVSTKL IETLHGIEGVSYTTTSLNSFFVEARQNTWSRSARRSRANKNSSKKPDPASLDADDILS GSQPALTCSCRVLADTAHADPVNVVENQWIFGNDRALFESFVGHVSRKVGMGLRGVK JR316_0005436 MQHISEESLNDLPSRIKYLRDFIEFTSEDAAALHAAAPVVGPLV PAVVDAVYQKLLSFDITAKSFVPRQTGYDGVTPTDASDLSLDHPQIKFRKDFLAGYLV KLVTMDYSKQSSWEYLNKVGLMHTGQAGFAHRKSKPPLRVEYIHCSILLGYVQDIVIN AVITHPDLDITTKNAVMRAVNKVIWIQNDLFARHYIAETEAATTSA JR316_0005437 MSGSKSFSLLLALVSCIHVVADFGVRDGLHFSLESRATNIDGSI PTYKNPKASIEARVNDLLPRMTIEEKVAQIIQGDLNGWMDLSDPLDDTKIFNQTGLEE MMRLKGGSIWGGYLVPYDKFVFGVTVGQKYLMENTTLGIPALIQSEGLHGFTNKGTIF PSPIGLAASFDPNLVSQVSNITASEAEGLGINHMFAPVLDLSRELRWGRVEENFGEDP FLTGEMGHAFVTGLQSGRRRNASSNAIARVAATCKHFAAFGSPQGGLNIAQVSGGERE LRTMFLKPFNRACVESLSIMTAYSSYDGIPAIANSHLLTDILRNEWGYKYWVTTDAGS VDLLINLHGTCDTRECAAKTALENGISGEMGGGTYTYLTLPDQIKAGTVDVKFLDATV KGMLSAKFALGLFENPYPYEDYMSFIRTPESRTVLHQADTESIVLLENRNNVLPLKKN IGSIALLGPQANRVTFGDYVFFNASLAGISPLDGFNQFITNTSSKTKINFAPGCELWS NDDSGIPAAVQAAQASDVAIVMVGTWSLDQTLLWTPGTNATTGEHVDLADLGLVGAQL KLVQAVKATGKPTIVVFVSGKPIAEPWIQENADAVVQQFYPGELGGLAIAEVIFGAVN PSGKLPVSFPRDVGTTPVFYNYLKGSRPIDAGQVLDNGQLKFGHQASQYVLNSPVPLW SFGHGLSYTTFNYTNLKVSPSTISANQDFTVTVTVKNTGEVDGKEVVQVYMTDVVSSV VTPNQELIGFQKVDIPAGKSVTVSIKVLSSQLAVWTLKNTWVVEPGAFNIKVGTSDQA FATTTLTVQ JR316_0005438 MVSQLVWLITGTSSGIGRDLALEALKRGEKVIATGRARSISKLE DLKSKGADIIELDVTSPLETLEEIAQKAVAIHGRIDVLVNNAGYILVGAIEESTPQET YDQFNTNVFGALNVSRAFLPYMREKRTGTVLFIGSLGGWKSSPYAGLYVATKWALRGI AETLHDEISPLGLRSICVDFGYFRTSFLQADQRAPQVSRISDYQEINETVENALQAYN GKQPGDPIKGVNILLDLVRGEGQAAGKQFPRGLSLGTDAYNVAKTASLSALERLEEWK EVSFSTDIVEN JR316_0005439 MMCRPHRPVISALLIDISGTLHVGKTPTPKAVDAFHRLRESSIP FRLCSNSSKESSTDLAKRLESLGFEISFQSKKEDFVYPGSHNSQILWTSIAAAAQLVK NMNLEKPLLLLSESAASEVLSQLSPNGRSALESVDNCYDSVVVGLSPSSFDYGHLNEA FRVLKGEHKCKVHDQQATPSVPLIATHKAKYIQTDDGLSLGPGPFVTALETATGVTAH VVGKPSKAFFNMVIDDIKLSEPLSDPAKLGKGAATNDRGKIAVIGDDVEADLGGGAVE LGLWRVLVKTGKYRPGDETRKGIVPPDEIYDSFSDFIDSLLK JR316_0005440 MSSSAGSASPATTLPSIHEMFPGVPPPPPPPPPPKTRPTPSPLT PPPPPRTRRRKPAQQRSIHPPSGTERERESSGPRTRAPVEFKCKRESGLEQAPSLKLN LVSPTRAG JR316_0005441 MRRHYRNHTAPGFSRAQSQSQAQSQVQGQAQAQAQAQIQNANVN ASANSANNNANANRGASGNGNNTSQRSASSLSTTTSASAGAGAGVEQVVQGTQLGADG RRKRRRTMPLSMPPVPVPVPVQQGNGNVGYRAEFEYRHGQYGSPSMHPSHPSHPSHPS HPSNGHGHAHAHAHALSPAQSPLYAARSTSAGGGGGYPHSAHPGASPRSAHLSAHQQF HHHHHHHQQHQHQHQQSPESHHQHQRQYAQQFQQRHAQRERERGGQFQQQQQQKHHMP SPPISHVSISEEDEDECEEEGVRGGMRIRGQGRGRGGNMSASGRARVRGVKDEDGDED GDEDDDMRGYDEREEEEDDRMDEDAAEEDEDEDAEEDEERDHINLTRSLARVAVQHSP SLSPSLSPSVSVSVSAAGVGYGYGYGYEKEKEKERVEYGYGYGYEYHQHQRYSSERSR PQSTITTTAHPIDSNAHLQPTAHAHAHASGGGGVGGGGGGGPGPLTPGSSFHSSSPSA SSSSSSSSSSSSGSSASPSVSPSPPPAHPSALSSVAKQQGQGQGMGVKHRSLADAPHV AARLRPAFGGSGGRDRVRA JR316_0005442 MTLEIERYTLSTLTYGVPLTCPMKRYMRKIDLKTPIPEGPGVIL LLAHGAGFFKETWEPTIEDLFELDKGMVVHEAWALDCQNHGEGCTLNEDVLSRKPGIL TIWDYADAFSALYRSGLLDPMRQGHKIFICGHSAGSVAVALSTSFFNPPSSIPFAGVI LVDPPMWGTEKEGQFSDMYKMVETMTPIRRDTWKSHDAAVKSIKGSLPFAMWDERVLD TYIKYGLRPLPTAFYPDKQSGVTLTTHRQEENIAFTGYQFIRDAVNQLNIICRHVPVH LIYGDRNDMFERDIQDSLINPQEGRTFASVARIEGAGHLVVQEAPSALAAALLAAVVT KDRTLSSKL JR316_0005443 MSNAEKGNVTTQPQAVPQMVIPGGGNRNALNLPLDNEGKRDWSF GLLDCFGDMNKCCLACWCPCLAHGQNRRRLQYLNVNGVPDPERRRIVAEGDSVLYALI EVACDMGWILQIETRRNIRNRYNIRGSTTSDCCAPFCCQACDLIQGSREIELEEESFG NAQTPQR JR316_0005444 MSQSLSPECTPLKQKYDSCFNSWFEGYLEPAVAAGQSPETRAAY SKKKADEFNQKCGKVWEEYKSCIQVAVKNRGLDALLQQAREENPLVDPIPPPPSQPTK SS JR316_0005445 MEYALEELHRQSSNPSGVQVHRRERNVQSPAADGNKADLHEQRD QLDFQSLVMPHSAPPVDEGWGAWLFCFSAFAFEVCIWGWNNTYGIFQEYYSSNPPFNK SSAAAISTIGTASLGIQFIELVFIIILYQRYPEFARPGMYLSLFICIAALLLSSFATK TWHMIVLQGVVFGISAGFLYSPVMVWLPEWFINRRGLATGIIFCGSGVGGFIFPLMMG YLLEHVGFRWTLRIWALTFGVCLVIALIGLKPRVPIRRPSEEHPRQPWLPSDLSLLKN PVLFVVIGITIVQALGFFPVSLFIPTYTSSLSSAVLPSRLVLALFNAASVLFLILFGR FSDSYPYAYVIMASGIGCALAAFVFWGFASSLAWIFAFATVFGGFGGAFPGIWPAAAS EIGGNHNHITNIAFGCFTGVEGVMSIVGPIIAASLRNSSDKSKATYGGFGFRKVELFV GTPILSALSLSA JR316_0005446 MNHSTNVGVSSAWTLSSSSSWGTASASGYSDCDSSSQSWGVDRG KTPFGSVSSVSLGPSGSTTSVPSPWGSQATLVNGGHALPPEASSSYSYTRYPGPSLST ASTTTLVNPPVGVQGDVDLSRNEKNHNLNPAVHGAGVRRIDALGRRTKFAGFKPTGGA NGWIIYFSN JR316_0005447 MSLPDTVIIDDSDPSVVYEEGKWVTDTSSLNYTVNGRPFNNTVH TTFQRAFFTITFQGNEIDLWGLSSSPDVWVDHPDVEDARLASDIPYNGSLYGVSTVPV SNWSPTFGVRAAPREQPRFRFCVGYYQSVGWVSGTIYSLRVTVNGTEDNPVSFDFARF APAGFSKPEEMTTGVMEYIFHSNGGYGGNNEAHPEDWENPYLFNITTSDAPYTFNFTG TSVAGLGGNGINDSHIPAMASYSIDGGDPANFTVNNLATSVLNTIKGQTIFQTHQVPP GDHSIKIVYHGNSTTTPLSLSSLLVTGAQRILSVDPPPPGQSSSSMVPQPSPTTRIPP IAHHKASPRGGISRIPVIAISISVCIVVALLCFIGFLLYRRRQRRLKSKRFSSYSIDP GPVAMAIVPFLDYSAVSLLNEDSDGEVSKNTSFSIPGESQAQAGALVETQRALSPLPA SLALVRVVTRVHEDSGLLPFRPEGQVVVVDIPPSYTSLGDQAQREDVHGEVVHLLKPC PSYDS JR316_0005448 MLALTALRILVLGSLTWELTHHFQSFVVNAQSLPTATFPAETST APVPTGTTVAHYAQCGGLGWTGPVEHTSSKGHESEFQKNPLKKVQGATSRNVTSFPTY NHPFFVSTCFIQPLFQTMGATTKRFVRGYKLDREKIVTALDLDAQTNFGIYMDVAEVV YNRIRKVDSHVIMQSSLSESPGDEPYYIFVLDDANVKQVLLDKPLPPTPPVLDHFMYL LSGPVVCEIAG JR316_0005449 MEVLLLHPTKILEQSRYQRGVALSVESILDVPRTPNGVFVFNFG WLITLALSAGVTADLLIAASMVYYLRKLASPTNLQSTTVFLNRLIQFSLLYSISLLVS LNARSHNVEQNADVGASDLHFNSHPEITGPSELKYDPTSRSYFDATDLMIRSPTVLGL VLDCHFYVTPNDVDSVDNI JR316_0005450 MWKKMKRVERRGTLEQQQQQQVAALQAQQQQHQPRANSHAQLPS SQGSDRSLNRTDTILTHQASHSAQSFANARNDRDRDDTSAQHHRSNAASASAAATANA HASSNSKAQRHAPTPPLIASLREQQDDEEDEDGDLERDPDQLPTSNIGRGGSAAAAAM HSARSDSRNGRVRGGKGSPSGGVVPAKRSGLHGSISPRGETDVDADADADAEAEADAE LLNAVDAAGEDDDLDGEGDGDGEGDPENDGDPDAELLEAVDAAEANSNASSHGGERLW LKSEA JR316_0005451 MDIDPPRLSLSLSPVLQPTNPQSNADLARLLALSYADIDDLRAQ LALARKRADKADRLAKHLAPTDQHDLRIKQIVDDYEQKLARAEAERDDADARRREALE GWRQLDDLLSTLELHTRDARFIARAYLPDGAASMGPPAGGAGRQHARHLSASGSARGS VAFPLALPPHPNPNPTSTHPAPTAPARRPRTPSMDSAYPPSKRSRGLDDQRGRPPTSS YSDSVSFLHFPTIVIPDHQADLAPYFFFPDVRVRGTDGDTAGGCRPISMQYVASAGQQ QEYSDQIRMRQQQQQAMLQRRAGGASMPEARIIDRKYGQPHGGSTPHHRAASPGGGGA GHSRSGSHSSSSSMDVDEMLIKATTGDEGVGSTNTNGNSNSNSNGNGTPNGAGRGYLD SPHHNPHAHHHSNAHAHAQGGLRRRDRENEDSSPRPYANSGNSGYAGPGYTSSGQPLR ASGTLQQASAQNQANHVNHIFAPVVTGAPTKKTKFPNTPLGSAAILAGSTQSIEASSA LSSAAAAPTAPAPTAQPAAPAAVPAAVPYPPTNADGQRICRQCGIVGRYKDGKCVEKW GPGPMGPGTVCDRLRVIG JR316_0005452 MSAESIIDVHRTPNWVVFISKYNWLVISALALGAATDILIAASM LFYLRKLASSGNFRRLVRYSLQTGLITSLTSVTVIICFKALRNLVWFGLYIVLAKIYS NSLLASLNARPRSERQPNRQRGVSTGIDFETVPRAISVPFHITNPTNTSGSDSDWPSS SNQSENRRAFKSMSIAEEPKNGIEGTAQDV JR316_0005453 MEPNTTGTPTPTPPVVVALIHPDNIRNFHRIASSTAEIKKNRSM HGYVCRECHKTSSAEIKVLQCARVSVDGLKERGTAQGRPKHKSICVELKASTAKLIPS FVANPALYFYLGKALVMLFKIHENPRPDIPLVAMCEAELRIADPVRAALIASGHVQPD PEGKDEAMLQIRSLQILDPRIAMERTRKSMWNTAREGLRSDGRPSVHVIMLDFTIKDV KWQGTTYAFPIEERVVEAVKSGAISVRKSVVNGTTVRPSSVQTCIEPAHKANCQDMNT ATAKLIPTLVANEYLSVTLAMALTLLYGLHSSPRPDSHFVAKCEAEIVPSDFARHLFL ATSQARVDNEEGMLELSSLTPLDPKEMMETTRTTMWKGTKDTLHKLGHPDQHVIIVDF KIKGVKQGTTVAIPIDNAILKTVSMNLPAVRKSAISGTLTFPQSVEMYLE JR316_0005454 MTLEIKNVRVNLDNGGEGGSDDTHTIPFVSLNDPELRRDFRAVA ASTKEVKDGRANLLHICNECGKTSDSGDKLLKCSRNSSEERDRLPNSRPAHKVVCQHS ESAEARLIPSFLANTVLNDYLQIILVFVFKLLEEPNPENPLIAECDVELFPADTARQL QLLFSGEKEPETEGMLQVRGMKSLDSETSIDDVRMALWKSKKEWYRKQGHPDVHVVLV DFVMRRTKQRSTFAVGLLETAFDRIRSGSTLEMKSSMFGSTFMPLSIAACLDAINTHI RSDKRNQLRLRATLLNWEPHSGTE JR316_0005455 MFAYKALITLALSGIASALTSSLQQVTANFGSNPTNVKMYVYKP TAVATPTPLIVAMHYCTGTAQAYFSGTSLAQLADQHGFIVVYPNAPTAGGCWDVASSA TLSHNGGGDSLGIANMVRYAIANWGIDSTRVFATGTSSGAMMTNVMLGAYPDLFAAGS LYSGVPFGCFAGPNAWNTQCATGELIKTPQQWGDQVRAAYPGFTGTRPKVQFWHGTID TTLYPQNFWEEIKQWTNVFNVSQTPTSNLTSNPQAGYSRASFGPNVQAILAQNVGHTV PEHETDTLAWFGLSSLVPGSSSGSGSSSAGAGSSPTSTAAPSPTGATSPQYGQCGGIG WTGPTGTQLILYGFDSTVNACESTSDSSQEST JR316_0005456 MDSLGPHTDWGTDDWRDYSLWSTITPDASFVFNFTGTSVAGLGG NRVARSHIPAIASYSLDGGAHVNFTVCNLATLVLDTSTAQTIFQTYQVAPGNHSIRIV YHGDSTTTPLALYSLLVTGTENVLSVDPPPPAQSPPAQTTSTSLPTSSILVHSKSHRD AYTAAVAICIILVATSFLVGSLHYRRRKRRFESKPFCSDSIDPAPTGVHVSPFINYPS IPYSKAAKEKTGKDRLNSRGQSQAQVGRVEIQQTFLPASSMPPRISAQIHVDSGVTMH LPTEEDVVVDFPPAYTSLCGDSWSMDL JR316_0005457 MTDFIVRLFLSVSIHFLSISILLAIFTFPGPQMDDEPTELNQSE KEKPFRKEYSDLEPVSNILRIARIIPLATQYKPIMPVHFDELNKIWPENPRIPSVQSR KAWALARGLNPVNVNNWWYRRRKVAKKLKFSIPRDTYELEVGNPPLIPAPIIKEEPKV VVLDCTEPGEDHVGDDDHTVTSPETHIPGSDDTYFSMDSTIAPSSPIMADKDTMKIIT DEDHAYISPKEHLCNYSTPSSPSISLNSFPCELFLHSDNPEDVYYTAARPLCQFLVAG SLEDQEITWPGLRNMMEPDRFSLFAIDVDPDLGSFMPLYSHKTSSALADLDLSCRSSA HDAPCLGPTQVARGDHFKLAAVTQGFVYPVAIS JR316_0005458 MNCRPTKLNTSAKEKQVDQENSDLEPVSTILRITRTIPQTTQFQ PILPVHFDELNKIWPENPRIPSVQSRKAWALARGLNPVNVNNWWYRRRKVAKKSKFSI PRDTYELEVGNPPPVPAPIIKEEPKLVVLDYTDPGEVDHMDDDDFTVTSPETHIPGSD DTCFSMDLTIVPSSPIMADKNTMTIITDENHVS JR316_0005459 MRLNMQKAILNSTIPTNADVVVNSKGMVKRGPDSIIVDDSNPAI LYGSGWQTDYGTSTANVNPQFPFNSTLHAAFKSTEFSYNFTGEAIATRLMDCIIEHER CKTGSYVEAWIAGTNAFDCFLDGVKLGSSNTTSSSHVTAATTSPTPLLSPMCTTTTNA GQHTLRIQVNGTADDPFWVDFIRYVPNNSTNLAPAPVASLREFSLTTTRSDKQLSEQW NNQGTFIASMVPGATLVFNFTGASVAGLGIYNNDFAHNSSVGSYAVDDDDPTYFLIEN LSTKEQDSLSNQVLFQTPQYRPSSHQIKIIYYGNPETAPLLMTSLLVHDQIMNLATRN VSNATVPVSSSPTSSAGMQGIDSAGPIIYGLCGAFLGILGILALLVLYNRRRRQLRDS TADSAVSPFPALAGPPFIPPSKQMRQLFPESDPVIPQISTPSLPNPLRKTRGLFSARI SPSMVRSRQAPIAQMNTNQNSGDVRHSPSIRLLVHEDSGVRLERHIENQTTVVEVPPT YTPL JR316_0005460 MSEKVWTATTILRLPEEVDESLVNKRQGEEIAGKKCSASMLESS SNLDISGGTFVASAGDYVHHEHKNTFIVMPPQAIEPCNSSESYIKSLEKRLMDAEAFL SLIAESNALGMSNTLKPEALSSSLNSRQGKSAPDYGVAYIQKSITQSELEQNSTVKES HPFDTFSPKQFLDGLKTAWESYVHPEGDIHYSTNPLHPSHWVNRDQDDTICTFPILTC AQDGFSSFEESFGNLSMDENLSPPSFGNSDEENWKAWRDSWSAWHAYWTAVYASWTSW TSWIQWTWTPPTFIAWKPFW JR316_0005461 MPPRSSRASNARNQQQHRQHQHQHQQPTPPITDNDNDNDNDKDS PRRGLTADLSPPAQLPTPLPDTGAVVPQADLELLPPFELDGDARASVETGVYANVEGV TERERGRERGRVRVSPSDGDHDSDKHRDHDNTNQSHFTGNLTGALMPVTVGAGMLALS DKEANSSSYPAVADEEVSEDLAQTYTYAPQDITPNRRTTVEEHGSPPPLPIPPRTSVT GEQAFRYRQEDVMWDEEFQNVQHTGGVRETSSGSAYARPDASTSPPVSSTSPLRRNSS STSNQQPASILDTLNRTIRGHMPYAPPSSVPAPPAVSRRRVEGVGAGGFGFSVKSSGG GDREREARMKQEFEREYERDGDDEDYERHGGYGHAPNTQQDREYRPTHPTAKHRSVDL GVEHEEGPYGGGGGGGGGYGYGYGMEHTRGYSEAMNQGVEPGIGTRIPGRRDLKSGEY GISGGRGRGAVGMERDSGVDHGYGYGGYGYGYGEEGEGVEKGRGSMGVGGGVENVKGA GVARGQGQNQGLVRVRDDGIVGARWDVLNDRRVLVVAYPSALQIWDTYDLKAIREVVR LRFDASASSGTQTQWSALFALGREPMGTVKGKVAGHGVGGRMGSGRDDFTIRVAHAVI LPTTSRRAKAATRDKDVFEDERPLLGLLLEAEAAEEDGNAGVESVTEVVFVVYSLRTH RVVKHIPLSGIPDFRSRAGTFDVSKDFVVLSTKSPPTLHILSSATFRRLHTIHSTSLE AFTSPPRIPPPTPHGSEMNVDVAGISTAAGGPPSVHADATTGKSRTGAFSSGLSLSIA NAISNALYAPGSRSSTPASVNPSGNAEQADGSVSVPVVYPSPVFALSGRLLAYASPVP GRSTGTSGGDAGSMSPGGVGGVGSSPSPSSFLSVGTGITSGISKASRRLSSSSSASVH SNAPSSASSSSAPFGLSAISGIGASIPRTQAEVGHAALRVSESVVSGMRFLGGMAVDA ARSRVGAGVGGGVPVRQGTPVGAGRAGGSVSGGGNGRYISRSAPDNAEDVDDSAAAQA LRERRYSANASAAVGSVPSSSSYVSSHAVAPHTTGVVEHGHYVTVLDLAPLLDSNSSE ADGFGRDLESTVKNIHDVGVVYNDELVDDMRPIRTPMKIDEFNASRSQPVAGLCFAQD GTSVGVITRDGHSVKVFRLRPVPSVVRLGERNTSSELVEETGLQEPPQGPRASQVYDL YRGRTSAVVEGVDWAKDGRWVAVGTRNRTVHVFATNPYGGKTDLRSHMEGRVRNVDVI EHPMTSLNAIAKLRGTKGAQAQASAPLAFTFLSPCDVLSAPDLAPPRSPAAPPPMNIV SRSPSSPKTGYTSHAHASASSTSTTTSASGGKRSTNFQDVLLFDPASGVLSLRRLALD KQPVRDVVGASVHALGVGVTSISLPGVGGVGRLSSSSPSTKGGLGTAAISGSGSGSGS GSAGTETQMELTAKESVLATWTLFARRDREWAEIKTPVVPPVKGPVSVGSPRDWLAEG EITTGSNSKRVLPRSLYLSHQFLFHTLGEDYHALIRRYQFDIAGDRIDVRRQVEISAY SSSSPVSGLPLPGAISSGGSGSGSSVGREHMLDFSPSSPPHSHSHMHSHSIQRVSSSF DEPIASAISGRFFEPDHPNVYGSSAGTTSTSNAHARSHSVSGAIPPILPMYPNGGVHA TGGSFRNAIAIPIGRKMAGIGDGVSEGVARLRREMLQKAKTGGAGRKEREREDNSGGQ QQVVPLEFDEEDEDFVGHAGEGSGNVTSAPSSTLNATYMQQPQQQSHLQLVSDVDGGD VVGAVDEEIWDGGWDMQDRMAVEEQEGFYELDGRGVGFVSGLNVAGVSGGGSAAITRV VSGSRGGGISGPSQGVSDELQPLDASSASARHPQTLATAIEPNLIDLESSFTAPSNNT KNANRKTKQKRRG JR316_0005462 MLSLKLLLPLVAVSLTAINALAYPTSTKFTKTFPRSARGDDIVN TPSGPIHSSNVHVVPHGSLIQHEASTVNVIAPNGTTIFSLPSNKAQRGLNATQPRDSL ARRALQSGYVELAFWGNTAPSPISTFSTLWSVPNVPENVDGQLLYIFNALEPQSFDGI LQPVLQFGVSPAGGGNYWSVASWWLYGSDVFATPVTPVSVGQTLVGVMTLQSTSTSGG STSYNYNSVFTGIPASSLSITSPEELTITFEALEIYGASGPTDLPRGRTTMRAIDIVN QDGSRPPVSWEVTADTNERFSVNVVSNATPNGQVDLFYPLQ JR316_0005463 MAFSLFKKLVLLAISTSPALAQTITGATQCIPAGQYTLCQNLWG ANSGTGSQSSTLISASGSSVSWSTNWNWQNNPNNVKSYANVQSNSALGVQLNNIASAP STFDWTYQSQSSGIRADVSYDIWLGSASSGNPASSASSYEIMIWLSGLGGIQPVGSQI QTGINLAGHTWTLWSGPNSNWRVFSFVSASGNINNFSADLNPFFQYLIKNQGVSGSQY VQSIQAGTEPFTGSANLVINSYSVAINKGTPSSTASGGNPTGNPSSGSGSVAQYGQCG GTGYSGPTACASPYTCTYSNPYYSQCL JR316_0005464 MFDLECGIRLRAAHTSPGTDYDNIVSLYNNAKVAEYMSYDFPVP QGDSLKEFFQGIVTKNAEMFCIVETIPQSQGGKDDVEKPRFVGMAALWVSRRERGHRH SEFGIGLMPEFWGKGYGKEITKFLIHHGFYHLNLHRISLETIGGNDRAITMYKACGFV EEGRLREAVWVRGGWRDIIVMGILVDEWRERSLNRD JR316_0005465 MWCSMPALLMAYAALVVANKAASDVIDLTPATFESIVQEEPLLL VAFVAPSMSYHCVRLAPHYEQAATALKERGIKVAKVDCVREADFCQSEGIQVYPVLKV YRHGEATTYTGPRKSYHIVDYMIHQHYRTVFDVTAENHDAFTKSDKVVVIAYLSSTTD DLALEFREASFALRNDYLFGMATDKAAIEAASVTAPSIVVYRSFDEPRLEYPYPIYSL SMNDLVEWVSGLAIPVFANDDGSDYAVFLRSGKPLAILFVGPSPTADKEAQISGIAPI AAKYKSKMNFVWSDASIFGSHPDVHYPVFVIHNVGRQSKYPLAPQKVQGVTPERAIEL VERYLGGKRGRPLKDQPVPDLQEEPVLTVFDNFSLAKINSDYSKDIFIHFYNIRSRES MLLEPIWDNLGKKYAAIKDRIVIARMDSLEHGLPLFTPFRMTTLPTLMFRSAGTHGFI EYDSTNYAFENLVSFVEMHAKNPLDLPEVSDSQDAQAPFSVGS JR316_0005466 MDSTPLPLPTDVSSLQALAQSFAMIIVSEIGDKTFLIAAILAMR HPRMPVFLGAFLSLLLIGRPAALFGVFGVKMWQEARAMEGGGQGKIEEEMREAEEEIE DDEREHEGTAKSADIPLESMEEGRRSQDTVPSSPSPSSKKPKSSLAEGARNFCSLFLG PVFVQAFVLTFLGEWGDRSQIATIALGAAHLAKQMQNVYLVTLGTVVGHSCCTALAVI GGRYVSTKISVKHVTYGGSLLFLLFGAVYLYEGFVASAPSDIAMAIPMPVDVNIDDID IKPS JR316_0005467 MSSHGGPLPDVSVQKTEIDSRLNASMLGTLMIGMYTIVYLGTMY ICLSRAKPSKKVVVYAISLMYILGIANFGFEWAFMRWFFVENGDTREHIFTAILVLPR WLHVLNNLFVFIMLTLADGLLIWRCFHVWGRSSRVISLPLFLLFSEFGIFIASIIILL VTRAEPTHHQALVVDRLESSGYILSAATSLAATFLIAYRINHLADTDAQSSHRKFKQI IEMLVQSVFIYSMALLVQAVAVAIPASISSTRVLGLQGYSAIILIPIAGMAPTIMVAR VNLAASDIDLHQKTQRSRGTDLVFQSQATNTASDSVSQVLDSEKGAEELAIEHSTAFG R JR316_0005468 MHWRIQYMNIRSLSDRVSVLLSFAFPTLDVGTWLVPGPKRGGSM RTQAMGPFVGGPGMWDRRCLMGRLVLGRQRLVQRGRLGRSIDEVEMQTLDAEADTDAT ATASKSVTFSENQNRNVNVKGSWSSGARSSSTVTASVTATATSTSTTMTVRRRPSNES IKESIALKPRVLGVFVPSLPVELWALIFGYSCAAYFNRPSASSSDDRETKNKSDNDST WASAPAPASPSIPQHIRRAQYTARLRHADAISRVCRLWNLVVQEAMYEVVWIGSARQG RLVAERLGGDACLEGLRGGEVSTTVFAGGRGEKKRKMGWFGRVRGRSRMATKDSNIAQ PSPVSASSLKSTSAPTISQVRSCNPGIHIRALHIETPSMERCSPHDLLLILQHCPRLE TFVDARSVRRPMHPLVLSLSPVAPFAASRVQALETHTDSDLLTTDALLDTLLARPLKK LTWTNYEYDVMNHDGGHRFYEDVLARRLGAQGGVGEGLEELEVVVSGKSAFGMGSRNT SEERQWGVHFGDGGDEEEEELNQNGMGLSFVTHFESRVSALKLTQLTARYTTTTTTTR SFVSHSPTSSDIDGPTLTLPALRTLTVTLDNTTFAVLSTWSMPLLTALCITSADFGYR GNGFRAFFEMHGAKIKQLELGHSSADLGQEEAWVTQRPSDSSTATSSPQNEPPSIPLA AWCPNLSTFICSADAEWSWLSPDWIAPHVLLPAHPGLERIGVRGVERRVRSDWEDAER RAGGAYVYEVVDGVVRVGASSDAGVRNGGEDYPFFMLAEQFGSLLRREAFPSLARVCD MSWESDMIRKSGRLSPSMAFSDSCSLSLSPPLPSSPRMHWLPSSPPKKHSIKGVHAER VRRVERAQKRQIDRFWGGVLKSCEERGIRLENWRGEEVILAVQGEDSGCR JR316_0005469 MAFKNALAALVMALPLVAGLRTKRALCPDGIHTATNAACCNLFP VVKDITENLFENECGDGAHGALRLVFHDAIGISPTLGGGGADGSIAVFNETELTFHAN TGIDDVLDALGPFLIKHSDKVTPGDFIQLAGAVSLVQCNGAPRIPFLLGRAPPKAASP PDLVPEPFDSIPKILQRFKEVGFSPAEVVAVVGGSHSVAGADDIVPNLEGVPFDQTPD VFDTQIFVDVQLRGTMFPGNGTTEGEVETAVPGTVRLQSDHLLARDPATNCEWQSFVN NQAKMAKAFGDAVFKLSLLGQDPRKMIDCSEVIPKAIPFTGGPATFPPGKTIKDIEQA VSVPFEKYHGRREALIVEY JR316_0005470 MALPNGYPTSSIQAFAQSFSMIIVSEIGDKTFLIAAILAMRHLR LAVFLGAFLSLFLMSFLSASLGQILPALIPRGWTQWCASALFLIFGMKMWNEAKEMES GTGKIEEEMREAEEDIEGDQWKHESRRGDLGNQRTGSYPPESMEKHGRKQGSNSGLST SKMRAKTSWMDETRSVCSLLFGPVFVQAFVLTFLAEWGDRSQIATIILGAAHVRIPVC LLLSTCRY JR316_0005471 MVYLNFTDFSKAHLADQFYLVDSPIGAGYRAAPEIFFFEPQNLW YLIFQDGNSGYSTNPDIGNPAGWSAVQNFFTDVPATVTNNWGPNGGWLDMWVICDADN CHLFSSDDNGNLYRAQTSISNFPNGMGEPVIAMNDPNKFALYEASNVYNFGTGYLLLV EAIGSDGHRYFRSWTATDLAGTWTPLADTEANPFARASNVVFKETPGWTQDISHGEMI RASHDQTLTIDPCNLQYLYQGDVPGNYSDYNSIPWRLALLTQTNSAC JR316_0005472 MTAYYSQCIPGTASSTTAAGGTTTTKSSAPTSTGTGKLPTSFKW SSSDALISPKVDSHNVLAIKDPSIVNYNGVYHVFASIVTSGGYSIVYLNFTDFSQAHN ANQFYLQNSAIGSGYRAAPQIFFFAPQNLWYLVFQDGNVGYSTNPNISNPAGWSAVQN FYSSTPSIISSNIGSGNWVDMWVICDSANCHLFSSDDNGHLYRAETSLSNFPHGFGQP VIAMSSPSNIYALFEASNVYNYGSGYLLIVEAIGSDGHRYFRSWTSSSLTGTWAELAD TESNPFARSTNVVFPENPGWTQDISHGEMIRSGHDQTLTIDPCNLKYLYQGDAPGSYS DYNSIPWRLALLTQTNSAC JR316_0005473 MAEILQPRVFRKIRLNVNGNNIHQGVEKLESLARLNHPGRRFTR ELTIWTLSPEYKQSFNPFKFRKTTNSVNQHPNSPLEIKTAKDKVHSHLYDAITSLRAV HTVTWGPNAQDGQNVYHIVMNALKELPVLRRIRLHVNDFDIPLQLDSLSTLSELAVYT HRCSSTRLSAIVDNVAKAVVRNPELTSIELVLNPDGIPNDSGLPQSFSELLKHQTTTM EPLRLRHIKLTSCLLLLDKICAPHLTHLTSLTLFKVDPVGLWESFIAAGIHLTEISID GVTHAFLKYLSTYVGLKELLLKPNNFTTDASSDAMAEQFYTGSYLENHFQSLEEFEVD PPYEGLWCYGSHNHDNISKLGKLRRLAMSIRYIDVASNGRTQTSTTDLNPVGRIVNMA IHCMPDVEIIELDSSTPNIGRGPCCGTGMFEHRKLVCKKIVECAEEYIAPSSCTRIPS LRIGSWGKLVLIGKRKSSGGEENSDSTALGYVNVTPKDRFNILQF JR316_0005474 MHAHSMLIAILSLAISAISVTDSAHSPIITATPTRALANRQVQT PILSTLQYPYTALPYQVYPYQVLRGPQFGYNQCNSTTLGPKSNCQTLIFNAPDDFCLW GSPDANGEIGNVEAKVVAYCTKPYHGTRLIPPDAITGLQWIRTSAYIQVTGFINNSAI GLDNKPGNGGELDPHGADLQGNPLGGVVYSNGTADSDGHNLVQVMNWNT JR316_0005475 MAFKNALAALVLALPLVTGLRTKRTTCPDGVNTAINAACCNLFP VVQDITENLFENECGDGAHGALRLLFHDAIGISPTVGGGGADGSIAVFNETELTFHAN TGIDDVLDALGPFLIKHSDKVSAGDFIQLAGAVSLVQCNGAPRIPFFFGRAPPKAASP PDLVPEPFDSVQKILQRFGELGFSAEEVVAVVGGSHSVAGADDVVPNLQGYV JR316_0005476 MANVVRTAQTGNSWTVNELDAYNITIVSQDAATFFGVEELTVPE HLSDLLTNLTAEEAVEDDTYQVLRYMDLAMNPIPGEESAVVDFSMQLLWKLGYAGRKV GRDLRSRKDISLLTCGEWWHTRTDLCVMDNYEILLIVQEDKRHIKLADPHSQLIAEAI AAVQHNNRVRNLLGFKEPLEFKEMAGIVMIGSAPTFFKIPVSRDLLDAVQRGSFPATP TVVAMHRPVIKRPLRRLAEGMRPLDNRKAILGCFEAFKKFVNW JR316_0005477 MTRDPTNSNLQPYPIQHDSEQKQDDPSLFDGTVYHISPSLPPTL VAQLDALLLANGARRAGNSGSQGQQIPSTSQHSQIQAQQQQPPTQDPTLTIVISDSLR FPGWEDIARRRAREAGSGVPDEVTGEEKYSVHVVLPAWVERGVVLGKMQHPAHYSPDP SLLFSSLTCSASTTSLSPSDIITLQAGVTSLGGQWRSSLTREVTHLFALSREGREYET AMHFQRETGVKVLLPHWFDDVVRLGVRVEEGPYEWPDPELLREREKEKGINTSQGPTK DKEKPTDIDAERARLKRSVFVTAARFTPALTACPPPSQADLDNTHKNGVQPVSSSPGG DGAPASSAVGGVPGAAEGDTSIAHLAPPPTSSFSLPAVVTISAPKVWEERRILLSRTL QLWGRRRDSVQAGIERAGGIVVRFEGDEEEQEEVPISPEDAQENKSNGSTVGKDGERG FGYDERLSRQERRRRRREAERVKDCDVLVTRWREGRGYVEAVRTQKLVGTLAWLFHVQ SCGVLSHPLNQLLHYPVPKRRIEGFSAHVITVTNYTGEAREYIKKLVTAMGATFTPTT KATAWSIPIVNHTWLEDCFIAWRNLTPANPKYIIFRTGDDWSRRLGERGVAVGVRNGI SGGWGAVVRVGVGEGETQDETQEGQGEERELGRIEQEAEELAEEGDELGDSETDEAEA RAEAVAASAPAVGAAGVDAGTGDHFTEVHPPNGTEASMKEVMDDLVDADDAMEIDVDD PGQVDDEDADGVKVSTKKARPVSLEREREKEKGKSSPVKPTFSPRKSKQPAPIKSKSQ FKGKPRDSGSDENEEEEGYRKETVIEVPSSPLSEESDDHDERRKEKTKSSGKTPRSAS NVKEKSKSKPAKPASETESEADNENKEEDVVAEEAEEERPQSPVLKKSKPKSTPKSAG KASTNTDTKKRAEDKLKVKNRKTRVPGSSDEEDEDEGVEDDTGGNGDAMDVDIDAEHP PKSKAKSTTKMKSKSTNKESPTSKLTPQTSSKVAENGKASSSSKSKSKKTVQVSSGTE DEDEDDNKSAQSTDVELDVEEASKPAPAKKKPLTRRVTVEITVPSPAKGLTAAAAKAT GGTGTPSRVSGTTTTTTPSAAPKPDTTPAASTAGGGLLTRMIKVDARTRVKRRKPGDE GKKRKRSDVYVETSESEDEVVEPEQVIAVAKGKSKGKGKEVKARDSVRGKGKQVEEAK VVSPKGKVKAKSQHTKGRKGKKAVSDDDDAEAKVVESEEEVDTEEEENEEIVVSSRKI ESTSKTSSSKSKVKPTPKAVAKIKAKDKKAAKVSASSASEEEEKEEEAEEEEDLPPPK KRPGATSKSKKHAPPPSPSQPPERDHAQLSSPSKRKKPLRVYSSPDEEDEEEDEEDSD DGLPLNPFTLSKAEQRAKDAKEREAKERKAAEEEAERQRKKKANSLAKVKGKVEKTYG KGKTAKKVAAAASETESEEEEEEEDKDEDDVPPRKGTKAKAKSKAVDHSPSTLKRGKR RGKDYVDAHGGDQTSVAFGVKRKGKKTAAPSSDEEEEEEEERPARKASKAKPGTTKAT SKPKPSAKAKSAKSTLPTESENESEEEDEVSLLVSPSRAARALTRTESLRAVAGQQAT SSSAVATPIRVNGVAETSISAADKGRKGGKATNATAATSSKAKAKQSNDAPMDVDDSV SVSISNAGPSAVSAPPRRSAAAKASQRLHETIMPDLVHYESQVKKARRASSHAASSTA FALADEGEDVRVPGPSEKRKKTAGDGEDDQSTADQADGKAVKKRRVGEKAKAKAQDDD MDVDQSLPGQGIVIMTTQVTLADDVVKTLVKLGVKITVRASECTHLLAPHVVRTEKFL CAVASRAPWVLQDRWAIESANARKILPEKGFILKDKSGENKYGVNLVQALERAKENIK DGGLLKGHIFYLTPRVPVDAKLLKNVVVASGGQVSTQTPTLRVISTAPSLRHVISCSD DIAIWRPLTSSKAVRIYTQELLLNGVLKQEMEWEKDDYFVPGSF JR316_0005478 MAFIANHVYTIANKTQFQGNNMMIGLLQAKPDNGTPCQVFIQAS NEETFNQQWLIKEVAGKQQVYTFQDIRTGSYLDLSNGGAANGTRVQGWNHAIGTAWSP NMQWKIVKDGNYMKIQNVASGTYLDLLSSPTTQAQNHNLNRYLVLPKSVRDAIYVKSG LKNVKGRGQLFDSDDYAFVLKAEVAKWGIATLLADDFGILWGVMFGEQGSRGLAYNFY LNENLDNVIFFDPYTGEEKVDMQYKAYFAVF JR316_0005479 MAARQTPKKHFASASKLKSEVILDFREYQAPDVSQIAALMNVNK TQLNETFACAPVAVGTPKLSNTDSPFAFIKSNNSNSNGSTLGVKVLDGYDTSISNVGI LNVYNASDLFYGMRSLCFRLNLPGLDMPAFCVDTCDKATKNPIPPRAVLADICHSVIA YIDSIKKAQEEGLLPSLVQYENTPFYTDETLTERYFWDFRTIDLSNLYLLGALKEAKS RSYVLVLGIRHPRLNVDGPGVRVRYDVV JR316_0005480 MEAGISDEDYMKIDDSNSNTGELERPDTPIVDDHLTRGEYTPSL LDGEQVEEQAIQWFRSLQRRCCLLDGEQVEEQAPSNGSDPGREDAECCTQIARYLNNA ARV JR316_0005481 MVLRLALNNTNSPVSVGTGAHITDLNFDNNHAGTTFGEREDRTF QERESSAYVDGEAVEQPADTHEKGSESIVTTFTKYL JR316_0005482 MLYPRTNPYKPLHRALISVYRYTAVPHEYLQLRIAEVIHLQVET YGQETRPFKNAVEDIVVV JR316_0005483 MSAPASLVPGNYVIFAENPSGTVTTGTLVNGRSNFAMTCPLSSG GNCSITTLSSASSASPTQIWLITGDGMILSKSSSNGQLAQSPTFYASFSNNSPNIVTT SAPVTPAPTTKFVFQVSQQAATDHSDPVSYLATVMTTDLTDQYWTVDDLVNILSLP JR316_0005484 MSWCKKNIQERWEKRFALRIAEHDESILVWNWFSAAELGKTVTE YWSAWYEHAEKGLYSADSSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVPDLRK IGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQNVNETYHSAL VEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0005485 MSWCKKNIQERWEKRFALRIAEHDESILVWNWFSAAELGKTVTE YWSAWYEHAEKGLYSADSSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVPDLRK IGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQNVNETYHSAL VEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0005486 MNKPFDPDYGVSSKKKEKPPVLPQYMELGLSMGRRYVQRTIASI PEEALTEMRKHAFSPKRDKIHKNLGLAVSERDWILRNELNLLEDERNYEELEFEVAQE VMKSKMIQMQTLARTVEDEHLFLFELGLSRFFLSNVPEDGSSFKYPQFHGIPKIHKKP TGFRPIIPCHSVVFNPAAKFVSKELKPIIKSTPSIIHGTKDLFTRLSQLRIDPKRQWY FVTGDVVAFYPNIPLDLCIEIVCSMYEEWLLNASEENTALAHINPNSLENNLVKLGIF KRAIEIGNTQLITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKILDHPNVAF YGRYIDDCFAIVYAESEALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFIFKESGKL HWKPFVKTGNNRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAIRDLNALY LMRGYPENLVMSWCKKNIQERWEKRFALRIAEHDESILVLKTRFDQVWNWFSAAELGK TVTEYWSAWYEHAEKGLYSVDSSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVP DLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQNVNETY HSALVEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0005487 MSSTYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSIDQIVDCVFFDYRAALREFLLNLASWCDKRETVKASLERLELA VSAGNTPNRLRVKAPEFQLTKEFADAGSAEALRVSSTFSTARDVFQKAINDGAIQAKK DELAFWDDKCALNNCYEAAALIVKTTYDDRKSSYKLPVFSTDNKGVRRITDWVVSPQK KAECSALQTILPAIFSHIKQIVNLRHRALAIKIEKKRSTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQAKKAGPSKFKPSSTPSQKKPQTKASN KVDNKKKGKGRAPVKNDPKGKGKARA JR316_0005488 MSKNKSVGSTFDRLPCATSTRDTLFIRDLSNLTINIDRFVIVAT EESIKTKGLAADNNQQPSGLLSISNSQFKLVARTSLRSFQLTKEFADAGSAEALRVST TFSTARDVFQKAINDGAIQAKKDELAFWEDKCALASCYEAAAVIVKTTYEDRKSSYKL PVFSTDNKGVRRIAEWVTSPQKKAECSALQTILPAIFSHIKQIVKLRHRALAIKIEKK RSTAATADVEMADATKPGPSIQSLIDKGLNARLKKLNLGYTSSGQSSSKAPQPQAKKT GPSKPKSSSTPSQRKPQTKASNKVDNKKKGKGRAPVKNNNPKGKGKARA JR316_0005489 MELGLSMGRRYVQRTIASIPEEALTEMRKHAFSPKRDKIHKNLG LAVSERDWILRNELNLLEDERNYEELEFEVAQEVMKSKMIQMQTLARTVEDEHLFLFE LGLSRFFLSNVPEDGSSFKYPQFHGIPKIHKKPTGFRPIIPCHSVVFNPAAKFVSKEL KPIIKSTPSIIHGTKDLFTRLSQLRIDSKRQWYFVTGDVVAFYPNIPLDLCIEIVCSM YEEWLLNASEENTALAHINPNSLENNLVKLGIFKRAIEIGNTQLITQHGSRYFRQKNG LAMGVADSPDLANLFGAHFEIKSKILDHPNVAFYGRYIDDCFAIVYAESEALALNLIK ETIKFDGCVIEWAVSSSGCQFLDAFIFKESGKLHWKPFVKTGNNRERVPWVSHHPLDV KRGVYIGELSRLAVLCSTKEIYIGAIRDLNALYLMRGYPENLVISWCKKNIQERWEKR FALRVAEHDESILVLKTRFDQVWNWFSAAELGKTVTEYWSAWYEHAEKGLYSADSSRP LIKPDPNYVHDLDDVRPELFTQILVDGETEFVPDLRKIGLLGSRWIVSRKRNTNLFDL ANVWKKTVFRKLDEDIAEKGGVVPETQNVNETYHSALVEATEQISIESDNEIILHRRS ISQEREHPEFGRISKSYNR JR316_0005490 MSSQYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSVDQVIDCVFFDYRAALREFLLNLASWCDKRETVKASLERLESA VSAGNTPNRLKVKAPEFQLTKEFADAGSAEALRVSTTFSTARDAFQKAINDGAIQAKK DELTFWDDKCALNSCYEAAALIVKATYDDRKSSYKLPVFSTDNKGVRRIAEWVVSPQK KAECSALQTILPAIFSHIKQIVNMRHRALAIKIEKKRTTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQAKKAGPSKPKSSSTPSQRKPQTKASN KVDNKKKGKGRAPVKNDPKGKGKARA JR316_0005491 MSSQYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSVDQVIDCVFFDYRAALREFLLNLASWCDKRETVKASLERLESA VSAGNTPNRLKVKAPEFQLTKEFADAGSAEALRVSTTFSTARDAFQKAINDGAIQAKK DELTFWDDKCALNSCYEAAALIVKATYDDRKSSYKLPVFSTDNKGVRRIAEWVVSPQK KAECSALQTILPAIFSHIKQIVNMRHRALAIKIEKKRTTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQAKKAGPSKPKSSSTPSQRKPQTKASN KVDNKKKGKGRAPVKNDPKGKGKARA JR316_0005492 MNKPFDPDYGVSSKKKEKPPVLPQYMELGLSMGRRYVQRTIASI PEEALTEMRKHAFSPKRDKIHKNLGLAVSERDWILRNELNLLEDERNYEELEFEVAQE VMKSKMIQMQTLARTVEDEHLFLFELGLSRFFLSNVPEDGSSFKYPQFHGIPKIHKKP TGFRPIIPCHSVVFNPAAKFVSKELKPIIKSTPSIIHGTKDLFTRLSQLRIDSKRQWY FVTGDVVAFYPNIPLDLCIEIVCSMYEEWLLNASEENTALAHINPNSLENNLVKLGIF KRAIEIGNTQLITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKILDHPNVAF YGRYIDDCFAIVYAESEALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFIFKESGKL HWKPFVKTGNNRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAIRDLNALY LMRGYPENLVISWCKKNIQERWEKRFALRVAEHDESILVLKTRFDQVWNWFSAAELGK TVTEYWSAWYEHAEKGLYSADSSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVP DLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQNVNETY HSALVEATEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0005493 MSKNKSVGSTFDRLPCATSTRDTLFIRDLSNLTINIDRFVIVAT EESIKTKGLAADNNQQPSGLLSISNSQFKLVARTSLRSFQLTKEFADAGSAEALRVST TFSTARDVFQKAINDGAIQAKKDELAFWEDKCALASCYEAAAVIVKTTYEDRKSSYKL PVFSTDNKGVRRIAEWVTSPQKKAECSALQTILPAIFSHIKQIVKLRHRALAIKIEKK RSTAATADVEMADATKPGPSIQSLIDKGLNARLKKLNLGYTSSGQSSSKAPQPQAKKT GPSKPKSSSTPSQRKPQTKASNKVDNKKKGKGRAPVKNNNPKGKGKARA JR316_0005494 MSSTYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSIDQIVDCVFFDYRAALREFLLNLASWCDKRETVKASLERLELA VSAGNTPNRLRVKAPEFQLTKEFADAGSAEALRVSSTFSTARDVFQKAINDGAIQAKK DELAFWDDKCALNNCYEAAALIVKTTYDDRKSSYKLPVFSTDNKGVRRITDWVVSPQK KAECSALQTILPAIFSHIKQIVNLRHRALAIKIEKKRSTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQAKKAGPSKFKPSSTPSQKKPQTKASN KVDNKKKGKGRAPVKNDPKGKGKARA JR316_0005495 MNKPFDPDYGVSSKKKEKPPVLPQYMELGLSMGRRYVQRTIASI PEEALTEMRKHAFSPKRDKIHKNLGLAVSERDWILRNELNLLEDERNYEELEFEVAQE VMKSKMIQMQTLARTVEDEHLFLFELGLSRFFLSNVPEDGSSFKYPQFHGIPKIHKKP TGFRPIIPCHSVVFNPAAKFVSKELKPIIKSTPSIIHGTKDLFTRLSQLRIDPKRQWY FVTGDVVAFYPNIPLDLCIEIVCSMYEEWLLNASEENTALAHINPNSLENNLVKLGIF KRAIEIGNTQLITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKILDHPNVAF YGRYIDDCFAIVYAESEALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFIFKESGKL HWKPFVKTGNNRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAIRDLNALY LMRGYPENLVMSWCKKNIQERWEKRFALRIAEHDESILVLKTRFDQVWNWFSAAELGK TVTEYWSAWYEHAEKGLYSVDSSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVP DLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQNVNETY HSALVEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0005496 MSWCKKNIQERWEKRFALRIAEHDESILVWNWFSAAELGKTVTE YWSAWYEHAEKGLYSADSSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVPDLRK IGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQNVNETYHSAL VEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0005497 MSWCKKNIQERWEKRFALRIAEHDESILVLKTRFDQVWNWFSAA ELGKTVTEYWSAWYEHAEKGLYSADSSRPLIKPDPNYVHDLDDVRPELFTQILVDGET EFVPDLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQNV NETYHSALVEAAEQI JR316_0005498 MPEQDIQVFNSIPQTHGGNDDLQEGLLSLGNAAMDVVHHSAAQG VPSAVPYTLQDLAQIWDSNVSSLNDSLVDPVEAHSSAAFYARPAAMPMRSSSVEVPNP VYTDYAHHGYGEPSRSHSGSMSRTSLAQMPIDTFLMEHQTKVGAFPVSIIPSPPDLPV GPSRQRPRGMSHRMYTPHRLVAKKHNTASHRIECAHNLQFNPTWPIPPSGEMTNQNTV SEQGQFRGGEGSGVIPVEQTSERISGSRSARRSEPQEDQGNGKVAPKRGPRAGNRSAK RGGKPFVRQVRIDRVTGKPVYQGMRPDTKQQTEKAWDIAVGERGTTVG JR316_0005499 MSINAASSSNVTIGQNEWDATGTIVNRYSLNGVEGDLEDILPAI IPDIFENQGIIARKHFSNVAEHGFQPLEGTYDQLVDVPDSNYSAFEEVHQIPSPIIPQ SPYTAAQETVLPKSYPSLYWDMWRKVWDSHVESWINSLEDPTAATCGAASDALPISRP TESNIITFDSTHEPASTSHVAPACTSRVDVPVGPSRQETGLPHSRNTPYRDIRTQHNT VPHHSNEHLVFMQGAYSVYCNATLLNPPFGETEHQNTLSENEGHEELNGSGFPPVEPT STRSAGSLDDTQRSEPRNTQGNGRSKRKGAPSPYNRVANEGEKPAERVVRIDKYGNEV YQGMRPDKGPPKKKKICRR JR316_0005500 MSYNTSTSSNIMLAQNGADNTEAVNHYPLSGVDGTSADIRQPLI PDMFEYWGITPRNHISNVVDKRLQDGNAVSPRLGVTHGPLNHESAAQGTAHPVSDLSL DWTKLGDIDFSLMNEYLNDPAAMTWTTPLYHPPIFTQMGNTEGELSETLESSSLARQE SSNDSNIGRTMSHAPINNFERIYAPDMGAISAPIFPSQRNMSVGPSRQQTSRYHHRYS PHTVCNITMPNPLSGEIENQNPRFKKNGHDELNGSGFTPVEPTSTRNAGSSGNSRRSE HQSKPESGSLIRKRAPRSSSGGASQGGKRAERIVRIDKYGNVVYKGMRPDVRTPREIE Q JR316_0005501 MSNTPPTPNQPQPQHHTQHHTQHRSPRPPLHHADTSGSTISTTS TASASSTTTSTDTDGDGDGDADGSGVTPPMTSTPTPGYLGTTTSTSPNTHTTAAVTAP SSPNTTAPSTQTTPKKTKIKRALQSAARLPRVPSGGLKLRGMGMKSGLGLGKAAVGLG GLGLVGRKGSGVDNSGGGVGSRGRRRGGREESAGLAPREGELPIVLLRVRVVACDNLL GKDRGGTSSDPFVLLSLPTSQPSPSPSKPSKSKSINKHVTPTIKRTTSPTFPASQSTF DLPLYMSVVERVGVGSVEAVVWDKDLVGKEYLGEVAVGVGEWFCVGVAQGQGEGERAF GFDEEGNVPFTIPLVSTRASTPSQGTITLKIGFVRAPASSSAEGAGTGIGGGGGGGEA NQMSFEEIYDVLTHGVGTIRSHHTAASHSHSISHSPSYSHSYSHNDTPHTGGALTTTT TSASAADGTLPAPPLLPPQLAYEDDGGLSSDAGDDRDESEGEGEEDESEDAEEEEDDD EEEEDGEEDDEEEGEEVFVDAEEGVGGASASASASASASASPSPSPSPSPSPGMSPGA SPGASPRIGGGQAQGQSGKTLLDLYIPPSPSPSPSPSATSTPAKDAIQPQGTSKGKGI GLGLGKGKGNEKGKDNGNEKGKDKGKGKEKGKAKPPFPFPLPELNVLPSSPATPTPGE SVPTAVPRFLRAGAGAGSGIGIGIGGEGEDVDVEETPKASGGFNQPLPPRPAMPTKDT GGGGGGGGGSRFNPKKLVRKLPGLGGGGGGAGAPPASPAVDAQGNLVPPRAGAGARRR GSYKGVGADDEKDKEVKEKEKEGKEKEERRSKFGRGWREGSSNSTSTSTSTSTSASAS ASASGSGSDTGVGSATSQSALALARASASASAHDTPPGTKQRRVPRPIKMKSTRKKSD FEFKGRNNDILGIVMLEIQGADDLPKLANMTRTGWDMDPFVVISFGKKVFRTRVIRHS RNPIWDEKLLFHVRRYETSFKVQLSVLDWDKLSANDHIGDVSFEVGELVREAVQPDPE TGLYAVNEDGEVVGADADGAMKEFRLPLSTAKEMKWEAKHNPVIRFRAKYQPYDALRQ RFWRQYLKQYDTDNTNALSYLELRAMLDSLGSTLTSATLTSFFTRYGKKPHEEEISVE QAVICLEEELCRPEGEKKRIGGQGTGVGAGKGDAPGGAGEGEGEISTAAVTPVVGVFG SRGQEIPVDLELDKLDFAGPVHGGAGVGASGEKEGAYTTEPMQMPLKDAAAVTPDLSS DDMDAEDLSGAVGVAVGVAGSVAGLGVGIGAGVGASASASANLGASSPPLAPGGAGAP VAPASKPKRGGKLRFRRAASSKKGTADSFSASSSPSASPSPSPPSSSVPTNTTTTTTA TTANANAGIPADAEEDESDTHPVERIINVRNCPLCHRPRLNSKAEMDIVTHLALCASS GSSGTLDDGTTTTPSTGTKKKGGWDKMDRIMVGNYVTASQAQRKWYTKVLGRVGGGEY GIGANSANIIVQNRMTGQLEEEKMQVYVRLGIRLLYKGATSRMEGGRARRLLKSLSIK QGIKYDDPESAKEIPAFIEFHGLNVDEVLDPLDSFKTFNQFFYRRLKPSARPLEAPHD PYRLVSAADCRLMTFASVSEATRVWIKGREFSVGKLLGATSGSGSGAGLGLGLSEEVL EHTNTSNSNGAGTGTGPTDRLRAKEADKFVGGALAIFRLAPQDYHRFHSPVAGRVVEI WDVEGEYYTVNPQAIRSAIDVYGENARKIVVFDSPQFGRVCAVCVGAMMVGSILLTVR VGDEVERGQEFGYFAFGGSTIVILFEKGRVEWDEDLLINGRAALETLVRVGMGIGRGK PGASPFPSPMPTPALLGGGGGGGGSVFVPE JR316_0005502 MSVGIQGYRASLNELKNFKGGVQNPLFPLIQDVNAIPRPQGGIN YLQGGTQGHSDVPLDDAAQSHLREDGMGFMGGAHILHRNSTLPSVTLERKEFKKQTPS MEDTTKQDAPGVHPLSRIRKGLLDQLVLEGIFRSPISRRLRD JR316_0005503 MFAFTTVFSLVATTITFVQSSPTIYPDVIPGPGFPTLESLNLTS KDLYTMKPPFNSSLNARSANFDAECFTFTTADISNTIACYNYLASIPNNACTVPGDNV VFCTAGDASIGGSNLHQTAGAASSPCVDVSYAVQWIFTNCVDGSGRVGGADAAFGNGD LVVGVYNVNWV JR316_0005504 MVLTLVGSTSSTCSLRVAMVLHEKKVPFEFIPIDLAKGEHKAPP YMEKQPFGVVPYIDDDGFILYESRAICHYIASKYAGQGNDTLIPSSDLKAIALFHQAA STELCHFNDFAEKIVVERVFKPMNGLATDEEHVKRLLATLEYKLDAYDKILGKQKYLA GNTFTLADLFHIPYGALLPVAGAPALDLDKRPNVARWWKDITSRPTWNAVKDGVKAHT GAY JR316_0005505 MLSQVKKLYEFNLATLTVDYRGYGHSEGVPSENGIRLDAQAAID YVKNHHILCKLPIILFGHGLGGAVAIYTASKNPNTVSAVIVENTYTSIPDLLKSMPVI RYFSWMSTQKWRSSTALSRLPTSLPILILSGRMDEYIPYTYMEKLWKIAMNRGRSESK NSVPNEEYRPPMNDMFRVFPDGMHYYTSHEPYYWETVFEFLDPLIRISHTET JR316_0005506 MSQYNFFNFSSISLSQSGSEPFDFNVTDSYHERPNAINNFEGSV EDLLLPSLFHQYLMPEQGTQQVNSIPHPQGGIDDLNEGPLNLGDATLNDPSQAMAQAA SLLAPYPSQHSAQLWDTDLDFSFLNDNLVATVGDVSSAPFYDTPAARPMRSSIAKAPN SMYSDFGHHVYGQSSVSRSDLVQTPVNTFETEHGTERRPHPPQRSTFPRDLFAGPSRQ QTDMPRHRYPPYMVVRNQPHPLSYHGEEGLRHLGGAQNYQYNSTMPSPSFGETRNQNS TLEHKEHNEPHGSGFAPVEPTFTRNTGSSGNARRSEPQTEQGSRSLIKKRGPRSYNRG AKRGGKRAERIVRIDKHGNVVYRGMRPDLWTENICNSSGMVKSGWDGDYCWKESSDMY LSYRYISSACICLVTA JR316_0005507 MGCNTQKTKHTQDAPPSPPHPDPEDPHASQSLPPIESYLAWNGD IAECVLTCSSPPPPLPPLPPPLPLLPYPEPQPDTPKELEESMGVAGPSPPAPGLRCEA AGLFVFVYLFTILLLWSFNSPVW JR316_0005508 MCADDTLLISLLFHTGVRFVKDNSDAGSTPIRGFSSNIPPVHRS EDAPDEEDGDCNVESTAVLFGVFGQLHTPRDAAGKAEYTAYVYRPMTVSPTAFSKLCH SNTIYEVLDITMVCLMDFSYVTLN JR316_0005509 MIFSPTVKGLIPSAAGPVENTGHGRIITDKAPSKLLVNGLPKHS RGRLIDYVSPYVLEKPRPVAEP JR316_0005510 MSYNTSISSKIMLAQNGADETEAVNHYPLSGVDGTTADIRQPLI PDMFEYWGITPRNDISNVVDKRLQDGNAVSPTLGVTHGPLNHESAAQETPMPVTDLSL DWTKLGDIDLTLMNEYFNDPAAMSWDNPPYHPPTSVQMDNIQGELAAPFASSSVACQE SFNDSNIGRTMSHAPINSFDIVYEPTMGAISAPIFPFQQHMSVGTSRRQTNLPHQRGT PYRAIWSLPNPVPHHGQDDGLGYLGGAYSIQDTSILPSPAFGETRHQNGMSENQGRNE LYGSGSTPVEPTSTRNAGSSGNSRSLIRKRAPRSSSGGANQGRKRAERIVRIDKYGNV VYKGMRPDVRTAREIEQ JR316_0005511 MPYNLRLIVVIKAHCDAYTVPYQASARLHIPNTSIPLDSLLPRT PPSSAAASTLGRRIRNMGAVSADYAHVVELSSKRGKGKGGLDDGFDKGRFNWRACGRL IMNE JR316_0005512 MEESTRKRGDQTPKGGVSTLSHSQNPALRDDSKKAFSYHLDPSL IFASEPLTVFSMSQSNIFNFSNILLSQSESEGTSTTDSCREHLDAFHGFEGGINELLL PSVFQEYYMPEQDIQVFNSIPQTHGGNDNLQEGLLSLDNAALDVVYHSAAPGVPSAVP YTSQDLAQIWDSDFSSLNEYLVNPTEGVLNTASYRTPAAMTMSSSTNEAPHAVYSDFA HRAYGESSGLHFSSMSRNEFQRPVNTFEPEHKTQLSRNPVLMTPFPRCLSVGPSRQPR GMSHHTYTPYSLIETQHNPASHHIECAPNLQSNPTWRIPPSGEMINQISMSEQGQFQG VEGSGIIPVEQTSKGASGSRNARRSEPQQEQGNRRVAPKRGPRVVHRAFVRKVRIDRV TGKPVYQGMRPDTKQQTENTSDSCNS JR316_0005513 MASALSPRFQQVFPPPMDDVYMDQMDLKTLYRFSWTCKELNDRV LGYMRRAFCPKNLFAPIFKPNEHLLFRLLQFKTGLIYIT JR316_0005514 MNFVTFEKVYSLYPNATFGHQVSLLQPSADLKRAQKFLAKYHSR GLKFDLSIPSQTLKMDRHIQSRIQSIRDNVNVGYSSSRGHVLVVLDYDPYPELFSPGI RRVGDRHCWVYSLPLLPKANQTFFVEANSWALLLNEFDCLHFGVRRINSIALDFHYTA ADVYQLHKCVKKAIKSWERGIRQKDDRVHATVLYLLSRKADIFWLHRPQPQSLLWNGY L JR316_0005515 MSNFDVDIGAMDEVIFRLQGIVSDKMLPPMAKPASRDRTVKQQP YLRTAIAITGLGDIVFNKVMEKLEEVFLRFANNFPADSVSGYDPVLHKDTGFNVFHAH SQYFTKFLRREILPDGTARYYSYNPASIQIGNIVEISVAFVAFPAQGNKYKFVVALRG ILALDQEAREKADILRMRSRYTPAKRQVAVLCRTKRQLYKGQIDIEDTQQRMARMRLN EDTVHNSNTMSQD JR316_0005516 MQVALRKRAAAQEQVESMRSHHIQLSIHTGARRKLEATLTGTRW RLSENGFSFKYMLRAFHSISLHPHPHRFAQSPLQLQSIK JR316_0005517 MSPSDISYNATVALSQGMNRANQFDITGINSYRVPPNGLDDFEG GGHVQSLLRRFIIIGNGFHVGGHGIHATFEYWETTETAMRVFFSKHYSPKISSNVTPG QEQAQSYLHMERYEYQTYLFKHNTTSNNLNAPGSRPSSRDKRLVR JR316_0005518 MKEYNIKLDMDAKHHFTRSVEFAVISVLAEYSKTVQIYAILFAE NLGTRDMVDRCHRGGDKKFSSPKGGSLVASGQNRGNEKLHYELGADGCLGFVCCVEVD KSCWYVEIKSRRTRTTLLYMSHRIVFYNSTVTEGSRITYTSNLVVNVKRRVIPQFWSR YQPESSLMTYTLWSTSSIRILIPSIEIGEGTKRRGNLTSKDTVITVSHSQNREMNRIR RVQLYASGPSLSLHIQGGINYLQGGTQRHSDVPLDDAAQSHLREDGMAFMGGAHILHR NSTLPSLTLERKEFKKQTPSMEDTTKQDAPGVHPLSRIRKGLLDQLVLEDPNLKMRKD VEVGHPEKWPVCATRTLVEGSNALRKYRCDISGDWFKTGWEMLEQRFNVGRMGKCIYL IGGSI JR316_0005519 MFAFTTVFSLVATTITFVQSSPTIYPDVIPGPGFPTLESLNLTS KDLYTMKPPFNSSLNARSANFDAECFTFTTADISNTIACYNYLASIPNNACTVPGDNV VFCTAGDASIGGSNLHQTAGAASSPCVDVSYAVQWIFTNCVDGNGRVGGADAAFGNGD LVVGVYNVNWV JR316_0005520 MVLTLVGSTSSTCSLRVAMVLHEKKVPFEFIPIDLAKGEHKAPP YMEKQPFGVVPYIDDDGFILYESRAICHYIASKYAGQGNDTLIPSSDLKAIALFHQAA STELCHFNDFAEKIVVERVFKPMNGLATDEEHVKRLLATLEYKLDAYDKILGKQKYLA GNTFTLADLFHIPYGALLPVAGAPALDLDKRPNVARWWKDITSRPTWNAVKDGVKAHT GAY JR316_0005521 MLAYPAGFKPYPRYVPTPDRYNMQYENVELITEDKVKLRCFLVR RFGNLKNARGTVIFFHGIAVNHGEMLSQVKELYEFNLATLTVDYRGYGHSEGVPSENG IRLDAQAAIDYVKNHHILCKLPIILFGHGLGGAVAIYTASKNPNTVSAVIVENTYTSI PDLLKSMPVIRYFSWMSTQKWRSSTALSRLPTSLPILILSGRMDEYIPYTYMEKLWKI AMNRGRSESKNSVPNEEYRPPMNDMFRVFPDGMHYYTSHEPYYWETVFEFLDPLIRIS HTET JR316_0005522 MSYNTFVFSKIMLAQNGADETEAVNHYPLSGVDGTTADIRQPLI PDMFEYWGITPRNNISNVVDKRLQDGNAVSPTLEVTHGPFHQESAAQETGFSVSDLSL DWTKLGEIDLLLMYEYLNNPAAMTWDIPPYDLPTSMQMGNIQVELAETFASSSVACQE SSNDSNIGRTMSQAPINYLERVYGAEMGAMSAPIFPSQQHISVGPSTRQSNLPHQRGT PYRAIRSLPNPVPYHRPDDGLGYLGGAHNIQDTSTLPSPPFGETRHQNNTLEHKEHNG PHGSGFAPVEPTFTRNTGSSGNARRSEPQTEQGSRSLIKKRGPRSYNRGAKRGGKRAE RIVRIDKHGNVVYRGMRPDLWTENLSARSICNLSGMVKSGWEAGDYCWKESSDILYLF GHGMIGKLHGLYYSEALLENSYLE JR316_0005523 MSINDARSSKITLGRNEWDATGTVANRYPLSGVEGDVEDIPSAV IPDLLKYCTTIQPCRGFHLERQVGAAKRERRWKDPVRATCGTLSDALPISRPMESKIV TFVRTHEFASTSHAAPAFTSRLDMPVGLSRQQTGLPHSRNTPYRVSPIFASAPLAVFS MSQSNIFNFSNIMLYHSGSEATGITGETFIVNTSALG JR316_0005524 MAHTPLLDPRRAACPDYSSEAYAACRNTLIQGGMEEAAAVEFLV KMWETQNVADIAIWDAREAERQAATAENDRICREAEAAAEAMRVQEIEAADKEERKKN RAKFLPIGDGHVPDTMPIIVPAAITAKLRAGHRVDLWHYTNAGLLHASADLDAESNRA GSFVAAPDGTVEFRPTLAAASSCAVIQDRDLSMEDFMVATYRLLAAMKEAGWPKDRVR MFADFWNNINNHPRRVSRDPRDVRALLLYQDEQRTQWHNITASATGATYDLSVINEVR LDEAFRTICTAGYDREIR JR316_0005525 MSYNTSIFSKIMLAQNGADETEAGEIATLVVYKRLQDGNAVSPT LGVTHGPLNHESAAQETPMPVTDLSLDWTKLGDIDLTLMNEYFNDPAAMSWDNPPYHP PTSVQMDNIQGELAAPFASSSVACQESFNDSNIGRTMSHAPINSFDIVYEPTMGAISA PIFPFQQHMSVGTSRRQTNLPHQRGTPYRAIWSLPNPVPHHGQDDGLGYLGGAYSIQD TSILPSPAFGETRHQNGTSENQGRNELYGSGSTPVEPTSTTNAGSSGNSRRYILISHL SKFVGLTQTVADSSGKASQKVEGAHIQRITYRLF JR316_0005526 MSLNAASSSKVTLGQIEWAAMNTIVNRYPLNGVEGDLKDIVPAI IPDILENQGIIARKQLSNVAEHGFLPLEGTYDQLVDVPGSNYSAFEEVHQISSPIIPQ SPYTAAQETVLPKSYPSLYWDMWRKVWDSHVESWINSLEDPTAATCGAASDALPISRP TESNIITFDSTHEPASTSHVVPACTSRVDVPVGPSRQETGLPHSRNTPYRDIRHGNEH LVFMQDNRNILSENEGHEELNGSGFPPVEPTSTRSAGSLDDTQSSKQKGAPCPYNRVA NEGEKPAERIVRIDKYGNEVYQGMRPDKGPPKKKKIHRR JR316_0005527 MVSRKTVQNHAKLFKNNSGPGLSSHLQIPRYQEWLQSRSARPER SEKEEEMILDNLDNKNDSEWENDLEERPLKRHREQAVPVLNETHPNAQVYNFENEQDD DIYVSDNDLNQNNDIELHCPHPPDDEADSNSLQNIQDPSNTAQHDFQALAHLDSLTDS QPKEDLEEDDRISSLGQTYSSHSRIEHVKITQKFIDEICNASLQNGKLANDALHRLQN PEKGPVDISDPDTRLSLDLFIACENASQRTYGNIRQCLIQRFPELDGTLLTYDAVKTL TETLTGVVSISDDMCINSCYAFTGPFSDLQKCYYCSEPRYNPLVLAETGKKVPQKEAC TFPLGPQIQALRRSKEGAIAMTYRDKKTREILNIQKAIADANGNIGNFVYEDYLSGSD YLDLFEDQNLTENDTTVIFSFDGAQLYRNKGSDTWIAVWIICEYSPTTRYKKKHVLPA VVIPGPNKPKNSDSFTFRSFHHLSAIQRENNGKGIRVWNGNKNTEVFSRVIHLLTTAD AVGLTEMDGRVGHHGAQGCRIGCSMKGRHKPSSGHYFAVHLRPLNAVGEDCSHDDLTS KMQQILYTTHPRNIKINFLKSSIHETRLIMKGTESKPDSLSHQ JR316_0005528 MTQFNFSADNSLAQTVPAPTSSNTQNKSQQSTEAILKAATHFML MAAHNKAHAELYEECMRSKYQLEVQLKLVSELKEELKEIHCHGLSRLGDSSGSRHGQD STENGNPKAQNPSITIPYSPPMDKQDDYPHVRFWDKEEWIDYKTRAANRNQSVDKLYF LCNEDGEMVSQARREEMTETAKVAFNELYYWRLDPHTWGKGNQQARDYFSAIMRGKFP EFRWCSNDWKIREFATIRYPDWASHIRKTGDLLRARPSIGKRGTDYNNLTASKPKKRR PNTSLHSHAGEQHIIDVDAVPLPKEVPVPGPSTLPNPEFASDLPHRQESPTVEEILSA TAASISTRTSVELVTTLTSNSTNLRTLEDSEVPIVNAEKHTDGLASGTTSNLSGTSEP PAFQRVEDVELTVPNGVQQNAISTRRTRLNPLAGLTIPNPAFEVPGQSALENTSNSES SMVPAQKGKKAKPMVVSKALTARNLFALDYQKTHPNVTAAEFKKVYENLDRDIIKKYE ILSKERKAASKAPAAITTSAA JR316_0005529 MSTILSILKSSFSLNLLRFVWNVQDVCSPGMYRMCHEDVVLITK DKMKLHCFLVGGIPELESAKGTVIIFHGNAMNYGDMLDHAKVFYDHMVATLLVEYRGY GHNKGVPSEKGLCLDAQAAVDYVTSHPILSKLPIIIYGQSLGGAVAIEAASKNHDKIS ALIIENTFISIAEFINGFPIIRHLSWMCTQKWKSSAKLSRLPTSLPILMLSGRFDRVI DPSHMDELWKVAMTRGRPESKNGQPNEEYQPPTKDMFQEFPYGRHTSTYLEDNYWETI IKFLENVLEIQIPDVDEDEDED JR316_0005530 MTYKLEDVLTPDMFNMEYENVQLVTKDKAKLYCYLVGRLQDLIS LKELYHHGLVALTVEYRGYGHNKGVPGEMGIRLDAQAAVDYVKNHPILCKLPIILYGQ GLGAAVAIYTASKNRDRISALIIENTYTSIPDLLRRPISWMCTQKRWSSSTLPRLPAI LPILVLSGRMDEYLPYTHMDDLWNIARTRGRSESSKGVPNEEYRPPPNDMFKAFPLGS HYYTCDEPGYWETIFEFLDPFIVHTIT JR316_0005531 MKKSTTYASQQIKCCLNEYATGTFIGLELTTTKYGDVRTGMLAF IDMIQKNPYHGAKIKQRRRQWAKKGMELLRSRPNVSNQLQFRPVLD JR316_0005532 MPAFITAMKRAATSFNPPTLPKDGTPLKFGIISTADISIQALIL PARSHPGVEIYAIAGRSLENTERFAKKHGIKKVYGGKDAYQALVEDPEVDVVYIPLPN IMHYEWTMRSLNAGKHVLVEKPIAMRSEEARRVHELAEAKGLVLMEAMHIRFHPAVAR LKEIIARGDIGAIKHISSTMFLPSLAGALYTDEKFALGKGCMMDVGCYAIEIIRLASS SDPIAVTTAEFDNDVHHVHNMDDNARGTLQLPNGVTADFASRISVPPKFGIIPKLNFG VEVVGDKGTAKLTEYMIPQVYHSIVVTDTAGKKTVHKAYTFSDGDGTRPVGEPWWSTY RHQLEAFVTKIKGNEPRTWMTKEDSITVMQVIEQVYEKPPDSDLSLDA JR316_0005533 MIISNFIQSLIAVAMALGSDATPLDVRSPQDPGTLFNGTLFVCA FPNYVLPCANFNYSTDVCVNLTVPFMNSTASATPRDTFSICALFTGPNCTGSSITVSY PGNSNINPPTYASFRCTIPV JR316_0005534 MVYSKENLAVLGVSLFLAASSINAQFVPLAQKRFNYTNIPYKVD TDVGLPRGLQKGYNICNETTENQESLCQTAFINSLDDFCLWAPPEPGEDVGNIEGEMV AWCTKKGHGTRVMPKGTITGVQFTKTPDYIQVVGFMDQTKINMKPGDSGGEMDPHGAD LRGNPIGGLLFTSAWTGSYVQAVEWHNFNGNDMFCLKACDPRGPRAARLCEHIYDVMG CGFNAPSNAKLGVFESCESESQDPPGQYVNAAGVTTTWKQGDGTVPYSVRIPRSTSCS TFRSESIFDGTETVPVPGATAAATTTGGNPAATSSGSSTSSTSRTDSSSSSSASQTRT SGSAQNTDGPSSDAIIGRRMSAGLGLFGLAVSFVALF JR316_0005535 MTFLEFLLLSTFGYAALASPATSGSSSATTMTTIGKECKILFDG RVPQKMTPADFDKNSSIFDHQFVHGQNQTWAEIIKFPVGIPPSMFDIPKNAKPVEVTI NDNSIFLPGGDPPQPQVGFRRSELIPATNNGSDITVQGTTTFHWSIRNDPLRPLNFSH EYHPAWHETADFSTSEITFLTGTPFNKSFDPTVRDPKTLRLAGRQSNSPETTFFQTPF EFDVWHNFAVTLGWTDNTLTVYYSKGIAPLKRVAGPAFNDNSGGGQFHTGVFKEPTGP LGIDVLHEGFQESNLNEGLIFGGIFIEDSAGGCVSLFPSVLGVL JR316_0005537 MQIQEALKFIAMVSTDHFIGDIGQPLHVEAVALGGNQISVTCGG SKSNLHSVWDSGIINKLLVAQYNNSVTTWASALVSRLRTGEYNSLAASWLSCTSTTEP LIARRSGSIEDDIATILRRVDDDGDIEPLQCPIVWARDSNSFDCSFVFNFADKQDLCN STYSVEAIPIIETQVAKQGLRLAAWLNVLFDGVPLTPSSGIPSPF JR316_0005538 MYNESLGPAAPWADDVRSEAGFSWSSALHFVDAEDNPPTSCSVS ESRDCGNGRCILTAIANYTTRVVDKSLSAEQIQEALKFLAIEVGGNDISVKCSGSTSN LHAVWDTDMIEKLLKANYSNSVTVWANALATRIKSGDFKSEAPSWISCSSITTPASKR RSVEDDIAAIFEKRATITPLECPLQWAQDANAFDCSFVFSFTSGEDLCTSSYFTNAVP IIETQIAKGGYRLAAWLNTIFDGATNLP JR316_0005539 MDSELSLRQVLDIGHLAGEPVPIAPTGYYNWEVSPDPANSFNGH SVVSNGLTLLESLKAKGFIKPEMSKVEAFTDCVLNPESIDDRQGAFAEGLAILARIDP KLELSQKLNNAVIGSLYDTFPHPPVSYLGPEHSFRQADGGGNNLQSPEIGKAGTPYVR SVQGKGGLPRTSLPDPGLVFDTLLKRREQKTHAGGMSSLIFAFATIVTHSLFRTDLKK IHINNASSYFDLSPLYGDNQTAQDKVRDKSRGRGLLYPDTFSEERLTFLVPATSVLLV LFSRNHNYIAEKLLKINEKKRWSDPPPTDPTLRAAQDEEIFQTAKLVNCGHFMSAILG DYVAGFLGSSEGCNWEMAPFDIIKGKSGEVERGKGNHISAEFNVLYRWHSTLSEADEK WTNDMFSDIFKGKPFDQLTLADLSVISKIFGSIEPNPAKRTFAGLQRGADGKFSDDDL SKILNTAIETPAGAFRARGTPPALRLVEIMGIEQARSWGLCTMNEFRKFLGLKVFEEF EDWNPDPKIAGAARQLYGHVDNLELYPGIHAEQTMPVASGSQLAAGYTLTRAVLGDAL VLIRGDRFFTTDFTPNNLTTWGFHDCQRNMNNGGHGSEISKLMLRHLPRHYSWNASHS LYPFFTPAHMNKSLTRQELQQKYTFDRPQVLSCTKVLSTLTGIRNFFEEPTKFKAIYN DFGYGPISKFQEIARRGEGRSKTLQVMFPDRDSSMDYVRYYSKMLQLKISETAWSYDG VPGTYIDVVRSIIYPAVARVASDLWIGLPLKTKDCPKGIHTEMQVFDTLSLLFKFVNV MLLLTLPVNCVLFSVSFLAPDKPEIRFSLHDVSLSAGRGLAMFTRKSLTEASVAQNLP NFIARKAAAVASIVQPGSQKLAFPLASKLANTGREHSEIASNILDIAVSTSINQAYSA ARMIDFYLDDDRKAAKEHIIELAKSDTEENNELLRGYVSEALRLRPPLDNFWGEVVVD TTIEQGNGLPPLNLSRGDRVRASLLNAQLNDNDVPNPIEVDPRRPSVLSNALNNKIFR GTYEYVQLSMVETLKVVFSLKNVRRAAGDAGRLRKFTETAYETQTDVYIQRNGTTDYL PGSLNLVYDA JR316_0005540 MLFSLPAFVTILTLSFSAQAAPIEIIKRQAACFLPGRAALPAEV SNGIPALVRTVTCTGGTSVPGVPNVSSGGIDFKSIDFQKSSKSPLGFALATFTTPADP AKADLRKLQNQLDVYLAVEAGVRSNGGGAILNQVKSAKFFIQFQIARVKTALGQQLSV ANTVEHQLGKVIKNAGRATAAEIAQVNALAKVL JR316_0005541 MYWTSSLLEVIVILAYTFQSNHISSLLLKILVINPQSASLIQIT PIFLFGVCLMLSGTLIRIRCYRTLGKLFTFELSIMKNHTLVTTGPYAYVRHPSYTGLI LNVIGGYASHLSTGSWVRESGLMKTQVGMALVILCVAVGVAVISSLLLRMPGEDAILR KRFGREWDHWARNTSWINIPPTSATP JR316_0005542 MPYPPAILSMAQNMALVEGLNSDPHENEYAMIWNSILTHHFPLH ENYGVAPQISKLSSGKDPVIYTVAKVWPGRKEQTFLVAGFKKASEETKMGKESLKRVL REIIEHLFETTDVTKIYGLGGIGLCWTVFRMEKANFRAHEPEILIPWKSNITSARAFN DLSEVVEDMHDLAGQL JR316_0005543 MASLAQPSTSVTSSKRRRATESESDHGALTFITSSFIGIPSQTA RSAEFWFEDGNIIIQAEKTQFRVYRGVLSRHSVYFKNLFGEPESNDKCLVDGCSVVRV SGTEVDWCKLLQAIHDFSQVKNASYSWPQLISLLRLGHAYQFDEIRSAIIAQMSTMFP SQLHDWDNALAIPIDPKVPPVYLFEVAKVAIETGQKMLLPALYLQCLRTHGLEIIYTG LRPPSNPVLQLSTLPAAVQSNLSVGRERLLVQSLELFALYPLKSPDGDDRCTNPAKCT LRRTEATARRMAQIVKEIFPDNGDVDTGFCDYCTQIVVERKRDGRKRLWDALTYMFGL PVWTDLVVE JR316_0005544 MSQSNIFNFSNIALYHNGSGATSITDGYREPPNGFHGFEGGIDD FLLPSNFQEYYMPEQDTREVNSIPQTHGGNDDLQEGLLGLENATMDVVHHSAAHAVPS AGLYTSQDLAQIWDSNFSSLNDSLVDPVEHNSSAAFYSRPAAMPMSSSFTEVPNPVYS DYAHYAYGEPSGSHSGSTSRNAWAQTPIDTFLMDHQTGIGAIPASITPSPQDLSVGPS RQLRDMSHHMYTPHRLVVNQHDISAHRRESAPHLQPNSTWRIPRSGEMSNQNMVSENG QFQGVKGSGVIPVEQTSKEVTESHNPRRSKPQQQRRNGSQRAPRDRKRSAKRGEKPFI PVIRGAWDIAVGESGTR JR316_0005545 MLRISFTRILVVATTAFYVHGYPSEPIFDKTARSTLEAAAALAD GNLFVCINPNFGEPCTVFPFTVGQCINFPAPFNDAISSVAPDPGFICTLFVNPNCQGA SIAVTAPGISDLTGTPFNDNLSSFRCFRL JR316_0005546 MAILTSSRIAISTAYYGKHEDAHYEYGLHDVPNRDSATSYVDWA NDNLGIWKGGFRVAEYGRRDHVRAWKPWRWRSLGHTHFRLGLSGHGRRWETMECCNGV DSGLITGRLSVSNEFQAPLWRVERGRVKVHTDTMAHVQKTQKGA JR316_0005547 MTSNARVVFAKRPASNELPKVGEHLIIDKSRTIDLDHVPLNGGY LTKTLILSPEPAIRERMRDPSITSYTTTLTVGGPILGFAVVVVLRSEKEGFKVGDNLY GLTVWEEYTVQPYVEGRVNFKPEEWPPYTFDMDSLALQVVPNPNGLYPLSKYTSIMGT PGLTAFVGMEGIIEGKEGDTLFVSSGDLLMVIQLGKLKGMKVIASAGSDAKVEYMKSV GADLAFNYKKENYGEFLLKHGPINAFWDNVGGEALDAALESITPYGKVAVCGTSASDV TPTSEQYKLKNAYLIMKKRIKIQGFIVPDFIPQFMGKFFETVPALMAQGKLKSQEIEI EGFENAPQALVDTLCSGHEKAGTLVVVVAKE JR316_0005548 MPGQLSLVKTFLLAAWVEAIVYGFLCCIFGATMFVYFSPKYSRV GTKRDIHTTSMIMVSGVMFFMATFHLAMNGFRLIRGYADFRDADGGPVAYIGNLRRWD HILKDTIYATQENLGSAAAIYRTWTLWSYNWKIIVLPSIMLMINIVAGYIVCGTYSSV DPTATVFLPRLNTWIKIFYSMAVALNIITTGLMAWRIYSTHMKSANYNVGRGRLISIL RILIESAALQLIVEIVLLALYCSDINAQYILLESVTSVVAITFNTITLRIKLDTYAED HKYSQKSSGHQVQTIGSIPMKRIQVNINHETVDNNDDRSFNSHLGDREAAIGKA JR316_0005549 MEQYASFMDYEAHIFIKSLYDEGVKGDKPVNPAHYAGRFALNNM LFMSFGIRTVSASDPLVATALDLAMEFMDLTGPWSNCVDFFEFLQYLPTQKRTRGRIL HDSLINVYGGMISDFKDKLRSGKDVPDCLVKTLLDNEETEHLDWEDLCMLSAVFTLGG VHSTSGIIQWFLALIPSHRDVLARAHKELDDVIGRSRWPNFEDESSLPYIRAIIKEAS TSFNGT JR316_0005550 MDRDHWTFGAGRRICPGLPAAERELWLAISRLLWAFDFQALPDE PISLEEYEGLSGRTPIPFRVRLVPRCEQVGKIVRSVEEMAL JR316_0005551 MDNFAPLEPVKLYQPTSSSSSRHWSTPSSNSNYNGFSSASTSKS RTSFPYPSSSSFPSNTSNRNSTTHPKGFATTPIPSTTSPLIGRLPTHIHTRILTFLPV PDVPAYSRCCKALARVVKDESRAGAWVGRWEALGVDGDSDFEEDEEDEEGEGEGEEGN WDGDSDEENGRNGYQQNDGNGKKVGVGHKKKVRREENKQKQNKQKKKKKGKLHLVLDI LEQKAAHEAALARAALPPVIPVDVADPSMNGDGDADGDGEFGEFASGGVGVGGDLFGG GMGMAMGKDDEMGDFVGASSSSTGTGSGMKGFGFGTPISPRFPASFSSFGFGGAFGSP PPPSASMHNANKSKFSANDKEKEQEQEKYRTKYIRAHTLLKPLVSVLASAAPHTVLSE LAAYMREAVEGSEMELLDYEGEGEVSMATSASASTTTTKTLTSPTTPSTNATTPPSTH KPPTSPSSPTHISPLYIDALTLKLLSNFLSPAVQPLRASASLLLTLKAAMDRFDSALL ARFDVADGKGDEGGMREAAAASWVIRDREGNGNGNGKGGEGEDWEMGKVWAEKREIFY QQGKWRPLDNFTSDKELDFAAMDAFMDDILAAIREHGARAVRVFPPRSRVILLFSERL ANEVISEYIQTLLTHAREISTSIYLRSTAASFRVAWRMVDAVMDVVREVYGGGSGSGS GSFGGDGKGKGGGKGRERRGTVGKGSRIRRGRGKEVVGRIRAEDVIYQMFETNMDEYL DEEVEAVKGALDAICKGWDQEASYNPNIFSSASSSSQPSQPTPSSPSHLQTELHQNPA TLKRNVLASFTSLLLLPVTIVPRTVTAVGGAVGAVGGAVGGAVGVVGGKAVQGIAMLN PQRWGGSGSGGGGYTGGVSTTGWGAGRTTSAGAGTGAGGDWSAGGNGSASGGYTKTAD LNGSALFEVQDDDEEEDVDLGAGGIVNGRGNGNGNRGAGVGTGFGEDVSPWGDASAGG SRSLTVSQLELAAPSSSVSPAPPALTETAQSASASSGVHHHTPSNSQSNSSQPSSSNQ NPANQNTNLSSNSTTHQLDLLLSLDTALSLIHADRESLKRVETFSGYPGHYGHRVRDT MEEVFVLLLGAVGEGHVVRGFERATERMKAYKPAEHEATTSVAPLVQFFELVHIGDTI QSIVQVYFDKELAHHIDKTDFLNTVVREKKRFENMLDDSVAAGLNAGTEVLMNQVEHI ILTLTRPREYYPPEDAPLELGPTRGCTEAIRCLETHCKLLKGSTSKEVLEVFYMEVGL RLIGILQKHIKRQIISLSGGFQVIADLNAYGAFIASLKIPALTADFSHLKMLGHVYVV EDAKDLAQIVKDVARYGGAYRPEDVYEFIQRRSDWKKIEKTVDKTMYNLSFKEDCTIC JR316_0005552 MDMPAFLRRINVNYTHDIGLDQDSDSEPSRTRTHTPIAIAIQPQ SPLQSQSQAQVQAPNQNQNQAQSANPNPNPNPTHTITRSNTLWFEDGTIVLQAESTQF RVYLGLLSLNSKHPVFHGEQPGPGLQYGVDEVEGCPVMTVNGTAEDWEQVLLTIHHWH YNLTSLNIRSDFSAAPHFYPIYTLVSLLRVGHVYQFDTVVSVAVRVMKELFSCNLEDF DAAAKPPTLWLRHSDNYPYGWQMEVINVALEVPGLMSILPSVYVLCLQDLGLDVIFDG IECHGAKRIELSHATQATLAKAREQIVHASINALLLTPLVLPNFAYQCGDLQGCLVKR NIALNRRAAEILATFAENGVKDNGFCAYCQQIVDRRTQEGRKTMWARLPSFFGLPEWH VLKELDERSGRSLSSMASTASSDAGR JR316_0005553 MHTPTTPLTRLSLVRCPEQRESDEKKTVTGTDKLNSVKSVRYEA MTFSERNVMMNPIPPDWLRIPALSLSELESLDICIDHSLDIIPAFALLSQQRCLQRLA FEELEHLDITLSLHYKLNYYQWNFGGDWGALDRLLAPAETPMRFTKLKEVNVLVITHA TQGEIPSAGEWVEDESHLAEGIQKFVYPVYFSGLRKLSGVKLSLRTEIRCA JR316_0005554 MPFVTAEAAVLKSYDYIVIGGGTAGLTAAVRLSENPSESVLVLE AGSANLGDPKIDIPGQFGCTLGDPKYDWRFSTVKQRHVNDREIMWSRGKGLGGSSTIN FYAWTRPPAKDVDAIERLGNPGWNWDDFVKYSKKSETFRSPLPEVTDKYPHSVDLRNR GSSGPVQVTIAPHFHTLDRVFQQTMRNIGLNSQHDPYGGDINGSWMATANLDPDTWTR CSSVSAYLLPNINRGNLFVLTQALVSRVLFQPARTGQDRTISGVEFLCGGRIYRIKAD REVVLCAGAVKSPQILELSGVGNPAVLANLGVKMQVALPGVGENLQEHLMNTLGPYEL DPRTSHETLDLLKDPKYAANARALYSRGMGIYRNSLSSFVYFPLQKVNPRASAAVVKR AEREIDLQVRQGTLAPGQLEQLSLQVAALRDDTIPDCEIILWPGSTPGAPTTPGKRYI SFGSYLNHPISRGSIHAISTDPTKSPMIDPHHLESDVDLEILVQNFKFSRRIMEVEPL KSNVIREILPGPACQTDSQIRGKVDEYSWNGFQIMINIYWNAEYLKNNVSNGGHAVGT CSMLPRSKNGVVNPRLKVYGITNLRVADLSVIPIHIATHPQTIAYVIGEKVADFLKDG T JR316_0005555 MASLRRLALHASALSDAEYELYTSSLKDIALGDEDEDEQGGNSK AVGGSGKSKGGAARTGGVGHGPGQGNEGDSDAYFEDMKIGVREVRAWLRGRYSHVPSS AIDKILRLFSPTIAHGDVLSGSEFFAALRLVVHAENGQDVERSLAFVQAVPLPRVSPG AVPVSVAGTTASTTHTTPSTSRTSSPSKRHADLPPPPPPPSRRPTSTSATATMTASGS SHNPFAQANQHSSHSHPQPPLHPSQRSESSKSTPSAAVAASTSQQQQHATHNPFVSHH RPPPPSAPLPQTETHSQFQSQQQQPPVKSTRSDDGRIPPLPPRKPPPPPPPLPQTQGQ GALTSTGSHIVPLVPPPQHVSSRNRERVERSISPAKVGIARPVPHPPLTQPQPLGLSS HVTSTLMKQSLHASKVAQTMKKAEEMLEKERVMQVLKRSGGGSGNAHQPNQYQQLRSE SPAKMYASSASASSNDERDRERGRERQAPPLPRRRTQTQTQAQYVYPDEGKDKAGRQR QRDLVPPPPSPPMSASSMEQVALAHVNPRAHHSQSLSDPFGVNNPGANSGVSPFRSPA DPLPSRLQQQQQQHSTLNTDHDAPHSPLSERVTEKEKERGREGLYSRYSTPSGSSSTS SSRAGSRVRGQVRSMSMSMGVGVSANHGSIDSQHQSQQQYPPPTHPDRKPPAHLGHDM APGSSASSSPSPNGMASYPRVFRSRSMHQTSPSASPGKGSASGTTTGSGPGTGAASSP APPPPVRRRRPESVQVLPGSSSNLNLYQAYQQYQPPPPHPPSPNKLGFGLGRHATVSS SSPAAGRRRSSLSTAVPTGPWGRDGERDTDRGGDEYGYDTHSTPGALHAANANTNANT NATDSPLSTIRRSIEPILDNLNNLNRSIAADFDRARYKAEAGLSRRGFVRDGAVGHVH VGKRGEEEREEEEGLMRAPVGAGAERGRGRDRGRVGRGHPVAGDNDDGRPGMDVDSPS VDGSSGQEGDGSEEGDESERWRKSRGMGLGVGKVPSRNVFEKRQELLEKDNLKWPAGE GWKPL JR316_0005556 MFFMATFHLAINGFRLVRGYADMSDAEGGPVAYYGDLRRWDHIL KDTIFVTQENLGSAAAVNIDSSPVVTRKLTARQIYRTWTLWAHNWKVIIIPTVMLMVN IEIWIKTFYSMAVVLNIITTSLMAWRIYSIHKQSANYSLGQGKLLSILRILVESAALQ LIAEIVLLALYCQDINAQYFLLECVAAVVAITFNTITLRIKVETYSEKHNSLPGMSGH PTQTIGSIPMKRIHVNIEQEVDNRDDRSFSPLDDRISEMEKA JR316_0005557 MPTDGPGMTLKAFRREALFDSRWYLRSKRIVVYQATVLISMAAQ GAGTYCMFKHRDFANHIHSFSMNIANVHNIDIRATTILSMIFPAMLSLDLNAAYYSLV FYPGKNFPLFNKIVAWLTVFITIGMTATTLAGTVIVASRSASISGVDAATAQQLTELY FRPPLKYREWGQNIAWIVLMWITLALNFASVVLMRQASLHDSKNGSNLISTADHEPEF DSGMEGSTGEKDKTDKVIEEKVLPVV JR316_0005558 MSSFIASIKRAATSFNPPTLPNDNNPLKFGIISTADISVQALIL PARSHPSVEVYAIAGRNPENTEKFAKKHSIRKVYSGKDAYQALVEDPEIDVVYIPLPN IMHYEWTMRSLNAGKHVLVEKPIAMRAEEARRAHELAETKGLVLMEAMHVRFHPAVAR LREIIERGDIGSIKHISSTTFLPSLAGALYTDDKFALGKGCMMDVGCYAIEMIRLVSS SVPISVTSAEYDTDVHHVHNMDDNVRGTLQLPNGVTADFASKISVPPRFGIIPKLDFG VEVVGEKGTAKLNQYMFPHLYHSIVVTDLSGKKTIHKAYTFSDGDGTRPAGEPWWSTF RYQLEAFVTRIKGNKPRIWMSKEDSVAVMQAIEQTYEKMGMEARPKSPYV JR316_0005559 MLPILQRWHPKMTPYRLVVLLTTIGLGTAKAIMAHCGNSIVSTT LEWISGVLLFLILHGLSGYDSYRVRLPPQISWFFEYDCVEFAWRRLAQLRWLSIAVPE YSSDERLYLHMRNRYSKYPPVTLYRLLVSFTAVSFGMTKAYLSYAGLNIAATWTDWLL GVAATTCLYVVGLYEYNSVDMWHTFFVVDQSHAIMSISKATMYIGGITLSAAWCFGCL YGIHIYWRDPWLIFDAVITNGRKSEVSSTLTPTFIDDIHNFCLHLMMSAFILAASSVG IKFGAACYDEVWYVVYGSSVHMLAKRGRGYILRKIESILSSYLTVTTRRRVQRVFFSL WVCAGIAMYLVAIAGAFAMSIVWFGFTIRFRHRQPAPESENGSDSAVAILILYIFLIS SSGVGLLCVGLALYFARSMVRMNWAREYARY JR316_0005560 MFPPTKRKRMDDSVKNQMRSEGVKRSHSLWFEDGSVILEAQKTQ FRVHRSILCNYSKVFQDMFTVPQPESSAMVDGVPLIHVIDDAVDWENVLGIIYFGLKM HEDHSIGVLISMMRLGHKYQFDDIKTAAVQRLLKEFPTNFVEWTNDAASDPHRTIMQN DAYFSRGHEIDLINIASEIQFQQILPVLYYILLRDRPLTEIFDGTMRKDRTVAMLAPE TQRILILGRDRILRGTIAHTLAWFEGDITDDCATCQGKRVGNALNVAMGMLTYDVSVA LQDTASEIVAGLCNECKEEAETEMALGRQQLWAELPSYFDLPSWDVLAKFNN JR316_0005561 MDPANDLPSLSTCTTCTFKEDFSNYWTAVLYFQHPNGTFKRVPQ MPGQYLGNANGGMTIYYIQTGKVIAFKKGFRMIVGNPFLRQYDPNSAEANSLNFRCLT ENYGNGGVVGTPGSDSHTLPNQPCSGGIRSQIVFPTCWDGINLDTPDHKSHTSYTING ACPSTHPVVLPELFIETIWDTTSFNSMWPSNGKQPFVFSMGDPVGVGQHADYVFGWKG DSLQKAMDSCNDAGGGNCNVLTTQSINAINACVQAPRVAETTDGWLPSLPGCNPIQAG PGTATQAIGCGAVTTAAPGSYPSVTPTPPATTPAGPITTQVPGNTAAHWAQCGGQGYS GPTVCAAPYTCQAQNPYYSQCL JR316_0005562 MGGFVLADSNGRVEVITDEHFYDLLDKGSISFPDVSKDQICSLS RGDGLSKLIVVGQTSWFIAQCISRAIMSVGLTGLELVTLAFAFLNGLMYFLWWDKPLD AEYRIRIYPCSRPAPVPLPAWIDPLSPTTQILSHGQPEAGGSAAVNSNLQNTTLTQPR QDATKSSFYVHSGHVSPGAKDHITQDRVSRLREAFLFGWKRLSQMRGSHYTLDSPVTE IPTFYALPHRITAIPACNMAIAVIGVMFGAIHCAGWNLGFPTQEEKVLWRVCAVVVST LPVLMVFHATLLMIGGIPTFLSGARHKGFLLPLTLRPPSSRTITVSLTMSGPAAASGA SKVSAFINHPAGPKTVFFWAPFMKWGLVAAGLKDMNRPADKLSVSQNLALAATGFIWV RWSLVITPVNYNLAAVNFFVGLSGITQLGRIVNYRMNNPEPTNSTPSTPSNS JR316_0005563 MTSPQTRAASKKRKVEAAKDDNSSHITRSPDLWFNDGTVIIQAE TTHFRVHRDFIARHSEVFCDMFKIPQPADESSKEPCQVVEVFGDRAKDWENLLKAMYN CYNTQKLPEEYPIERVISLLLLGNKYHFNFYRDIAIARLRDILPIDSYTEWVSNNADR IKYINAHLFELANVVQEVGWTSLLPVIYLLCIRHSNTKLIRKGISQADGSLSVLPIAV QNMLIDARIALSREALEKTLFKPMSALYETEDDDESVDCTSEYDDCANHEKKNERVFY LLDNPGTIFDTPAIDLCYCLPCNIFVHAEAANGRTEMWEKLPSYFNLPEWDDLADLDN DD JR316_0005564 MSPVTLSLSLPRMVALAISASVAMAQAPPMATYPAVPLAQKGPY NYPDGIPYKVDTDTNLIRGTQIGYNICNATTENQQSLCQTSFLNDLDDFCLWAPAEYG ATVGDIEGSMIAWCTKPGHGTRLIPEGALHGVQFTKTPDYVQVVGFIDQTKINILEGD YGGEMDPHGADLRGNPMGGIMFSNRFTGQYTQAIEWHNFIGSNSFCLKLCDPRGANAA NYCEHIFDRIGCKYNAPNEARNGTFEACEGENQDFPGIYTGADGVTSTYTQPPESLGP ITTVTYEPRIPKSSNCVQFESKSIYTGLPTASVAAPSSSATSSSQTNGGSSSSATSRS SASNSNSAAPSTQTDTSGAGQVVASSAVLVSALFGVAMLLA JR316_0005565 MSYALSIASFALGAASLVAAQVTGTYPPTPLASKHFSYPSGIPY KVDTDQGLVRGVQSGYNICNTTTENQNSLCQTSFFNDATDFCLWAPHEPGKTVGDVEG EMVAWCTKPGHGTRLIPEGALTGLQFLKTPDFIQVVGFIDQTKINMASDDSGGEMDPH GADLRGNPMGGIMFSNAWTGQYVQAVEWTNFMGNNAFCLKACDPSRPNPAEYCQHIYD RIGCAFNAPNAAKNNVFESCEAESGDFPGVYTSDGVVHTYTQPPESLGPIMTRPYEPK IPSSSNCQPFTSSVVFTGLPTAAGSSPSASATNSAKPSTGASSSKSGTSATASSAPSG NANNTSGSESTMIAISGLSFLGVLFSAIFLS JR316_0005566 MAKTATETKTKSATKAANNKTKTTKEDKADKPKREPTAYQRFCK ENMKKWNEENPGRAKEAMSHIAAMWKDSPENPNRGQDAKARKPKEPKAAKEPKEPKAK AAPRKKAAPKKAAKAKDEEDESEDADEDEDDD JR316_0005567 MAFQFDLNLNDTFGAYTLGTFASSILFGVTCFQTYHYFNTYTDD GIFLKLTVITVSGFEMLHVGFSIHAVYHYLITDYLSPLSLLRPVWFRLRSLLELPGLP ETLAKISLSTAVAIDVTIAATLSYFLHSSRTGIKQTDKLINRLMKYTINNGVLTTVFD IITLTLVITRPRDLIFLAFSQVLASLYSNSFLATLNSRRPPASSHAVVNSNGISLQTI HFGAVPGAMVNSDSTSSPIENDSHKDRIARLAAEQVV JR316_0005568 MAASVTTILSLVDEGDRPFVIDLLQGIATPGDDFEPLDQRYIIL PSFRTFDFVAGLIRAEYKACTTLTRVLALRLLGDGGDIAVAPVKQLCRELEGLYLVDR VAQTFGMAWKVDVAHIAILSDCFERIEMSPRRDVQAAGRDCVDQYIKVLDAKQRQDVL GRQHDYRTSIIEVHRQYLLMDPGDALGRARAAVADAHRLLAANTPGQDNTNADAPAED RPLEEMTEAVRQRIRLVQIRRLYPPAYHEVLHNHAEGEVFSRRLYHAPPIDEAALAAE EEEERAAREAMNEE JR316_0005569 MASLLDKPLYFYSIPAVWFSAFYPGSLRFMKINNSVGYNNVSPR AQNLQGRVTASNSQTLAQMEGAHLNGNENMPIWFAAIIVGSIAGLDNRYLNMMSLTYF LVLGADEGLTRYSVATRLVYNTIYIHFNGIGKGWVRTAVFFFGLSHPLRILFKAAGSI ANKA JR316_0005570 MVLKLVGSPYSTCTRRVAMVLHEKNVPFEFQSIDLAKREHKAPE FVEKQPFGVVPYIDDDGFILYESRAICHYIVSKYPNQGTQELIPSDLKANALFQQAAS IELSNFNDYAEKAVVEKVFKPMKGLVPNTEYADGLLATLETKLDVYEKILSKQKYLAG DSVTLADLYHIPYGALLPKAGSAGLDVEKRPNVARWWRDITSRPSWQAVKDGIKV JR316_0005571 MDIMRDQPLFQGTPSPASFLASPSYLQWLNSGKDGRPLLQVILV RVLETQLMSAHADQYSRWHNTGRLYPWLMLPGILAWESMASLYRTTKGFMESFRTKFK ESVTFKRGQTKIPQHNKPSDLPSPVGFVMDGNRRFARTLGKPVLYGHQHGAQTAGHVL EWWLRYMPNTTVCNGPGLRYLTVWAFSAENLKRSPGEVEGLFHLMKAELRSLAFNSIV HLFQIRVRVVGNLTGYPSDLLDSVKMLEESTSKYKQLFLQIAIGYGGRDEIVQSVKLL QAQGEAVTEAAISARTYCAQIDIPPGELNSEDVRAKNKRVLPLGLTGS JR316_0005572 MENLIDTEYTPIEGVHILSDSSYRKHVRKLPVLTCETCKKRNGE KGIEVKRCQGCWSVGFCSKECQRSLWYASLLSDYTLFIQSRPIHKENCRTLQSVLDLE ELARHFYSEPFLLHYLRVALILKLGLLSPSYLPPADRFPSIIVHLHMHPTSQEHEMGI YSGKIDAMGKEKIPGYLTVGISNEPVSYIPLTGFSSIRDQEHAMMVRSFKEARKEADA HGRSTNPIVMVDFGYNRELINVGIEITPDAFDTARGNPPPHAVIPAPLPPLSFPLDVH AVLGLAGKYIKLDQDDKLKLCRDLRTIDKVFMYRKAQYLVHGKGPSSFDGNF JR316_0005573 MRNDIISDLRPQIGAAMSLFASGTGLILEAHHPSISIAVQTHSE FYSRPLTRLLKTFLFAEMMFNGTSEEREQTASWLAWTHRNIHGRITSKAREELRLPED FKSYGYTDDLKAWVMHLLPSKCATANRTDEDFQTFQTREILENTCVALRLGVPDHLLE SFMAMFNRQLDLLDHGHSASQKLVEEIENAATTSNIYYLGLDSPLEYHTAGPSVATLP FLNTLQHLPVAIVLDTTHPSLLRMPTPQRLCVQNTVAMSGIAPLLTASSTPYLALQLL FPGQSACALLMD JR316_0005574 MANLFDTEYTPIEGVHILSDSSYRKHVRKLPVLTCETCKKRNGE KGVEVKRCQGCWSVGFCSKECQRSLWPIHKENCRTLQSVLDLEELARHFYSEPFLLHY LRVALILKLGLLSPSYLPPADRFPSIIVHLHMHPTSQEHEMGIYSGKIDALGKEKIPG HLTVGISNEPEHAMMVRSFKEARKEADAHGRSTNPIVMVDFGYNRELINVGIEITPDA FDTARGNPPPHAVIPAPLPPLSFPLDVHAVLGLAGKYIKLDQDDKLKLCRDLRTIDKV FMYRKAQYLVHGKGPSFDAESTVKQESGDLGGMLEPRNPEEEKRQPKALQTLSRQFRH L JR316_0005575 MRNDIISDLRPQIGAAMSLFASGTALILEAHHPSISVAVQTHSE FYSRPLTRLLKTFLFAEMMFNGTSEEREQTASWLAWMHRNIHGRITIKAREELRLPED FKSYGYTDDLKAWVMHSLTYATIAFQMRYGQPLSKRAKDTIVLENTCVALRLGVPDHL LARDYESFMAMFNRQLDLLDHGHSASQKLVEEIENAATTSNIKWPTAILFRLGLMIGH DLLPEKLRNQYQLKALRSPWQRCLQKIVISVLWLVYPVLMWLPLRGVITLLLVLEPST RSIFMVRTLVLFFFLRNATEDEQSSLQAIHSMDTMNNLPIFHGTPDPASILASSSYLQ WLDSGKDGRPFLQVILVRILEVQLMSAHAAQYSWWPIMGSLYPWLMLPGILAWESMAS LYRTTKGFMESFRTKFKESTTFKGNQIKLPQHDGNRRFARMLGTSIDYGHYCGGQTAI NLVVWWIRYLQNTTLNSHPGPRYLTFWAFSAENMRRPPGEVDGIFRLLSNEFRTFAFT SIVHLFQIRMRIIGDLTGYPRELLDSVKLLEESTSKYDRLFLQIAVGYGGRDEIVQSV NLLQAQGKAVTEAGISAGTYCAQAGIPPVDLIVRTSEKRTSGFLLWDTKAAELHFIDK LWPQLTVNDWLDVLSSYSKREIRGGK JR316_0005576 MALANTENLACAVSATGAFGFMGAGFLSPSDLRQKLSMIRQTLQ VGVDKPIPVGVGFIGWVLEKTEESEDPRIRAVLEEKVAAIWLAFGTKLGSYVEQIRAY DSQREHKTVIFTIVNSVEEALRATNEWKVDVLVAQGIESGGHGGSEAPPLLTLLQAVI DVLPNDGPLVVAAGGVSTGKQIAALLTMGASGVVLGTRFLFTDECGYTDAKKEVLIQA GINSTVRGMAFDEVGRTMGWPPKQDGRAVSNNIIKDVEEGLDLDARLRLFDDSAKTGD TSRLVVWAGVGVALTNTITPAAVRSGCSSTVKRRDV JR316_0005577 MAGQLSLEKTFLLAAWIEGILYGFLCCIFGATMYIYFSSMYSRI GSRRDRHTTGMIIISAIMFFIASFHLVMNGFRLLRGYADERLAPGGPVGYLGVLRKWD HILKDTLYATQENLGSAAAIYRCWVLWNFDWRIIALPTILLFTNIAAGYVVCGTYPSI DPTASIFNPVLLQWIKTFYSIAVVLNVMTTGLMSYRIWIAHKNSASYIVGKGRLISII RILIESAALQLIVEVVLLALYTANLNAQYILLECVTPTVAITFNTITLRIKLQSEAMA RSRSMGYTDPSNAVQTIGSLPMKRLRVNINRETDQDTSEASYDDKTNAV JR316_0005578 MRFINPTIFFLTCLVSLGSALQYRGADFSSLVNLERAGRTYKDN GQTLNFENILKNHGANLARIRIWTSNNDGEYSLNYGLALAKRAAAAGLEIYVDLHYSD TWADPGKQAIPSSWPKDLNGLNTQIYTYTKNVVQSFSSQGTPIKFIEIGNEVNSGILF PVGQISSKGYSPLSQLLHSAANGVRAASASTKVMIHLANGWNSNAVASFYNQIFIPGQ LAPEDVDVMGFSFYPFYGTSATTSALKSSLTNIINRYGKDVMVVETDWPFSCSGVQLS DSSPISAAGQLQWVSKIRDVVSSLPGGHGLGIVYWEPGWIGNANLGSGCSDNLLVDGS GNTRSSISMFSNSM JR316_0005579 MDSSGATQLFFSAVHPERSSEFRATAPPKQVIKDGRREITMCCT NCGKFSTAEEEVKLKRCDVVSISIANSLIWLRISTSAQSHCIALGIPELGAGIQPLIK SVTGNPMLEFYIQVCLAIRFHLHDLRKLTAAERETCIRAPLLVHIDVGIEPTKIAQYM NLYTYPDEYDEDEMEGMLQLHDLVSPWPGIRERLRTLSDINIEVWKRARMSADEDGDQ DRAVVLVEFVNDFKQSVTCAIVVGEEAIETVRRAEPFTMQSAITGKETKKPLSIASCF EYINTHIRSDHKNRLLLRAPMRNVDKELIRNLGRKVDSYATRALKVKMDREHVYIRHS AEFVAEHAMLRQRTIGEEHQIEGPPMNPTAALTTPIKRTTVQIVSDINDISTKLSALH NAIDQFPQENGTLQEAVSVDTLARALDTSIKKGTADITANGAISSADAPAILDAIKKI EPLVETTLTTIENRKDSLAALKVGGIIQLVELDLKTLDTDSSAFANSLILNVPTTFVP SFTTIRDQIHKDYVTAIEIFAS JR316_0005580 MTSNPRIVFAKRPAPHELPKIGEHLIFDESRTIDLENVPLNGGF LTKTLILSPEPAMRERMRDPSIPSYTTTFNVGGPILGFGVVVVLRSEKDGFKPGDYLY GLTVWEAYTVQPYIDGRVNFKAEEWSPGTFDMDSLALQVVPNPNGLYPLSKYTSIMGT PGLTAFVGMEGIIDGKEGETLFVSSGASAVGSMVIQLAKLKGMKVIASAGSDAKVEYM RSLGADLPFNYKKVSYAEFLKKHGPINKFWDNVGAEALDAALESITSYGKMAICGTSG TDATPPNEHYRLKNAYLIMKKCINIRGFIVPDLIPQFIGKFFETVPALMAQGKLRSEE TEYDGIESAPQAFIDTLSSGHQKAGTLVVVVARD JR316_0005581 MDREHLLCKIGSKRERSTTVMITVSSVMFFIATFHVMLGAIRLV HGFSDELFTIAGPASYIGDLRSWKHVLQDALYATQVNLGSAALVLDYVESGLEDHSIS DNDASDQYCNIAVGYILCGTYPSVDPSASIFNGHITQWIKALYSIAVVLNSITTGLLV YRIWTTYKESPSYRLGDRKTVPIARLLIDSGALQLPCEIIVLILYVTKMNAQYIMLEC ITPIVMLSKAITFNAITIRLKMHSASMDVIPLPNNHIRTAGGTVRPVARTFQVSVITE GDEENLDAYQMEAKSKEGSS JR316_0005582 MSNFDVDIGAMDEVIFRLQGIVSDKMLPPMAKPASHDRTVKQQP YLRTAIAITGLGDIVFNKVMEKLEEVFLRFANNFPADSVSGYDPVLHKDTGFNVFHAH SQYFTKVSAYQDKSDNIGFHPLVDPDNVLASMVGDSFIHAIDNKVQFLRREILPDGTA RYYSYNPASIQIGDIVEISVAFVAFPAQGNKYKFVVTLRGILVLDQEAREKADILRMR SRYTPAKRQVAVLCRTKRQLYKGQIDIEDTQQRMARMRLNEDTVYNSNTMSQD JR316_0005583 MRVAFYFLLASRRISSLEFTLSQSHSLTEMSSSTTNNLPLFPFD VFSQIFKECDLQTLQTCSTLSKDMHECALPFLFQTISFRANRPSLVNIPQEERDNICS GAIQRFTELPKMRVAAFVRRLVYEGCRFPDTDARQHYHRRVWAVFRVTLSPTVYIGLT ELILTSVDIDFQDAQGISTLEALRLLYLVNVRLSDGVVLGGRLRPKVLHFSTPGQLVN TSANAILGVCKEVRWLQLHRLPRAPDILYKILEVTPSLTDLHITLERPVNNDQLGAPA LESRFCPRLSVYSGPFYYSAIINGRPVWHIDVHEFPESMSYEGPRLWTSQAILEGSSA SVMVFKLRKWPAEFCEIFFELVWDHFPHIRELTIELSAYYNTTPDENHADNISMYNLD IESVKNYHYLVRNPFDSTVIPQRTDICR JR316_0005584 MSSHGEVRSVASTLLPPLPHRVSYLTPSQLASLPKLTPCKPLAI KKRRRHSVRNKPITNCDFAFAFSFALHPLYSPSATETPFIGPRRRRSKVPSDRDLRKR CPCSPLSSLCAPEEDAREVERVIRELTALSSYKPRVTPASSSNDGRVPTRTPIPIPRS QRESHGTTLGSYYDCKRAPNGKASESLGIQNWGNWIKAIAINPGYKTHDDIFLCVHEK AAMSRHTQQRTSSQLSEEYIQDSFHSYLKSSLSQAKAERLLDVDVLASAEGDLMITGP ALCLYFAALRCTTNPPSVPLPRSPKATSPSSSQPMELSYDNCPPAFVSFLRVWADAVP GIQSLPPESQHDLARVICGLPPLLQQDAPTIHGIAADLRAVAIEISQRRSFQDRYAAD LQAALDAGGAPSPRKASFVPPPVYDATPPESPRTSIESRRDLPPQSPGLLSPYASGHS TASTSSTFSRSSSSSSTPSILTQDSPAIEFIRETLYASLADALEQHPSLRQLLGRDRT RAYFASVAFAILDVATHAVTPDGNAIVGVLGKPLTMEECPVALRPFMSELSTIGRLAR EMEEEDNEVAMRCAQRGEEVPVPRLERVRMVLEEGVGYDRERNGAQRSGRRSVEGRAV AFANRINALSLGMTKLRAFRERQDDVFKVLAGIGS JR316_0005585 MGHIYTYGCIYDSAMVKRVQYAYDNGFQVASHTWAHKDLTTLNH DQRLRSALLRKDVNSEMSRTEEAIRKITGATPAYTRPPYGNVNDDVYTTAGARGQTLV TWDFDSGDSTGSTVEQQKQAYEKLVKKRPKSVLSLEHEVYETTAHQVLPYVISKMQAA GYRLVTLSECLGTSPYLKVEAAEKRDVS JR316_0005586 MTDVEYVSFLLDMTGDCPLTLELEQYMTPDPASLHIAQLFVSKA SRWKNVTFTLFGYVQEAFSSMRPGDVGALESYRVRVHSWDMNRLETFFQVLHSSPVLH TVDWGKSLDASMPEDVSWSRLVEITLHLMDLSQSTMSTLSKCESLKTLRMRRIRRSYE PVSSPVIFSHLTRLICDRPDNFEIIFNAFTLPMLSELEITDRKALTLGDAKALTDFID RSGCDVTTFSIDGRSLELFPQLREHLTKVITLNILSNITSANDFRRLMKEPGEIDVLP SLRNLILHNCYLEDGTLADVIWSRRKELLSVQVCMEAEKAPRDCLTLGWLEQDGTLVS IHEPVASHSTFYFLANNKIRGSDMVPMVYFPDADELW JR316_0005587 MHFERTITTFLSRRDDHTRPHILNQDGSQNFQDAKTYQGDFPST TQQSTPLPTSQPWKYPMIDRTVLDKLDLMHDFFAQDHGPFHDQQKDTLRETRLRWPPV ITRIPERPSRPPISIGVGSPNGDGQTRPPQALASDEPAICDSSGHPRPCRFLLPLRIA EQESKARMHITQLAELARRLNRTLVLPNVGKSKIGACFRWPFSVYYEPSSINLDDAWR AGVDAVVDDAHDKGKESYVELESFHAWMESYARNKRRESLKSQLVSIAPTILSSGLRD ETIYANTDVAVHAYSTFGAWERDLPGCFSTKFHHLRLETRPIYIYPITAAQPAKKDGR DKFLGDSIVDALSTISSGTSGEPPVLVVNWDMRYPVFAPPPPHATIINKNAYSSLQYS AKMHDLAKQYAPAGPYLAIQWRMETVDVHLLQDCAHALVDVLVRVLHDPSLSENVTTV WFATDYPYPIARRTKAGSRPPMISAKSGTFRDFDILHENAIEILRKAFDKQGELHEWK LTDFAEAIENDSKPQNELLHDTGVLGILDKLVSTNAAIFVSGSSRCSRKRCVSDLTSS LVGVLM JR316_0005588 MIIPKENRRIIYENLFKEGVLVAKKDFNAPKHEELDVPNLQVIK ALQSLTSKGYVKTQFSWQWYYYVLTPEGVEFLREWLHLPAEIVPATHKKAVRPPRPAT VRPGAGGEGAYRAPRGDRDGYRSKKEEGAPGEFRPSFAGGVGRGGPRE JR316_0005589 MPFSAGDASKGASLFKTRCAQCHTVGAGEPNKVGPNLHGIFGRK SGQVEGFSYTAANVNKGVTWDEETLFEYLENPKKYIPGTKMAFAGLKKDKDRNDLITW LKENTA JR316_0005590 MLKFLKSRRSWEPLSDIDDIEWEPLTQLAEEPKPTPIPIPTPIP IPPAVHRIPDDILLHIFAMNNNMDDDAPIAQAVYVPLRAIECLRSASQVNRAWRTAAL SAHSLWANTIDADFLLSLGNTQWMKEVLARTGDAPLTVRWHPVRPNKAELMRAFFEEN WARVRKLELVATSSSMCRSNTLRDRDVTATNLNTPPVGWRAEENLRLFEFFFRPAPLL ESFVCGFPVRREDLLDHQREQDLSGVPFSSCAPRLKEFNCNLSFDCGSLSWIPASVQR LTLDSGSQPTNLKISELIDMVYNPMLINLQKLVVRRQLVSSKDRKAEDVNMENVTTCR NSLSRVRHMEITGNQQLGDVLGFLGDINLDFDYLRTLILSASIYSPEMLAKSSLSILA LYLSRCCSSLFPSSLVISANLHSLNIVYNITPCRTNNPFALGNTTFELRVGSSRPPKK DDPVGPISSFLLRHLLYGVESISVLDATLYLSPAVFDPLDEGFVQFIRSFALVETLRA SGETLSLLNTVMASSEAAIMPQLHTLILSDICTVDFVVLERFLNLRDMRGLAIKIFQC TLPMVDSEAENKMMSDLSFLDEFENLQVRYTYGQRINLDKM JR316_0005591 MRSSLFLYSVFAFAYALLLASASASVPPRGGFPPNFVCNNRTRG PDLEKQQQAALADFAHLYVDLQDVQTAFDRWVPGEYIQHNPNAGQGRDAAIAFLTAGF ADPQVTSTNKTVFGGQGFGAVHFKLSVNPQTVFAVVDYFRFQGTCIVEHWDVLQQIFG NETNPIAFF JR316_0005592 MQTAARFRPSTSAPGDGSRTQTITRDPTREEDDYEGERDEDKEE GGSGSNVVGSLRLRGGPKKKSRQRVAWGEEVIDNEGCGKKKSKICCIYHKPRRFDESS DESDSSDSDSDHDHSSHNHNHHHNHNHEHQPNGGSSTNGQQSHSSVVHELEHPEYEPN AYEKQPKSNGKRREVTFKPMPYE JR316_0005593 MSQLGAAHFLSLPRPDIFIGPPVGVPDTTTLAAHDFDVDTRTGF MPPQMPLRRLSADWEQWELTLEDAISSRLQLGDRSFIEEVDKEKSRSWRDRVRELPIL SIEGLKSSETTLRRAHLVLAWIMHFYVHSLPVSEPVRIPPSITLPLLQVSAQLQLPPV LTYSDDVLYNWFLESSQVDELPTETTIKCQTSFTSTNDEAEFYLTSARMELAGVDALE LMRSTMDEAFVGDDIAIRRITDYLCQLVDVIHRLRAMLLDVKKGCDPQVFYHDVRPWF RGEDSQSGRKWVFEGIEQDPTLAEPTELSGPSAGQSSLIHALDIFLGVDQYSHERSLT GHTVDEAQATTAAQKSAFLERMQLYMPRHHRTFLNHLSNNPRPLRALVFDAATSPESS KGGTSVLEAYNAAVMALKEFRDAHMIIVALYIIAPARLYEAEVKSRSSVTQPPTRPGS ESEYVTEKHSDNEPLKGTGGTDLVRFLKGVRDQTKGALMTL JR316_0005594 MSYYSQPAAANYNSAPLHSQRQRGYRICDQCGTVETPAVKFRLC GGCMTTQYCSQDCQKVHWPSHRAICQHTASQVKQAASNAAHPDENITKYLRKFTSTHC ALLGWAGFQALQLKRVPANVRQNALLIDLTYNSHADSNRRFSISSTHVVPRTYIRDPL VIADIQRREERCRQNGGIGTLVVIIQCGSTSQVMPVEVDPPSKIAWDIRDDWCQTLSH FVESGRTDFKPICTTSRGSV JR316_0005595 MQFFTLSSFVAISSIITNVAAHYAPVNTTGSDPIWSFPYQLSLN GGALKTDPSISFVNDTLHSTFNLTDSFTVAGWLRATGTTDWRTFFAFESNDINTSLLN FALAPNTMQLYFAYMVDNVVNNRINVFAPVTAPGPNVDFHFALAKDGNQIYLYLNGVK VFSYTMTAPIYDSPMASRYFAFSVHVYDLLSQKYIILGRTKFNNVGQAQWEGIIHGVD VFDKALTPFEVGREMALTERATYV JR316_0005596 MAHMFEGAKNVIIQGGEFVAKTVQHGPQTTNVFNITFFPRLQNE RLRDAAVSRPKQNHTSRSGPIFPEPLVDVYGRDELVDELVVNGILAQFKSKSESRKHI PIKGGHGLGKTTLANKIIHDSRIVDFFGDARHWVSCQKASSIEHNLKGQTLLEYTSKS LNLDLSASNNRLNDIEYFLKRNCIPRIIVFDNFETMWGPVEAGEDVANIIKVLARYTQ LLIIITTRFAYPPAVHLGVSWLPFDTLQPLSSEAARDLFQSKCPKNAIDDSLDELLAA INYLPLPIVLMASLAQESYTTSRILQRWRNGQQSVNPARKLLLGGDRVKKGLATSIEM SLEGPLLKHHPAAIALLRIIACLPGGIRIKNIREIAPGIPNVDDVEDVLRQTYLLHEE NAPTGKPDDRVLQMHSTVRKHMVENYPLNAQHEVNIRAFYFQLICAAGNDPGNPNFLE CAERLSNEYTNAESVLFGMLNEDAEERDFDTAVEIGLRYCNYLIWNTPKTEIAQKIVD LMQKRQDHRGRGDLGMPVKPVMRESLEVTSLEPDANPKADKEIIQHPMYPLALLRLGV LHCRLDHYTEAENALQEALRSCDPLKLPDWTAEIESELAQIYRIHRANHKAAIALYRS AQSHSGIEPRRRIAIQRGLAIVHFEQNDFDEALNELNKIKYICSANDVPSCEADYKRE LGRILRNRDPAQAILLLSDAAEYYKKHGPLREAAISIYQKSIAHHMQGEFDEAEKGIM EAFESFRTLRNDAQMGYCIYQLALTNAKRWRAGRALALFCRAESMFAHMDNNLMEGLC LKQESRIHYCLLRFNKGKEAYNRAISLLSKLSSKEAERSVKDLQSMQIAIQRYQRCQR PSIKVQ JR316_0005597 MPENAQKPATTHFPPAGAILDNGALKLVEVQGLGIYRAQLSPRD NRPYAVRCLVFPDSPGHCRQMLIRGVLLHQLASDHIGIATLHRAFKQDGMLCVLLESA SDDYMSTQIFQRGRYLGDNFLIKDIFLQLIDAVEYIHSLGIYHRNLNPASIVTFEDGF RVAITDFGQSTTWETLSEEFNVGNVFYMAPGCHGGQLAPEGRYYPRFSDIWSLGIIFL NITTGRNPWRSATLDDPAFAAYLQNPSGFLASTLPVSRQFMELLVEILAIHSEYGQTV RELRIAIKKFHNFYSDIVMFEDCIATLARRSEFPVYIYDEFLDVKMNSYPRTNLIHRI SAAEVAAKLDSSAMNSK JR316_0005598 MNVNQLNYVADLCEAALYGESLNFSHFGQKINMKLEGALSLLIL VVIWILLTAKKLARIMQVMFGASILMWIVSTLHLAALIQRLSLGRTELWEAKAAVSLA TLQFMISDVILIWRVYAVWGRSYWTTIIPFILMIGAAAVRFSVVSNGTAVLLFVSDPA NFIIIANTAYCTALIAGRIMQVTFTDAIKILDSSALGPRGKQNVYERVLLMIIESGAL YALSMMVCIVVDKVHSPGIHVILDITVPLTGILPTLIVLVVHYELVPGTRDSISSVIG DSEFHAASGPTATTLGIDASSSRDDVGSKIAASTLKMERSEDDIGDKYNKSMV JR316_0005599 MWTILKAIWHSQRMLVYPSGFDAYPRIVDTPAEYSMPYEDVQLV TKDKVTLYCYLVRKDNLEKTRGTVILFHGNAMNHGDMLSRATKFFYQGFAVLTLEYRG YGHCKGVPSERGLCLDAQAAVDYVTSHRTLRDLPIIIYGQSLGGGVAIYATYKNINKV SALIIENTFTSIPDLVKGMPLIRHFSWLCTQKWRSLAKLSRLPTSLPILMLSGRSDEV IPYTHMDRLWAVAQTRGCSKNKKNPSNEEYQPPQKDLYKVFLYGSHNNTFLQLDYWET IFHFLETVLE JR316_0005600 MEPFEDITVVTEDGIKIRCYLIQKKDSETYADARGTIILFHGNS ADRGDLLEPFASQWWNEYNVLLAEYRGYGLSEGTPTEKRCPVVDYVRHHPLLSVLPII LYGHSLGGAVAIHVASENSDKIDALILENTFASLAAVVRDIPIVGWPLSVFCPDKWNS VEKISRIPQDIPILLLGGMKDEVLKLHHMKSLWRAVKKRNATKSLQNSSQTGGEEKEA GDLYAVQDQFIIFPDGLHSTTHEESGYWTVTFGFLDSVQNWRSIQK JR316_0005601 MFKLSVAFITFVLFQNILAAPVLLTTSPLGTTEVNAVNRRANGD PGQAHDIYSNDISVLDNEDDIVNRRQSAGSVIATLPPHLQGTTTGGSLGPYTGVFNTV GDTFDLPHAREIRPIHILPNPLEAVHGVSVRELPDTLIDHTDDDGSLLDPLSAPTSLV SHDGLGDGGLSDPAASLNSLVDPDDDGEDGDDDNDDDDGKDDGGDESEGGDDDNGADM DNDIGVDKDGEDDEYSSDVEDNDTNLDNGDDSIEGEQNTADNEDSGDGGEDDVEGRTE DIPRRPFRLPPPSRLSRCVESAVPAVRFVFY JR316_0005602 MDGAIEDEKKPFDAETAQDDDAQPDPDEHLMLNQGSGAVWIVKV PKFLMERWSAVNAEDVHLATIRLYQLPDGKQRVVLFLPPNRDPSIPPSSQPPPPENPN RPTFDPSAYTTYTALGSEPDCYELDLVIEDVQNQVVVAERPKDASTSAFSSSSQPTPP NSRARTTILTGKIKNEFNMRPALTATYRKQMRERHRKANTPARQIRMIDEAGVPGGRG GVNRLSSGVGVGSGNAFGDLIRTKPKPAKGAFERMARMPRNQLLDALFSLFRESPRWS IKPLRDKTQQPEVYLKEVLQEIAMLHKSGEYNGLWELKDVFKEEGSMKAENVPLTAGT SGDVKMEDDDDDDDDEDDDMEEVS JR316_0005603 MLSPFQEPVKWDHTPESLSEEADAAIARFKRALDNVASLKPEEC NFESVFVFIESEQSTYNASIDVLTFYQNASGSQALREASSKADSRSRDFEVERSMRMD VFNAKIAAEKNIKAEEGKWEEIGLEGRRLVEKMVLEGKRAGLGLPSEKQDELKMLKKE LSQATLEFMKNFNEENGSISFTKEELKGVPEGTLSGYSKRTVTSVNESGEEIETEQYI VSFKGPDYSPVMGFAENAATRKRIHEAVSSRLAVNVPIISKIINLRRKIALLLGYKNW ADYVTDDRMIKSGKAVEEFLDGLATSLLPFGQKERDTLLAIKQADYASRNLPLDDAEK DKFYVWDNGYYGRLHTEQTLKIDAQAVKEHFPVEKVVPVVLEIYQDMFRVKFVRLEGA SVWHPDVQAYAVWEKDAADGETGLIGYTYLDLYPRPGKFSHIAVWPLVPGRILPSGQR QYPVNAMLANLASPNANTGAAALMRHHDVVMFFHEMGHVFHDLMSRTKYGRFHGTTCW EPKILKKISSHYQTGEPIPDELAESLAKSRLQDRGLFYLQQIFNSKYDLKVHYDCDQL GSPEAYTALWNKIYGETLPLDYDQECPGHCAFSHLASGYDVGYYGYQYSQVFAADMYA TIFKSDPLDPSRAKLYREKILIPGSTRDELDILEEFLGRPPNNDAFKRQLFGSTG JR316_0005604 MFKLTIAFVSIVLFHGVFAAPVLLTTANSEATHAAIRNRGLLGG IDAGTIFEDLDPEPRQEPGLLGSSVGSLPPTSGAPVATTRRRAVSVAGAFDNFLNNLL GGTQDQGSSQRREDALPFSFDGLTAALGGSTPSNVESPSKRQLLGDLVDDGQLDNLLG RQILGPVVAEAGELVGQELTPPAGEDRRALVDTLTDASDEDNGDDEDGDNDDDDDNGE DDGGDDAGNDGDDGAQNGDDNGEDGSDVDSRSNAALPVVPGFETSGSPITNL JR316_0005605 MSRHLPQELNDEIMHYLWDDTLALKSCSLACRSFRKICQRFLFS SIVIYPPSYRKQWISTAFQDLLESSPHLAQYVRYLNVIDRHTAPKVSDEWLSRDTALV ACLPQLLNLRGLVIQYQKYYYYNIPGVWSQMMRSGLVAAIYEVMHLPKFRFLDLTGFP LDLVKYCPNLRHLAVDDPILIKVNLGHIDNTTFQKIQLESLEVFAHEIYSLQIIPDAD TFDYKYPEAFVKLCNESIDITQLKRLHASAEGCSQAGGHGLLAYIMHACAESLQELVF SPSNGISWGGEKTETIDWGAMTALRKLDVSFTWEGSDGGYDYTGPWPWFLSVCGQLFP PNVASTLEQFNLHIKYDVEDNDPSLGLGPIPDATFSGSSESPVGHSDDNWRVLLDILG DRKRLPRLYKVDIQLSAYGKDMSQRISTGLSQLIRNMPSVQPTLSVTVLEGMC JR316_0005606 MSRFALFRSVPALRYGLPPRAGYIQRPFSVSSALFVRNPSALKS PRWVNPKTPQETPPTSPEEGEKEDGGKEQPEEPDTKPPAPSSPSSSNQPSSASHDAPS SSSSPPPGAPGSIAKQSVPELYPQMLALPIARRPLFPGFYKAVVIRNPAVVSAIKEMM KRGQPYLGAFLLKDENTDSDVITDINSVHSVGVFAQITSVFAAAGRGEEDKEEGLTAV LYPHRRIKITELVKAGGARIEEVEQQEEPQTVEPPTPPPTPPPSKQDKVDQGKLVHFA FSPVQTAFLHKHDVSIVNVENLHTQPYNKDDQYIRAFMSEIVSVFKDIAQLNPLFRDQ ITNFSINQVAANVFDEPDKLADFAAAVSTGEVQELQDVLESLVVDERLRKALLVLKKE LINAQLQSKLSRDVDSKIAKRQREYYLMEQLKGIKKELGMESDGKDKLIEKFRERAAA LKMPDGVRKVFEEELAKLQGLEPAASEANVTRNYLDWLTQIPWGRHTPENYSLPHAQA VLNEDHYGLSDIKSRILEFLAVGKLRGTVQGKIICLVGPPGVGKTSIGKSIARALGRQ FFRFSVGGLTDVAEIKGHRRTYVGALPGKIIQALKRVETENPLVLIDEVDKIGRGING DPASALLEMLDPEQNNSFLDHYMDVPVDLSRVLFVCTANNLDTIPAPLLDRMEVLEVS GYVSEEKAVIAQRYLGPQAKVASGLGDVDVQVEDEAVDVLIRYYCRESGVRNLKKCIE KIYRKAALKLVQDLGEEKLPEPPAPAGAADATSTTAADETKPTEDKPAVTEPETVPPP VETVPSQEPPPNEPDADKPHPSPSSSSSPSTSATPEEIPVTTTERRPMHIPPDVHVRI TPENLKEYVGPPVYQKDRMYAKPPPPGVSTGLGYLGNGSGAVMPIEAMSMPGKGALQL TGKLGEVIRESAQIGLSWVRAHAYDLGITQSVDEQFLTDRDVHVHMPEGSIGKEGPSA GTAILSAFVSLFTKTKINPDIAMTGEISLVGQVLPVGGLKEKILAAHRAGITTILAPA ANRADIEENVPESVKTGIRFVYVESVNEVLHEVFKGAEITERWKDTLPVE JR316_0005607 MHAYLLSIFVAINSAVSVAAQAFPGPTWSFPLRLSMGGGAFKTQ PDISFVNDTLYPTLDLSGSFTILGWLNPSDQTWWRTFVSIESSDVDTSLFNVAFPPNS MQIYFAYMTTNNLQGRDNVYTQITAPGPNVDFHFAIAKDGTQFYVYLNGVQQTFNYTI KAQIFNPPTKYVVLGRTKYQNVGGSQWNGMIRGMDIFNRTLTPTEVQREMAFTQREI JR316_0005608 MASSKERNLSEKHPDILHELPYSPSSNQLPSPPPDDREDQQASR DTEKVSNSLPSSVVSAKHHVQEENAASRTPKHDDISLFRCSLWVVVGVSLTLSGIFVV RHVLLPRVYRCPDGAKCHDNLDPRGHIIPRIQTLMQYWLKAGTFIASLGLSELIACNA RLVLRRRDDATILAVERGISAAEGSLAGAALQITAAQSLYRSASRLWQGGGKTRPGVQ HGVGWLGFLALSQIAIGLFISFIVGFSIPDLHSTTTVRVSFTYPDSFTLPRADTRFFS TDERIVAGVLDSWLVVPNSPHIPSAAFDGSLVVQDNRTTLAVDSRPSGFHISGEISCG LVQGWNVSIIPPNSQYIVNSLAPLPSGVKAYNFSTTDVWTVGYSNVRLAGGFVTFPQM SNSVTSRQYLWTGNNIDAIPNATVSSDGKIFYTICNHTIHLNPSPSASQVPSSQLINP STPIIFTNPNDPFLDPCPSSDPYSCVAWSVDDVIAAWWSTSSSANDLVPFSCPGGVLA GFQLGPDTADCALNSERWTKTLNTALSAVIFSAPLSGNTTQDLLVPTEAINRDRWLIQ LIIPGSLSILYLVCIGGLFYLSRMAKPPTTALKPLDLVDILCTKQYERHPDDIHISTV HIL JR316_0005609 MIAIVSAVFLASVLIHGTIAQSYPPPIWYFPFRVTLANGQGNAD PSRTTSFADNVFVDIDLTQPFSLMSWISVSDIGSTFWRAALSIESPDATSALFRIALP PNQMNFNVAWMQSAQNRGGAINTTVVAPGPNVQFHLAMVKDGNAIAFYMNGARTSSIS LSSQIFNPANFVASQKQVVLGRTRLDGNTDRSQWNGILRDVYLFDFALDDAEVEEEYQ ATLLE JR316_0005610 MSLYATAIVVSVHLLLGVLNVMAQSTGPWYFPDRLTLANGQGNS DPTRPVSFLNDTLWRAFDLNKPFNLTARLSVSDQRFWRAAISIESPQATSALFRIALP PNDMRFNLAWMSGPQTRGGAFNTTASAPALNTEFDFALVKNDSSISISINGERQWSTP FSSQIFNAPNKSIILGRTMLDGNALRSQWNGVIRNVLLSQTPPSAEIDALAWILCSTA SCVMDLQTGSSNFYLTTAQLHQVCACHYGRLHQPFQRINIHIHAAQRSMSLKGSSLNK DPTKLTMEPQEPQVHQALASTTVQMAPKSIKMVTQGHSEKRPSSGYEIPTASSSGKLP ISTFDNTTSPPKTNHSTSPNPITPPQSSKLIDHTVMHSLRDTTSPIICAFFVIIGSGL MVAGTLVVRHFFLPHIYTCPDGATCHDNFDPKGNIIPRLQTLMQYWFQAGVFIASLGL SELISCNARLVLKKRTDVTILAVERGVNASKGKMKDAALQLSIVESLYSIVSRLWRRG DYISPKIHHGTGWLGVLALSQVAVGIFISFIVGFSIPDLQSTTTVKVPFSYRSIITLP RADRRYPSIDERVVGGVLDNWLILPNSAHRSPTAFDGSLAVQDNRTILAINPQASGSH ITGGLSCGRQGWNVSNIPFDSDYFSDDHAALPSGSKAYNISGKDSWVVTYSYFPLAAS PVLFPNPNSILISNSTINRQYVWAGNTSKVIPNATASEDGGIFFTVCNQTVFMDDLPE ISEVNTQAINPSLPVIFSDTNDPFTLPCISSDPFTCVAWSVDRIISSWWLVNAVFSDL IPFSCVEGMLVAYDGLSDTNNCALNSERWHKTLNMTLSALISAAPLSGNLTQNLSVTT EAMNTHFWLIQLVLPGATMTLLLACLSYMWCLIRKGDVLYKLDLPEVVANQKKLEVNE GNTRTTTVTVE JR316_0005611 MAHMWNEAKNVVVQGGTFVAQTVEHSPYISSAFSINVFPRIFRA QAEALEDTTASSAKKDFSRLGPIFPEPLVDVYGRDEYLEDLVLNKILAQFVSKSENKR HVAIKGGHGIGKTTVANKVIRDPRIVKYFGNARHWVSCREASFIDNCFKGQKLLEYIS RSLGLELTASNNLLYDVRYFLETNNVPRIIIFDNFETMWGAIEAGEGVGSILKALAQH TQVLMVITTRFIYPPAVHLGVSWHSLDTLSPLSLDASRDLFMSICPQESIDKYLDELL LAVNCLPLAIVLMASTAHGSFTTYRILERWRKGLQCVAPDRKMIPGDPVKHLGPSIEM SLEGPLLKHHPDAVVLLRIIAGLPGGIKVANLQQIVPGIPRVDEVQEILQQTYLIYEE DGLTRDPEDRVLQMHSTIRKHMLENYHLDSEHERNIQNFYFRLIHEAGKDPGAKDFLA CARRLSKEEINAKSILTGVLRDEANEYQDFVAAITVAMDYCNYLIWNTPSTDIAEKTV DLLKKRLPSGTSVLLSPMENLNAHRNRVAGQCSRNIGSEQLFAMEQYPLALLHLGKLQ SRLSRYGESKKTFNQLLQHCNPVEHRSRTAEAEFELAQIYRIQGDHEKALELFYNVYS RSGDEARRRAAAQRGIAIVHFDANNIAEALEALNKSKRIFPESDQACQADYKLGVGRI HRNHDQTLSISLLSEARDYYTEHGPRREAAITLYQKSIAQYLKGEFDDAERGLNDAFD EFRPLRNDAQMGYCVYHLALLNMKRRRLRDALSLFRRAEKMFAHMDNKLMVGLSLKGQ GDVLTSLFRIQEAANAYDKADTLLGSVRSTEATTALKNIQHTRYAMQWYITLGVAFAV LFTLALLYTTQTTSVDIYGYIHRF JR316_0005612 MSDPSPIDFASAQICQATLDFLNSNNESDGSDDGGGSPPLSESS NEQANSDTEQVDNESLPRKRMRGIHTDPAEARKWYLWIDKILDLFLWLLRINGVNDVP SVKAMQGINKALQNLCGIETKEYKGKLGNVYFVNSLSQILAQEMSNPQVRPFLYFYPE DTGKSISETYQASGWLREINPEDGTPMIRLNNNDFFIFEPTMLIDGRCCIPIQWFLRE GVFYAKAWLMEDTPSGWVVSEDREIKITQSQLLKNFIQLSKDHLLYNLSHPSRIFVNR CAIHSGPGLSKWTRTDPTIGNRWRVLAKGYRVYSLPLWMYCDDTSGNQSKKWNKHNSY LFILAGLPRKKSSQEYNIHFLCTSDIAPPLEMLDGVVDQLQLAQKHGIWVWDCIHKEA VMIFPPVFALLGDNPMHSEFACHIGMQGKYFCQICWAKGVDSQECPHKNLPHDSCARP NFPTLSIHSDVDSEDTFTRPSHKRRRYKESMESMLRRIGKPRRKKETMATLDSFLEQA KMIGTKSKLRAAKTETGIKDVFQDFFIEKLFKSYKGKVSTQAKEKALKAAVDKLPGDI KSPVWKLGLDPHQDTPVKILHVVLLGFVKYFWRDLVQNQVTPAKKQTLIIRLNSLSVA GLGIPTLNGSTLVNYAGSLTGRDFRIIAQVAPFVIYNMVSQEVYDAWVSLSTLVPVIW QPAISNIDEYLPRLEADIKYFLLKTATWTCAWFNKTKFHIILHLPEHVRRFGPAILFA TESFESFNAIIRAKSIHSNHQAPSHDIARGFAQGNRIRHLLSGGFFLPQELYQSWKKD PTNVANSEWRTAGPGGLHLIDAPDSTPASYLGLQKPAASKAGSCKSNGTDPQPFHRTL CGQKLPNIVLNTAASQQLYVTNSQVYLRNEDLCTIGQFVIAQIHPSQPPLIGCVREIL QQVGSPNHLQNRPDGILIQTALHQPPSHILPAGQLQPVFMLRLILQQEWSFIPWSCLL CTVNTQHDCQRHGCQANGLQYIYQEQIQTDQTKATILHQNSLDDMLLLNMCQMRDAAH LQSFHLHSAPLNEDAIIQRSVAQAIVQRKVGEASQSSTSKPTKTPTAALRQHAQSPLG TPTLAATPSGGVSLPQHASAGTSSGGVSSPRRSRQASVVYPGQITLDFR JR316_0005613 MFAYSSSAHSHVLIPSTDDTSTPSVHNIWTPSIHDASKNRSTDD TWMQFTNNTSTPTALIHDVSTPSTRESTTSIHDVSTPSTRESTTSAHNASTPSTCESM LSAHNASTLSTRESMLSVYNASTPSTRESTTSAHDVSIPSTRESTTSAHNASTPSTRE SMLSVHNASTPSTRESTTSAHDVSIPSTRESTTSAHNASTPSTRESMLSAHDALTPSV DNMLTPPIVPSQSSTKLSLSLPQRDPSVQTASLKRPYNGLSNSHRSRKYQRTLQYNKH GHTPKPSLLSMLPSCQPEALNVKLDTLPATQGAYGAKPTKPHKSHLYSLDAIKALGFR IIPWDRCTPVPFVSEDGRIFMVLAGRPKDPAYERATEEAFDLLREAGHTTVFTHKDYS ENRGHYPALNIGVTHGVGTHSPLNRVQRHPKITAKLLQSESIQQMASFASSAFATWSP KVYNHYKLYMDKIFANDSTLVRLFRRSIFPAATFNLGSVVCTIPHFDIKNCPYGWCAI QSLGKFNAKKGGHFVVWGLKVAIEFPAGSTILMLSAVLEHSNTSIEHGEERASFTQYA SGGLFRWVDYGYRTEKELKRTNPKLYQEQMELRPTRWKRGLGMLCTLQDLISKAAFEV SGQV JR316_0005614 MSTNTLDNCLPSRLLSPKTPSPKKSFRKHNQDEDDEAPKKYLST LNAGDTPGSTCQSDLEDQPVVSKMPEKNDHSSKVPRNKTTISLNRAYPRSRRDLEAEI TVWREIAIEATREAVAYKNQL JR316_0005615 MLACQFGAEVRLHKALTATQTHLQGLGQLSSFLADYELQKDENF VLSNAQKMAIKSTCIKELLKPSRQSFKTLHIDVEAKMKKKPADNYLDNILNRLGREAK WMAHIQTVCTIQRNTLRKEIIQSITPGPKFTSVQEFAAGLIVKYCLPTSESGANSNTA YLSKHVLLWRFFWDHSSMFSAFELEKDDNNSEEENNDQDFGTPSTDNSSDSGHSATKN VNCKKQTFPLGKLAVGWLMAKTIGPKLINGSRGLTMSWEIIQLS JR316_0005616 MDSNTRPSYITPPPSFTQSTNPYHSVGDDTYSFAALVASTPSHS GFRPQESALGSDMDFDISSTLPNQPSIVTSNDHNDAMISISTSFHPNTRPTPDTTFSA GDGVIFCVYSKIILAVCPTAFSSVIGDPLSHPRFRTETILLDAPSAEVNIIFHALYGT SPASNRPDFETLVRAVDRMPTYSLSPKALIRPSGSLYELLLFYAPLHPVDAYALAAHH ELHPLAVSVSPHLLSIKIQSISDEAAERMGAIYFKKLLELHLGRFAALKGILLRPPHP HPASKTCSFDDQRKNIRAWALASASLVWDAGPDLSSQMIRNALNPTTENLTCEMCLQT LKDKIKDVVVQWSSVRRTI JR316_0005617 MSVEVERLKSSISVTPIFDVDQRTGFMAPDPPIPRLPTAWEPWE AALDAAVQAKLQLGDKIGLTNLEKTTSSRWRESVRQLPVLATEELSNSAILLRRAHLV LAYILHFYVQSLPLTAEILIPESIGVPLLEVSSKLDIPPLLTFSDTVLYNWRFRDEES AEDSVPTPDNIRSQTLFTNLVDEEEFYLCSARIELRGVEILELMRVTMDETFVGDVIA VSRIKEYLKTIARVIGELKALLMSVKQGCDPDVYYNKVRPWFRGEDSAETTRKWNFEG LDKHPHLKLPTELSGPSAGQSSMVHVLDVFLGVDHQATSPGKPSFMSRMQSYMPRNHR LFLDHLKANPRPLRAFVVDANNAELLSAYNQAVMSLKEFRDAHMIIATLYILGPARRA SKLANDIAEHDSTTKSRAPVGWTPVKGTGGTELVKFLKDTRTRTSESLIH JR316_0005618 MRFPILAAVSCFLATLVQCSPTPNHKTLVSLRIEGEDKTIFEGV VLTKGHDITTALGGTHHCDGTNNGASTTPGPTPNAALDDAAKLHDFAFDGAFFPEFDD FLISSIGGEANTDTQFWTTLVNFQFIPVGGCQQKVQLGDEILYAFDGFSKAHILKLSG PVVARKNHPVVFTVTDGSTGAPVAGASVDGQISGVDGKVSVTFAKTGLNGVKAQKDDS VRSNQVDVVVVA JR316_0005620 MVLKLYGVSQSRATVRAATILVEKGVPFEFISIDFANKQHKSPE YLKKQPFGQVPYIDDDGFILYESRAIGRYIAEKYADQGPALIPTELKAKALFEQAASS ELANFDPYASQIWREVVRPKKLGQEPNLAAFVKADSDLSAKLDVYDTILSKQRYLAGN EITLVDLFHLPFGSGLPLAGSELLDKKPNVARWWQDISSRPAWIAVKDGVKSTA JR316_0005621 MEHADSGLDIVANPVDSGASRSPISQLDQDVMWRIFSMNANMNN DDEFRIYSNDKLKNKNNRALYTTWHSSQVCRFWRRPLLNAPTLWAQLIDLDGLSSVDD LMKEEIVRRSGLCPLAIAGHVFAIFPKEKDFFISIIRNNWARIRSLKVSISQSNKIPG DVWDVLSEPAQTLEHFRIRASNGLNPSNNNGIISNVFANYSPFLKSFRSWKINFNFGS GDDEADEPPTQSTIILATKVLSRYSQSFFENNPVTTFQYAITHTTITIAEYRSKTPMY RIFVGCGDPGQLPLLFTLFEAYSSCDFSHVETLLFDIDIFPSQTINADVLKILFKFNS VKSLLFSKPGTIPALYSLVREYTDTTRSSEAIQFSLFPSLTAIKAIGAYNFVSQTKKV SPALLLLQETLAKFVIWRRDYGIEVPVEVIDISDLGDAAKVEIFNSISGIKVICKASP DEAEGAKNEL JR316_0005622 MSSERPAVVSDDAIREETRMDQALVPPSPPSKEGDPFSSHEGSP RRPAAQINTSAPQNPAHLSANVSASRSIEEKQGDENSFNSFQNHPNRGNNFNQGIGGG LPLPPLNTRQYEATQGVRRTRTMDTRISLNDNGNQRKSAIDWIVPSVETKPITLGQRL QPTIDVAVRERDKYAAKAQWTGYALNVAIGLQVLLGSLTTGLSAVATSGSGRSAAVST TILGALATMVASYLARARGSNEPELSITRVKDLEQFIRECESFKMDHGDTTGHEHDQE LEEKRRRFEELLGNANGERKLSPPV JR316_0005623 MGIPKFFRYISERYPLTSQLIQENKIPEFDNLYLDFNGIIHNCS HPNDEDAHFRLSEEQIFTSIFAYVDHLFGKIKPKKLFFMAVDGVAPRAKMNQQRSRRF RTAKEAKEVREKAEAKGEKLPDEKAFDSNCITPGTPFMARLSEQLRYFINKKITEDSN WRDVEVVLSGHEVPGEGEHKIMEYIRLSRAQPDYNPNIRHCLYGLDADLIMLGLLSHD PHFCLLREEVKFGPASRKKGSTSLESINFYLLHLSLMREYLDLEFHDIEPILPFKYNL ERVIDDFILLAVFVGNDFLPNLPDLHIHENGLERLFDVYKKVLPGMDGYINESGKINT KRLQIVLDEMAQWEEEVFQREYADMNWYKGKQDKHVKKLENGGPKRGELALTQTQREV FERVRTFVMENRNLPSPSHYRAAMLAMPNQFPAKDRQFITKLSEDLHLSVRWDEYDDQ DVNLVTWRFPRALDEDEIKEGENGTDGSEWEDDADDDESRAAVDRVLKKYEKARVVDP DEEGSFDERYKRSVQEKMDEWKRGYYQGKLEISYDNPKDMHDLVYKYVEGLQWVMHYY YSGVASWGWFYNYHYAPRISDLRGVDKMTFDFKLGTPFKPYEQLMGVLPVASMEHIPM AYRDLMYDPNSPILDFYPLEFEQDLNGKKQDWEAIVKIPFIDEARLLKAMASREHRLT KEEVERNSRGTSTIFRYNPGEPTYYPSSLPGFFPPLYRCACIMEPFDLPTLDGLHLIP GLCDGVALGAEALAGFPSLQTLPHTAQLGYHGVNVHGSESRNKSMIVHIENPYENTKV QDIATNMIDQRIFMGWPFLQEGLVVAVSDSLFKYEKMVVVPGTPAKVVSNPHAPQGLG HWKMKAERIETYYSKRCGVITGNVDILLHIRPLKGLKRLETGAFVKDYEGPDKEQEQA VQMCLTEVVSEDPRFMERDAPPLSEEFPDGSKIFFLGEHAYGVAAQVSSTTETALSVI LAFFPSEKAENDKFKTIVNNRKASRYYPSFKAADLVGLTGRALGKITSSFMVITSDNQ KTNLGLSLKFEAKSLKVIDYSRKDGRTWEYSEKTVELIKEYKARFYNIGRLVFRILDM SGDAMAKASDVFAGPDPDGKVREIKNWLKSKGVRDFEPVSLFCDQLTKETVAEIETLA DTFTKNKVPSQIKKAIVKGIPRQAVLKPAHAVYRLQNQHFALGDRVTMVQDSGSVPLS VKGVVIGLNAKSMDVVWDVPFMAGVTLGDRCSQYRGSTVEFNTCLNLTNPQFVTSTNP KAPPPIRNQVPFKPRFGPHPAINPAPGHTPASGFRPAPPSTQPARVHIMSNPNRGRGG FVNGRGGPPFQSAVTGPHSQPQTKEPASVAHAEPAVPIPVNGRGSHRGGGRGGFGPGF RGLRGFVPNGDRGRGGRGGFRGRGRGSFATPTPS JR316_0005624 MAEIHDQFDTILILDFGSQYSHLITRRCREHNVYAELLPCTTLL KDVNFKPKGIILSGSPYSVYDKGSPHVDPAVFDAGVPILGICYGLQEIAWNLGGEVTK CDHREYGSADITVSTFGNGSVDALFQGLGNEMKVWMSHGDQLSKPPPDFHVIGHTSTA PYAALAHNSKPIYGIQFHPEVTHSPTGKAVIGKFILDICGCRKHWTMEEFIGKEIARI REICGPKGRVIGAVSGGVDSTVAAKLMHEAIGDRFHAIMVDNGVLRLNEAKQVHEMLN KDLGVNLTVVDASDLFLSRLEGVEDPEKKRKIIGNTFINVFEAEAAKIEAAAEEDEKN GAEAKGRVEWLLQGTLYPDVIESISFKGPSATIKTHHNVGGLLKDMKLKLIEPLRELF KDEVRALGRLLSIPAQLVGRHPFPGPGLAIRILGPVNREQVRILQLADTIYIEEIRKA GLYDQIAQAFAVLLPVKAVGVMGDLRTYEQVIALRAVQSEDFMTADWFSFPPEVLRRI SSRITNEVAGINRVTYDISSKPPATVEWL JR316_0005625 MSTQMFCRERRSWTAKEDQLLREAVQKEDPDNSNPSKWHAIAKH VPNRTNKDCRKRWFAKMASDVVKGGWAPDEDEKLVKGIERYGTRWSLVASVVQTRNSD QCAKRWTDTLNPAIDRTTWSSEADELLLRAVNEHGKVWTKIVKTYFPGRTGLSAKNRF NSDINRSSRPRRKSSIDVTHYGLSRNKSESNSSSSSPSPETPSMSLPFTSTSFSSMDS KPYRFDSFSNWSSSSSATSDDVPSFRTSPMTFDYLPENATSPSTPSTDGSYQSYDMSM NHQLSAFSDPSQLVFTGNASPIPPASVYKNFHSQPHIAEAPNYTPYSQYSLSHGSAHS EFGFDSLPIDAGSSLSGNWETKTASSAYKLPITTNEFSYVF JR316_0005626 MSEFEPVSLTLKPSPTQSVAIEILPHGLTIHRVLVETDGRTHDI VIGPEEPQGHLSQKYTNTVVGRYANRVPVGTHTLERNGVKSEFSAQSNESPQVSLHGG PVGFDAVPWTLLSSENPPQLFSKAELSRLNKLSETSSSFAVFQLQSPDGDQGCPGSLT AEVCIALIGPDNALKQENVTTTENALGSIVIIYRAKLNGETKTVTPVNLTQHWGFNLE ASLQDGPESLLVKNHALTIKADHVAELGSNALATGNFIPVSTVPAHDHSGKLIGSEMP NSGYDDYYLFEDKAKSSIPTRIPSVSFNEQSDFLEDLLRPANDENRGSRPEPLATLSS AKSGLKLEFDSNQHGVMFYSNAMASVTKGARKKIHGGSGITGHGDAYGPGTAVFLEFH NPIAAFLHPANKDGEDTLLTSDEVYHNYVRADIKAHAKA JR316_0005627 MSITSDFSTTIQDEADVLSIAVMGPTGTGKSSFINLLSGSNLGV GRELESCTDEVQISESFSLDGHTINLIDTPGFDDTKLTDTRILNMIASYLALTYSRGK RLAGVIYMHRITDNRIGGISARNFRLFRSLCGETSLCNVVIVTTMWDNIDISIGEERE RELMTKESFFKPAIEKGCRMLRHNNTLESAQAIVRSIAKSNRSVTLQIQEELGRGLDI TETRAGKELCREVFEQMERHREEIRGIVVEIQEAARARDEESRKELTLERARTEAVIA RLQEDSANVTRGYHDALSKLEERLKVAEASAAAHCSRNFMAPGSGEEKNFGHRNDHAG QTHSPIVQAVAATENSNAVLEGKLAAAIPIVGFWGRLAVMLAPFSLTWR JR316_0005628 MLSSLLVTLSLAVSFVVAAPAVGNSTLVERQGFAQVVTKCTVPK TVALTFDDGPYVYLYDISKALVAAGAKGTFFFRGPCIYDPDQAKRVLYAYQKGHQVAS HTWSHAHLNTLTFDQLHHQFWLVEEALMKITGAYPAWARPPYGEYNDLVRQVAGSRGQ NLALWDFDSGDTWGISTAEVLRRYDNLISSRPNTVLTLNHEVHQQAAQVVLPYAIKAL QAAGYRLVTLAECLGMPAYQWVGEPHARDATWTCAGK JR316_0005629 MGAQFPVPVLAVAADAVRDLEGRDALSGLWTLFTKCKESLQEGR RLENISWRLWYREMMIDGASRVGREGLVEEKEKEVEVEGYDENEKKEEGDEKKEKTEE EERTLPPPPHVDMQIPPPPPPPYSSSSSSSSSSLSEAQHIVVVLMLSPWPAWLWSWSW LWAYSPPPPLPPLLPPLPPRLVHYVSGFRFYGVGDENSTQIQTLPPPPSSSSSSQAQA QAPAPAQTLTLTPMQLPSPSLSATSDSNSNSNSNSPLPLSLEFEFTYTYTFAVEFEFI TFRIAFIAVTFELATFEFITIEFITYTIAFITYTTFSAYPRPRPRPRPRPYQYTFAST FRFAFAFAFAFESADIATNAFNGDGDDVLVFASFFAFAFIFIVVFVVVFVFTFTCIFT FAFTFAFAFAFAFAQRQSSSSSGFSVQAMPMGTPKSLSVGRVIWDVVMLPSAGAGASG ASAGTGASGSGTLSAVDSGSGDVSADARDLGSRLGSRLGLGLRRDQMVMTVPVVELRE EGINKQKEVDKEKDTEREARIVKSSLPTPDVEVDVDELVGSQHQHHLLQQQQQQLLQQ TQEYQQQQQQPQTPPRTSHISMTQPVTPPSQSSQTPHSHTHSQIPQSQSQLPPPPTLI VVNPTPNPTPHPTPPATPLIHGIGPFPGFASGAGTGAGVGYTLGPGGIVRAQSQWLAL PESGRGGGGGGGGGGSGGGGGGGGRRQAQLLAQMEAMRAAQVQVQSAMLGVDASVSAR ASGNVTGDNVNTGAGAGASRNAGVDRSSPSRLASSSSLLSASSSIPGATAAAVVTAAT GAAVAGAEANMNVRGYTLSLSAGVELDDGDRADAESDLDLDSDLDSDADDAEALDGAE GEGKRGGEGGVGGTAIHPSHTHTHPHPHPHPQSHSHPNLNSNSNSNSRAPTSSSSSSA AERKRAGISTSPSPSSPRQHGKPKPKQRQTHVVGSGSAGASPSRVRAGLMARSRSRSR DQSAVSASVDVNPPPAMAAAASSSSAQSQSESEGQNRDQAQKDAPQTQSHAHAQNPNR LSISSMTSVVSDRSGRSNVSSTSNVSNTSNVTNTSNSSAGGSRAESTTTATTTDSAFE LVFVEGDARRVSAGGRDKGEDAAAFEDGPMGFGGGGFGSGVGGGAGVGTGLGAGGHGH ASADTVRRLGSLSTIQSMATLNGDRERRRYEYEYEFRDELVDPLDTSAMAQVEKQVQQ EEKGKDEKEEEKEDVSQEVVVQSSQGGGGNRDDIAVEDEEDEEENTPLSPLPPSPMAL TASPRTAAGAAAREERRKKRNSAPVPATSSAALLLALSPPSNNNNNNNNTNNAPVLVP MQAQFAASPRSVTSAASAISIARSTSGGTGTAAGKRRQITVGGGSGGSALIRRQSTGT SAAAAGIRRSVSASRSRSREPGAGAAVGLAGAGLGLGMTSAVAQVPPAAANAKKAPSV VAAGKGRAKVVLARTGSKARNLGVVRRNSSGHGGGGGNAAAAAEQAMERERKRLEEEE AARAREAELEAEREKEREREREREREAAREREREREQREGLTVGLFDGDDLAASVSGS GANAGGRRPVRFNIGSNSDGGRSAGSGGSDVGAAGTGAGAGAGAGAGVVSKKDVVAQQ LQQLQIQHQLQQQQQQQLQQQQQQIQQQQQQQQQVEQGPSHGHGHRHNHGPTAAELEL QNQQLQHQLELIVQQKQALMLQQQHVQQQAAQQHQLQLQQQQEAQQQQQMLLQQQWQQ QQQMPVQRTLSKSQVRQLQMMEQMHMQHLNQMNQSKAHLAQQQQQQEERRGNERRMSG NGKGKEREVQPPVSPRTKAGSSTGGAKGGRNNANTSNNNNNNNSQSGSGSSKAVMDDI AARVQQSIAAPLLPQRNRRKIELVTESESESEFETDSDDDGSWSSEDMTGDDEEQRAL EEQRQLQLQQQQIQMQQQQQLIQIQQAQRQRLQQAHHHQQQQQQYNQQTRAGGVQRAY SEHYLANHHQQRNNNHVGNPGRQHQVHNFSAAMRAQQQQREMQAMVEAAAFEAHRQLN MFAKLPKSSFQDLATARTRSVGLLSQLLNPDPEIFPVNHPYRRGFSYGEIKPGASRGG AGGSSGVAAMRPLTPAAPVADEKPKAPVVPEQRTDGSAQQQQQQQQQQQQQQQQQQQQ QQQQQQQQQQQQHRRSESGTAGDRKSSGSNVPSRMNFSPPRRPGGMNRTKSAAALPVS SHIAVGSMGSAAAPVIDHTSALPNITTANTTSNNSIPHSNSNPGSSGKFRPRAPPPNT VMEDESASEAEGSKIQLSESVAHEKLRALLQRGGIASQQRKAPPPAQPLRPAAADEAE NENDVPQWLRASRPEDGTAAARQQQQQQQRQRTRSTPHTVQFAEPQPQPIPPAVPIQY GYPYNLPLVAAPSTPRTIRRQMLSNELPEELRRNLLWERQTSNGNLAAMKRSASGGGS RHSVLGGLQPLTAAPSMVQLRAKGTAPPEGTIEDLRNAPPVDQQERKRQAMARNKSWA NDFHTSGW JR316_0005630 MALRLNCLVHGDDRSKVFSVSAHPRYNVSDLKGAIFFLSQPRFP YIDDATPHDLELRLVSGPFLINDVGRKDLYIDDYVKLSNDSEKISSIFEDISSDCLHI LIGIGRVSTGVNESSIKDPNESEDAGVPNTNWMSVAWETRYYGEHHINLLTNIRKSIG YNPYENTSAIIQSSGFGKSRTVDEMAKLVATIPMNIRDTRDNEQGAYPHPDEDLTKLF KLIASKARNLDSAVGAFHKFFAILFREFSNKVDVLLRSGSNLSLPVLLRDDLAKANSR SLLYKTVCEQYSSGAFPAPQSDNSLTELGNLMEKLQPTMDNKEDTVCLILYVDEAHTL TELRIGPTHTNTKPQPTLYEAMVKAAATYCDYAFFILFLSISSRLRRFSGPQKSYSSR RRALSTVIAPFTEMPFDCHPELQNHKIRPNLPLKDIQKHDFITYFGRPLWASAFLGHN GDRETEIRKFAITKLTGLSQVSDIFRSTNLMPRLAVLDTLLNLEYSPAKIQTRKLVDS MVAHHMRTAFSVPTNGMPMFSGYPSEPVLAEAALDTIQMTTSASCPEPMARLYNELSD DAKEALDVRQQGENVAKIILLRAYMAAVQAESANEQHESYPWRNGCSLITFLKQLTAT VYHSTVLESRPDTKVDRQCLGEAFKNAWVRFTHFARGTDDAAVTTSMAWVAFVRGMAV IGGPAQGSVDLHIPVLLHKDKPIMESNMSGILVQVKLPRAWSPQSTVAIDADKLLYFP GPKNPRGSVEPLDEANKSRPYISLVMELGKPVNKKKTPSLVVEATDHWIQKGKPLPKP ESKPTTGPRSHRPNLSHVESAAPPTRKKYASERHPRYSLFFYGRSHRVYGCIPEDQST QDAYDALLNIGASPSSMFDAHPKGRDQKYVYQMKPFWTIGKDCLHWVEDEFLNYSALT KAEEKSEKVLVGDYTSMGVVDTSEDTLDSDAMHVSND JR316_0005631 MAPSRITKSSTRKTAGTVVCPYCNKEVNKRGLAGHQRSASCRAT QGPRNLALDNESERQAYDDLLKRYAHSNTFSSTSQLEATLGGTRPSKHSVEWDLDSKE GGGPDQNSSHSEELEPDGLASEDTSSDHHDGGGGDENIPIQAIADDIKIEYHPSSKQV DEIFRFEDYKSTLPETKPKNVDRKPWLPFRTRLDFELAEFMHDSHLNSSQTSTLLSII HQSCWEHARALKAQGFSTQTITVPYKGEENSFDLAFRPIWDWCLSLLENPTLAPKFKW DAERHYKFDASAQKWKRFIDEPWTGNAWWKAQSKLPNGAGLLCIILYADKTRLSSFGT QKGYPVMARCGNLPINLRNGEGVGGGRVVGFLPIVEEDAGETGKKGFINFKRVVWHKS FYEIIQSLVDHAKTGFYFSQKDFQRWIYPVILILSADYEEQCLVPHENLTDFTTIYPL RSAKEMGDIFDEAQELNKTDADKLLQMYGLRNVENVFQQIQNTDIYEALSFDRLHNNH GGVFSDHLWEEYQKIVNNLGKKTAALIDERVDEIPRWSGLNHFRTLMKSAGEFTDGTK YEDISKIIVFASEDILTQDVSEQGFRLLEVIRSYLELDMYSGLTVHTEDTLQSGEAEL KTFCELLCAYSADFPDKSWNFPKAHAFRHLFDDIRDKGVTRNYNTKPNEKAHSILKSF YQLHTNFKNVIPQISKLNEKDLACHMIRGALDHLDEFNTTEVEDEQESTIIGTHHVSL GSYLPAISFSEHERQHSSDIAFHGFRKKLGQTLSRHLGKPVRFDKHDVITPYQYMEVN YESKITWKTERNILRINPSFHGRKRYDHALIQVDSEKNTYIFVQLLYVIGIKVEETLY HMALVLPLDEAIPALENHRISDKKLRFIRVRSRHRSKAAFINVESIVRGGLLAQAFRP PHQVDEYIIIDVIDEDMWWRLKSVKLVKHTMPSNTQRNITALDDSSSESDKSTTPFTM PTNEDAISQSELEKIIERALDDSVSFKDMQEALKSAHVTILEQRKELLKLKTIVADLQ LRQKAETKQDLAVIIEEQIKKFGRCFQFFYSPYAQLNWFNNMMEKPAFACNDPARYET PQGVEVSEHELQALALRGIYAELFDCIAPDFHSYMKSGTSFRKTFITALQDVRSQCLD RLRKSCPKIFGMPASYFQRGTASRSTMPDVQNLLKDKNGACDFTSRFPAVLYKDSDPS QVDDLFLNPILFKIARIVLYGPNAVDMIGGTKIRINNTYYRDDRPIEVTEGMIAWCAI MARFLLSDDVEFTSTGVGEKSSNTYFGDFDTYKKIIIRSKKVNSKWYDRLIGKWDTQL FSATKQRKDKDTSGNQQNTNSQNPNSQQDDQVDDIFAAMRSSNLARPPSDTDTDLEEQ SHNKGLTRRLQRSGALENLNISDDDSEGGIEYVDIPYSTPSNSSPNPSQNHRIPSRPD SSTSTPHTGVEELAIDSGIPQREEPAASNATLNKSLYDVLAAQAKGLSKAYLFSINYM NFEM JR316_0005632 MGAKKMKADPMAIPKGYTVVNGPDKKTQYIVPDFVLLSLEQKLA GDRAKSSIKVPSGLWLYPQAKALPTYVTFGGMLHTPSDPPLSSQELLILHGKVQGLQQ QLGICYRNASHRLYMAEMEKLIAADAMQKAMERLDRKISKSLKGLADRHNVDSKAAAL DNYTGISGSHALFCPLELIAKAHFYSVLERGEVVEVVPLVCTVLNHEDVSICTGFRPE DPSTPEIGTWTLVIVGWDPGIFSLVYRPQGSIVHLNIQGICGSQTKALPTRTDAVNKF LQLVDAGEVVRVSSDSNLRIAHTPEMYLELCRYCQNM JR316_0005633 MASAFKNAQSLQETIQDLDVRARSAASTVGMKEFVASVDGILIS VLQKARAFQDVFIEFHGIFAWKALVAFKAAAKNGTLGHPEFEKKIQKLLQKYDEDYST SKASTQNGRQMSAQSDHEEVQPPFLSTASELRAPFTSPTSTPACSPAERRTGAHKLPS PLPASVGKPETTDPASQSAEPAPEGPTPIPGSAPAGPAPAGPVPAAPAGPVPAAPAGP VPAAPAAAVSVPAAAVSAPAGPTPAGPVPAPPAGPAPTGPAPAGPVPAPPAGPAPAGP VPASPAGPAPTAAIAASAGPAPAAAAAVPVPVPTPPSAPTAGAAPAPGAPTAGAAPAP GAPTAGAAPAPGACSAGATGAPRGATGALSAGSTGAPTASAEPAPTAPAPPVASALRA PVGGAPNAVAPTPRSSTASTAHSSTAPTARSSAKPASRSSTAPTARSSAKSTTRSSAK PAARSSTVSAARLSAKPAAAPASRSSTDSAARSSTASAARLSAIAPSLTVKELQAELN QRIRGLPPSQETLHGLDDITTRHTEPTAFDGDDAADNNKEQHGNDNEEQHGVTTMKSS KRCCMRNGVKVVTPKAEKGTKEAGKSNAKPGQSSKLHKSPLEVEMVLDSEDEKPTKKA KLSTKAAQLPAPVTPASPPSTQPPQPPASLSHPESATNTEVIELSSNGEVNSKARLTK PPPVKADIQSFPANLAAHPLIVDFARHLADMEDAHQATQDVLDTIQENHRKLERRQLD LMQKVNSHNLIGNAYYNRVSDIERKQADLVQEMQTIGWDLDIIQGRINKLVGKGEAVS EGDTNSDGMVEDVDKDQDANGDNDEHSDRQNGHSRTAPPNSLERTHRSDDEEDMEIDS DSDSDAPTSK JR316_0005634 MSLEQTSEGSIIQNESERSPTLPESGQPNVPKPPAIAASLEQVP VVGDIFRRHDKRQVVYDTRERAYLEPYKEDYLNAKSNQERKQIVCNILPNLYNYWQSL GRTVPNTVEKSRNEAKRLLGWIRNNWRNPKPLQAELKGHKKRKRTTILWRTRREEVYK EIAEILGLESAGPGTKGVFETRMKAMGNILGHMLDAERKQLDLEGESIPLLEYTDEEK QIHNQIAATLGVPSTLLEDQKAAEFTHMKRLISTYVRGLLNARKRAKDGIQNTDKSDI TILDRDPSGFPILPYDFDAEKHNKRELEQLLRLYLGQHYLLATEGRTDQAPFSIFHKK LSTLISPQYLPTGFKALEEPRNMDLEDIRTLMNFWRARVKKGQKGEELVHTQYPDEPR KTNIEKRPPSPRKSRSKKSKKNPPMNRNPFPILNSPNPNIMRIEDMEGGSQLITFNQI DTSMIDPRLLNPPVDSLIHGPTTQVDTYIQTSSEASGVDPQAQPPTPSARGEESTMLS RGTSNNSATAQPDSLSTTLTRITAHMSTPVQSDGLVASVQPRPRPRPIPKPTTPTKIA QAAENQRLLQEAREISSELLRNLARPITPPGPLLFDPFVSSSESSNAAPGLMQNITES APIHTPIPTNSAPTNRK JR316_0005635 MPSQRTKSTRRSKKNTLYKGDADAAEAITYQSHTRKTRTGREVT ELVKVPLTGPQIYRRKDTAHLQGGQLFEGYQPEPESLGFDSNSDEENVDPTAAKKTQR HYINQFVERVDSLLEAFMSREAPTQSATPLCTHCEGGAKAAWRCWDCSLPENLCRKCM RQTHRTNPMHRIEQWIGTHFQKAELWEVGGYILIPHCTNTPMCSGLKFQAEFLEGIKE PIDKTEQTQLQAMDMGRAPIQHEHLDHIDAHDAEMDEAEDPPPHPDDVYGISDVDFKE YLDRIREEALRGTTEVVEDGNDDAEVRKADADITSMASFYPQHVPVADALNNSLSNLE LKASTYQFYNLIRRLTNPISPSLVANLYNKFRRMTRLWRWMKKLKWAGFAGHNGRSAL DVKKGELAVFCPACPQPGINLDENWKDDKNRWVYKRIFVADGNFKANHVCTEKPSQDV WLSEGSGMIPKRTEYTEFLKSAIEALTGAPCENTFRAIQNLLLSSSSCDITGVVGIAC ARHSCYAPNALVDLFKGEQQKNVDFALLAALKSTGVDPDQGAMVIYDIIYQYIIYLLK RIGHHLPPGLKIDRAIGMFHVHAHKDQCFFRYAPTFIPGAACVCSEILEPLWSDLNAV SPAARTATLAHRAELLDKHACDSNHKKMLGITQYLCRRHVESKKRRDDLREVFSNLTK SADPETIQLWTKQIEEAESKRLTDPSVMDIYTAKWPGSNPVSIDEVGPVSPLTSLESW IQFALVVEEKQAAGVVQTSTKSIGLLEQLFIDWEDEEDVLAPGTTPIIHEEIDKQPLC LPSNGTAPMSYAPCELKARISQARGHLNQIRELIAERSFTYSDIVRKGPRKGVRTRGR TVANELRDRISLHALPDADPNSIGEDADPATVLEFKRVHWLRARAHYQRWQEEATLVR YEMQWTVAYFIHKRNTWKQAISEDPNLTGEARAYAYRKIEMWNYLALLSDQSFRRINS NYTPMESMA JR316_0005636 MNKKVIRAFNLFNLQFGSESSAHDFTQRVIYCGASQIQEALIHI LKASNNAQRKWMQRFLQIELNYILMGIGQLCALGWDVQIPFIIRSLADSLAAAPAHTL PLKIKDEFLMAVASATAENSLDKRVESYVHDWWTLKDEPPTSEALNRSNMQEIIAYHE RQFLKTFGISFDDALSDAPTLGPDDPSGNTSNDPIIDPVPTLKLIQPIQLVPDATKSS DCENCNKLHDLSVDLLNQLRGAKAIFASHVVSACNQVGCVGRRALALFELYEASQRLA DNDGTLGSAASNADNILGPQGKWTWGRDLTIKEVSTLAAGQVSDPGRYLHTGYKESLE DGSLISRSQFQPKMTTSDNSAKSVTHTHSGIGPDDGGADCNSNANGGVDGGPAISSAG SDIASEFHSTATTQLPPYFEFGTDGESTSSQGELNNGTNDSRSMDGEDASIFESAMDF WYSPGDSDTNQDSNTVAAVDGIAISDNSTESDDDDYSGDNAFDQVAALFGGDSSSESE DVGDSAEDADGNIQRENTPRQYTVLDFLHLDAGMFDDEDDSDESYNPNNEQDSDGDLN DNSDSDSDSDSNTSDAENME JR316_0005637 MALATRTACYPHRTAAAAAAAHTHRPRATAPLAARTTPLLATCT AAAHTRRTAPYPHRLLPAPHRHCCPYLPPAFYPHCTAEQPIPTTRALLLATRTAASHI RPTAHDPHHSIPTPLATCTAPPLLLPACYCPAHYPHYTTARYPHRAAAAAHTRRLLAT RTALLLLPIPTTRALLLATRTATAHTRPTAHNPHHSISTPLATRTAPPLLPIPAVRLL PAPHHHCCCPLATAPLATPLATAPLATPARYCPACYPRHTIACYPHCTAAAAHTRCPL AMHRHFSPTARRCFPPHCTSAPPLATNFTQAAAHRHDPPAAAYHCYSHIISSGF JR316_0005638 MKVRKMHGVQCIAQHCLGFETIQSSPAIEAIAAANIRLETATAA WHCIHKKRRENCPANWEDIHKEFKDAKELLTALLKDRKSKEINQETLDSVSNLETTAG FMSTSSATSYLAAIVASTISKVPLAPVEQTTGAPATILQPKTWDRTIIDENQVEETMP AEKEPVTGPPRKDDEPCAPTNSVVYKGPVTESAIKLSYVEYSGPGSSYSGLHVGLPKF GSVRFGAQFLLNQN JR316_0005639 MKHKVNVSRYGWCHSVTTQVTRGHTHKGLVTTNYHSKQYPTLSR IARDYLAIQGSSVAAERSFSAGGLTDVLNRNQMDPELFGYLQILKGCYKSQLMTAANE AKAHEPMDWESI JR316_0005640 MSIRYKMTIEISGFPAGVVNAVTPPHQDLISGDARPIQIDSRRR EVLGSNGEAPILNDCIVMNMDSFGIQIKIHTLEEELCAPAQRTMDEICLKLREIDLGY AAIYTVEKRVSKIQNIIAKGEQSFTANEQRKHLFKRKEILDKQRDEGEAEFSRLTVAD LPEYQRKRNLLMAEIDAVKLELQQLASSKSKKASKGTTIRSKFAKASRQARITKSSGG NKAFSRANTTENGSGDDDELSLSEGPFEDDGEGGKSSKRKLSTKFNPTIGRKRTKAQR LMLEVVYSDELKEEEEANATDLQEFRVDEWDCEEDIKEEANWAAMTPIQRVEYCDDIV RSIARFEKTGNLQDFHPKYRQSLCHVQSLLPEKCFKSRRVAIAIHMTLHGNLICRFHK RCLIDNGLEEPMKGDVFEPRHQSFLIKVFKEQSLLTTDNIYNPNRPRNICKFEQEVRL LDLSITRLAKKWEEKTGIEMRIIFPQREAELERMRREAAEAATKQGQAGESSAISQ JR316_0005641 MALRLNCLVHGDDRSGIFTVRVFEGFNVAKLKGAILSHPRFSHL DDLDLRHVSGPFLINDVGQKDLNIDNYVKLSNDSEKNSSIFEDISNDCLHILIGRVST GLNESSIKDPNESEDAGVSNTNWMSMAWETRYYGEHHINLLTNIRKSIGDNPDENTSA IIQSSGFGKSRTVDEMAKLVATIPMNIRDTRDNEQGAYPHPDEDLTKLFKLIASKARN LDSAVGAFHKFFAILFREFSNKVDALLRSGSNQSLPVLLRDDLAKANSRSLLYKTVCE QYSSGAFPAPQLHNSLTELGNLITKLKPTMDNKEDPAWLILYVDEAHTLTELKIAKDT DTKPVSDTGTKPVSTLYDAMVKAATTYCGCKFFILFLSTSSRLRRLAGLKDVARSARQ SMAELVAPFTEMPFDCHSELQNRKFRPTLLLKDIQKHDFLTYFGRPLWASAFVGSANK DKQIRALAITKLMGVSGIDIFTNLTPRLAVLDTLLNLEYSPTKHQTLQLADDMVAGNM RTAFSVPTDRLSMYSGYPSEPVLAEAALNIIHRNTNNACQDPMTKLYNELSDDVKEAL DVGQRGENVAKIILLRAYMAAVRAESANEQHESYPWANGCSLIAFLKQLTAMKYHTEV LGSMPDTEVGGQRLDKAFENAWVRFTHFARGTDDAAMTTSMAWVAFVRGMAVIGWPAQ GSVDLHIPVLLHKDKPIMESNMSGILVQVKLRRAWSTQSTVAIDADKLLYFPGPKNPR GSVEPLDEASKRRPYISLVMALGRPVKVRRPPSLVVQTTDKPEAKPTSKPNLSCTESN AAPSTGKEKHPAHPRYSLFFYGRSHRVYGCIPEDQSTQDAYNALLNIGASPSSMFDAH PKGRDQKYVYQMKPFWTIGKSCFYWVEDEFLNHSEAQDESDEFLEALFVGDNTSMDVD SILMRWMLGTPSFKRRLRVW JR316_0005642 MASIRLNCLVHGDDRSGIFTVHVSRGINVYNLKVAILSAILSQP RFSQPRFLHLDYDDLDLRLVPGPFQINDVGRKDLYIDDYVKLSNDLEKISSIFEDISS DCLHILIGIGRVSTGVNESSINDPNESEDAGVSNTNWMSMAWETRYYGEHHINLLTNI RKSIGYGPDENTSAIIQSSGFGKSRTVDEMAKLVATIPMNIRDTRDNEQGAYPHPDED LTKLFKLIASKARNLDSAVGAFHKFFAILFREFSNKVDALLRSGSNQSLPVLLRDDLA KANSRSLLYKTVCEQYSSGAFPAPQSHNSLTELGNLMRKLQPTMDNKEDTVWLILYVD EAHTLTELKIAKDTDTKPVSDTGTKPVSTLYDAMVKAATTYCDCKFFILFLSTSSRLR RLAGPRDVARSARQFMPELVAPFTEMPFDCHPELQNHKIRPNLPLKDIQKHDFITYFG RPLWASAFLGHNGDRETEIRKFAITKLTGLSQVSDIFRSTNLMPRLAVLDTLLNLEYS PAKIQTRKLVDSMVAHHMRTAFSVPTNGMPMFSGYPSEPVLAEAALDTIQMTTSASCP EPMARLYNELSDDAKEALDVRQQGENVAKIILLRAYMAAVRAESANEQHESYPWRNGC SLITFLKQLTATAYHSTVLESRPDTKVDRQCLREAFKNAWVRFTHFARGTDDAAVTTS MAWVAFVRGMAVIGGPAQGSVDLHIPVLLHKDKPIMESNMSGILVQVKLPRAWSPQST VAIDADKLLYFPGPKNPRGSVEPLDEASKRRPYISLVMALGRPVKVRRPPSLVVQTTD KPEAKPTSKPNLSRTESNAAPSTGKEKHPAHPRYSLFFYGRSHRVYGCIPEDQSTQDA YNALLNIGASPSSMFNAHPKGRDQKYVYQMKPFWTIGKSCFYWVEDEFLNHSEAQDES DEFLEALFVGDNTSMDVDSILMRWMSGTPSFKRRLRVW JR316_0005643 MPSHTRPSHHGKLKPTQRQPHVAGNETVQPRPATPGAELPRADG RAQQQRETQAMVEAAAFEAQRQRDMFMKLPRSSFQDLANVRTRNVGLLSQLLNPDPEI FPVNHPYRRRFSYGEIRPGASRGGGGGGGGGGGGGGGGGSGVAPMRPLTPAAPVADKQ PTAPAVPAERTDGSAQQQQHRRSESGSTADRKSSGSNVPSRMNFSPPRRPGGMNRTKS AAAMPVSRHLFV JR316_0005644 MAVSPPFPSSSSSSSSSSSSSWSDMSMSMSNAQYIVSPTADANQ SNIDHITSLERSLCSNFYCCGARLADLHALIDHFEEDHIMLLAPNGKRVYPREGLKGV PLIQTSVPLPFALAFADAGAGAGTGTGTGTPGSSRAPSESPSSSSLSSPSSSSSSSSS FSSSAPSTPSDFSSPTSGPFSANRKSSSLASSAAGRVPPVYTPFTPSLPVDPADPYPY PYPYPYPHADADAEEISALNAYDFDFEGGVGVGVGVGVEAYHSLMAPSALALAHLHSH GAAEMMLMSPLTLASSDDEEDLDLDLDMSDCSNAEALLANQSVDVPTNVCAQNVPGAG PSKKNVKFSVSPSSSSSFSSSSSSSSPSSTTSFSTTTTTTTTTTTTTTPPRGRPTSNS KTPLEILKSSSSSPTAAKLKSKKMAIIGTSASASSSAGAGAGAGAVKRREKLFRCPSY LNPNGLKYHMEKGTCCLEGDAQSQSHTERERAASNADVEMEILPDVLVHAHAHAHPQM QGPAAHVQTYPNSGSPSSAEPAPQQPYPQLPQQQQQQVPQQQQQHQQQQQHALVYHYP VPSYPQLGGPNALLAGYTTTYVHRPPYFSPVGVMSATSANASNANAAATANATAADSS NSNGNSSAGSDAPLPLLQPHPHPPAHAPALSASTSAASA JR316_0005645 MKMRPNEDGTGPSPSHPSSHPSLGVASCIWSPGLSASAEQEQEQ ELITLSARTTPFKLQPPSRTRSFVVS JR316_0005646 MSSGEDEFNEGETSSGVFQSLKKRRIQRACDICRRKKSDGVQMP GNRCSNCVAYSFNCTYIEAAKKRGPPKGYVESLENRVERLDKLLRRLCPDEKSYKELM STLDHWAPDKPPEDPSSIVGFPVNPKKPPPGAPTPLESVTSAIRGVNSTPQTPQEMAH DDDDAGLLLIDNFKRMNLAPTEYRFFGKSSGAMLISKAIELKKEYTGKEPGFLKFSSL KQQRKDEFWTSRPWEHGMDTVPEVEYEFPEPDLAAHVVDLYFEHLAGQFLQGSSAPQS CWTIVGIGIRLAQDVGAHRRKIPGHSMTTQDELWTRAFWVLVCMDRMVSSSLGRPCAI QDEDFDLDLPIDCDDEYWENEDPLQRFKQPPGKPSLVSAFIMYLKLHQILAFSLRTIY SINKSKILLGFVGQQWEQHIVAELDSALNKWVDSVPDHLRWDPNREDERFFNQSVTLY AAYYHIQILIHRPFIPSPSKPSPLSFPSLAICTNAARSCSHVVFIQKKRNPLTIPQIQ MSVFTSGVVLLLSIWGGKRSGLSTDPNKEMEDVHKCMEVLRNSEDRWHSAGRLWDILY ELASVGDLPLPQHSPSSNNKRERDSDDPIGASDSQQSDSDNSGEPIAFGTDEFIISSL PFGNNNSSSNRNIAGSRRVESSIRSAQALQSEMHQQGFTPSSSPTHQRSLAHRSSQSR MQLQHERSLVSSINQNQVQSRSPVEFALPLYSNELGRIPLHGQVTFSDQVTTHPPLTQ QPQQHHTNYWYGDSTGGGNSNSASLLSGNISGSSSTLAMPYRPVGEMSTMTQDFGMDA TSMAAGTMFDTMSGALAFSHQAQFGMGSGSSSNTISPAASAQSLRSSIGNDIGTQGAL GSMNPHNLLSQHYPQQHTGNVGVAQPDPASYQFVDSDIMAMWSNAPSGFE JR316_0005647 MPLKPSTRPNTLHILELFAFTLPEIILMVALCCFVYPTAVPNFL SILLIFSFTAEHWLPTNEPDPTANIRTSFTRPRKSDTLHHTHIEIGGAQHGPQWKAYL NQSCGQALRTQSVMYNSKTFKVDLLWHCRSKATLDSLREVVNALSPPYSTCGTSASRT PGLELNVEVVKSHTPTDIVEAVDQPSLDLYRLSNLQKLTWKGSYPYFLFARPQYRTFG DILRGRTTEVMFPRLAELRLVDCNLQPGDMVEILRRCPVLTSCEIDQLRHNPDSTIYP NLHEHNHFGGHFGQWIATDADSSTSDIVSHPSLKHLKISSTLDLRQFFSSVSTPNLQS LHLQFSPWSHFPDSIQEYIPFLQVNWESLTHLDVIGPINHYSYRCLGTFFDYKPSLLG KWFLRDNRTL JR316_0005648 MRSLNFVLAVVLPALVCSTPVVEKRQALARVVTTCTKPNNVALT FDDGPWVYLYDVSKALVAANATGTFFFNACIYDADSVKRVQYAYQHGHQVSSHTWSHK DLSTLSWDDIHDEMWKVEQALTKIIGVVPAFMRPPYGSYNDLVRQAAAIRGQVLALWD FDSGDSTGSTPAQSEAAYTQLIARHPSTIVALNHETEETTAHQVLPFAIQQLQKAGYK LVSLAECTGLPAYQSVTTPGVRDSTWHC JR316_0005649 MAPRAAQVSTAEAENLGEPDTDEYHDPEDQEAHTSDSGPKESDA ATMSEDEVDQEDARASTPVPVPPPKRGRGRPKKKLVSIAASDEEHAPTGPAKSQGPAK RTKRQSKPTMKKSDAAHTAPEKDQLEKELSRIQNQYKALKKAMAAQKHNGGSQQDDDA ENESEEEMFDNPNSFSSSVKVVPYTHTEKENRPPLIRVKPQAAHAGKLSKRLSPHAYK ALPPVQAHSAHPMEDVHDTPTIHGPTKPGKRSRDDDDDATGAQKRSRNDVQDDSDEEH PEREHGATGEKPMIPQLKEGVVLIPGRRLKASDYEDVARALINRAAHEFEVLVSTQNS LPSSELRFQWAERCWRNACLASQENYAITEGISSIASLRRRPSRIRGHALTIIRSLVV NLFGFKKGTKSSTIAHNRDLYTLLKTDAAFHYKNPHNKTGHTRGKIITETIEAIWFDG LTGPGVIYAAHFNPIPLETLALVLTVIDFCLDEWSSGTFTKAHMWAKLIFERHNAFRE VLKTWEAINKDVVLAIRKKMYKHACQHAGLAPPSSMPTLTVEDIARVRQELDGHSGET DSEDDDNGMEE JR316_0005650 MEKLSSGDRLPPPSADLSVSEDEVWPDPPVTPWAPMHTQPLPES SESATENSEPWGSAQQPDVEDSGCSYVETFPGPTGTPVGRAPTDYQQHAEWQKQNQLE SWYPFASQDEWELGRWLIRSGCSTERIDEFLRLNMVSLVADVVSPVRADSLQMRSRMR PAFKNTRALHKRVDLLPESSPWFFKPLKVTGNLKDHHNKYLEEDLELWYRNPVDCIAE LLQNPALDGRLGFEPRRVYRYPNSMDREYNEMWSCDWWWERQKLLPEGATVCPVIISS DKTHLTNFSGDKQAWLVYISVGNIPKELRRSPSAKAMVLLGYLPVAKFACFDEARQAV EKYQLFHRCMRIIMEPLIKAGQEGVQLQCADGYVRLCFLILAAYIADYPEQCLVTCIR ENSCPRCLVLPKQRGEYMDRPPLRTPDHTLEVLDDTAQGNKHPDFKKYNLRLVDPFWR DLPFCDIFEAIMPDLLHQLHKGIFKDHICNWAGQALEIATGPGEMDERFKAMSLHPDV RHFDAGITHTTQWTGKEHKAMEKVLLGILEGAVNDRVILCARALLDFIYYASFETHSD KSLRMLDKSWLCFHQNKNIFVELELRKHFNISKLHNIRHYVDAIRSFGTLDGFNTENT ERLHIDLAKVAYRASNKQNYVPQMIGWLRRRENIHKNTIYLHWRTQWTEEHNEESDTE DEDDAGEDEEDDDVDQVEVGEVAGAKEVVHRVALRAPLPAVPLRTLVHEYGAEQLLSE LNYYLEDVGSQYKASISSTFAVYPRARLTLPVIPEVGTEPVRDALSATPAVPARHTPQ GTKRAVPPKFTTALFKTASTDVNKGPLDGLAVGQIRLIFDSPRTTSDTTKTPLLYVRW FKPLRLPQTEAGLCETSWSSRHGATRATVVPLTDVVRSCHLMPLFGRHIAKELGWQAI DVLHQAPKVALNPYLRLYDFYWFRYKLSMHEEEASPDRKATFGKKRKR JR316_0005651 MSPGQSRHAMNLRPPRTPRWRRRTPASPSAAPRRGGPSASAPAA PQRAGLRASGPVRRRLPARQAAIRTRHSVTEGVVRTVVRRAEAPAAAAATESSNNSEG EEIISLSSDSDSELDPNTWGEETPGSPVRVRNRTRASCSAREAMKVIQKEVLRLSKFQ ELLEEEYDAEEIGQAFRFIDKEEELTDRIDFLERQVKSLRQTLEHIQGRAQLHLGRH JR316_0005652 MSRTPTPHRSKANTIHSPNVRRATPSLQRYRPSSATPSTPTPNA TRRRGALRLSDIAAETSPASIVDIGPDDVFPGQVEPAVTARSSATPAAIHHSIAVIRE HLQRLEELSLHHHHVSLNLTNREAEIQDLLHERLEQFRSDHKLNIALNKEVRFMKIKA VADDQKIMLLQKEVALLRKALNKKRSRRV JR316_0005653 MARTNKASHTSSPRVSISDATSSPSPGGRARRKPKSPTKDKRVS FQRHATPQTPIPRVFISRASTSPHPASPAGMFEATETQLADDECSQYVSVHYEESQVV EDHNDAHNAAQVVEEGGSVTEDSMDNHSDKPARGGGPVTAEALALHEEILWRIPAGRF VMDREDQLERRIQSVQNSVKEMEASVSALERMVSATKSSINDVFSRWQDEKMDWGRVR ERLAKSVDQKDSLEKTLAAVCQERDTLQAERLEWTRQRDEFIKVVDAIKQSVSQ JR316_0005654 MPLTSQIDWSKGTVFIHDGTLICSPNSKRFVILPCGPPSLKHLE AAKDENKAHLPYPGSTHFDRKLDIDLALYRQPVQWEDSYGWLAFVPLSPSFISLPFKP LSWTPEPRRWRTGQTTYQWEVESHEKGEWYRCESQLVYLCEKLRLWYRIPGTPPPVPS RFGYDRPHRSKEIAEKMVEVSRNAFVLWMGFLSYLIAQSRRPEHAAHLRDDGKKPVPA WHTKILEEQAAEAAAAVAASAQTGWDPITPPPPLITEPWLDGLRQSVVWNFTPQTPRA GIAYDWTTSHRTRPPIEFFLDNAIAVYYPWGVVEEDTMKTHKLVRQDLRPPSEMLATV LDEFLGSTDVPLATLFMRKYYEQPDSTVSPSIKLLGQSHSQSFVFQHIMDRYHNEQTA FERAWDNPDNLDIDDILRQRSSQVNAKARRMEENWNDSFTEGHEMQGRISGVVDVTGD VRGIQNTESFTDWEPYWTRRLAQWRKALENEDPAARRLRLAREKQKPTRRTKMYQWKM VRHANGTVTYLRQLVSPNMAAKLYHKYRPRQVVYNSIDNVWDLCRYFKPPIELAQGGS DNSDLSSGSSDESDPGPIPLVSKPNQVVNTPEPQEPRVQQFDPSSRPPTPAAEHFSGY PGSPDMQVDAVHSHVTDTVPPPDVEPALTLLDARPPPAPKPDARTGWMVPARNLVQSL RLGYGYTGPGSGFSVRASTTTKDERKEWLEIVESLGFLSANADDHLEEADKQTVQVFY QHYVVSDSEDMLADLCDLHPNNKYGLHTFLGLREVQRPHKSMFVFSEPRSQWSDWKLG VETAEVALYVCRLMQMYPTLDIDDIGYKLLSKGVPCRTFVKIPASPRSKTVVDKFIPT SFRPAGYTFVVEDFLEYRHQCETLLSMPQGRAAVLKGGIISRIASEYLGLLSTLEGPS PEIITNKQGFMILLGPNECWADDELTEKELAVLCGTYGMYTGKLTSQLAGSQLTHLYT ARANQTTIVSWFPPADLWAPGSLRNGRQLIEWTPDNEKWYQDRVRDILAGQAQPHTKV QWRTWYRGIPQARRLKEQSSHRSADFVDRHLPITQGKL JR316_0005655 MHNTITSDLRLHGKLIHYLTKALAFIICFILVWAGRLFTVLAKF CKAGSSKLRRALGVDNKDTIPLHRRMSQPDNSDRAPLLEEEQDSEVDMGGWVEMLPCV PAWPVDSDADAHLRSAAMDRERAGIVFRCLHSSILPFVTRRHDLATVANQLVQQDELA PLPALLVHNAALREILEHYCRINNLAFERFLHHRFPDPVYKKLCKHYKLVQRSVSRL JR316_0005656 MVLTLYGNPMTTCTWRVATVLKEKQVPFILKEVNLLKGEHKSAD FLEKQPFGVVPCIDDDGFILYESRAICQYIAAKYANQGTPGLIPDFTDFAAYALFQQA SSIEQAYFNEYAEKAVVEKVFKPKYGVVGNVELADKLLATLETKLDVYEKILSKQKYL VGNSVTLADLYHLPYGSLLKDAGASGLDSPTRPNVARWWNDISSRESWQSVKDGVIP JR316_0005657 MYDTHDLRHVENMLDSLPITDENVNLTLNIPSQEDIVRTRCVSL DVQNQSQSSLPLIHRMPDEMLGEIFLACLSPHCTREALKDQDRQFPILPMFVCRRWRN VAVSTPRLWTQLDIDIPRTKRVSLRRQQIQDQIARSGVCPLDVSIWFPEVCGRQWEET DGPRYDKANQLTGPLFSEQHRWRSVRLEGASQITEILTQLNPSKLTALEEVELNLDGK FYSSLPADFLQAPKLKHLGLRRRLTVPTILSTPWHRLIHLSFTVWEMPDSSPTQTLAE MLALCQNLTSCFIRLYGWVYRTPDTTESDHYPLIRLPNLSTLKIYEGKPSSIHTSWDL PRLRDVEFHVTEVIPPHDQSASLRAIIERTEGTIQKLTTQIDMHKQGDLIGCLDRCTD LRSLVLELPPPIHVGSQAGWGYALAYPTEPEGLPHDDLLECLMPGHGPGNTTRCPKLE SFRWCTERQDVSKLKHIVVNFGNDWKETKMLESLEKLSAFIEDGLVVEFL JR316_0005658 MDEPTGDKAELAWANVAIGLGFIVFDVGVSTIFRLGVGISLLVA ALRCIGQLAVVATLLQQVFDNKNPWLVALIAFILNFLGTFETVINKSPRRFRYMFPAV LIAMLGSTIPISILGARFAMSVDPFWTPIQYIPIVGMLCGATITGIVIAVSYILKELV ENRDKTEIYLAFGATRTEACRPIVIQALKVALTPPINNMRSANDIFSEVHPLTFLNSV LGIIAIPGMMTGAILGGSSVHQAAKLQMIIMFMITASTTLASVFTTFAAISVTVDDQH RIRSDRIETNGKRWYSIKNWDLRKSIAYLSQVLRLKSNDNGMDGTPNERQRLLA JR316_0005659 MGRSKPLLGYKPQAKTAHIKRVYPTDLPPLPPSYEAAVTHRQYQ QQQQQQQQYQTAYDEARNRNQYTQHPVHVAHANQSTSSGYNPPTQQPAPANQDAAYYN PAMQHSRYNTPAYQDPACYAPPVQQSTYNAPAAQQRPHANNAPALDAWGAKLAEEEQH YGGSFFEPSHPTVYSNANNARGSDHANGYNNFNNANAYASSSTNVANAYAARNASRAN ISATSRYFNVPHSAPIPGNNGVNAGRVPPSSGLASMDVDPDPLFDMNIDDPNAMDLDC LFVTYGGVVYLVHSLGMDVNHTPVDDVMAWEAQQSQHQFLQYRQTQNNDLQHQQHQPQ RQQFQQSQRQQRQLFQQQQHLQQQQLRPQQQQRLQQQQQRPQQQHQQNQQQLRSRCSG QVRVISQPVPSRLAQPIPSITPTNTTTQPASNVAFTTGHTLSRRNVERVADRFRDLHL SEVGISTSNSLSDGNTTGVGDQRCSHPGSMASAAASGPNSTTPEGTLVSFSSDDLVNL GSPRPSVLGASGVWEDLAGLQNAFIPPSPLVDDSLLVQVPLSSSPYSSSSSSGSSEYS QYFDLRELDWSRSSASSSPMYREQSLSGSDNQRSPARFSRSAQWTPLSKKLQTMSNVT RRCRTKKQKKIIVLGVPRDTLQQTRRKNKLSSSSSARTVRPKKKKAVPKTVTATPPSV VIDSTVVSAEQQPETTRTAHANSLLDVPRRSANPATTPVKAITKVLLPRRVLAASITP PSSRTAIENRRPRKTVTFADDVAPKAVPKAVPAPVTPKAQPLLPSLPITPVREPRVSR SSSNSYEKASYVAPVPSSRDVEKAYSIGWCRYETTSERATPATPPARPRRERENISGS HPLSKVPLERNGKVSVEKASSRTVTEPQPAKCDEKALPGAFPEEPEASGPTLFSWLKQ KVLRW JR316_0005660 MFNVASIAVLSSFFVSQVAAHGGVIAYVINGRISSTYKRLYKAK ACFQDKTIKDGNHTTPLLANHLLDAPTSLSCNNNGQASGSQLSATVPAGSTITAKYSQ WTHAEGPVMVYMANCNGPCSSANSNSLKWFKIDQTGLISGTVGKGKWGNGLVMDTLQY TTKIPAALAAGNYLIRHELLALHQANTPQFYPECAQLIVTGGGGQTPSGNYLVSFPGA YGANDPGVNINIYTSAAQSQTTYQVPGPAVWTGN JR316_0005661 MSTDLNPTEERTTELLESLAEVRARIQAASLPARSPTLVAVSKV KPASDIIACYKHGQLDFGENYVKELEEKARVLPADIRWHFIGTLQSNKAKTLASIPNL YSIQTLGSIKAATALQKALPTDRSSPLRILIQINTSGEDSKSGIPPLTSSSDIANSEV ALLAKHILTECPSLQLEGLMTIGALELSLTASETEKNADFERLKETRDLLHSYLEQNF GQVGEKWGDKTSGRLLLSMGMSSDYEAALKAGSDIVRVGTGIFGQRPKKVA JR316_0005662 MPPIALTYPHVEDCQKRIRAFFVGVCLIDTSRAKLVWLDNGHPV YAFTREDLPSWYLTIASESPEEIRFIIKLGPEDGREPSIVFYLQGPLIGLGFLKFDAV DAWFEEEEQIFVHPRDPYKRIDVLQSSRHVRIEVNGIEIANTRCPIMLFETGHPMRTY IPKTHTRLDLWVPSGHQTTCPYKGVATHFNIVLSSGETFENVMWSYTNTTSESANIKG LVAFLDEKVDVWIDGELQERPELPSTPQIVQKNTKQFAENF JR316_0005663 MQQPSINKELGQEQGRKIKLMPKATLRAKRSDLLPIVCSMLRKA EQRPIGRLETKQGDVKTVPHILHPAGFPLPHVEDSRKRIRVYFGGVCVIDTTKAKLVW LNNHHPVYAFTREDLPSWYLSIASETPKEIQFIVKLGPQPERFPAITFHLAGPLIGLG FIKFEAVDAWFEEEEQIYVHPRDPYKRIDVLQSSRHVRIEINGVEIANTRCPLLLFET GEPMRIYIPRTHTRMDLWHPSSRTIASPYKGTAELYNVVLSSGETFEDIMWSYPSTTL ECVTVKGMVAFFDERVDVWLDEEKQERPNDPSGPATPGPSHPPEVFKPGNDLLILQKP JR316_0005664 MANQHQYMHQPNPLYPSAIPSYRNVSMPRQETPVASTSSIQNSA HATGASTSGPSSSNIPQSSFRAPAHKHAHHLHSIPPREKSTRTLIIDHMLWVHGRTRF AQARAELGMTDRTGGPTSPNYIHRKRPESYEEDDEEGSEGEEVVALKARAGGPGHPHN DDEDERLGRQDLALARSLRLRAEGLEKVVISMLDQPPPIHPINDEDILTPPTSPKLTA SNAAHPHRLPNGVRLRLALGTIVNDLFARQAPSPPYRHTHATNVTTPNTAKSAAASTP PDHSPQSSVVPSPSDLPNALATLAPVSGAFAPVRRSSPIRPSQNQQQQYHNYSPGRSN SGHQGYTQTNSPRNMHMQYPSIQHQQQSSQPLGPPMQSTNRPHASQRTRALYAVGADP STANSPPAFRCPRHLHTGCEICVEAKSPPRQTGSSNSRGRGSSFGGAGGGGVVAPGGG GITGWEDGSGIGSGLLRPGVRGSALRRKVREDGKGSTGAGNTKLSKLIPRFIRLSALV AAELGREARGEEDTNASEDGKERDGVAGRGATPSASGSAYMGTPRSEAGWTIGGGRYS PLPPPASPSLASRNSRQPQQAPSAQTQAQNRMYEFALRPSSEWYMLLAGLLTRAVLEG YLTAGWTGLQAVQCLLLVGLGINEHAGKENVDPAEIDEDDVDDDEFSSLDPDELPNLV DAIKILFPSLRDQENEFAGIKSKGEEEYEMEMHERLKRFYDIPASTPDCATHMEDLAW QYPAEPVERAAVRFCEAIAKWRGKPELETYKKKPQPAPIDALNTSPGGTATAMTIESL VHSNPSSPMIGDNSNAGNGDRSRRKRLKKPSIDVYFIQSSPSSAAGSAPPVTPRRGSF TWNRSGSNLGNMNMNNSSGTNSNNKRYRDDDGGRPESAKRMFV JR316_0005665 MAKDASLSSTAKKMTIVHKCMFFASIIMWAMSTAHLGLLIQRLS YGKSTLWEAKAAVSLATLQLLAVLYQKGGTNLECIRKKQGILPTLILLTVHFELAPGS RVSSSEFMLSTDHEFQTAEAPAITFKEGTSIGYSGSKTTVSTLKDGTSDEPLEKRYEV JR316_0005666 MANRRQPKDQLCPICNKMFSIQGIAQHIRSCSGKTPSLDAQNAF GDFANRVYENNSLHYTSILNAAISHSPAIPNEDSTTASYSAIDMAQMETQDSLNGHHI SLSMEPEDSIEPVTNQSSILQMLDEISNNHLPPPLPHQICIEFHPSANMPPKFIPTHQ YNPNSYQTSPTPHSTSTNPWHPFRTRLDFEVAELALSSHMNRQQKQILLTLIDRIKEK PEQFTIDSVSELEKTWELARSYRSTGFQKKEYLVPYQNDEIGYEVYIRPLIEWCNSLL QNPSLLSQFHWIAERHYKQNDGKRDRLIGEPWTANEWWSIQNLLPNGALPFFIILYAD KTRLSTFGTAKAYPVLARCANLPANLRNSDGIGGGILVGWLPIVDEDAGESGKKIFVN FKRIVWHKGFHEILKSVQEYATTGYYAQCADNIRIMKSTKDKENYLKEYGLRNVENVF WMMNGSDVYKALSWDRLHAYHGGLFSDHLWSEFKAIIDSSGRKDAEIIDNQFDQIPRW SGLNHFASIIKTGEFADGTKYEDIAKIICYASHNVLEKSDRGYCLLKLMRSFVELDMY SSLIIHSSTTLHGLQKELAKFCSILEEYIQLHPDKNWNFPKIHSHVHMIQDIVAKGAT RNSNTKPNEKAHGLLKLWYRFHTNFKDVAPQECALIHILKMNHDDLIAMIIRMDINAI DDLDMPKEDGEESLISTPQVSNLGNSLGNGSSNEKRAQSHISIGSLQAIITFADVEKQ FKENMAFERFRIKTGKYLAAASGSTIRLKANHKITPFELARIHYQSEIDWSAQRDMVR VSKSFHKRPRNDYVLLALDHQKYCFAQLLFMFIVSLDDKTEWPLALILPLDEPVDQRT NLARRRDKDLEFLRVRARRRTNSAIIDLDPLHSGKMMLYQGYSTALNMRKGSVAGESS ISGASFFRGATLAELEQKQRCRIQQDTIFNLESKVRELKEENASLKMRLINKKGAVRP AVSDTSDCAAEIALRKDLIRLAKYHFIFYRIIVPKSLFGNPRPSFSSNNASARYKDEN TSKLGNIAELYECFPAKYHSIISENVEMAVNVFVKGLSEGRSTILNKIRTSAPSIFPN IPSELFTSPLSFGLSSHAVVQTLLGASDRVKVMTGTKEIWKLIYPNDTAHTFPPVLFA DSDTNNALGLFKSDFLLKTARIILLGPSALKAEIMPRTREDSPSAHIDSRMNCTTPGL IAASATATIYILSDDREFTSSGVGPTTGRLYLAQHDMYKHYLITQQSSLASLFKYWDN ILFPHQKPIIPTSITQQPTEILTSSHSRMSDSESSPSPHPVYQMIANFSRQTTITPSS TTSIPGPIVNVEDRDTDSDVEDFAPPLQSTRNLPSNTQDITEDRSDTDEEPTPAAFES ALHVTNTTVNAPLPVFLPVPKTQTPTSPPLSSNTVSVPNASTSISPLEAPVIKPKRGR KKGLAPVQPDATVVAKTRSTRSSKRANITPL JR316_0005667 MTGSDGQLALIKLSHSASSITTLELRLSDHIGHKFCSLGDFPEL RILKLSISYDSFRPLHVLALFLSKSQPAKKLAEISIHLRLDAENFERSSQELTRICSN KDWQLVQQTLIMEAAFPSLSKLNIVFRPKDVATFISDYLNLSLNLCLLKSMPTLYAQT HPKIDIYDAEEHSILSLLSNAVFST JR316_0005668 MPHLPTSQQSSSQKSYTMSPVSPTSSYTSSDYSYFSPHAPFTSP SPSTSSGTLSVPSSPYVNVGPLAIGHGYKPPMSHSAGTTITTTTTSPGGPVITATMTT RTSAGISNVSSAGGGGYGSYSGYQPQANSNQDVLQQFALHIPPPPPLSLSLSTQRNPS ASSTDSRNSSGSPQMSLSSTPAQHTLSVLLPRNVQPEMVTISANKGDRIRVVADAWGG GNECHYEWQISFPPHDIDMGAVHARFDPDGRLTLEVRRRAAARARYL JR316_0005669 MGNSNNKSSLFVGLLIAIPAATAQNLRTTTAFPLPSIPTISALA PLPVQSTQKFQPQLSILPSQPISLNTLVAAPVPSGFPSPLPDGVARTASGKPIPCSPK NVKLNPSTHKLISECVETSFCAQPPGTPVNATGLGICFPRLCRRDEYPFGYGTYGGGQ GRRKNATVIPIPPMCPKGTFCPDTGSGCRLEVEIGGQCELARDEQCMAPPPNPNVNPK ENKAICLNGVCMYVQIPLFAQFAVINAAITRSLFLGQQHNRWVKVAR JR316_0005670 MLEMQKIATRGMAYLVLSTFCLCASGYDKFFDSIGVSYKPWDHH VPEIMFAMMYILSIVLCFAVGVMLSYHLYGISWGETTVEAQDHDEYRKKAKARNEEFV NSYDVGKKKNLAFFFNLGDSGYSLWTLILPLRINPYTDGFSWARRDGYERHQGIRQGE ELTDEEDDDV JR316_0005671 MSLPQTPTRRSQRFQPTATPSAKHSDKNVLDCRWIGDPIYTRRM NPAIDLLPEELDERSENQTEEEKEHDNEEETVFFDAFLMKRKVTSFKGGSKRIAAGTT ETQTYRIGDAVMVETDTLYLTKRPPSIGVIVSMWQTRTKGESVDLDSTKMRVRVHWFL RPSEMASIRAKREHKENEIYYSLSTRVTLTPGVILSRCTVSTSPSAIALEQPKFIYVV DKPSQRPKSPLKKSTRFRSPDDELEETTSKQDDSAFKDDPTKKFYCRYAVDSRRGLFY ELDYDRHRRTTLTRSQPPKDDSGPSSGKNDAYNWGEGDIWDVEGDKGSKATAGASPTK TKPKAKAAKVRRKGGKQEDGDGVQEDGAESDHSEFDDGASDQYIEQEEESGSDDEMAE DDEHEEEEFEEEDELDALGEPRTPSKKRRGGALQTPRKKKRNRTIVHPTPHSKAALAR RNGNVTDSPRKRKTGMTLRFPEQSLTFQASMAHLPKDPWLRSLHALHVGSRPDTLPCR DDEYALVMNSVSQLLEEGSGGCIYISGVPGTGKTATVHTVVKELKRMAECSEINPFTY VEINGLKIPEPAAAYNLLWEGVSGHDVKKDGHLRLSAKESLKALSRHFATGNRGPGGH ACVVLMDELDQLVTPKQEVVYNFFNWPTMAGSKLVVIAVANTMDLPERVMTGRVRSRL GMTRINFEPYKQPQLIKIVQARLASAKEGLEDEEDASKDIIAYDAIRYASMTVSRITG DARRVLDICRRAAEVARRTKTTVKVAQIQQVIQSLQNSPTAAYLRDLSFHERLMLASL IKCVKREGVEEIKLSEVHDQHLTYMDILTEEDDPKRKPTPAELAMVMDSLAASRAIIV EEGLTVTRKGEQRMLLNLEQSEVERVLGDVGGPRWKNIFGTS JR316_0005672 MPVTHKAALYKEKFGPFVVEEVQTYKPGPGEILIKIHATSLNPV DWKIHKTGVFGVWLENYPAIVGTDIAGDVEEVGEGVSEFKRGDKVFCQGKLENDGSSF QQYTVALAATAARIPHNLSYDEVAALPVVLSCSWVALYNKVPHGLGITPPTTKKTQGL YAGTPILILGGATSVGQLAIQFAKLSGFSPIIATASLKHSDHLKSLGATHVLDRSLPT ETLVEQSRQITDKPIKYVFDTVSAESTQKTGIAILATGGNMAVVLPPAVEVPEGKNII VAIGLLRFPSNIELLENLYHDTITGILEQGLIKPNKIEVLPNGLEGIAEGMARMEADT ISGLKLVAHPQETA JR316_0005673 MQKALLLNSKYGNFVVSDIPRESPGVGEVLIKVQSAALNPVDWK IQRFGYLIDGYPAVLGSDIAGDVVEVGEGVSDFQKGDRVFSQAVFKKTRGAFQQYVVA STSSLSKIPPKFSYDEVSTLPMALTTAFAGLYKDPDSGLGITPPITEEARGKYANTPI VILGGSSSVGQYVIQFAKLSGFSPIIVTASPSHTDSLLEIGASNVIDRNLSTSSLSEE IGKITSKPIHFVFDSIALAETQQTAIDIISPGGRAVVVLPAVVAPAEGKYLSKTNAGH VHPIPTFYREHLYGFLEKGFITPNRFEILPNGLAGIVEGLERLEANRVSRLKLVARPQ ETL JR316_0005674 MTLTHKALVLPEQFGKFVVADFPREAPGPGEILIKVQSAALNPV DWKIQKWDGLMDGYPAVLGSDIAGDVEEVGEGVKEFKKGDRVFGQGEFRKTRGAFQQY VVSSASTTSKIPPKFSYDEASTLPMALTTAYSGLYSKPPNGFGLEAPLTDAAVGKYSG NPIVIFGGSSSVGQFTIQLAKVSGFSPIITTASLRHADALKDIGCTHVLDRNLSSDAL NAEISQITTKPIHHVYDSISSDLTQQIAFDILSPGGRIVYVSFPTVKATEEKQINFAY AKLTIPPNTEHMPAFYHDHVYSFIEKGWITPNNIEVLPNGLAGVIEGLQRLEADKVSR LKLVARPQETD JR316_0005675 MAPSGKCPPCFNCMLPVFECGQFAECNKYDGQCKCPPGWSGIDC LQPQCDSLADGEHRRPRTGDSCECKDGWGGINCNVCKTDNACVGFPLAGGIITEGDDE VANMTCYKGGETVFNNHQMCDVTNRKILDMLPGRPPQVTFSCDAQDRTCAFQFWTAQV ESFYCALEECESKTDRSYASNTTSYQCEKIKCKCVPGRFICGEDGSIDISDFLTEEIK GPATFSCKSKQGCKFEEPAMNDLIDQIFGDAYITLQCEGGECLHYSQVPGYVQPPKPD NTLWVALSSAGALLFVLLTFLVLWYSGRANKGGDFGQLRLPEHESAKLMMDHVPASLY FSGITYDLAGRTILDNITGCVKPGQIMAIMGASGAGKSTFLDILARKRKRGAVYGTTL VNGREVSDAEFRNVIGYVDQEDTLMSTLTVYETILYSALLRLPREMSIEAKKFRTLET MNELGILGIKDMRIGKSGHRSISGGEKRRVSIACELVTSPSILFLDEPTSGLDAYNAF NVVESLVSLARNYNRTVVFTIHQPRSNIVALFDQLLVLAAGKLVYSGEFTKCQEYFAS IGQPCPPGFNIADFLIDLTMHASIDAPAASESSTPLDVSPPSDNPNVASAEQGLSIVP HSVPLSVRSLLSTNPDDDTELRPTSSSSSGNYIKRKTSQIFKAVLENGVVSLVANKNQ MQNVPVAPALNVLVEAYAGSTIAAEIKAAGEQLRREVVRADASSGNGNANGTTSNELP DVILETSMLRGRKRASWTTQFRILSGRAFKNLYRDPALLTAHYTSAIALAIICGLFYH NVTNDISGFQNRLGIFFFSLALFGFSCLSSLGLFANERILFIRERANGYYSSFTYFSS KILFDILPLRLIPPMMFGGIVYGLVGLVPAVSIFWKFMLTLVLFNLTTASVVLWLSIA FANVSVASLVGTLIMLFNLLFTGLLINRESVSPAFQWLHTISFFHAAFEALAVNELRF LQLKEVKYGVELDVPAATILSVFGLRSQSFWWPNISLLAIFFVVFTISSYITLHYFVK ERR JR316_0005676 MPPPRRRNCPVFNRSSLGVNHFANNIDHADNLQESKNKKTFLTQ KHLIYHRIKFGNRAMSVALDVLIFAVGWAHVVLAPFFKVEESFNLHATHDVLMYGVGR ENLYNYDHFTFPGAVPRTFVGSVLLAWVSTPTIRVAQWLGFVPSKFEIQIIVRLSLAS LNSWSLCLIRRGVSRRYGRGTGLFFALLTCTQFHYPFWMGRTIPNMFATIPVNVSTYL LVDRASNSVYTSLKRISAAVTLLTFVGVVLRAEVALFLGPFALQLLISRQIPLLRLLK VGFISALISLLLTLFVDSYFWNKSLLWPEFSGIYFNVIEGKSSEWGTSPPLTYITSYL PKLLLGALPLSIIGFAIDHRIRSLLLPSMAFIALISNLGHKEWRFIIYVVPIFNVAAA RGSKWMVSLRKSSLFGRLFFMATAGILALNLVIAVLFTKASMRNYPGGQALYTFHQLY PAKTTYPIPHVHISNLAAQTGASLFLQLNAPPYYHPSQSQQLSQPWVYNKTENLSPNT LSSSSSPFTHLISEVPPSTDSRMDRTWRIVSEIKSFDRWAINREIFSFRGKKKGELLT RFRDVLILQESPKLWLLERKR JR316_0005677 MPSTQKALLLEKKFGDFLIKETEIYKPGPGEILIKIQATSLNPV DWKIQKYGIFVEEFPAVIGCDIAGDVEELGEGVIGFKKGDRVFVQGQFNYSRFSSFQQ YCVAIAATVAKLPDNWSYEQVSALPGVLTCAYVALYNKSPFGLGFEPPVSEATKGKYA GTPIVILGGASSVGQIAIQLAKLSGFSPILTTASLKHTEHLKSIGATEVFDRNLPSSA LITAIKKATGSQPVKHVFDTVSSAKTEQDGLDILASGGQIILVLPLAVPAPEDKKVTT VSGFLRDPNNVELLESLYRDHITAWLEQGVIKPNSIEILPRGLAGIPEGLARLQKDQV SGFKLVAHPQET JR316_0005678 MQVGPRRGPEVTLWSQIPTIHPESGGLSARLSARKKLVDEPFCT TRQYSYDSQQIRFSQLKIANETIIVLSNAKIVKEILEDRANETSERPYTHTLDVVTGG NYFAFSGSESQVWKFGRKAMQSLVSLQAVQTHLHVAEKESARLLYDILHQPEVHDPIP LIFPFRILIRVLQHIYTHITRFTYSFMASVLYGKPAPRIDSQDFTLFCDYFHHFTKML SPGDAAPVDLFPVLKYVPERWAPWKKLWRKTRQLQRMLYFSLLDHTEQEFRHGPVGGG TIMEGLLEKMPGDVVNREMLGTDLATFRYIGGVMLDGGTETSAALIQSLILCLLKSPE SLQKAQEELDAVVSRERLPFASDIDHLPYVQALIKEVFRIRSVGPSGVPHAAGKDFQY DEYIIPMGATIFINMWGMMHDPELFERPEEFWPERYLMTPDGTKPGLNKGYTIGLNFM FGCGKSLAVMRLLWAFDISPIDGEVTQHWREIEVEYKDV JR316_0005679 MGGLTCALSLAHEGFLYIDVYETAPNLGFVGAGIQLAPNMARIL DKLGVWKKIESEAVLVKSTSIRQGTTDKELGFVEFDSVKDKYGYAHMVGHRASLAGSL YEGCKAQSAITFHFSTAVSEVNFGGDDRKPSFLATPLVGPAVRVEADIILAADGIKSL TRAAMLKELGSTDHVVDSGQAAYRIMLTREQMKDDPELLELIDADRVTRWIGEKRLLI NELADVMGALTRTPTDSELSAILFSVQLKSGIQNRSLPFCDSRSILSPQCCPQPHNLI SLINRTNVSQLDLSVPE JR316_0005680 MSSSSSAYSSSTLQSILQIQASIAKYLDFEGCLKFIELIQLLKP TICLSQQPGLAESDAGEDKCPARLRLNVHTFLAQSLSVDHEAMKIIWRAMANIAWNFR VDESCVHSFGQRHIQCFLDYGRQNGIAFYHLMPPVRHCLDPRCVIKPKTSKKDELHRR PLKEAHSRSVTVFTQSFGPIPGISTSMFCSGCQTRYYPNYWVDRAQSTRTFYRIHRTF LHVTEGIFMDIATLELFTTMMLTSWTSASNCARIYNEAIASKSLSSSLPAAYSKSMVL EHNDVWNGLSLFWLLEDSEEEDEVLQIDHVAPSQAIRLRKALKRRNLWMAGTGQEAWN HVCDLCCWYNDLPDGTQTFLRSVVTDGITIGRPTCSIHDCDIPLDSVKHRFCPTHKDQ NLICAVTSCSAPIDEGYQTCSLKDHRALEAYNDIHNKAMFQLKLRLARLKTSQPTDAF STDDGQSTIFGDEEVLIDANGVCDEKSEKGNQTLRARFGRRRTHNEELCVASCGVILG RATFYGSEAPNGVRTFWKTLFPTQKSLPGVLWHDNNCRIMLMLEKEKDTYFSHSALPV DVFHFKCKHKAQDDKCNANCNPAKWPELMTPDGKWRFNSSAAEQANAWLGGYQAIVRE MQADRYEFFLDELIKRRNRNIIKDLEKKGKNPHEIPRDFLLKPDTPRVD JR316_0005681 MYQKISLLFASGAVWIALKLLLMGRRDKSLPPGPPTIPILGNIH LLPYRFLFLKLTEWSKEYGGIFSVKVANETMIVLSDVTIVKELLEDRANETSNRPVLH SLNAITEGNYFALDIYTHITRFNFALVTSVIFGKPEAGCDSPNVSDFSNYFRHFGRMV APDAAPVDLIPILKYIPEAFAPWKKLWKKTRILQRKLFFSLLALSEKNYNAGLGDGSI VENILAKQSELGLSREMIAYIGGVMMDGGTETSASLMQSLIISLLKSPASVKKAQEEI DSVVGQERLPKPRDIENLPFIAVGLPYRPAHLIQRPKTVNIEGTSSQKELQSLSTHLN LFERPDDFWPERFLLAPDGTKPGLKKGYTTRPNFVFGSGKRICPGIHLANSNINLAVM RLLWAFNFSPTDGDSAQKQMGIQDEYNDGISLSPKPFKCRITPRDKFRVDIIEDAYST LVSTT JR316_0005682 MSYNLSGQAQAGQSQTLGLGLGLGLGMGGRQRSNSDTWGSYEYQ QQQQAIARQQQMRAQAQLQAQQQQQQLQAQQAHAQLQMQMQMQAAQQAQQMQSFSFGG ASASRGGSPNPNTNASPTINNTSAMGASSSSSPSGTKTSSPSPPNLNPGSNVTMGVGM TNNNNNNFLLPADPIRRAVSDSLSLGIGRGGHRVSRSEDVRLNVPTIGVGVYQGGGVA QGQGGQHAHTSSMSGIYPDFSQSQQQMHMQQQQAQLHSMQQAALQGQSQSQSQQFLHP NLPPARRSLSPSGHSHSSSLSLGGGAAGSSSGNSLGLPPPPNVHVGHFRRASSGSRSD RGAEVWGSQSFAHGGHSRHASVGAGSSSVGGGSGSSLGLGGDGLQGLSVSPSGHLTAN RRVSPYPSPNASPRVRYEELVEDDGYGGGGGGGYGGGGMGMGLGLGLGLGGGGGLTLG GAHSQHAVHNLGLAGPEIGEPPVSGGFSEEVPIAGRGAYRDINDGASSNGGGDVGPSS GRRRAGSQASVGATDAQGNPIAKQNVTTGRTANASIRRRKQDANFACTVPGCNSTFTR GFNLKGHLRSHYEQKPYKCHWPGCGKGFARQHDCKRHEQLHSNFRPFECDGCRKQFAR MDALNRHLRSEAGAECARVVERGREGGAGGPGAGQQDLGLGLNLTGSEMGIGMEMEDP EEYEEPKQQQPTVVTTRSGRQVVRRRQTVEMDDGEWGAAGVAL JR316_0005683 MDATTDGSPYIGDFKLVPPSPRREPADLDFDNPSFNLADPNNTH TPSYNGSYYNSPFSQHSELSFSGEDINYDILSELHAMNDYEPSDYDAPSGSTNQASSL LMFTQDADYMSPHFSPPADQQQHKLQEQEQQQQQQQQHRTHGSPFDHSSPASSSGDPH DIGHPYSNAATNNSSGGAGGDGRHSRASSVASLHGNSQSPRPSPSPQPYQQQNPQPHP SPRLDVAHSFGNMSVHTPNWGTQPLPAASLGGFANPNTSPSPNNLRMHSPLPSQKPQS PPRLLMPDEYEAPSILQQQQQDSSMLTAVPKINAPGDDDMEGNNGNAEGAGGGGPRFH IVPATPISGGGDGHANVPFQQTLATLTQGQFFSLAYRL JR316_0005684 MSSLPRILPYDVIADIVDIIACPTTPSSICNLKLISLVNTMFLH HCRKYLFGSISLDLGVWDTKHLDCFVVLINNHPHIANYVRELCFTTINKKYEISPEQR SAFMKLSNLKTLTLCSKELTAFDRLKWRVNWRLLESSTRSMFVDLIQSPTLANLVLNN VTNFNVFHLLPRTALETLSLVYFGCPDLEGNPQMLDLYGHVRVKEINVDCDSLHDADG MLKTTISRPSMILDFEYLKTLKFRFTEKRSAIALEMFRHAKNLNSVCLYLEKPDDIHF ISKCVMPSIETLTSLKIVLQDEGEDQTWIPLREQLDLLSKVKNGHNIKDLELVVVCKY NVPESDWHLLERILLKSGWTSTLRRFTLRIHVKIDPKISFVVPCDTLRKLFLPKLAAL ESAEAFKTIFDLTCD JR316_0005685 MRLIWAFDLTPTDGSNASGTKWNLEDEYNDCKVNPRGKERVKVI EDLFNGLRLL JR316_0005686 MTITHKALVLPEKLGKFIVADFPREAPGHGEILIKVQSAALNPV DWKIQKWDVLIDGYPAVLGSDIAGDVEEVGEGVTEFKKGDRVFGQAEFKKSRGAFQQY VVSSASTTSKIPPKYTYDEASTLPMALTTAYSGLYSKTGNGFGIEAPLTDSAVGKYAG IPIVILGGSSSVGQFTIQLAKLSGFSPIITTASVKNSDSLKTFGATHVLDRNLSADAL REEISKITSKPIQYVYDSISFGPTQQIAFDILSPGGRVVYVLQPTVTATEDKQINFAF AALSTPHNAEIMPLFYHDHVYRFLENGWITPNRVEALPNGLAGAIEGLQRLEADQVSR LKLVARPQETA JR316_0005687 MPPKGKQSQGSSNKVKEDKTFGMKNKNKSAKVKAEVARIQQQAS MAGKSRDTLEKEKEKALRAKAAAEEEKRRKEEAALLKPVQTQKVPFGVDPKTVLCAFY KAGTCEKGNKCKFSHDLNVGRKVEKKNLYSDDREDKDKLTDTMDTWDEEKLRKVVLSK AGNPRTTTDIVCKHFIQAIETQKFGWFWECPNGEGCQYRHALPPGFVLKSQKKAAEDA AKANTISLEEFLEVERHKLGSNLTPVTPETFAIWKKTRMDKKQAELDALKKAKDLQNS MGKSSGMSGRDLFQYNPEWFEDDEDDDGSDDWDLEQYRREKEKEDAEEEARAHGRVQE GPADSDTLSEDKGEGGSGGTH JR316_0005688 MPTTAPTEAEILAPTPIPQAPKPPKKLKPARKQVKPGDVVKEET VQTGKEYNIWYNKWAGGDREDSYSNKTKSQTRCSIKRDSGLTRANTTGMKYICLFFSR GCCPYGWECEYRHTLPDAKDDLPDSSKDCFARDKFADYRDDMGGVGSFNRQNRTLYVG RIKETGNGQETEEVVARHFREWGTIERIRVLQYRSVAFVTYVSEFHAQFAKEAMACQS LDNDEILNVRWATEDPNPVQKVAEKRRLEEMGQEAIKAKMDPRIVDAMRSVRALEEGE TLPPDEEYDSDDQRQELEHQVDDDQPDSKRRRIEDVPEQPIQANGLLSADTLEGLKYF AEIRKRHGGAPAPQQRAPPPAAKPTGLGLADYGSDED JR316_0005689 MAGTGKRSRLVKYALLVAVTVESSTAYANIMMKQWKLDTLTPST SILAEFIPFDIFLSLSENVLPHSNPFLNGIIYMVYFQQAAACLGVSLLGGILTLDMAF MWLKNYLRGDSDWLRDPQDVLDPQKCNYLEKLVLSWAKDLGRLWSAICIIVIMAAVEW DIAHHWQHSHLIDTERSS JR316_0005690 MSNNSEYACRLVYRNTLDTGIPFHQQLFKPDTTIYLASVPAELL TQDACTAEITQRPVLIGDTLQIYEDWAYVYRDNRKVAAHRLKILQARSYVEIGRHISN NRQSYGQIDIFVVRYQLGKGPKYKDFREVPWNPTMLAVDGISSIILQARFVYHTVPRD KMPLYAGGYSCRFTHTANEATSVPERDITVSADGKWAACKVPPEPIFKQMCALKLDSC PTSRDLVLPHKHDRVFIFENGLLTGQADFEMCGRSNFRVSTGVTVNYNEVAVPRDYEY IIDIVIISYGTRTPILSLGSENTLTRFAARFTFRADRRESKLDRIVTHILTGFGIEFT ANRAMTMEAQREEEMSRNWVPITRRNPAREGQEQIRTFETGKGAYTSESTEMDSLTIP RVTPVINEVTSTPRPDLKTPVLAWISQQQR JR316_0005691 MSPTQKALFLDKKFGNFVLEDTEIYKPGPGEILIKIHATSLNPV DWKIQKLGVLEKYPAILGTDIAGEVEELGEGVSEFKVGDRVFIQGTYDNRGSSFQQYT TAVASSVARIPPNWTYDQVAALPVVLSCAYIGLYNKNPYGLGIDPPISEATEGKYADT PIVVLGGSTSVGQMVIQLAQLSGFSPIFTTASPKHTVFLKSLGATHILDRSLSSSDMR AEIKKICNDKPIHFVYDTVSSEDTQRTALDILDSGGQMVAVNPLKLTPSEDKKAFMVL GILRAPHNVELTETLYHDKISGWLERGLIKPNNVEILPNGLSGIPDGLARMQADQVSG TKLIAHPQET JR316_0005692 MSFPVPIMVAGNNYTFRVAYKDNPGLPTPHYEIAMRKNDDKVCT CYFPQELIESDQDIVFDIRGPDSSSWVYIFDTNNQLFVSSSIKSMGRLSDYLVHRVDM AKPSPRTFVDRNLEYGKWKTLDVIVLPCDHPLGGTVINSMYDIETDTYVAKFRMYLLS YDTLIKTGIAR JR316_0005693 MSQDSEAYSAMERVTNPDDREKTMLFSRNYTFRFAYKYNTAINI FRHMPQNNHMNIGFFPLELIGPEVIFDIRGPDSDFWVYSFTSDLTSNTKSKPVGRVGH FWLDRADTGKPPPLFSAQKKPHDTASYDMAIFIVPVDHEMEGKPLDRADAARICVAVF KIRLITKATFIKMGFLKADYFDFFANHARMSPDEMKKSLLFVESFLSATDPFDFDLTY DIQYSDSDLGIKKDNFLQTWPVYSPEIPVDFYQ JR316_0005694 MPTTQKSLVLDKKFGNLVIQDTEIYKPGPGEILIKVQATSLNPV DWKIQKYGAFLEEFPAVLGTDVAGDVEELGEGVTEFKKGDRVFIQGRFENRASSFQQY TTAVAESVARIPPNFTYEQVAALPVVLTCAYVGLYNQQPYGLGIAPPVDESTQGTYAG TPIVVLGGATSVGQIALQLAKLSGFSPIFTTASLKHTEFLKSLGATAVLDRSLSSSDI ISEIKKVTDKPINLLYDAVSSAATQQLGLDILSAGGQMITVLPLAVKVPEDKKVIPIL GLLRSPENVELTRTLYHDKIAGWLEKGVIKPNNIEILPNGLAGIPDGLKRMEADQVSG LKLVARPQETK JR316_0005695 MAQPPPEDGIPATKNAASPSATNSTVQQTHGSSTVAAAAGKAVA TTSTATAKTTAPPPPKKEHPTNAEQRRTDWSIIKRLMVNVWPKNDWKTRLTVLGGFGL LVLAKVLNVQVPQIFKSVVDSLNVDITSSSTVWVLAGSLIIGYGAARIGATLSSELLN AVFANISQRAVRKVARQTFEHLLNLDLKFHLSRQTGGLTRAIDRGTKIAPTALEISMT YKFGWDFAAITALAMVAYTWFTVRTTSWRTRFRREANQADNKAATVAVDSLINFEAVK HFNNEKYEIAQYDKHLADYEKSSVKITTSLAYLNSGQNVIFSSALTLAMFLAAQGVVN GTMTVGDLVMVNQLIFQLSLPLNFLGTIYREMRQNLLDMEVLYKLVEENTPAKDAEDA QPLTLNGGSIRFDNVAFAYHPDRPIFRNLSFTVPAGKKVAIVGPSGCGKSTVFRLLYR FYEPSSGRIFIDGQDVTRVQLESLRRNIGVVPQDTPLFHADIMHNVRYGRLDATDEEV VEAARKANVDKTIERLPAGYGTMVGERGLMISGGEKQRLAVARVMLKDPPILFFDEAT SALDAHTESELMKNINTALLDKARTSIFIAHRLRTVVESDLIIVLREGEVVEQGTHDE LMKLRGLYYSMWQQQASLEKIEEEGEEALEG JR316_0005696 MDVDHPHGLVYPNGLLAALPVLHPPPDNDPHTLNTNTPHHHHNH PRPRPRTRSPRHTASPPIRALSAPQFADLHLQHTLAHPPDNTLFPFLHGLEGDNHAQN TFFASSFANNTTTNSNGNQRHHYQHQHQHGAEPPPRITPRVPHYRGLVWVVCEDDLER ARDWASLRVLRRKPVGPTSTATATANANANVSTNAAGGIAGEDDTDHNPHAPSSSDSS SSASSSSSDSSSLYDDEDEDLDLDLDLDPAHAHAHSQPERGVDTDAQDILLMLEATNE AAVAAKAAAVAVAAKDLDTDKGALEDKEKERERMGYRDRPLDAELDLDTDPDEEDADA DEDEDGSHTSASAAQVRLPSTQTQTQPQVISLEEVFTVDTGNAKGTQNYEGAHMHPVA HRPALVLAPPVAVPGVGVGVGGGKGLGIDTNTIANGHAVSSIANGNVVSSYANGHANA NSNSNSNGKGGTTTTTTNATANAQITTSTTTHATHTSLSPLTFATISPSSSLSATSAS ASTSTSSSPSSSVSSSSSFVDSPPHSVSVSPSASVSASPSLTGSPSMSMSGDTEGEGE GEWSPATSISHIAGSPLSKEVDVDVDANAELGVEGEGGQGRPMSLLEIELDAKRVGRL QSRQESGHPHEHEQQSQSQSQHEHQELIPTTSPASASPLPLPLPLPLPLSSPSSSSLP LPLPTTLLQPDSNPKPKPKPKERRATDPTKPPLLTSTFRPKELLRRVKGQGRKHSHGH GLGHGRHREKGRLRVDVGGNGNGVVGGGEGEGEGEQDEDVDVDEDEEDGRWEFVPARV PDGISLRNFGIQVLESRWRVVGSYTWRSAAGLVCALQALSDGSGAKVGKERNGWWDRQ APYPIYATLSDIVIYSPHGATPAALALARRFRAAIKAKRAERLRAAGLDDESIRGAER ALKAREAVQNKLDMMEREGSGDSGSSGSNFGPVYEDDNTAQQQQHQMPELQTEKDSAM HPHIAALHRRRAEFLEYNVFVLDADEDEMRRAMPHMMMRVCGAGVPGGLGLGVSVEAS AVGSAATATATATATVFGADTALMDGGAHTDRSDGHVIELKREEELAAAEARRVRMEA EAEGMGMVVDTVEAGVDVDAMDVDEVVDIVAAAASRVAAEQAQAEKTEEKDTKKEEEE EDILPNTVDFALREREEMRDLTKASEIISLPPITSASSRGQTKTPVEYSDLGPSPVWD PRVGQVYLGNSGDVPLTPDVPTQFRHAASVARAAAAATTTTDANAEENAKWNWKTLTR HLRGVDGLMKEYNGELGLEYQHGFEEEDAEGTLPADDPFNYAATNDPAHGFGYDICVE CHDLAPFPSAAHLRAAEEHLGMLDVMWRERWERAWTARLVRLCAGKSAEEQARIRNMH APPTPPRPPPHANAVIHLPFPSSPPNSQGTMVALMPVVRFLEKWIQPVPVPVIVPPPP PPPVAPVQESQKGESPPATTGGAGSRRWSSVTALMPSFPVFPGSGSSNNNTTKAAPTP PPSSPLPPAPARMRSMTSPSSSMSHHPPTPVQARSRPLKILLYSSDGYTESSVPALCL LMAIKSLMLPEAYLELQVEKRRSFFVYQTDLGLLRRVENRLREEREREKEKERERERE RERLATGVYLSSSSSSTGGGSINANGKRTAGGPVVVPARGGYWSGSSSSAGNANSNQN PGTTSNPTPSAFTGRPAAKSVSFAHAPGYMQQQSSSHQVATSSISGASSASSPSMAQL VPHARVVSSQQSTSASQFSQKPQFEFGSLPATPPAGMTTTQQPQPQPPMMGVVKGRPR ASTSPWLPSLFGGDHQSWFNDPRFDGSFPSRVLPFLYLGNLNHASNVYMLHALGITHV VSVGECALVPPPHHMSMHGGAGDACARPGPGAHFVPGKGPGGHGSLWIEEREGRIKVL DIKGVCDDGIDTLEPQLEPICDWIDKARQEGGQVLVHCRVGVSRSATVTIAYVMKHLN LPLVDAYLIVRSRRLSVLIQPNMRLLYNLCGWEIKLAKERAGGDERKLKKELARTLTW PYLSKEVHALNEKYLH JR316_0005697 MIAEQPIPIYTSLVDVFGAVEVSFRHATRWNNLAEEFERRFGRR PTYIARAPGRVNLIGEHVDYALFGVLPAAVEPDILIACAPRPVLRGQRKQAIEHTPGS VVAENLDDKYTRQVFAPAVKEPTEANRQSGAAEFWHLDINTRELRWESYVKAGYYGVL NQHFAGEKREEPVPVDLLVSGTVPAGSGLSSSAAMVVASTLAFLVVNGKLDSSANILS KGDLVRMAMENEKRVGVNSGGMDQAASVMCDPSSALYITFHPSLHAETVPLPGRAVFV IANSLVVADKALSAKRGYNLRVVETLVGARILAKSLGVKVDANERITFREVAGKFIGE RDNEDIGPDNLENALVEMEYKLEVLKPNKIVNGQLGVTMDEMIKMSGLSKGAFEELYL SWVDIEATHFQLYKRAKHVFSEARRVLQFRRTCLESAVSSDPDDNFYIESLGRLMNDS QESCSKSFECSCPELDELTRLAREAGAYGSRLTGAGWGGCTVSLVPEFGVNRFINKIK NTYRPYRYLDIDALKEAIFATKPGNGACGESIFPFFFASMSEVDIASIQIRGLIPASS SENV JR316_0005698 MAATSDTNGTSIHHDAIKRLPDAFYDDFLSDLAKERKPSAIRSL FPLEKTPGLISLLAGKPNASTFPFTSLTFNARSPINPEEETTLTVSGDDLAQGLQYGD TAGLKALLDWLHGLQERNHGRKSGEGWRISIGSGSQDLIYKAVAAMVNPGDSVLVESP VYAGVIPMFHSLHCNQIEVNTDSQGIQSASLRTILEQWPEGTPKPKVLYTVPYGCNPT GMTATLERRKEVLKLAREHNFIILEDDPYFYLYYGKAPRYPSYFALELEEPEVGRVLR FDSLSKILSAGIRIGFASGPEALLKAIDQHTATSNLQTSSLTQAIVFKLLDSWGYEGF RIHTERVSAFYAAKRDVFERAMNKYLTGLAEWSTPEAGMFFWFKLRLNDTPDADAHAD SGDSAAVIRTKAVEKGVLALPGTVFLPNGRATPYVRASFSLIGEEDVDKAIQRLRDAI LDARVASGSTA JR316_0005699 MLAESSAPVDACRQVKCPKCGKITWAGCGQHVEAVMQTVKEEDK CTCPRN JR316_0005700 MDPQPVPRQSNEQDPNYIYDPEGDVLVTTNYDAYVDEGKPMIND YVRHARVGGGQHGDVYLCQKINHKLPANDPGRRVAVAMKSVKRNNPRAEQYKSLRKQR IPSSQTHLPVADRLNTTEAKIKREIAIMKKLRHPHVVRLFEVIDDRLHEKIYMVMEYL GGGEVKWRDERNNPVLTVAQTRRILRDAVLGLEYLHYQGIIHRDIKPANLLWTEDRRQ VKIADFGVSHFSYAQRLAAAGGKNVDNDPSDPILLDESGLTRRAGTPSFLAPEVIYEH TNDVPGSISLNSTALHSSSSLGSMSPISPTDRPEITKSIDVWALGVTLYCLLFGTTPF VANQGSRSTHGSEFSLYISICNDDWPAPPRIGFDRIPSGGRHPDSDTEGASIIRLLDH FLQKDYHNRITLDEVKRNSWVLTGLEDPEQWLKITSPRANTKINVSQNDTSNAMSAVR FQWRWGGKLVRHVSSLFRRPGGSSRMLVPQPVQEPVITPTRVVSDPMHRQSLLITQRP PGPGTAVSSPPAHQLTHAAVQKRDKGKQKAEPSAGRTLTRALSRGGSKSLRSSSTERE ITLRGSSTSASPLLGSTNKARRGSDSRVLTRGASGSSAPGQHSRQSTAGTSAGEKRSR FWWWNSASISAWRPNKFAPQTPTAVVPDYAPQTVHAPGEIIVQQQTPNTRRSEEALSK YRPTGTEAIVDNGALLTAARRASSWGHPGQSDTPNMEIINVPSIGYNLNEHDMIVGAG GVTNAEAGPLVVPLAQIAGPSTLVIAQPSQPQTFDDRYGRPVYDDDDSSIESLPDDSG STWQRGGEMDDLIDLHDPDHGDEDGDILEDTSDDEEEDNVVTFSPRRPTYNNNN JR316_0005701 MLRELVSNHKGIQVTPPLLLQLVAEKTKAITPPQSPMHEGHSSE DERDSYGHTRTISNESTGTSYRPSPHSRPPSRGPTTPGIKSPLDSDRRQRSTPLNTAP SSWAKRPTPAGRRKSDAGNRSDSESGPPVAWGRTPRDRARTPSNPASPSSGQMELNFS PGSPVGRRSRPHSRAHSQPQNAYATGLFDGYSSPDDTVKRPPHYGFDYNDSFDNAIST LPMPRSGDDSDEDDIDAGLVHDRSIALSTVSMEVSERIEALTRTNDQLGRKVVELETT LQNKLAEHELELEETHQRLEELRSELSASNREEKELRAKDSRNMTQIAALEAEVAKVQ KALDSAKATYSSLQRQYQEQCAASERYRDELRKREETIRSLKDAVSLHEIETGKWIKE HETYEDRIAQLEIELSAALEAHTQLDEQKQENMLLKETIDRMRFDMDELRNSMSSIGP SGSNVPSGPSTMSKSLGAELANIKWSMDPEESPEPEDYHSGENTVVEEEETEEDEDED VIQTIITKRKRKVASRAANIVPSMRPTFEEMKEYSDFGTQYDPTEFAVNHGVQTEPER KPLKASFSIQTDEIPAPKPAPVPPPRITVEMEIQTEEATEEPSRSPSPSHDESMASSS STIVPPTPKPTTKPLDHLDEPPAYNQVADADKEERKWRLAAEATLKEWHHGAKIPFEP IPGGVSPEAIEEWKALKQELGVECSVIDKMIEASEKNAAPKDPKPRRNRFYNIYNTYV YGDKNISAAAPTTSQMITYLGVGALAVLAVSPYVMPQAAIAGGVTYADRAAWNSFNAM PGDTADGTTAVLEIIRRLTGGAARYARGWPT JR316_0005702 MDEAGDTTPRVVEKLDVEDEEDRQARIQSYLEKLNASSQTRNFT RPSGIPEFKFDFGDRTTFPVVPNTELLSRVQAFLPQLEASNAILTQRAQEDPSSVDIE HIPEGMDQYIEMNLGLGVFEDRSHIANQGDEDSEMSTSSSSSSESSDKARDNDDDDDS DADSDESSEIITSFVPSRPIKPLPRRASTKPNPGIVVLDEQPQSQS JR316_0005703 MKFSKILGGLLSLASAALAVPTPSGGIGTNGSIPYYHPLSDFDF QSINLALNQEWIELDLFHYGLARFSVEEFEAAGLNAEDRYLIQFMAEQEKGHAELLTN ILGANAAKQCTYQYPFNTVREYVDFCQKLTRFGESGVYGFLEHLNSRDAAQLLLQSIT TEARQQMIFRQFEGLFPMPVWFETGISQSMAWTLLAPYIVSCPAENPRIEWTNFPALN ISNNPDATPLYNTSIIGNDTTPAITHNRSDPLSYPGREVFLTWDAPGQNVSYNNSYVT NTTAGSPAFVAWISQLNVTYSELVNINGSSGQTYQPGGNVFGNDTAPIINGTMFIAVT DANVTITPSNMSMLNDHIVAGPALYQSG JR316_0005704 MTEESQSVVEIEWQAELASLFVATARINNREEYDVSELRSSATC NNFTLRLRHRVNPSLSVPMFDQAYIGMLNFTVCTVQLDSVTHSPGMLFDIQDNNYHKD PNNFVYLFDGNQDRIYWENQPSHARIHEFGGITSYADTRLPRPTYSWIDQPVIYDANR IYAIVVVLPPDHPLSGKPIERFTSLGSSSSWLACFIIRCDCPPPEQPRRVAELNNPPF DIDSASRYLSSEPLELQPGYRTQDSDVNLPIEGLFQFLRLGNRSTYE JR316_0005705 MISFVDILPSDIILTIIDGVASMDDDASTNLKACSLTCKSFLQH CRKHIFHSITLDIIDGSTCRLFRDLIESAPWVPDYVRVLEVTPISHYYDMSDEEEAAF KKINNLHTLVIRPDSIFGLDWRMLPPTTLDVLIHLIQLPTIVNLKLMTFLTFNPAYLI PCTGLKRLCFRNSNLKYSEYDIHLPQNQDDSINAFSSGIFPPLLPDALDTDSFTALSL KTLIEPQKNHLNPIMDINMVKKIDFKFHPSADAGSCMELFGYMKGLSIIHFKLSQPPH TSAIVPSIIASKSLDTLHTLRIYLEFQDHWVPQICEVLKELAGQSRITTLVLASILFP SDSGSERLEDWTPIADIFLSKGDWRYLRRLEINFHNTVWDHFDPGPEQIHFEDAVRRT YEVQLAKLSEISTFQYNLTITGGRWYEDLGVSGVF JR316_0005706 MSPSTQRALMQAEKSGPLSIQTTEIYKPLASEILIRIQASALNP VDAASQRSGVFIDTYPAVLGLDIAGDVEDIGEGVTDFKKGERVQVFTQGTFEIRSRAF QQFAAVKASTVARIPDSWSYDQASALPVVVTCAYVGLYNQVPDGLGIPPPVTEASQGI FNGTAIVVLGGATSVGQIVIQFAKFSGFSPIFTTASSKHAAYLQNLGATHVFDRNISS SELSSKIDQLYDARQVSLVYDAVSSASTQQTGLDVLSPGGKMAIVLPLSEDVKVPDGK VVSSILGLMTVPQHRELLETLYHDKLSGWLESGVIKPNNIEVLPNGLEGIVEGLSRLE AKQISASKLVAHPQETI JR316_0005707 MPPNPLCDVCKTLNLSSTNNRKAAKYPLDFVQDIYRKSISHVFC PFCRLVIEFIGPGLGGQISIEWKDKGGFFFSVQGRTLAFIDEREAATSPYGAARRIEP TLDPELVKRWLQLCAAEHTEKCTPSTGVIRQAEGDVGVKTLRLIDTHNACLITAAPGD RYLALSYRWGNSNPPIRLEKNTKDLLFTTGAFASPSVRQKIPTTIRDAIDFVQMIGER YLWVDSLCLVQDCEEDMADGVANMDLVYQCAVCTIIAAAGKDYNAGLPGVHPGSRFAR QNVVEVLPAISMAMTEGVYDAMQAEYMTRGWTKQVYFRCRTNCWSEDTIYDAFPSATN EILHSGSMVNFAENDVSKVYDTYTALLSRYADRHLSVETDTINAFTGILRFLSARAQS GLLEGLLTAAFDICIIFWDPWPTITNTPVRRRLFPSWSWAGWPGMRDGLGSKLSDPQA ANAWLHEQTYIVWYKRGPRDSEPSLVWSVEMSEGYRRGRGYEACEIGYRASAGDPYGR QQHQRRAFAPQRSRADSEEEGGDTTYQTVPTQPADDARREAIISRELHKRSYHLLQFF AYTVRVHAFGEPPQSDPYTKLHPLLSGVTGHGTGNDGMHQQEIGRMKFDNHLKPEMGM STHELVVLAKADVYDMVFNDTARIERPFYWVMLIKWVEEEEEKVVAERCGIGMLFQDC IELVVSPGMVWKEIVLA JR316_0005708 MARDPSLLVDADRPRAIAPAAPAIAHVQLRDLLICPRESGVVNY VVDHGIAEQDVYAPGVSGMGRRVRPPRILAKLGFTPNSLTALRLGADDTLVAAGGQDR ELHLSYYTPSSSSSSSPSTSTSTSNPNALSNSSSSSSTNAYTLASQTRLSTGHPTRLP RTRRLWTYETRLVGSINNSVLLLPPGARGMGAAEPRAVISNNDWCVRVYDIPMRVKSA RRELGLVGEVKLDVAVNHSSLSPDGRTLLSVGDSHKIYFHQLSGSAHLTFTPIQTLDI PPPSATPFSSSRSSPYSRAPYSSSPFSSSFQSPYSSSFSSPYSFSSPYSSYSSPYSSY SSYPYTPTQTHPTTLTAAFSTAFNADGSKFAVASQEGVVAVWDVRSVKRPLGVWCTDR MRGSSSGSGSGAGGGLGSSSGSGSGLGSGSGGNVGGGQGQGQGGAASGYIHPHTTPHL FDDPWDWTRGTRAPGWCVRNVKFNAGEGARMGKEVMVFTERGLGLTFDSHDIVTVPTV FSPPRKAGAGGRVRPQSQSQTTNPRVRVRGGVGAANGGANGGSVGGVGAGAGAGAGAG AGAAPSGRPRLGTGTGTGTRTRPRPRLTRTTSGVSASAASAGVGAAAPASAIPSAVAS ASTTASASTTASATLARQSPPTSSSTTASIAGEIASAVDVDVNMGMARGLALVGGVGG AASGLEGSSGNADVDLDADADEDEDAGMDIDVALAAAQRALARRRRRVRGPVRVGVPV RDTENTESMDVDREGDGGGEREGGGGGDRDREGERARAHARAMALALPVSHARAEGEA EAESPGAGTSTSAGLSPLRRSVTDPSPSSGSGSGSTSGQQQQQQQQQQQQQQQQQPSI VRALGDAFRIAIPSSSLSPSSGSASGSVPGSASHAASGSTSTSGGARTRTRAHAHAHT RGSHSSSNARSPARRTTNTSTPRSPPTHNHTSRSLPTPTPPTHTHTPTPPTHTHTHTH TPTPTPPPMTRTPAHSGYSPPASIGDSTWRTLGGGIGVRGPWGVSHVGTSASASVGGD ERMDVVQHGDGSGSGEDEEGSESESESEMFHVLDETELARRARERARMRVVGDDDDDD YEYEYEEEEEDGDLEYTEGEGEGETDADGETETETETEQEEGIVVVPDLGDREVESEV HALLAVHGIPSRIGGRRRRSREDSMDVDEVGGLHQGEEEEEEQGMYRYDEDDRRERVR QALHDARDYRYDVNDEDVNDDDRDEEQEQDCVEGQSRSVSPSRSVLGRRRRRIRQGAS ATSSGYISGGSGVGMRRAGFNLASMSTSARYGSAGPSRLGGGAGVGMEEDEDEEGEEG WGSGVHYGGLASGNTSDADKRVRPRRAGSGSHRGTGTHTPTSTSASASTHAHAHTRAS KTTLSSSSSSPSAAGARFAGRVGIYDELDIAGVCFDPWGEKMYVLGVGAAGSAYGYGY GYGYGYGGAYGVGYAVGGAGAGVGAGGYGGAGGGGGGEEEEGVGAVVEWSVRGAEKRW WVDEGWM JR316_0005709 MRAWNGEVLTWTELRSPLYLPYPRFLANNKTPLKMKVWNGEDKG FELRSPLYPPRPHFLANNKTPLKMKVWNGEGVSPPSPSPIIIKLPTNANRIQKTQKPT SPTFVRETPKKKDGPGSFSLSGPLHQFAYERNFKLNTPKQHRK JR316_0005710 MEKGQEEVDVAESFVTAFYHDSEDEDVSVQTRNEDIFELYWNSR PLTPLERRNPEDATTGCTGDPTSQIFLIGPKMLSHDNSQSVREDIIRSKSNVGSTENR EESDAAPATKRTMPESETASEVCKIDSVTSPTLTEYTGNRFQGLPEEKTPVEEV JR316_0005711 MQRLSPSKFRASNGILKSLATPLLETRHLSIPQCRTRDELRQSF RGISSATKPAYEGHIPLSWFENAFLAVGSAFVSLADPRRGDMIAALGETTAGPSLPRL RDRMLASPEGRKVLKDRPRVNSSTVDMNKLAQYPEGSFGRAYVTWLERCGVTPDTRAP VKYIDDPELAYVMQRYRECHDFYHCICNLPVNVESELALKYFEFANLGLPMTAISALF GPLRLTAQKRSRLFSEYVPWALKCGGSAQSLITVYWEKRWSENIEDMKKEFGIWDPPE ARWSKPLSEAKAAAEKRQQAAASESGP JR316_0005712 MDNFARLAVIQGLIPAAQNTQPRVGDDRRRYAVYFSSRPSLLPK ASETDAGSTWTFSASELPRSGSSGSSNNTSVESGVMTPAKRIVDSYRARHSLEQISQT SSGSSYSSEYSQTNSSNKSSPFESRPFPTGTLKPKLRRSRHEALLTALQEAQNIANVR NLGPHETIACPRSGCHDLLPGIHALAYHLDIHDIHDCTIGCNRCKDRFEDQTALRLHQ CNKSHVFALPSISAFPLRYGFQKVVALLHKTL JR316_0005713 MAAVAQVTPAVQAPQLCDYCHQKPKFSNHSYCSKTCAGQAATMC NQCHKKPKFQNFEYCGKNCAASAAASGKPRNPTVGTHQAQTKANNAKAQQQGAPAFDP IQLAKLVAQHIPQVQALINPNVNPAGHTIQTAPTSQPIVANPFANPAPQVIPPAIHNP NAPVNNPFLNNVVPQPGVPSQAGSAQNAVTNGAVILVASSNLHSAAQQLHLISTQQSA DDTECLIPGCGQPVHVDSKGVKVSDYCSMRHREEAVATGLASPCIMCLTLPQSDTDYF CSRGCREESLNKHLEYKDDGVEE JR316_0005714 MQTRQTITIVTSPSVNTRALPPIGGLTSPTATKGPVYRVEERSR TIYVSSDVDPSQWDTHSLNTMFTLAETSENGQEGTTEPIRRTHSYVDSHIIITEDVPD QVDEPRITSPRVSVYRSRRPVIRGAGTLSPPLPEIPKRSSPVKTSPARQRILFYHKHD PHYGFTNFSPHPVIYNGKKYPTSEHLFQSFKFQQHRPNLAEHIRTCSERPSVAFSEAR RFQPEVRPDWKQVNIEKMDETLFYKFTQHADLQAELLATGDAELIEDSDKDAFWGVGA DRKGRNELGKCLERLRAKLRGNGC JR316_0005715 MVSLVKNVVSWGSSHRTKQPVVVDLCEICGKKPKFIENGHKHPY CSRSCARNGGGPSPTVCILQGCRSTGKPAFANFCSEVHAREGVRLGQVDKCELCEVQP RTVGTMCIPCDRVVRVEPQLRELSSGSTTYKNLRAQFLSEWESPGSSPSFEKAFEIIL PRDVRLRHEQYRQANPTLEEIRTFHSSQCICDLGTKEPTLCNFKSCGICSIVKSCFKS FAFGASYNNGRFGEGVYSYRNPALADRFATSCTSSPYRVMIACDIAVESNNAGVNNDT DEESLFVPTADGILPVHIIIYATNVLTEVGIYRTTFLNIERIADDTAQVTFWEVTKPN PAPIFNQAAKAICSMEKQLFISWIFCTVSERRRRYDKSSEVFSWI JR316_0005716 MASHIPLALSLLGTLASHYPLGSITQKAAPPPPPSVAPTNATPS ASALPSAPPATTTASNPVGNTNGTTAPAAVGSNGNTPPAINPVALFATSDQNTSMVRE RIHTVASPVQAKKPAMVTLILLDLSRGPEIMETATFATIDPSTQMEQRCMITAVKLAP GVQMVRIRNLQAEAEVTRRLRLAKLQDAKILLIQEDTITAHLRIKRENLCLMCLQAPK MANSHFCSQPCIDDAESKGPMILEVPAGHVTFKSVADQFKASWRHVGTVCPPVRRVYK ILAPPASLAAYNTYRSAVEARGQFVGSGRSEGNENRRWHGTRRVCNLGDKGHTQFCAA SNCSLCCIIRTSYDISLWGKKTGWGRFGKGIYTSSTSSKSNDYSHNDCKSSLKAILLN KVVVGKGCKLLQDNTSLTAPPVGYDSVLAEKGGSLNYDELVVYTNDAIRPSFLVMYDE P JR316_0005717 MASRPTVSVQSASGASSSSLPLPAVLTAPIRLDVVQQVHKSIAK NRRQAYSVSEKAGHQTSAESWGTGRAVARIPRVGGGGTHRSGQAAFGNMCRGGRMFAP TKTWRRWHVKVNQTQRRFAVVSALAASALPSLVLARGHRIEEIQEVPLVIENGAESFK KTKEAVALLKALHAYKDVVKVSNSRKLRAGKGKLRNRRHRQRRGPLVVYNEDNGIVKA FRNLPGVELVNVRRLNLLQLAPGGHIGRFVIWTEAAFALLDEVFGTFDKPSVYKNNYI LPSAKVTNPDVTRLINSDEIQSVIRPAGQKVQKRPWTQKKNPLVNKAVLFRLNPYAKT LRRQEILKQERLKKKNAAKPKQPSAASEAFLNNLFAP JR316_0005718 MPIIGNFSAWIQVDNQPLPEYQIEYSQDGRKATCWIPSEAGKEF QICYRDSERSKTTASKVRIDGHKCAGKIIYSKISHPTRSSTSVQKGVSGGNNTLRPFV FSHCRLVEDESEQMVKNLSSIGEIKVKISHVETGSVTDNHGHIPPFDELRIYERAKKG ITHGTQLGTAVPREPKHARKVNFKRTQVTFEFRYRPIDILMADGIAPQPTSPPSVKRQ HASEHLDLIDLTRDDGRDDTLDRMQRKRIKREVKQEPSMTYDDAIIDLTL JR316_0005719 MQAMLLNGSALLNIYTSAAFLLQFYLTLLAVQNSRELPTITFAL MQIVPIFIFLGAVLATLVVAASNPDLIHPTLTGMYCEIESSTLNITLTAVGLVGVLTT VSTKGEYLTGLTRAMQELPIVILLINLIRRRKSLHSPGLPAILRHPSVIPRAFGYTFV LFLVFVLGIASLFLKDSKTLSQVFDIVISVGSAVTAVVFATESDILKVWFFWRNLKET DIKEGVPTASV JR316_0005720 MSGVEFHSAPGTCRVVCIAELSTCQDRPSTMPTINDFDAYIAVN NQQLVHYGMRHFVRDRTLECWIPCVVGQKFSVNWICKKSLMDSCGDVSIDGVDAGGIT INLEVRSEAKKKYAKHEEIVHAEMFSSHRGERFTFKGKHDHDGAREIRLVINRTGSPS RSVPTHRHIGPVVENTKLLREEAPIKRVEIIATFVFKYRDLSVLRSMGLLETQPTFET IRGGWESAEKYKTPNNDNDNDLNPSVSGSGTAHTDDPILLDLETMRNTDPSTWSKSTK RNFDEMTRPTDADLAAEIRDLERHLRNLVQENNYRDRRKHKNAKQRRAMRRFASPVQY MYRTRSVVEAEGKESPAKRAKVEDTDRVLDQADN JR316_0005721 MPYMNEFWAYIRVDNQRLCCYGTTLFTKDRMLECWIPSVKGQRF TVNWTSKKSLMHSCGDVTVGGVDIGGVTINQEPEHLPKRRYRGPRGEYDHAEVTHSYK GEELAFEDGAREIRLVISRTRGPSKSIGTHQTIRPITDGEALSEDTPIMRVEIVATFV FKYREYDFLKSKGLIDNLPSFSTIREAWLTVAKNRPVDPQSVKIKESDDQLEERLGTD TMKNRSTASWSKNAKRNFDEMICPTDEELAKEVQSLQIHLECLQQEQGHRERLALRNA QPGAKKLKRFGSPPQFEYPQAAILPQPRSKRSRRRRRLALKKMVKHEETNGGI JR316_0005722 MTAASRLSQLLPPRLARPISAITPQSKMNRSVVVSLSQDTLYEQ NDCMSQGHVIYPHNKHPEDPQSQSVRSAMLARVTRDNFSIDAASPNVKPDPGDRTLGN PEGIGMLEQVGSASATAAFFKAGGKQGAGFIF JR316_0005723 MLSISFFFCIYMTSILKASRTMAIMPARASITGMRRGYAASPSK ESLSGKKDSISQGNVNDPSNLHQKSTHSESAQGGKGTRKDHSGGSLDAASSGKQTKPK DTGSGNPEGIGMVDQVGSAGGSAEHFEKK JR316_0005724 MPSITIPLRLKSVFSSRKSRKGSSSPPISILSASTPVLPLSSTT TADLTVSPVESISSTVVHFSPEVASPPSSLNSSPAGSPRVESRRPPPPVERSSKPAQA KVPYRSRSQMLPMRSHQQNAFEYIRVPTLPGVRSLLAPWASAALLL JR316_0005725 MQLAGYIEILEQRIRTLERVIDQIRREPGRAQEIAQDAYATEPL IPDEIVTNPPPQPAESPDTEFSLPANTNDQETPEEEDLSHVSLAEEMKKFTMNASVDV RYFGEASVLEFSKRLNDIKYSITGMQPEPELKSYRISSYWGIHPWELHCVTPSEASYI FPEDDLLEKLVSLYFEKTNILIPVLHRPTFMKSLFIGQHHWDASFGMIVLMVCALGSK YCSDPRVLINDGTLHLSAGWKYFSQVPVHRWATLSNASIYDLQYFCLAGQYLYSTSLP HTSWSVFGMGLRHAIELGLHRRNAHNEPPSAEKELRKRVFWSLVCLERILSSLYGRPC AYQDEIFDVEYPIACDDEYWIMDDPRKAFQQPDGKPCTITAFIRLIRLCEVLSVVLRT LYSNKKSKTMSGYVGVDWEARMIAALDSAMIEWKDNLPDYHIQIHRRHITEELVATAS FEKCTSAAIQCARVLEVGLARGLCIFPTTALSAYMAGGILVLDKLKKIKSSVRVDEVL GEESHDYNTCGTKQGDSVYNLMQSVILVSDSLTERGRFERPLQLSYKNPQHVYEPSLS RHLTTNDSTTAHVLPDLSFPISNNWEIQDLALTEMGYTQYTTYGEANEVDDANVQYSL LSYPPFHIPNQFQGQSIYTAQSNDGSASNADWGNGMPNILG JR316_0005726 MSAHPRPMQHPVLPWEITDAIIDHLHSDVRALAICSAVCAEWLI RSRHHIFSTVQLWPWRAQRFFELAASRECTFVNKIRCIEVDDSKTVRKVDGDERGADE RGDGMTFFEAMAHSHFSCFAQVRTLIVRNVDWTKHPLRHQATLRTHLSKFHQIDRLEL HGVVFHDMREVVRVVDAFPALYHLTANVTFMKMLEHTIASEMTNHLTNKLGSLDLGTD DSIPALLSSVVSADRNPCSIRELNLQNIRSDHLKYVQNALERAGSNLRHLSLGFESEL GSKSLQGMSPSNVGCPFLTWSQQKTPTSC JR316_0005727 MDIEDSAMQMQKFNWCRIERALLALNFFGMKSVVVVVDIPSRGT IKETEVENWIMTGMNDLYSRGALRVRVVREGSTESIKTSNGQSGIVISLIPLLTTSCS AVVSKET JR316_0005729 MVRSEAKMLQVVPRTLRSSQLCLSTQAVLITLPSQLNTTVYDYV IVGGEMKLAQESGTAGLTLASRLTENVSTTVIVLEAGISDQNFPDLRIPFLAPTMTPN TQVDWNTTTQAQPGLNNRVVPYIRGHVLGGSSSVNDWNRLASVSGDSGWGWTNMEQYV FKHEKLVAPADGHSTVGQCDPADHGTDGQVFISLPGNNRTIDERILETTQQLAEFPFN EDTGGGEHNLLGVGFIQSTIGSAARSSSSTAYLNSTVIGRPNLVVLVNVTATALIQSG NSTNGLPSFRSVHYTASPPPGNLTLGQIQTVTATKEIVISAGAIGTPQLLMLSGIGNS TALNHLQVTSTINNPSVGANLSDHLLIPNVFEVDDTGTLDIIFRNATAAAAALTQWSG NKRGIYSNTVASFYGFTRFPSNDSIFESTPDPSAGQNTPHWEIIFSPGTPMPTTGNFL TVVTVPSTPTSRGTVTLRSNNPYELPIVDPQYLTTAFDISAAAESVKGALRFISAPAW SRYVTGNFSQALATATNDSLIEAYVRGIAGSAFHGVGTAAMSPANAKTGVVNANLTLK GVDGVRIVDASVFPFIPSCHTQGSVYLLAERAADLIKAANE JR316_0005730 MADFLRTWLSIGLVGLVFYVVLPARAVLITLPLQLNTTFYDYVI VGGGTAGLTLASRLTENASTTVIVLEAGISDQHFPNLQIPFIAPTLSPKTQVDWNTTT TAQPGLNNREIPYIRGHVLGGSSSINYLFHQYCSSDDWDRLSSISGDINWEWTRMAKY HENLVASADFHNTIGQFDGSDHSKTGQVPISLPGSNQSIDTRVLATTQQLAEFPFNLD TGGGDHSLLGVGFLISSAGNGTRSSSSTTYLNSSVINRPNLVVLVNVTATMLFQSGNS TNGLPSFRSLHYTATPPPGNLTLGTIQRVTATKEIILSAGAIGTPQLLMLSGIGDSAA LARLQINTTINNPSVGANLSDHMLIPNVFAVNGTGTLDDLLRNATTQASTLNQWKTTK KGSFANSVSNTYGFTRFPANSSIFNTTPDPSAGPNTPHWEIILSNFFFQPGTAMPSTG NFLTVVTVPSTPTSRGSVTLRSNNPYDQPIVDPQYLTTAFDMSAAVESVKGALRFISA PAWSGYVVGNFSNVLKTATSDALIEQYIRGIAGSAFHGVGTAAMSSSNATTGVVNSDL TLKGVDGVRIVDSSVFPFIPSCHTQGPVYLLAERAADIIKAANN JR316_0005731 MSSPYRSMKKQATQRWRSTNRVTRRRWIVFGVAFVVALVFYSFS RHGKQRLHSIKRIVNGPSKDDTDLPPTWEKLWQWEKDLPQHNLDLPFPEGRTGRYLHF ANQIQMLGWNNQLNEVLMNALLAYKSKRAYVFQPYVWKGDYYPWPESKTPHWPPRTPA NALMSGPAVGGPWDPEDDAPRSVSEDWWHIVCPQHERRIINTGDVKPDIMWEDGMTIF THWEKLLRDAPERCIEIRPALRSVDNFPQVFDLFLWGSDRILNLWDMFSTSPVSRLFD TSPVVRSAVDRNEYLFLPKGPRPSLPASRNPYDRMLAIHLRRGDYKQACLGLAQWNST FYSWNLTPELPDKFINPEGYTYGKNTPENVEYYLKHCYPTDEFIMNKIQTARAEYYRD AKPGEVRHLDVLFLLTNDKTGWVDGLKEKFSKDGWNTIVSTWDLQLDAEQKDVGMAVD MDIARKAAVFIGNGWSSFTSNIVHRRLMDKKPYISTRFY JR316_0005732 MPAETRSKSRPTASAPATPPPKSLRPVLRRRVLASVPTPAPVSK PRTPRTTTTFEPSNVASRAAGSYTSPSRSSLTTRRLTPQTSPTIEQLDATPSSHVSRI EVGAPAGSRLMTELVGRDPRLPVLYELESHPKVDDPKARIILGIADWSMDAMRRRFHY SANLKVPSTAAEGQMQGMLYIKPSDNIFYLERDRYGSGLPGDDVLKSWTLSKHERDPI DLQECRRLQEEILGPQELMSSQKPVKDTNGEYSGGIQFERSDRAVNIKSGPRCYTLGS TLQAQKSLSAPGVQSKVYTQARDYDLQMRFNILQAGAKAGIEGVKKASKHLFQRLKRQ AEVASLAPVGYDDNCVWPSWQLNVAPGVPQHDVALESSLGKFGMAHVDAADSSGAPTC MHVLSRYRADVAPENFYILCCGLAYLMEEFSVIFFSGLHFHGGHQPIYVQQPNEIYYR LTLIGYPPGQLIDGVDSVAFAAGVNDTTFAIGIEMRNPVSHKLSKTPMCKQATWTADG GSLLSPKAHINHFSRLLLQAITHFARQLPPELIPRVDKSLAMKMVSVVIDNKRVTADE WDLGPGWRGNDVKIGTDYSGILSGLGVSSIEDLSSENLARLCNSDSMSEAPYGNVELQ EAVQEWEKHMESSAKSIPLCVTAGDHDEEAVIGLQSKTGSRKGAVKRARTRDVSEMDL PIKKRRNTSQQTLTSSKARRMSHRKDPESDSGPDINLNFEDNLHGDRDSTVTKSKASK KNAAPKERITRNSSSVTSLGQSKFLNALRPECISKILAALKDLPIDNTPSQGQSKWLD VSLISEILSQPVISCDIRRACQLYASYSQKKTISKLDLQFQQKQLLLSNVLLWEWLDS VLEQAYRSKDDISNGLGVLVRRVEEVLAVQTNAEFDAASFISGFQPRESVYIYAPRSF KYNTVSKTCVLQFCSTILEHWFNFPPVDCQWTAWFIRELIDYLGEEVLILDCAWEAGL HINQHVLCRGKNVVIKQEHIKAWSKTYLSKHHLCKTSHSQDRQRLVTINNWATSQLTL PLSVHSDYLRLLASMPPKSSKANQNPSRAARPAKPLKANPDPSAAGPDNSSKANPNPS TGPENSSKANSSGAENSSEPRLLSREELIKQLAQKEKELAEKDKVVKDLKYQLSKDQE DDRLIPRPVGQAGRGEAFGGFNLELAMGLTKEHYLRLNRIVKLAAFQYLDVRQPFRRQ SRDKIRCAKERAIKNAKVFREYSHAWPIDEFLKQFLSHFSATATKDIEDEKNSQEKPD REAIKKYIKALATIQGARLEVLRDDAGDGTAANDSGPVEVEMDNISCSSDDEENFNHV FNNVEDDEGCSNDKECADDEDLAGKSKKHHQEDTTREKASKPALKPQDSRTKTGRRKE RHVSPSSTPKSKPPPRSIMKTPGSVTRKERKLKFVESDQSDEEDRVLNSKVTAKANKG VKNKGKEPLRSNSEIQNMNTAFPAIGLTALPSPVQEEASTLKFHWSTAKSKAGRKPGS SKPEAHNEVEKENRAPVPEPLKASTQSDPNASKERTSKESKVVKSLQSIHEIKRMKRS AEAASLEAEELAKKKAKKNDGNNEVSQSTTIPPSEDATSEENTTSQAKATSQENATSK ATSQPKATSQEKATSQPKATFQKNEIVLDGSDYLSIKSCPHQGCSKSDDPHHTIPPED EIDISLKMIMKEYAVARAQFRLANNRNRLKAAQNMSFKSQLLCSHLYFRSKERLTSSL DAARSNGWPVAEIDYDQVADRIAELHVKHNFPMIFEGSGTDCARFETSLPWRRFVGLM LLKCKTPKLSFLNQLDKRAPYCYNVASAGYYGPIVRSLIASYWTQLVVDGDLNYVTEL LVHSTFDIGLYRLKSPVEQISGQEDALAAYSSEDAYRFWDEFTNLSESVRKSRAFNAE SFFDMVMVPHVVNLLIMEDMNCAYDDADKIRRESASFGKLHHGHLDDNDEMNSVDNFL HQAPIEQVQPRCHTPQPSCPIPQTPLRAAGGSNSLQAMQAQAENQLTSKPPRLQRKTP CKPAFNSAYLYGELSTITEISTDDENLPSKSNNTPNKNSSTTVNNETQTDPANPSMEP SASPNARNGGVLADNLSKPVDEKAGKKRKRRAADPNEGEKENLVYTSEAYRLKKDERA EYAAATVCTDVGGSLSY JR316_0005733 MKRRLNAPRNVARFLDVEAGVDDGEEVDSNEEESDQELESCAYR ESHPYKTIPDDFLDEQEVDGLDVRPRSLDEYAQTNDEALEALLLRSKERSRAESNRRK SNTVDAEAEDDIFSASFLPALTATDYPLWKVTCRVGREELAVASLLFSTQDKHKIRSV FAIEKMKGCIFLEALWNLDTVDLLKKTPGVLITKRGVQESLVSPDDYREILRGQGKIS SAKPGSWVAIAKGAYRGDVALVKETEGRLVRLLMLPRLPLPGTNKANKRRKTERAGDS VLWTKTQWSEWLDSQGRFDQVVEHTAHCWQIGNILFENGLMLQDFGQDSINVVVEEMP FRYFQLFQQSTHSSLDSSYMLRPSEWKFEPGERILVAGDEGSQSREASVAVIHPYYLE VAYQTHDSPKITDTALVPWIRVTKLFQLGDYVSVQSGENQGTEGWLIAKTDASVVIGL IDSSILTISSLQVLETIQVGLLSFSP JR316_0005734 MPFIFLRKFTLLIAYPRTYAEGVEALQCYLQSCFENNIALSHDD AQLFADFLQDYVRCARRSLVSISRPRTIPPPGPSALARLLLIDFKKDDLIVSCIKALE VSFDLSRLARRRTRAYILVMVLISTFLLAVSEAVERSEGQHYILQSFNFLQRATREAR QAGISRTDAIYAVIHSQVGSLFGSL JR316_0005735 MDVAPQHRDQPRVDVPTPETNPFPVLSNLNDTHFQHQLLYLAMT CDTVFGLTRAANALHNLLLENCGILEEQIMTCGRSMVEEATEFADNDIFELATIVAAI PSVNFQLNMDLIQDAARAVYVAAGLRRDLDEALKICNLALKKQE JR316_0005736 MAPQNKAYKPSHDIRQRIAEYISPIIKKSSRSIQVRLPQTLVSW GKVRIMGGDTIEVHSEHAIENKRSRSWVKYELLGVTKDRNNNVIDENAEICYGRLELI LEFNVPGDDFWGLWKRSLRLLAVVTPYRTNGKNAATTLTVFRESDKRATIALDLQSIT NAIGRIYREQKGWAIVDRSDEYSRTIFVHGGDPEEPDDDDALL JR316_0005737 MNDRYGFLTEELSLTQRFQERPMDRRTFLHWDHHPPTYLKSWLA NVDVRSQIGHVCELKEKGYPQTVYRDRPKSRFLEFDQPSRLLNQFQTTSVILLILDGI CALQYIEEQPKPSSPAVHQTLDCSYSIPVALNPNDEQQNADCPGIL JR316_0005738 MPPPSDNAQHSTKPDASLPSFKAIPTSGTLTSFTSPIDTGTTAL STLAAIADAADPTSPPANEVASINAAAVSIAYPEADGNSGDESKPRKGKARVRANVRV GKAKPRGKPSAPAAAANEKKENGVKVNGAASSNPSVDSGDNIPSPTTKKGPPVLQIDT TVEEPADDAAAAIADDDDMDVSMEHEDAEPEEEEEEEEEEEEEEEEEEEEEEEEEEEE EEEEEEEEEEDGEEEREESTHEEEEAEPVDGEAADGEQENEEEAVEGEGDGEENEGEG DEDHENEQDQEDAEAEQDNEEGEEGDNDEDPSPGDVDADVEDHDGNLQPAHRAEALDV LATIELKFALLRERVYVEKMEGLAWEELMVQSAIHPEMLHLQKELSQRRDKRLELASR KRSYEVANAEARRKADESGVWSWWKVARDDLQTEMIAEMSRKRRRMERDRRTAEKHQP PRRIPVQQYIAVPPPLPNMRKIVKSYPFGSRKHNKADAHHHHHAHHPKNLVYPEISTL SATDIANDLEFLFQNSKASAAYDVQQSLHSHHHHPQQTYSSSFGPLPPRNGISGMGVG MGRSSSMGITSNGPPLSSHMPPPSSMGPPHSNSMMQPHQHGMGYDQYGDIPPSFGPGG PPPPQGRMRDQMNSNSYPPQGPGGMMPVGPSSNNSRDLNSYSNFPGAGGRSQSHSHGP PMPMSGGGHGNGNGYPGDHEMSSIGPGGQGNSTGVGHQIHPYHPYFGQNSMGPGPGNQ HSQQQQQQSHQPPPPHMSSHHSENVKSGMNGRRSVSPGPMMSNGARSGHGPGAKSNGN WMGAGMGMPSYNLCGGGGGKGDWDPRLLQEEEERERMARDRDLRERKRDANANATIWS ARGFEETENSRRWTGSKRRREHHMQQQHRSALPGSSHPSLSHLHAGFTGGPPPPRPPQ RSGIIPFITTIESTITTSFITITGKRRSTRRPHCRLLGVHLPSYTVRGRLEITRARGL HCCMPAQDPAILIQRKYGKGVWSLKTVIALILTSETEIGTQGTETETESGKGIEKERG KGTGIPGKFFLQDTPLALLYTLSTTEAIDPWLCPSSWRPVRLCNKPLQARPPALT JR316_0005739 MSSLHAPLSGSGRPTSNGMGGSNALSGGSDRDRIERDRERHAVA ASSPRLGSTVVHPPPSNADHPADQPWPVDSIDTWNSQSAEIFDIGAGITTSGNNLGQH IYAQIQSRAVSASDPADIEEDGELDWLAKSFQSVVPNDHNMQDLDTGDKGRGSSSERT VSESSFSASSYEVGLVHSEPTTPTSYTAAVALTLYEFDYRTAQTAMRPVISLDLDEHA ISIPSSSTPGFIHAAEVRSSGPISQCSPVISFTSNRFSTEAQYRCVSDVYIDGNQVYS MPAHLQLCSASSDGMIVYKMELGNDVWAILTRDSDVHSSYTMTQNIFTVSTGTIHDEI PVFSISYKCVEHEMLLRLQDADEARQYLHGNVYFNRNPKKVYIGCELIPGKPGTSCFG LRFGMNSCSQVMRRCEGKVNDGLLQAVITLSLQTNSNRPLRIYADSSQFTSLMTVSAG GQSSGIGQGKTENFCARHALFSGVTLQHIMLGRLYHNNMLFQETLYHNTMVFQETLSY KLLIR JR316_0005740 MPDLNIPVDKATHYFNLVMVVPIFIGGMLCIVLTFLGDIMAIIE KARLWLYNRCQEVLPENIKENLYERLRERRLRKNVEAGSHVEESPANVEDHSDRQPST TSVPRLRRNHRSQSRSLLPTTHPVDPYDA JR316_0005741 MTCSQCNADLQCDKHALVSLTEWSHSHIRDVFESTSEKECIQAI QGTFASDITASINGKQANFDEIVESVLRIRGGSEHGLKVEWRESVEAPRDGSNRAGTF GGSYVIHGIHKTSTKDGKTLDFQRQKIIVVQ JR316_0005742 MDSSTPPNTLSYFPSIGAFIDNGALQIVEVLTTWVGYSGVVYRA VDTRTRHPSGQKKYFSVRCLCISVFPNTAKRQKEIYLRGVMLHRLASAHPGIIDIHHV FEQDNRLYIITNHAPNGNLATQIFEKGRYIGRNADIKSVYLQLLEAVDYCHSIRIAHM HLTPRNIVCFQDGLRVSITNFGLATTERFSENFRQGSVRYMSPECHGAQFTHTGKYSP KFNDIWSLGIIMLNMITGRNCWMVATPDDPFFRDYSNSPFDILPVIYPISSETNNILS RMLHPSWYQRSTLHEVRMAVENVTNFYSEDAFFSGGMACFRRQEIKESPLTRAMREQH ILSEAPR JR316_0005743 MAVKLALSFGCLLSILPLAIAQSGAYGQCGGIGWTGSTTCISGF TCTYSNPYYSQCLPGTAPTSVRTSTAPASTSTAGLAVAAKAAGKKYFGSATDNPELTD AAYVAGLSNLKDFTQITPDATEPSRGTFTFTNGDAVVNLALKNGQLLRGKYHCTGTKI SRIYTIYQGTLVYGITNCLTGSQLEGLTTQRFNPSSLLTVAPSSAIIKEKYSWDVVNE PFNEDGTWRDDVFHNTIGDGYVALALRAARAADPAAKLYLNDYNIENTGAKSTAMYNL VKSLQAQGAPIDGVGFQCHFIVGQIPTSFQRVLEQFTALGIEVAITELDIRMTLPATP ALLQQQKQDYQNVIAACKNVAGCIGVTIWDYTDKYSWVPSVFPGEGAPLPWDENMVKK PAYDGIIAGFA JR316_0005744 MHSKNRSVVIVGAGFGGIAAAIALKKHGYENFMILEKAFDVGGT WRENKYPGCTSDVSIHYYSLSTDLKDDWDHTCEFAYNIHAYTKDIVAKNNLTSHILFG VKVISATWDATSQSYTITTEDVRSQKLSVLEANIVISAHGILHVPRYPNIPGLNDFSG PIMHTAKWDTNLDLRGKKIAVIGNGGSACQLVPYVAKTEGVQLTHFVRTRNWILPAMV APIHRYWKWAFRHIPFLTRLFRWTMFWVFEASFYLIFKMATTRAFLMKAGKKFMNDTA PKKYRGLLEPSFPLGCRRIVFDSGYLASLHRPNVDLKEGGDIVSVDSTGIIMKTGEKL PFDVIACGTGFVTDKFPYHLRGRESTIQEFYDKHDGPLAYLGTTVPGFPNFFMINGPN TATGYTSLLHIMQLVKPILDNEVTSFEVTPKANDDYNKGLQSKLNDMVFSFCSSWYRA GHNGRNVSIFPGSALQFWWACRKIDWSHYVAVGPNAAKFSNSGLGFVPILSAVVLSAS MLWWTLGN JR316_0005745 MPSSVLLLGATGGIGALIAGQLSSHRHQFDRVAFLTAIADAGPE KEAKYKAVPLPRIVGALDDPASYNGFDIVVSSVNDLEGAQIRYIDAAFAGGIKHFYPA EFGMDLTRPEIQEESFFARKLNIRKHLEHVVSQDPSRGFTYILVGMWSNWMLDFNIFG LSDDKKSATFVGAPDTLLTTTHAEDVASVTVLSLLPSHLKSLSERRHIRLAGSTLTIS QYYSVLSKVLGHDINVQYVSKEASYSEAEDPKEKSNHLAIILASLKRTLGFGGSVLEG VDNDSYPEITVKSWEEVVKARFS JR316_0005746 MAAGAEADSSAVVAQAEDEGWIKAELFKLRLRPRTMQLNMNGMR LTPLPRRKTPVHVFGDYLAYLYSCTRSFICDTHANGTALWNAVEPDIQFVLSHPNGWE GPQQTRMRNAAVYGRLVPDTDAGRARIRFVTEGEASLHACVLSGLAGDVLSNPSKHGF LIADAGGGTLDISAYAIKGTSPLAMEEIAPPDCIFAGSVFVSRRAREFLEDKLRHSKY GTPDSVDHITRRFDETTKRLFRDRNELQFIPFGSPLDKDSAVGIRSGQLRLTGACAVA NLFEPSVDAAVTCIKKQIDASNGMIRSVFLVGGYAASPWLFGQLQERLAPYRVTVSRP DTQTSKAVADGAVGFYCDHHVSARMSKFMYGVEFLRELDPNDPDHVQRKEKLCELPSG PKLLPDAFDCILARSVKVKESTVFTRKYCTELTNLSMLSVFEVEIWCYRGGNVVPKWI NRGDDDFSTLCVVQADLSLLSGSAEPKMGKNGKKYWTIVFSVEIHFGLTEFKARIKWM DNGQVKYGPAVIVYNERGHRLEDDDIDVYPEDDMVTNGTRTERLRSDTSSRSRTPVDS YYPPGGGSSSSRRSSNVPDVSRMERSSSKGYPPSVTPSQRSAAYPPSHDARRSSDIER SGSDSDRRKGRERESQYSRDDRDRGFSNGPGSATPVERTRSSAYGAPSIAKVQSTNGG GDLLSSNWQPPPSTSAPPSRPPSVHPAQPSRPPSVHPGQQPSRPPSVYPAQSRSPTIN PPHSRSPSMYGSPAGGEYSQPQDLSAAPPSAVEERRQSHVWDAPQPGVSDVVPERSSS PYVDRPKSPFAQEQPHSQPRSPFIPEQPLDRPKSAFGHDIAAPPARTSSPFIDRPKSG VEGSFYEKPRSAFGDPQHNVFADDPPPPAMFGDSGPAAQVGVGADSLFGNPSPAAVFD TTTPTADDGWGFAVSKSPSAATTATTKSGKKKKGSAANTAAASPAATPVASAPASGLA SRASPLFGANKSPFGQAADNLNEEPSSAAAPVESSSPAGLGWGSGSKAPSPKKSPFGN TIDALHEEVPVASAVTSSPFASGGGGLWGSKAPSPFEKKDKPLSPLNPASQIHTPAAA TTPANDFDWGFTENKGTSAVDSWGLGEHKEPAGGDSWGFNDNNKGSGGGDGWNDIGLG DQSQPKAPSRAPSPQPKAISRAPTPQPDPAPRVPTPQPEPPVEVATPVEEVKETTVTG KKKKKKGNAASAAASPIAATKSQQAQEAPEKEAEAKKAEEEAKKAEEEAQKAAEAEKA KAEQERLEKEAAEAEKKLLEELAELEKQEAEAARLAEEAEKERKAKAEQEEKEKAAKE KEEKEKAEKAEQEKAEKEKADAGFNAPISSFGNVATLSSGGLFSSSSLNPPDKPWLST DTGGGDDSWGGWGTPVTTSKKKKGSKASTPVVTSPPSAFGSGLGGWGSFGASLSADKP ASPKPSPKPSPVELPATETFNFGSSGPNLDFGFGGIGKTASKPPSRVPSRAPSPAPAP AAEAPAAEPEATPADPSDPQDIVSPIEENKGDAEGEEKAEEADTGAATGSKKKKKKKG AAGGAADTPTAEPETPVVESPVVAEAEPEQAAAAVTTGGGKKKKKKK JR316_0005747 MSKASSARLLKLALPLVKTHGFTRAALARSVLALPEGEAHTVPL SDTAVSALFGNGDTARRTLIDAWMKEGLRHMSSVSASNVSDSTNSMKRASLRDVLRAR LDYNEPVLAHLPEAFALLASPKYGIPPLDPTPALKHAASVADEACYVAGDQSLQRISD AFT JR316_0005748 MFLTSFLSKLIEWLTKAPRATEESSDSEPSVKGKPTAPAAKVTP APPPAAKKPAVVPAKKKWEGEDEEESDPVSDWEESSEEESEEEVKPVVAPPKKKGTLK AKLAEKEAAKANKKDGSTGSDDYDSDAVLDPREKARLDKERELKADLNNAADLLGAAA LGGTSSSELDSLISFQPRTKEDFVVLSDRIIEFIIKKHHSKPLYHTFVEHHARALAAP LKDVEVRKVASGLTTLANEKQKEQRDKASGKKKPKGASKPGLGGTKSLARAKDTELYD EALDDFGTNADDFM JR316_0005749 MAINARFPPEILDAIFEEYLSGTILSVDTKNTFFAVSEVCTLWH KISTRLKKRSNAWTRLHIHVPDSQGRTPVYPMLNFWLTNSRDEPLDIVMHMGKYCSGR GAIENALLKHIGRARSQTHGNPLWNLELLRSCFSLAPRLQTVWYCGNMLAATARDGDE DEDVNNKAISVHKSQSHLALEYAGEDYHSMRSAGAMVLLLQELRPVLRSLALRVPMNA EDPSDVYTTLGVELEELQELTISESDNLLAFLPRIRAPNLKGLKICRSTEDDVTANEQ AGKCVLDFLTLSHLPPISELELNGVQSIKEEDYARIFGLLPLLQTLVIRNSTISDIAL ETLNMNGSDKFCQLLKRLDLINCENVHGKTIYDVVSSRLAKCVLGRGEQTSGIQITVE ECAFSW JR316_0005750 MAIVSFFPPELLTIIFKECIADTILSTNDDPATRTAIAFSQVCS WWRQVASIPNLWIRLRIDICEGCRNPLSSILETWLGKSQGLPLNIKLRIGRDSGADFD PIRRLMKEISRWKTLRIYAETSRLLSYFLNKIEPAPALETVYVTVRQSGSWWHYQHPL DRLEQLRNRFAGSPRLCWIGIDRNVIATTETIPLRGSIFHLSLEYTAEKYTMVQSTGA LVELLQELPQLKSLNINIPFFDKESIGTPHVDMMNLTKLLLEGADNVFAFLPRIRAPN LTNLTISRSGDSLSRNPLLAKSILDFLKASHSPPPIYSLELYNVPEITDQDFTRIFAL LPSLEKLVIHNSSISDATVQELILCESNVDGLRRCLKRLELCVCENVHEQNISSAVRS RISRGAHRSEAEAIVRQSMLQYKQEVETIDREIDNHNQAIQLLLLLKEKRLADIQVCK ERLALASRLPTEVLVHIFEEYVLDDMLDVQSLKRGPLVLSHVCCRWRRVASLPALWSY IHGDLLITSHPKELIQMWLNKSHPTPLTINIMLLSHLKGFVLHPAMGAILDEMPRWKH LRLKGRNPGIINAFLAACVKPAPQLRTIDLTVEHTIDPGEQWQVTNIQKAFMWSPQIK SIRIGGHVVPVSDSLPTSLTHFILVLPYQIFSHPQYYQSLLSLVTLLKTLPNLQTLTV EVPLSYLTDFLLDADHMKPIELVNLTSLTLSGPGDIVGLLPRIRAPSLQRLTVSGSIA AAVNANTGQWLLHLLQDSSPPLSHLALVDLTIDDTTSLEMLRNVK JR316_0005751 MLFSSAGALAAATLSFAVSSISAKPVRIPGLLYSRQLDGFDPDQ IPSSCLAGSCADYLAAIGQQNCMDLECICTAQVAKTLDACQQCLVDANIPGLTQSDID QAVKDFVDGCSDAGHPISGVGGGSGSNTSLGGSGSTATSRTSTSTKTATSPTATNPGG GSGPSTGTNPDTDNDNGNGGNTNGPQTNPFNGAVDKTMNIALVTLLWVGLGMVVIA JR316_0005752 MHSFQESWVAFARLHGMADDRGRSHLGQALKTLQYLCMVINLFN AVPAIIRFDPAAGWAPGQPVEPDSICLKVNAASPEDYKK JR316_0005753 MQSLLSPSESNAFQSFLSSLDYPESEAMSISSSEWALYSSNPSI YSPQDSQHDPEANPEHREALTKATKDLMSLDSNSWDNGSSMMDHQSTMHHQRRHTYGA DGHTMSQYDREEHERLLVHQQQVLQHQRQHRQQQQQEQQRHNSFSSSRDVFPFLNDKP QQSQELQYPMHPSHLRHQVPPMNTVSSSHSSPTSPQSPYGGFHQSHNPMTISVHPPQQ VHIPHQQQPQIPSGSRNTRLSASRSTPAVTASTASHQSIQSASDAGASSSSHPRLPHG MNDASAKRQRSSTSPPIHGQRPHEQQQQQSGPSKQTLLSPSQKKANHIQSEQKRRANI RRGYEALCETVPALREAIREEEEAERNLRSAINGNGPAVAPRKKSRKKNAKDGEDKDK DRLDGRAGPRSENVVLSKTIDHIQALLSDRSALLARLHRARSSLPPGHPALTPILSDP PWEREWKGGEGKLGCEDPDGEGVSEDDGEDAEQTVG JR316_0005754 MAEVIDSMLDLMRRLPPTRTEENVEALVGICPNEADDLLQSVDQ PLRVMVDRATGREYLACDYNRDGLSYRSPWSNEYDPPLEDGTVPSSKMRKLEIQANEA FDTYREMYYEGGVSSVYLWDPEDASGSNFAGVVVIKKTMTPASPLEPAGSWDSIHVFE TAERGRQAHYKLTSTVMLHLITRKGSDSESSKIPADKKGSEKWKRDGEVSLSGSMTRQ NEQDWPLNDASSHISNIGRMIEDMEIKTRNLLQEVYFGKTRDIVYDLRSVEDLEKARR QKELQKELVGFIKR JR316_0005755 MSVTLHTSHGDLKIEVFCEAVPKAAEACSTPSPSPATLTFRAQQ NFLALCASGYYDGCIFHRNIRGFMIQTGDPTGTGKGGQSIWGAPFSDEIRSTLKFNNR GVVAMANSGNATDSNKSQFFITYAKQPHLDGKHTIFGKVIDGADSTLDSMERVPVTNK NRPLNEIKLTGVTIHSNPIADAELAGRR JR316_0005756 MRSPSLYSQDDPITAAMKPPSTETDSERALRLEAEAEAKRVSEQ IDEDLREERERLKKKKSDVKLLLLGQAESGKSTLQKQFQLMYKPNSIDQERSSWTAVI YFNVVHSLKQILNTLEQWDDVLDDENGDILDIAPEPSHRAKGNGIADQPSPSTSLMGG NGTVNGSPGSVAHSDAPSTSNAAGSSKDAGAIQIGQLRRRLSPLMAAESQLADRLSGG ITVSGSGKGGVYVRSGWQARTIENALTMIKRPSTANEKRPRISESQDFTPDPMVQEIG RMLEACEEDIHELWSNPTVKGMIAKRRLKLDEWSEFFLRHISRVASPDYVPTTDDILH ARIQTMGVAEHIFDVNIHGKLVTWHLFDVGGARGQRHSWVPYFDDANAIIFVAPVSAF DQYLEEDPRVNRIDDSLQLFTQVCSNALLKSVHLVLFLNKTDLLKAKLDKGLKVRKYI TSFGERSNDYETVVQYFRAHFLQVHRRNNENRRVLYTHFTSVVDTKATQRIIGNVRDS IFRGYLQSAALV JR316_0005758 MSESKRKFQGDDNKRKKKYRSDGTPIWGKRHVEGPGVWVSCVKG KEKQTIGEIYELFESIASELWPLEQDSESENDTGLSLEDQIANEVSAIKRPRGQQLFA NCQTNTPCVIFISCKPPVDPVGLVVKYIQSVQQSGVTRTRYVHRLVPVSGSCAANLPE IQSLCRKVFKEFFDKHPDTPFKFKIELRIRNHTTIPRTVLIQNVAQCVPEGHTVDLQD PEIFLLVEVFKSICGVSVVKDYYGLHKFNVAEIAKRNDQPQEASRLTVSSTPTDTPAA GTTTVETTQADKPETSVTSTENV JR316_0005759 MPSQQPQGSSNRNVSIIFKFCTPPASKKSSSSTFGMNFLPTNEP APMTSQANSSLLYSQWPSPQDENLSVNYSQMSYQMDSTFDAYPANPMTPYAPAPQRCG FPTSRDGMPKYDGMGSMSQMDAGSFYQPLDSNFLFNVENSLPSSYSTYS JR316_0005760 MPDCQSGDRELQMPFMDWQSGSSSSSSSKKEYGFICMSTTVDDL SYTPSEQERSRDEAQFVATGRRSWKTLKGKGEAVWPPLL JR316_0005761 MVPNKGRATPRVVCCAIPISRAAGKVLVVTSRKRPNNWVLPKGG WETSDVQLEAAASREALEEAGVRGTITRYVITIPTPSAIYHFYELDVVGLDQDWLERN ERRREWVDYAEAVKRLEWKAELAQGLRSSSIAH JR316_0005762 MGEHTGNGVHIIIVEEKRNILIGLGIFIGFATFFFMEKTLRVLG GEEDAPGHSHSHSHSHIEPEASKASGVASPSTTQNGVRKRKSDDTNGSSEQQEPQSIS GPSKLSAYLNLFGDFVHNIMAASFYASPLIGATTTLACFAHEIPHEIADYSILIRSGF TKKQAMQSQFLTAVGAFHFYRDCGVRQDATGLLGTTLQLSDLVIPFVAGGFLYIGAVA VLPTLLAESKSAKQAIREASGDYF JR316_0005763 MYPNLQEKAVVDAAEKIMIETMKRYDPSHDRHHVERVRKTALSL ARNINPTPDLLIVEIAALLHDVLDKKYVSPEEAADPYNFFLPFFQEMSEQHGLDLIQD GRAKIITRVIENVSWTTENKLRAAGEWSTWHDQCVELHCVQDADRLDAIGAFGIMRCA AYGTVINRPLHTAPGDPEYEHATIHHFYDKLVKIRDRLKTVPGKKLGVKRHQVLLDFL ASVDEEAGGKIE JR316_0005764 MSLWVDQYRPRSLDALSYHHGLSERLKALAASGDFPHMLFYGPS GAGKKTRISCTLKQLFGSGVEKLKIDQRVFLSPSKRKIEINIVQSNYHIEITPSEAGN YDRVVIQELLKEIAQTQQVDMGAKQRFKVVIINEADSLSRDAQAALRRTMEKYMSNMR IILCANSTSRLIAPIKSRCLLMRVAAPSEDEMLAVLQSVARRVGFDLPPEAGTKIVED SNGNLRKALLVLEALKMQSPDLTGPLSIAKPDWEVYCHKVADLIVSEQSPARVMEVRT KFYELLSHCIPPTVILKTVAERVVDKVDESLKADIMHWAAFYEVRMRNGNKKIYHLEA WVVKVMSLYKQFFYNIDMSEFD JR316_0005765 MTTEADYDIIFAGGGASACITAGRLAAADPSLKILVVEAGPHTR EKRDVIQPARYANALARVATGESEMFAVHVGKPTDSLLGRAPIVLAPSAVGGGSSVNF MVYTRGAASDYDDWEIEYGNAGWGSKHLIPLLKKAETYQPETKNDTHGTSGPLKISHV PPGLINIASNSLEVAAAYDKKRPQITEDVNTFYECNKYGYAERYINGLTGRRSDTAHH YIYNQENNLNLTVLDRHRVIRVIFEGNRAVGIEYLDDLAGRKGGDVARVIARASRLVV VAAGTLGSPTILERSGIGAKSILDKAGIKTLVDLPGVGENYQDHNLMFLQSKATPDTD SMDGIFRGDEEVIAKHEKQWLENGQGLMAHNGLFWGIKIRPVEEELRSMSPDFDQRWK TYYASPEHQDKPIALLGFMAAYGGATPDVPIAKYFSFVYFSAYPASVGSIHVTSGTDP YAPSDFHPGFLDDPSDLSVLRFCYKKARELSRRIKYYAGDVVAIHPNFKETSEAKPKA SSAPASLTDADIVYSKEDDEAIDEYHRKRAESGWHSIGTCAMKPRETGGVVDARLNVY GVQGLKVADCSIAPANVGANMYNTAIAIGEKAAVIIAQDLGIGGVSEE JR316_0005766 MAEPQGMLYDIIFAGGGASACITAGRLAAADPSLKILVIEAGPH TRELQDHIQPARYFSNLALAKEVFSFHKGKPSPSLLGREPVVPSGRAVGGGSAVNFVV YTRAAASDYDDWETKFGNKGWGSKHLIPLLKKAETYQAEITNDSHGTSGPIKVSFAPD LKNVSDDFLEVAGAYDKQRSLTADANEFRAVDKYVDAETGRRSDTAHHYIYNQEPNTN LTVLDRHKVVRVIFENKRAVGVQYVSDVIGRSGGLTTLLTARASRLVVLSAGAFGSAA ILERSGIGGKSVLEKAGVEVLVDLPGVGENYLDHFGIFLPFAATPDADTLDPIFHGSE EEINVYAQQWLNDGKGLMSHNSVDSGIKFKPNAEERAAMSPEFDKIWTTYYADVPDRP VLLLLPVVAYVGPDPSITTGKYFTMAYFLGYPASVGSVHITSGNNPYAQSDFHPGYLD DAADLVVLRWGYKKIRELSRRMKHYRGELISEHPKFKAGSNAATKQSANPVALTEPDI VYTKEDDDAIDQFTREKATTTWHSLGTCAMKPREQGGVVDERLNVYGIEGLKVAGNIC PGNVGANTYNTAIAIGEKAAVIIAEDLGIAGVTTH JR316_0005767 MSATYDVIFAGGGASACVTAGRLADADPTLRILVLEAGSHSKDL FYHVQPGRFFSNILTGKPVLSFHVGQGGKGTGERFHIVPSGRAVGGGSSINFLMYTRP AASDFDDWETVHGNKGWSSKEIIPLLKKAETYQPNPTHPAHGSSGPIKISFASTGNNV GEELIAVGQALKDGRGSTNDINDFSSKSLNSWGMFIRYVDSNTGKRSDAAHGYIYNKA HPNLTVQTGSKVLRVLFDGTRAVGVEYVDDKIGRAKCAIKPISVRAARLVVLSSGAFG SPAILERSGVGSPEILKKNGVEQLVNLPGVGKNYMDHNVIFTPYLASEDATTMDLVFR GHEDEVQTFADQWTKEGKGLFANNGIDGGIRLRPKEAELKEIPVSFEERWKSYYANKP DKPILMMATMAAYVGLNPEVPRGKYFSVAYFSTHPLATGHVHITSGTDLYAPLDFYPG FLEEQADLAVLAWGYKRAREVARRMKYFRGELAVGHPLFPAGSAAAVGKETKPLPIDA PPIVYTTEDDLAIDEYHKSTVETCWHSIGTCAMKPREQNGVVDSKLNVYGTQNLKVAD CSITPANVAANTYSTAIAIGEKAAVIIAEELGIIGVVARP JR316_0005768 MSRYSKPMTAARLNDVVLGCFSLVKPSQTLDHLIRYLSTWSGSD KLFTVLQYTLKLLAPFFHLRARLQYRAGLRATPTSGTAASCVKFAGILGDSRTLWRLW GLLPIFQWLISLERNPPATRKLLTIERLQGWSMLAYYPLEHLSYLGSHGIIPATIPSP ATIFSSKKKFIRLDPAKLGMWSCRFWGIYVVLQFAHLIEDWKLLKQRQSSIRKAKGTG LTREEKVEMHQRRDAFWSEVVTNFSNFPLTLHWSLEQGIFGNDIWVTIFSLIGGVASF RTGWNATALPPPPEPKEKSNITADNVVPYDMST JR316_0005769 MHISLWSSGFLLLVPAATAQLNIWARASGKLYFGSATDNYELSD KPYKAQLSNTHDFAQITPFATEPTRGKFNFTGGDEIVKFAKKNQQLVRGHTCVWHSQL PDWVEAGNFSKAELNSIVHNHCHALVKHHKEPFNEDGTFRETVFYNTIGISYISTALR AARAADPKAKLYINDYNLEGLSPKSTGMVNLVKQLKKDRVPIDGIGVQGHLIVGSLPT TIRENLQAFADLGVEVAITELDIRMPTPPTAALLEQQKKDYQTVIAACKAVKKCIGVT IWDWTDKARVFEGEGAALPWDENLVKKPAYYVLRREQHQHHFYKRTAVTYRIFYATS JR316_0005770 MAKLAVFVGSLLISIPFSAAQLDILAQLAGKKYFGTATDNPELT NASYVAQLGNTLDFHQLTPANSMKWDATEPSRGIFTFNGGDAIVAQAKSRGQLMREAI NDDGTFRQSVFFNTTGLSYIKAALRTARKADPKAKLYINDFNIEGTGAKSTTMINLVK ELKSEGVPIDGIGVQSHLIVGEVPTTMQENLQAFADLGVEVAITELDIRMTLPETPEL LEQQTKDYEFVISACKAVKGCVGVTLWDWTDKAYHIFQFSWVPGAFAGQGGACPWDEV SIRKSPKLQVTNRHIQNFKKKPAYLGIVKGWLSLTLLRHNVFQCTKSILEDHNILIVF TNIITTTLYVEDRIAFLIKRTK JR316_0005771 MTRIAALSTIFLAYLPYSLAQLNTLAQKAGKLYFGTATDNPELS NTAYVAQLGNTSDFHQITAANSMKWDATEPSRGTFTFSGGDAIVAQAQAHKQLIRGHN CSRHIAVPLLDITKGRLSWDVINEPFNDDGTFRDSVFFTTTGTAYISTALRAARAADP AAKLYINDFNIEGTGAKSTAMANLVKQLKAENVPIDGIGVQTHLIVGQVPSTFQQNLQ NFANLGVEVAITELDIRMTLPATTALLTQQMKDYQTVIAACKAVSGCVGVTLWDWTDK FSWVPGTFAGQGAACPWDENFVKKPAYQGIVNGWN JR316_0005772 MDMIHESDNAQELNVKFYPELFLQRRIWILDILRRENITRVLDV GCGEGQILGVLCQPAPWLTPPPSSILPPIKPSTSPDDIVPPSPIYNDDEVPTLHIREV HGLDISAEDLTFAVAAITPPQEEDEPAEGLGFRPFYRGVQRWEELLAKVWKGGLEVIN EEFIDIECIVSTEVIEHLPEPIFSAFTPMLLGVYHPKFLLVTTPSYTFNARFTPPDAP RSARKGYPDPTGRTDRIFRHDDHKFEWTRDEFETWCNETAKEWGYDVEWSSIGRPLEY DPWGRDEELQGASFVATFRRRNDVDNEEREKKGRAKLGDLALNQEPHEALAIYNHVTS SVAKKPKPLSDIAALVKGKMEEFREAFMRVEEMWFEPSISRACGGWIELLVRAVEESS DLNMKRDVDGVVMKQSSMWSIELIGAVAFPTNPWTDTANTSVDYIPLDWTPGEGPHDS WDDSDAEGSTGIEGDVSAFTSDNDADEESDNETTTGIERSAWKELSDIQAKTRSQNNW ASSGSDWAASDTSGWGEHDRDDTDIGWGNPAASSSSTAGWDGDESGDTTSY JR316_0005773 MAVAPRPVFFPSPGLQVMTALIYFLGLTTITHCISRRLQHENLS IQGIRSMPWPRMAVVLMYLDSWLFILGSAILTFGLGLEHSEAICITAAYLCVVFYSTA KFFVYAFLAEKAHIVWSPTKGERRLESSVYLCCLVSVSLYCIVMTLMLGGPIYETKEN GQCVIGLKPRASIPLLVYDLYINLFLTFMFLYPLIGAKVKSSAIRRLAVRTGIAGIVA LSTSTVNVAVLIHLDGREMGWVNLSICSADIIINAAAIFWVSGSKASQQQSTSSGLPP EQRHRGRHLNNHVHHGENPTFSTGNNPDSVHFSPGLKTTLSNAPPVLHDSDTTGSFPG YHNGDIENGRPGHFPKTNTSWVGRLFTREKQPNERHLKIAVTREYDTQTSQIELQARP DSNSEVLDEYSKVSP JR316_0005774 MAVVNIRRDVDDKFYRYRMPVLTTKIEGKGNGIKTVIPNMSDVA RALSRPPTYTTKFFGCELGAQTTFDEKNDRYIVNGAHDANRLRELLDVFIDKFVLCKS CKNPETELVILKVGRTEDIIRDCKACGERTGVDMRHKLTTFIVKNPPVKIKKGKGKNT GGDAAGGVGGQGTPDPTGEAETTNDGNESDDYLTKKIKAEAADLNTESTLANDEWSAD TSPEAVKQRVKALEGAMANATLGGGDEDGSDDDANSPYAILGHWIEENKDDDAKARSL AIYKKAEELGIEKKHKAVTVVVQTLFTANIVSEIEKYGALLTKMVTSEKHQKALLGGV ERFVGFSHPDLIAAVPKILMAFYQIDLIDEDVVTQWGTHVSKKYVDKDTSKKVRKASE PFLKWLQEADDDDDDDDE JR316_0005775 MANMPPDIWLYVADFIPDKVIYQLRCVNSVFLELAINIRWKEVT ISTKQIYEAKKILDRISDPYISVRVRALTIHLASWEPSQNGLVLAPSTQHNIDYARRE TTRKYNPTSTKLGLRSSDASNSIMNSLLNTCAGLTSLRKLTLDFSSISPVSSPQLGFG LFIRSLWPLVRKKLRHLFLKGTAQGYCTVLASRPTFPNLKELGMDLTVDPKLIGDCEN SKASVLMDTLVPFLGKVNSRLEALRIKSQPCHSIPTDLSQLFIQMPFFPYLRTLEIRM PFNQNLLDPSGLKNLLSKSSHNLENVCLRLNPAGFSLPWALEGQLIPWLSDCLTEPQT LSCIQVLDIYPTGGNCDIVLGYIRRASKHLRSIVIRDRYFEADEVNLIIDAASHCPNL ISLHLNIWELDINLFDQLYMKLPRLESLRLSTVDSFVDGYPRLFVNKLGDRCYNGWKL ADISLCYGEREVDSRTMRALARSIPSVRSFFGRGHMRFEHH JR316_0005776 MPELYPDIWRHIASFIPRNDLGRLAGVNRTFFELAMANWKCVKF STGAIQLRFLERLCDPFLGKWIRSLTLILYRNHGPPRKKVGNIQRRISSLVSSFRLFR GANSQARRQRDPEPELFADFEEVLESIAAAAPQFVEIRELSIRCTWNLEPSLTHRSMR PLVSAFSSAAGIRLCCLTLEASLEELKVMLEQRPILYSLEELNLVFKEESHTGNANSG NQSILVDIIAPFIHSLGAHLKTLSIMSFCKREHQELEDFFLAMAPMNSLIRLDIRSAF IRPSRYPRSLTTLFTENLQHLSLPVIPFKLHLEPAVQETLRDWLLLCISNENLFTGLR SLEIYSTKTMESIDITLAYIHRTAPHLSQLTIRSQPLQPNKFSILAHALGHCHHLTDL SFNIHRLGVVVFDQLSRELPYLYRLCISVKERLDLADNSESTDLKNRYYADWNIKDIW IKNSDQNVRRDVMLIIVRSLPSARYLFGVPVSA JR316_0005777 MVDVPLEIWLRIASFIPDNDLYNLRGVSHIFFMLAMDAQWSRTR ISSDITWYKTLERLSDPFVARRVTSLAIYLTLDKSTGNDNSQLYKLLRSRFRSSHQTL ANFFRLKPVELERKETTRRCKLQDVIDVISASNGNFPNVRELSVDGCLFFSQNPIPLP TLSPLFWLPFSNNLISLSLDGTMEEFRFMLGSGASTFRSLKQLQLRFEQSYEGEGDSS VLTYVIAPFINKMNAHLEDLLVWSRSDLDISHFFASLALFPALQRFKVKMFLSRSLRD PSGLQTIISNAAPRLKKLAIGSASRSLGMGMESLDTLWDIPFSPWLLNFISNKNNLPC IQVLDVYALYMADRIRAFLDFIYKACDRLTEIFVRDRYLSFDEAVSVIKAAAHCSNLT YLRLTVRTLDIALIECLADKVPDVRRIYLAIGEPYPQEADVVAQLRGRRYEYWKVEDI SIWHLEGHQRMVDTLYALARSVPSLRSFFGNGHMKL JR316_0005778 MSFPSLPLKLNPAPNFFSEYSVVVPASLKDAYATLGTSAGHERV CRLFKGCTSVDLLHKDEIALPIPTYPDGKQLADVAVRTAKASDDAAKDERGNSPIITR QHFTMVETIPLLFGLFNSQVMLKGTMSWDNVALTALSKSSDSPESGVPPAQETPTLYA LYETVGNMGIMVWKLRIFTRDQGDPSRTKVTEKIEGWAPGWIRPVVQNETTKGHRIPK LDAQLFDSKSPHLTSGVKIGIGLFNRDTGVVGNNNKPIKVIEWTLILHHKNYRSSSRT IHGIYKSQPDPTSKSDAERAQDRDEWVWKLRDPQNAQSHTDLSEPIGIIHVANFPWSH ELLQSAIETKFKAEKGSDNPGMVSMWSSSGWTIRVLHYLQEWYGTDTGFRLPCSTRRL HDLIKEKATALKAMNHRNGKVPIVNLDDSEPYNTKRKDKTKNRGNRS JR316_0005779 MAPTTRFGIALLKKLDRNRNGPENQWALVAHPTDFTARDAALYC ATECNTTMSGWTILIQRGSVLQTARELHWKLVGILEFDNRNGPDVKMNMTELDQFVRW MDPHMNGCDPSGESGGWSSLKWVIRVLNILHEANKVKIPLPPALMGARIRERADYLVR EEFRKKPATGVLVDDRGRTISPHWALVAHSTNFLTAETTVYQIVRTTTGWATRHKSNV YLPDAPTLIGVIDLGHINVPLTALSSHVVEVPPSKGGENPSALFLWDCAAWTIKVLYG LHEKGYYTLACPAQQVYSRVLERVGTLRAMRASANTMPIIHF JR316_0005780 MSSTKIGIAIYAQQGTYNRPRPPHWALVLHPTSYSAPDVRVYHI RGRNGVWTLGHDVRELQGMGDLMGVLHIADIPPERTAPLNDNNSTHNHGQEHIHGEPV ATTTTTPAPTTTAVQRLSSFQLDDLDAFIQQFPATKQGDDPSKLFVWTCESYVIRVLA YLSREGALQLPCVPEEMYDYTRRRIAVLKALPRDGDGICIVPFAE JR316_0005781 MAAAQNSDGVHPDAQLRDSEHSESILRKSWQAILSPFSQSALAS LPNVRRPSRHLRADNIPETETNDANERPTVRDYHSINTLPPKVRVPKKIPTSVKVEGK VWFANERTWISWLGISVLMAALSLGLFNASKDDIARRFAYFYAFVSVCVLVYGYCLYQ HRITLIRRRDPGHFDALAGPVILSVVLFVAVLANFIIRARSTEIVS JR316_0005782 MGKDYYKLLGVDKSASDDEIKKAYKKMALKWHPDRNTKNKEEAT TKFKEISEAFEVLSDSNKRAVYDQFGEEGLKGGGAAPGAGGPSGFSGFSGFPGAGGPG GTTFTFTSNGPGGFGGAGGGFNPTDPEEIFRRMFGGGGLFGGMGGMGGMGGMGGGPRR GSASMFADEDDDMNGGFSFSGMPGGMPGAGRGRPTAQRTNSRRPPSPEKPSEITKPLK VSLEDLYSGTTKRLKVGRRLLNGTTEDKVLEIQIHPGWKSGTKIRFPRSGNEQPSGES QDLVFVVEEKPHAVFKREGNDLHANVKIPLVEALTGAPTPTGKLSKTLEMLDGRKLQI PVPMGIVKPGQSLVIPGEGMPIRKDGVAQKKGDLIVNWEVTFPTTLTAAQKEGLRKIL A JR316_0005783 MNKMSWLLYLGFAADVIADVLIAVSLCTILLRSKTGIKSTDSKV SFIMAFTVNTGLLTSICALACLITYAIWPQRFIFLGIYFAQSKLYVNALLGSLNARGN IGQSQAGTTMIVPGTSLGTRSIAFNDLDLIEIGTTVERKTFSDGRDLSHHDSSAGSET NH JR316_0005784 MEHTSVQENESSLSNRALAKLEDSSLESNNPTNKPARGFRFWVV FFSLFVAVFLICLEATVVSTALPTIAKDLALSQFVWIGSCYALANAAALPLCGGFAQV FGRKPVILGSLILFIIGSAIGGSAHNQSTILAARVIQVSLSERGAFNGILGLAFCIAG GIGPVVGGALAQEGQWRWIFFMNIPIAVFCISIIIFSLHVPTPPGSVSENGNFIIVAS TASCSTGLTWGGIEHPWNSPQVLVPLILGLLGTAAFFVYEAFVPTYPLIPYHIFANRT SISGFIQTFILSIPHLSLLYYLPVYYQACKDASPTASGVDLFGLVFSVAPMAMISGLS VNIFKVYRPQIIAGWVILIVGSGALSIVKENSTRSLSLGLQIVNGIGIGLVYMTVYFP ILAPLPITSTAQALALYNYLRAIAQTWGVTIGSAVLQNQLKSDLPVGFTDQFPAGVAI AYSIIPIVPGLSQPLKDQVRDAFAKGLATLWQVHTGIIGAGLIACLFMRGLPLHTEVD KNWELEEKAVKSK JR316_0005785 MAKIPSVHLSHSDALLSAVFCGLSLHLLFNKLEITHITALKRIL ILSPLLPVPAILPHYPNSASVAILVSYGIVYGTLAASILIYRLSPIHPLAKYPGPLLA KCSQFWNIYNSYTGRTHLNHLNLHKRYGPIVRTGPNEVSVCDADVVQAILGPDGLAKG PIWSGRHTPKRKHYSLISIRNMAEHLRRRKVWNRAFSIPRIKNYEPILRKRLDQLVDA LQAASRSNKHVDLAEWMSFFAYDFMGDMAFGGFFELMRDGDVDGLWKMMEKGIRVQAY TQHIPWAAPILYELPGMGKNASKLMNFVIETSKKRIERGVAFTGEDLSSHLLDEVSAS PQPASFGDFSSDAFLAIVAGSDTTATVLSNIFFYILSDKMIFERLREEVDHNFPRKEG TAPSDDSTKLANMPYLNAVINEALRLQPPVPTGLQRGPEPGAEGKMVGNMFIPPGTGV YTPPYVIHRDERYFSPDPDRFWPERWLNTQPKVITNQAAFLPFSLGPMNCVGKSLAQL ELRIIVATLVQQFEMDFKVGWDPANWLNDLEDCFVFIKGVLPVVLSPRA JR316_0005786 MTSSSTYAALTPPSLYQLKSYLTVIVWDYVITFARNRVLSALQE GVTRGRLDLYDAAIGQRTFGSLDEGEQTPPVTLIIRSEMFWLRILLSYDIGFSEAYMA GEFETSDLKALLNLYIDNLDQLGGLSSPFYKLLRCFDVVTTFFLSHGITKSIENVAGY NASNEMYRAFLSKEMMYSCPIWGKEEGGVRGDLEGHRRPGDLEDAQARKIAYILDKAR LRPGDRLLEIGSGWGGLAIAAAKMGCTVDTITISVEQQRGAEENIRRANVQDRVTVHF MDYRHMPPHFEKAFDACVSTEMLEAVGARNMKVYLSQIDWALKDDKATVVLTSTTYPE STYTPYQ JR316_0005787 MSLKVETITLKQPTTGLVSLATRYTSVNQSPESLTVLFTHSTSN HKEQWDIPIRRIFEICPDVIGEAWSIDWQSHGQSALVNQAVLSQRTATLTDYADILRR LLESKYLEGKNVVVVGHSSSTLAWTLAILQAIISPSLIKAVVFVEAAYVLPPLKENDE RVAKGVTNEKGVKSRKHTFPSRDEAAKWCRRRMPWKLWDERMFKCYMEYAFRSVAPGS TEVTLNCTLEQELDGYGPNIPLLAGHLYPKLCATYPVHGIFGERPDLYSNATRDKFFD GQEGRVMASVHIVPKAGHLLVQEVPETAADIIAGILKSTGHQRTPMARL JR316_0005788 MTSQPEIPTFLTCEGAPIEVWIEVAGKMVPHYSIEYNAEKKEVS CWIASESDKPFAIMYKPTTDQYAMGLKIILDGVTSGGYIVLPEACNLRHTITGVQISD TEQRLFQFGCLQLTDDDAYLNKLAQNPHFGEIRLIVDKKTSFAASLGKVAHYDIPEVD KIHEKSKKGLTHHVKFGEKSVVATRSSYSSVSCGVIATFIVKYRPLEWLMATDIVARD QRASASPTPEPGPSNKRKEPEVKDEEDEEDEGENSVDEEERVLLAQLDKVRQKKLERE AEGGARKKIKLEPKKHFLPNEVIDLTDENSPAKSRQRTVVPKTPAPVIDLT JR316_0005789 MPTATTTTVAKTALFQAQIPLKATLESSNIPPALSPFKSKTLEA SVGTTLRSLYNRAARAFVLRDIPLTHTLLRSAFDLLQSPQSSTDSLSDQRRKWDILRI TFESTVYTSPPSASDSLPEALREILSESPQALATSIYTRSLALFTPTAGSAQKTVLNA AYLPTQVLTTLVYCCLKVDAPDVGRVIIEDWLARRDNQADAGGDGYVKVLDLYCLHIL PKLGQWDYAKEFLEYEGEMPAQKREHLKATLNNQYMQALAARRTFTPTQDATPSAPLS GSSSPRSYSPAPSSASSSSSSSLSTTSTHTVVPATSRGNRFSPSGLTNISQASSSSIS VASDETATPIKQSGPIPLNGTIQSSNPAQRSSRSPSKARTMSSSASSAYSTPHPRAHL AHQVSARPGSPSIYALIRASLAPYLTSTKTTTFILLFVLVPLISFILRMRRQKRLLES GLGALGIGGGGTTAAGIAAAAVSAGSSNAELVRRRLHAAGGGAEGGVVSRAWWEIVRA VGDTVRMAGSGLV JR316_0005790 MVDIPLEIWFNIAHFIPAQDLLRLMSLNRVFFYVAMDIRYKGVT IPTKTGTESKQLLTRLSDPFVSSRVRHLSLVLYAKPQSMVPNPGRFMYLRTTHGQQSI TDTVMFFRLGQQISPTHPQSPDFEDLLGEFIAGSSDFSNINGFTIKTWYMPSAYNLSA IYSSIWSAFGSKLQKLCLEGNLEHYRLLVDSRPSLPVLKELNIDFDRNSFHHGRIANN ALSDIITFLNGLSSHLEVLRIRSWFALDISDLLAPLPIFPKLVHLEVNVTFMSCRDPA GLQNFIYHLSHTVRKINLRINPSQSFVNSLAFQPSTRWFLTCVEDDECFSQLRTIDIY LIPTIGNGLMDIAAAFIRRASSSLGELGIHERNLSEDDAMLLIDALSGCTTIRSLHLS VLNITIELIDKLASSTPGLQRLWISVEGAFDNAEAEGGHATFLRELQTRSYPDWKLED LSVMQNKFRLREVNHDTMMAFSRSIPSLFSFFGNGHIDRTATSGDI JR316_0005791 MEAHEILGISKYASEDQIRAAYKALAMKWHPDRQLNNSEEATAK FAEINKANRTMLRNLRRARRAAQAGQTPSASECSAPPSPQSATTSLSESSTCYSASQS RASSPAQRSLELPSSSSATLDPFSSPRSSSSDLRHTRGGDQSPKSVRHPAADTLNSHA SPKSFLYPLSTDPLRNKIRKSSKSKNPDLELNACEDEDNQPLLHHGGRDVKTLRPGRV ALHGTGAEVPRTWTHTLHMSLEDIYRGKTFHFRVVRYTRSGRKHVLPVSVRVPSGSRG GTEVIVQGAGNECKDGSWQDLSFIVKETKHEKFKRVHNDLILEIRLPWVESLNSQEGQ VYLQGIDEKDYLFKVDYHITRLLSGTAVIPGAGMPCRDGGGRGRIIVRSMADLPKFFL LGYDKECPSVFK JR316_0005792 MNPTKLFNFFRSLGPRKGEASQMVLPSDWPSDLHSKCSVQACWY PNPPQAAVGLYNCLGRRSDGRPCKGVYNVTAFIVTEMVNQYHRKRKEEVLRAKQSVQA ERTKLPRERELHKKPSRATTTAARKSQRLHVQKSFRSLDYRKEKSLPGIPGLSQRKVN KGPLAFRPPYPTQVAGDVALFPEVHLRCTVYPMNQAAAFSQPALTSVPQKPGPGYTPF RPPLMMTTRTASKEHGATANPHDSVSVYSQMTTVRDESNRL JR316_0005793 MSLSNSVMGATGTGKTSFINLASGANLKVGQGLHSCTGAVELAP SFELDGRSVSLIDTPGFDDTTKSDADVLRMIAMFLAETYEHGKRLAGVIYIHRISDFR MTGISTRNFKMFRELCGESTLRNVVILTNMWGEVKPEVGEARAHELATQDIFFKPVIA KGAQFLRHDNTRAAALSVIRRILQNHPMALQIQRELVDEGKDMAQTAAGAQLNKEMME QIEKHRKEIKAVREEMKEAIRVKDEEARRELEAEANKLQAEMMRVQNESQKLATDYRK EKERLENRMQEMSEASRREQERASAEHNRQLSGLRDQLSQTSAKADKQKSELESQIQS LRMANRGGGGGGGGFFTPIGRALDRVFRF JR316_0005794 MSAGLICAYPLSGGYGPIPRFLYYILLIITLVFHKTKWLVDSTL GASMIFSSIAAVHALALDAAKGKATVDLDIIPVYAITGVGLVAAIPLMVWSKALREAE TSARLLVFLWILVMFTGNMASLASIKKIPSPAPCDADACTAPVCNVTLPLRHTQQIVS VPFHRGLGPFATDTWATLFSWIAACLALTAAMFFLEQKRPLDIALAQLKTKAGSRRLR RKNANRIATCAVCAPPLAIGFCVGHIVVMEVAMLGPRGLPVGEDMTAIGQWGPLVGAA FATIASIIQWGFYEPEVKMASTRDVEQMRRVSQTDRAPGAGNGVFDTAMYFQEGYWWG RGADALPVMEHGGVKWIVRDGKLTRFEQLLEMSSVVVRDETRRCKYPLF JR316_0005795 EIVVGFRTPAGVVTTVQSFKTMQLPRMVRGKPGAWDPMVCMHWG AGLLRELKVLIDNARGDIVSDTSVWRARFVPGKGVSVAVLEGRELEEVVNGEERVGFL PRWYWDTWREASQGRRTHLASVI JR316_0005796 MATPSSSATSPSPPMPYLPATQQPAASQDQDDEQQTRTKAVQKF LARAEVAMVTRALRTRLAYASYKATHNVPHVPLRDLEAQNQGQAASFNRTVAAKRKAV GAAPTYYTQGQGSSSAGTMRRGPPGPMAPPPSTSTAISSPRTHAYPPSAPSTPGYASY HAAAATMRNITHAHYQHQQQTAQAPPNLYSSILAPPPTNRARTIHNPNDPPIPAPARP VAGPSPRLRAQHQQQQQEKKKMSSPRGETHRDRDRERERVQGKAKQAIKPGKLPASPD RRRTKGGSGSGGGSMDKGKQKQHNKSNSISASSGEVDVGMGVDMDMDVDGDVDMKAVA TLTSLLRHHNRPSMSIAAGAASASSPKSSNGSSDVGSSSYAYAHFAQSSARMAAPAPL AGVASSSGVGVDMESRMMALTPPPPPPGASSLPLQTTPRGNAASASAAPPTDSEAANL MLFLATSPSPARPANGGSGSGGTGGSKEARDMAAYRALGGGSGALRSKGRVLFPSSAE PGPGLGLGTAAAGYRPVLARGGDASFGSSMSSIGVGAELGGGGDGHSNVGSQGSGLVS VSVGAGSSGLASGSGSGSGSGAGLGSGVGVGVGVGAGPSGSGSGVSQLGHGLLPPAPL PLPLTSAPSSPTPSPSPRPGSASAAKRTGTGTVEFNINEFINASPSSSSLSPLRGGVV GSASGAGVGAGGGGTAAGTAAGGAGAGTAGAGAGAGGTHKSNHSLRADVGRKLFEEEQ MRHHQQQQVRERERGEERERERERERDRPGSRPSSSASSSSRSLGAGIDLVKS JR316_0005797 MIIYANPTFYVFLSTLAAFVLLLLTVFSVPMVSTFYFMHSSQAN GVSFGMWGWCLDEGDVCSPLQLGFTWQPEIDNPITKAFVFYPISAIFTFLTMVSMMPV LCVRTERSDKVFRIFAWISFAFSSLAFLFMIGIWGVAKSRFEKRGFSATYGNLPWMSL SATLLLLAVSVSPYFLAPPPKKSSRRSRPRQYDAEARPRPKALA JR316_0005798 MSAGLICAYPLSGGYGPIPRFLYYILLIITLVFHKTKWLVDSTL GASMIFSSIAAVHALALDAAKGKATVDLDIIPVYAITGVGLVAAIPLMVWSKTLREAE TSARLLVFLWILVMFTGNMASLASIKKIPSPVPCDADACTALVCNVTLPLRQSQQIVS VPFHLGSFIIHTWSTLFSWTAGWLAFMAIIFFSEQKRPLDLARAQLKTNVGSRRFRRK NADRVATCAVCAPPLAVGFCVGHIVVMEVAMLGPRGLPVGENMTAIGQWGPLVGAAFA TIASIIQWGFYEPEVKMASTRDVEQMRRVSQTDRAPGAGNGVFDTAMYFQEGYWWGRG ADALPVMEHGGVKWIVREGKLTRFEQLPEMSTVVVRDETRRCKYPLF JR316_0005799 MHDTYPSTMGTSPTHSAHSDNVSIGQRAPCLAQFINLASGANLK VGQGLHSCTGAVELAPSFELDGRSVSLIDTPGFDDTTKSDADVLRMIAMFLAETYEHG KRLAGVIYIHRISDFRMTGISSRNFKMFRELCGESTLRNVVILTNMWGEVKPEVGEAR AHELATQDIFFKPVIAKGAQFLRHDNTRAAALSVIRRILQNHPMALQIQRELVDEGKD MAQTAAGAQLNKEMMEQIEKHRKEITAVREEMKEAIRVKDEEARRELEAEANKLQAEM MRVQNESQKLATDYRKEKERLENRMQEMSEASRREQEKANAEYNQQLSGIRDQLSQTS ANADQQRAELEKQIDNLRIGHRGGGEGGGGFFTPIGRALDGFFRIY JR316_0005800 MTRAREVWRHLVEQYVVSNAVRPRLERPISLYSSLELEALLLRW MSAHRALNAKTFQTAREREFKITDAHRVHLGAGGRWLFVASSKAGITYYDLDSDSSES PSGVELIPNQIAHTCPSWVQVTIEDDMQSPFVGFSVAFALSSHEYSTGATENWHHFQI WRVEAVLDGMQRVIGLKATQIASFPHIHRIAPHCSISLRGPHLAFHVMAAREYYVCVV DWRQANSLPSKYPFRVAQRASFPSVSLIYHSVSIMSANPFFI JR316_0005801 MTSYLRNWLYSGTSSAEPETPPVPTFQTFSPPASDDGEGEDTET EQQDDDTPPAFPSLNSAQRVQSAKMPGFLSDSERMPPPPVPSLSVRRPGVPNPPSSGS SLLVPTTTTKPPPKPSKKAGGKVALSPGHSPLDWAALKSSGADLRGVDSLMRIPPSVL KKHNKRDDAWSAFNGKVYNMTAYLPFHPGGERELMRVAGRDGTQLFASTHAWVNVDFM LDSCLVGFLVPEPPSP JR316_0005802 MSVSSMHSAAPSSNSRFSNEPIPQPESTEMNQTTRDPEKSPSPV PEIIEEQETAEPQPPRPVLPCHNVPGLWFVKLALDNMGTLNCDFQIDQETALKWNIGQ TNNEDAVITDFHSVQVPRKRLSLQICSFSKQLVQGVIETLGTDQTPATIASAIFGLEQ KWPNQGQLIVKINPDTANTKTWLPNRLGLNNAPVQIEDFVQEGQNVVQFIQLSGMSDN LFALCASERND JR316_0005803 MADLQSRIGPKQEEQPFFRNKTWKRPGLHTPNKTATAVWDTSDT TNEGDSDSGSGNFNDDQYFISSPPPLSFSNSASPDRPSLQDRLMDGPILPGLIHQSPE TEQLKNASKPLIDRVAIHDDNAERGFHDIRDGGEMDIDQDNDDVISSGSIDKSQNLKN VGTSDPNQPRIASLTSASETRNQTSSNNAGFGSQQKRNSSQLTLAQRMQNGYAPPRPN YSNTHTSPSKFNFNNNLEMNNVMPHERSGGSNSAQEDGHQSPLSSDPIRTIMMPVVQQ NADCRANNDDSKNGPPQQKTVNPKVFTNEISASFSELGRKVHKDMQDRDLPRRSPPRV DPRNGSTIASTSTQERVEAPLYSPGTAHAYNQKAYNQHVNSAPGAHLITTKRPFNGIH LILKIQETKIMNGATHLIHVTVEEDYRDRRLEPFQIKL JR316_0005804 MSTSLPDYGALGYKEIIATLDGSVVTVVINRAKYRNTFGGVLDK ELINVFQLCDRDDRVRVVILTAEHTAPAYCSGADLTNGWNLLWLEESEKEGEHAHRDS GGQVSLAIMNCRKITIAAINGHAAGVGMTALQLPFDFRFVWAGARLTFPFVRRGIAPE GHSKASYLMLSGAVVSPDSKHISDLYHEIIPTREAVYPTAKAFAEELAANTSQVAVAY TKGLLQHPGDSIEENHLLDSRAIKLLGSSADGHEGVQSFKERRLPKFTGTLSKDSSPW FPWWKRVDVSHYKTKL JR316_0005805 MSTFHSSFVLAQPTNTPLLPTGIFKLMQEIPYDVWRCIAEFLPT EDLKRLYSVNRAFLNISLDERYRVAYIGPPGSISTERNLNTLIDPKLTSRVRDFTFKP GSLCKLLDDKSILKGPSNSNTVTTLLNDFERLDIAPQRRRAFPSQLMNSYTLPVDAVH KNVLRIFKQMINLTSLRIVLDKGELRHFKPPSSTYFSVGWATFGSNLKSLDLRIPLEY IENVLPANGAILTNLQNLSLQIIRESITTDASTVFLEKILPFVNAHSSTLRSLSFDIA EQMDLTPVLQQIDHIHTLQIFKLKSIFMNYDQTGISGLEQFLQKHRVHLTALHLEIDA AFGLDPVLHPSFFAQHCFHITLPALRDLYVRFYRFPVQYSIDLIPFALQFSTTLQSLA IIERSWEMDHIRLLLDGLTSNSPLRELKLKMIILEASIIEAFASCLPNLVTLGILFHD IGPDGFSDPPTNTMPLFADEMRRLNFPTWRLRELNLVSPLHLMQSQMTNFKHALVGAL PSVQRFCGLTPEEYLKTREFMDYFVEASKGF JR316_0005806 MSKQVFLNGGVRQCLKHTLFITKVKLLYDKITLNRFTTLYFFSA VLGCFILTSLQAVSLAHDSEACSALSSVIEGSPRLDGLAILKGNAIELCSGLPDQSGT VCVNALKLQNSLRTASLTGRDEEVEDDDDDDDVYESDVEDSDDEDDDEDDGDKDEDDD DDDDIPVGDQLDKIDISLPPIGAPVPSSTNFPLTGFETLTISDIFQTIVTKIPLPSLS ITSEISSGVPITSISPTVASTTIFASSSSIAQTTSSRLSQSSTSSVPGPVITGTPTSS SSIDISFTTASTTTSAAIPQATKQRATDDFFEVRDECLVSVMWLNDILHDARREDIVL FVFQFWLLLLSFVTILNESIPHLGAGLLGHLLGTAWAAYRVHFTKSLMDQYRNEIIPN ACDGRDFMGNWWEDSIKFILPLVVFQSLALLVVAYLSYKLFKVYAHQTFNRAGASPKV NRIYKLVLMFSVCLQLSSFISVASAGMWINKVSHGALAVLAKHAKLYLAGFIVTLVLQ IPWLIIGWVCVRRECRIRFFIFCFISTTAFSLSITMFSSNIYRYIFSTWPFFATITIT AFVLLVGTTVLGIICRLHFGEGLAHYLQVTEALEGVNFTPVYFTNDSKSDIEKPEIVP QLTRLTPDGKHVVELPVPIYATNPAGTRGVSVYSDTNASPILLSSSPPLESDLISNNR SSMFSRVLKRFSSRVPSPSAQSFKVEPTPSRKNGLLSPDAASRSIKHTSMQSEKVLSS LNGSRLTPPSSPMETLSVVSYGSNQPSISSDRSADTHRSRGPPPVRGLPANPRSRSFV JR316_0005807 MHPALYKDVTVPRGFKYHYYYSPAVDGKPTLLFLHGFPATSYDW RRQVAHFQPKGYGIIAPDILGSGETSAPVDVNAFRMNEVAGDIIQILNTVKVDKVIGF GHDWGSVLLTRLAILYSERFISFVWMALGFVPPYTEPFDLEAAMKFAKALVGYEALAY WEFLISEKAPELIEKNIESFNQLLYPKESPGYWLDWIVNRGKTEEWIISNKQPGRPLW LADAEFEKINQDTVNSGIRSSLNWYKGQMANIDLEDNKKIFVENYKLKSPSFLAAATR DPVSIPDMSIGNLERFGNSVRTVKFDAGHWVHVEKFEEVNKAVEAWLETL JR316_0005808 MDPALYKDVVVPRGFKYHYYYSPAAEGKPTLLLLHGFPATSYDW RRQIAYFQPKGYGILAPDSLGSGGSSTPLDVNAFLMNEAAGDIIHILDTENLDKVVGF GHDWGSILLSRLSLLHSDRFIGFVWMGLSFLPPSTQAFDLEAGMQFAKSLVGYEAYAY WQFFISEKAPELIEKNIESFSQLLYPKESPACWLEWITVRGKTEEWMVNNKQPGRPSW LSDEEFDRLNSDVLKSGIRSSLNWYKAQVGNIDLEDNKKIPVENYKLKSPSFLAASMR DPVSVPSMSIAILGQHCDQVKTVEFDAGHWVHVERSEEVNKAVEEWLADLPKA JR316_0005809 MSSRTKETLSSQDRELQRQKYQAKIATALNEADDPLAAYHQFVQ WTIKNYGEHDPKSGLAELLKEATTQFKDDSLYKTDLRYLKIWALYARQKDKAGAISIY AYLIDHDIGTSYSALYEDYANLLEDTSRYQDADGVYQKGIKKSARPVERLKTRYREFQ ARVASRNSKASSSAKTGSSSASSATPTYSGTFNSTAAARYALMLAPPAPGKRPEKLRF NMSLLFTDEGVEYSIQEARARSMGLLGKKWGPLPPDPSPPSSMSSSSGSSFAMVDFND DGQKSSRLKSGRRSIMGGAEPTVTINTKEALADVFGMYNSPDKTTKLVIPGSKHAPLK KVEPITPGVQPRLAFSRENENAQNTKTPTPAFRPFTDENAQPNQSRTPAAKFTPYVEP NQQKTPFMTPRTVLTVKETVPSSSLRLSEDAEQSEPADIEPKNADPVFSRVFTPASKA VPLAPLRDVFTDDHGKPVPKAKAAPTHERARSQHDLLSPQAEVVRPSAFTPFKDENGR APFKVFSRPAEQGENAHMTAPKTPSAAFKPFSDQPKPPAFTPFKDVTPAFKPFVEPAT KPEPRFALQPTGASENVQPPPSRQVVEIEDDEEEYEIVEEPEPDQGDFDQEEDGNQYY EEEESHIEEYVPLAPEHLPEEYEEENSYHEVPLGGRFGQFNVMTPITERTFEFTSSTR GGTPSERYAKHGESGQQAEYQAARTAALLAEELRESDEDEGEQEHQELEPLRLSVEQP PFQSDPAAVVIEERVGTLSLVDTLTLSSKFRPSNPCNPFDPSILSSLITRIPADPHFY DLRTQQSNKLPELQKFAKKSRKTSSSSNAGVLDSGSFPLILQGHQFMVTEKLGEGGFG CVFKAKDRGVRTVNVDDDDEDEDEDEDEDEDEDEEVSSMVAIKVVKPRNIWEYHVLRR LHSALPPSLRRSVVLPHALYAFSDESHLVLDLCPQGMLLNIVNNAASAGVAQAGACLD ELLVVFFTIELLRLLEAMHNIGFIHGDLKIDNCLLRLEEVPGGPAAWSGTYQPSGDGG WSHKGLKVIDFGRTIDMRLFPSGQQFVADWDTDDRDCFEVRENRPWTYQTDYFGLAGI IYCMLFGKYIQANSVVAYNDNEGTSRYKIATPFKRYWQSDLWNRLFDVLLNPCLVRPN GELPVCDALGQLRKEMEDWLQINCNRTSNTLKGLLKKVELSCYKM JR316_0005810 MATTARQTKGPRKGAPEPANARRASAWGAGARASPTFSPANAPG PRQQQSAQASAQQSPAPAPSNNAPTLPASFPPLAQNQSQSQATQPRSDNTPTSPRDRV LQALSGLTGTTITLVTKNAQRFEGVISSTSAEGNTNGVTLKDVKDVNNPGAPLKDTLF IASTDIDTYTSGPADARPTNGDTFRTDTDISQKKVPTGRPERELQAWVPSGEPTHSAL GDDDTFGPSANGATSWDQFSANEQLFGVKASFDEDVYTTKLDRSAPDFKERERKAQKI ANEIIGAATNNPHIAEERGIVDDSGINEEDKYGAVVRGQNAYVPPGARAKGAVSFIAP AAPPQTEAKAEIPKVSVNGPDGTSITNAQAPSPPSSKAASPAPAGATKPPADPIPAFR DFVKDEKHRLNQKRQALVKSEMDKRMAELVKFSQSFKLNKPIPDDLVPILAKDEEKQK AIKEKAKADAASAQARAIGPSTPATASRGFAVAGVKISDRKPVSQALAGKGGAAGGLN GASSNASSANIAAQKVVSSGQTVASASTSAAATPAPAKVASSSTGTKKINMVIQSIPP FKGGPKAKAAAPGASPANGTATVASPAPNAPAAAKAGPSPTLNTNMPKGPNAAMSPLS PNTAARLNVNASSFRPNPKANAFNPVAAPAKNGPGANGAPSANASGASGTPNSSKSKS DSAPATPNPFFGTRIIKKSTPVHVKDDFNPFKHNKVVDAAQVSALWPYSGKRYMQMFP APQHPPNPHPGHMVPPMPPPMPPPSYEDSAAQQDATQQHRYVYAYPPYGYAPQHMMPG MAPPGPPGAYMPGPYMQPIPYAPGMPPPSAMYSPAMGQMPPPGAYMQPPPPGAYPPPP NGAGPRPSMPPTPIPSHAHPYYHQSPQLQHAYPMMMPPPNMPPHGYEGGPAPPVPMGG HA JR316_0005811 MAALESISPPGSPGTDVTAPDPESAQNFKTIASALEQQIILYND ACAQRRAHPQNADSQSEVDIAAKNVASTLREASNLHPNPATGAAWRKMADDFVNSTTE KREDTLKQVGNGLLKIVLAPLALAGVGIYAAGEIVTGAGVAVGSILTGAGAVLKGIGK FGKEALLDLVFATLFRFQFLALNYISSERTDVKSPSHVELKIDLMAVTSARPTHLPQT MPDIPYEIWVQITQYLSPEEVKRLYPVNRSLFNIAMDERYKRGFVGVLSSADTHRSLI RLLDPSVAARVRTFTLKPADLCRMLAEFKPVKYERMVSYMYAGLRKSIARSNDTGTPL PAGGHGHNSQGQGRKIRVEHALPADKAKKHLKKIMHAMTGLTTLVVEILTSQEHRAFQ GISISDSSALLQPGWHTFGSNLKSLDLRVPLEDMARVLPTTQRGASETLPSLETLAMH VRHAVYTTSDSDILLNIVLPFLQAQKHSLRSLTLDVGPQVDISLFLLSMENFPLMASF HIKQFFSRDGQGNLKGLYRLLQTQQEHLTDLSIDLVPHSGNLPPVNQFFSQKCFAVSL PNLNRLTINLHQFPSTYKAGLIRYVYSFAESLTYLSVDLDQQYCTSEDVQTLTKPFKE HRGLRELRLSVLEFGPSLLNTLAQNLPRLGTLHLSFRYLQPDGYISRQSLDPLFRHEI ARYDYSNWHLQVLSVKPGLHQINSVRQKCKAGLVAALPSVYSFCGLGREEYVMTTHFI JR316_0005812 MSVSFPTRTIVLAGAVLLLLKRVPTSGKFGTQRNKIILVSSIVM GLLIRHLFPGTNKKYITNPTKVVGKDTEEYDFIIVGGGTAGCVLAARLSEDPSVKVLL LESGVSGKSLILTRIPVGFSMLFGSKNVYNLYTEPQAGAQGKKKYWPRGKMLGGCSSI NAQMAQYGSPGDFDQWGKIIKDEAWAWQNFSRYFKKFEKYVDDPEYPDVTSAVKGTDG PVRVGYFSSICQNSKDFITACTKIGIPYNRDFNTTEGTRGVNRVITEKVGEEIKATGV EFAKGKDARRYTVRSKRDVILAAGAVHSPHILLLSGIGPAEHLQEVKIPVAHNLPGVG SNLIDHPVVDVYFKTKANDSPKHVKPHGILEVFKLVGSTFQYLTSQRGPLTSNFGESA AFCRSDDPGLFPESEFPVKLADSTSHLDSPDLEIFSTPIAYKEHGQTMFPMHTFSLHV CLLRPMSKGTLRLRSADPFADPVMDPKYLSAQEDVERLKRGIKLILKISKQEPLAQRL DLNDPSPLLDSKLDQKSDAELEEVVRERVETLYHPASTCRMAPLEDGGVVDSSLRVYG IKGLRVCDASIFPEIVSGHTAGAVLASAEHLADIIKAEMKSSKA JR316_0005813 MECGLSNVFPVSANRTLEVQLAQSFSQSLDVKIDNSTLLSSSFL WVLYCAADTAQHCHGICPNADLAGVGVRTAFWLSSILQAILVAVSPEESTQGAWTAAI LTASVTIPALIQKRNRQLSIYHATLVLNFATFSSIVSLAVAPMCTVWRPAGDPELPAL IFGEEGRTLSNDAEPLTNLPKNKVHRQRLVLSLALLTQVALQWAWTITLFTDPEYYQK ACIPSTIVVLFGRLFSVQKINHGQYIIWPLWLLFNLAITLIWGVLLVYSSSPSVHPVL SRSPSRLDLPLTWRDKLPQDKGRIMVIISNFVAFLISLLLLVSSEVQVARNCVHDENS DWSFGQIAAILVALAPAWSIVTALDNHHKPQMDDRTPIHNDVESEQHTRDLESTSVLA STDGRKTPIAPLIEEVQETISIDEEPSYSYHLTVPRDAFRQRAPSKPPPSH JR316_0005814 MGGRGNVDRVNDLPPPFVKGLTLLMLTMSVQDAYRILEIFEDAN SDEIKHAYRITALKWHPDRQHNAHDKETSTRHFLEVTNAYRTLVREGFVKPKPNYSTM AKDRTPQVPRPPPLAHQTSSDSSVVSLDSFIHLVASSDESHTTAPPSNRESLRSSWKQ GVREPVETLASHYRNLPNRPHTFYEAPTKGAGTGPALYRPTTPFTPIPRNPEPIKVRA PQSKSSKPPKVNGSPRSSHLHLNNYPYRSQAYHNHATPPYSIPLVSIGLGSAGEWVYS LAISLEELFTGKHFRFGISRAYLSGKAKSVVIEIDIPSGCRPGTRILCRNVGHEWKPG VFQDIAFIIEEAPHERFVRLYDDLVMDVRLPWVDSLRRQGGKVPFMGIDGRSLIIQID YPRDKKLNGRSIIKGAGMPIREQGKIVGRGDLIIQWEILPPKTKILHFVRRLWGGN JR316_0005815 MEQFQLRSRASNGPPTLPSEYEVNGHTIRSNNDIHNATMNKFSA SLDAARLRLEKYQQRWSEIGEELPPQINRRPNVGGPVGLAGLYVDPALGFALGWNAWY SWAIILPTELSAATILFNGFFPNGSAESINTINLITISVFLVLAIGINCFGSRAYGEA EFSFSCFKILTVVSLVLGGIILDLGGGTEGFIGLKNWRNPGPFVEFRAKGTLGRFLGV WSSMIQAVFAFSGTEIPGVAAGEVQNPAKVIQVTSVLALTFPLLNISSEHPSCGESRL DTNASGLLVRSDDPRLTGPTIGSPGHTLVLSSPFVIAMQNARLKHLEHIYNAAFVISA FSAATSDVYISSRFLYYLARCGHAPRFCAAIFRNEANKTIIPWVGILASACFALMAFM SLSRTRAEEIFHWLSSLNSTTALLSWIGMLFTYLRWYQGTIAAEKKDKDFKQTHQELY KNRYRMQPWIAIYAFVMCVLILIFNGWFVFTRPGPWRMARELEDNSLENDPAIGNWVP AFVSSYLTIIMIFRTKMVTLHEMRFERGRIPEIHEEEPTTRWSRLLAVLF JR316_0005816 MARELDDIPVESDPDIGNWVPTFVSSYLAIPFFLLAILGYKLIF RTKMVTLREMRFDRGYIHDDGEEIPTTRWSRLLAVLF JR316_0005817 MSIMLVLAIGINCFGSRAYGEAEFAFSCFKILTIVSLIITGIIL DLGGGTGGFIGFKNWRDPGPFVEWRAKGSLGKFLGVWSSMSQAVFAFFGTEIPGVAAG EVQNPAKNIPRAVNRVWIRMQVVCFATLFYVLAVFVAGLLVRSDDPRLTGPTTGSPGH TLVLSSPFVIAMEYSHLKHLEHICNAAFVISAFSAATSDGQYIYYD JR316_0005818 MQVEDFDHEVAGSSQAEAMMATVRRTAPQLLQRLQQGLSTLELV SIPHSTWPLPRTKVLRQYDAKHPLRISILDSSFNPPTLAHLALANSRRSQGTNTNNGN GETPSYDAKLLLLSVKNADKTLKPGDATYEQRLEMMTLLASSVTRDAEQPSTRPTPLQ DANVAIAIIDEPTFVGKSDALLAFLQHRFASIPPPTPTPEGIELTFLVGHDTLERLFS RRYYSSEEAMMASLRKFLSPAPEGDNSRIVSARRDIASQSLGEDTMSLAKEFIDSGKI ATIDLGDEISKYSSTTVRRSLGSLGWGHDSLWRKLVTGDVADYIVAQHLYEVQS JR316_0005819 MSISLSKDEDGFITPSVSTTPASPKKDEEPAEKQKTTSELLTST FEVMVTLADQQVDPNSPLYSVKSFEELGLKEELLKGLLAMGFDQPSKIQERALPLLLS DPPTNMIGQSQSGTGKTAAFVLTMLSRVDYNLHKPQALCLAPSRELARQIMSVVTAMG KFTSVQTEYAIKDNLPKDATNITAQIIVGTPGTMMDLMRRKVLDVSHVKVFVLDEADN MLDQDGLGDQTLRVKNSLPRRQPIQIILFSATFPDNVRAFASKFAPNANKIELQKEEL SVDTIRQFYMDCKNEEHKYDILVSLYQLLTIGQSIIFTQHRHTADRISQRMTAEGHKV ASLHGAKDASERDAIIDRFREGRDKVLITTNVIARGIDIMQVNMVVNYDLPLMNERVK GGDERPDIETYIHRIGRTGRFGRRGISINFVHDKKTWLQMEQIEKALGKKIMRIETND LDEMEAKMKAALK JR316_0005820 MAVPNGHAVHAPAIATRPLILAFGQIRVSIPVSTQADQWIAAEV LRDEFTHIQSQLDAVDSTAQLENEAEATVELSARFLAHVAASIKQDSQSSSARTSLLL NVLKHFTSSYLATKDVHTLVSSYDTITRKTVLAAYFSAVAALREQRVSAIPSQPESAL LSAPATGNASIFALFGGQGTNEVYFDELQNLYDIYTPFVASFIQTVIQDILIPLAEEE EESTFYTYGLDVSSWLSGATPRPSTAYLASVPVSFPLIGLTQLVQYLIVCRVANLTPG ELRSRVTGATGHSQGIVSAVAIAASASFEEFAENSRKALKWLFYSGLRGQQAFPVTSL ESGIVQDSIEGGEGTPSPMLSVTGLSLKELEPHIAKTNKHLASNSQLFVSLHNGPKAF VVTGPSKALFGLVTSLRKVKAPSGLDQSKVPFSQRKPVFSIRFLVVGVPYHSDYMAEA TQKLIEEDLEGEELWQAKDIKIPVYNTEDGSDMRELKTSITRSLCEQIFTSPIHWTKA TAFPESATHAIDFGPGGLSGIGPLTAKNFEGRGVRVIVAGEKAKGDVEIFGSSGITYE EWWSKKWSPSLVRTSDGELHIDTPFSRLLGKPPIMVAGMTPSTVKAGFVSAVLDAGYH IELAGGGHYNPAALRSKVAEIQKQIPAGVGLTLNSLYINPRQFTFQFPLWQEMRKEGL PIEGFCVAAGIPTTEKAIEIIEGLKAAGIKHVAFKPGSVDGIRQVINIAAANADFPVI MQWTGGRAGGHHSFEDFHQPILSTYRAIRQHSNIALVGGSGFGSADDVWEYLTGDWSV ARFGVQPMPFDGFLFASRVMVAKEAHTSSSVKDLIVAAAGVEDSAWEGTYSKPTGGIL TVRSELGEPIHKVATRGVKLWKEFDDTVFKMPKEKRTVWLSERKEEIVGKLNRDFSKP WFGWKKDGTVAMDLGDMTYEETVLRMVRLMFVAHQKRWVDISLRNLVGDWLRRVEERF AGVNGSGTKASILQNFSMLDDPLPFVEKFFQSYPAAVDQLLAAEDTAYFLAISQRPGQ KPVPFIPVLDASFEVWFKKDSLWAAEDIDAVFDQDPQRVCILQGPVAVKWSVVKDEPV KDLLGNINKSLIQRLLERKYAGDVNAVPLVDYLAAAPKSVPTTLEGVTRTQVGSTTTF EFGKKLPETAAWLDVLSGAELNWLRALLTSTTIVQGTSYVDNPLPRILAPRVGQKVTV AYSGSAPTTVTVYGAARSYGEHIEDFKAVEISFEPKTKAITVVLFEERRGSSIPLSLH FEYKPSQGFAPIHEIAADRNQRIKAFYWKLWYGDNETLPEIDIREKFVGPEVTIKAED VERFCAVVGNQGEAFKTARNEKVQAPMDFAIVTGWQAIMKSIFPATIDGDLLKLVHLS NGFRMVDGAQPLAVGDVCKAEARIVSVINAPEGKTVKVKGYVYRQGQCVIEVVSAFLY RGRFNDYENTFDTTEEPDYSVHLENDAAVGVLMSKEWFEWDNEASPLLAGTTLVFRIQ SQVSFKDRTTFRNVSVTGDVFVRNQIKALVKVGSIEFQQDDSHGNPVLAYLQRHGSPL GLTTPISNDGYALTNPEISTVFRAPLTNEPYSKISGDFNPIHINPYFSDFASLPATIT HGLWSSAATRRYVENIVAKGHPERVIAYNVNFVGMVLPGDTLEVKLRHIGMRDGNIVV SVESTNDRGEKVLQGSAEVSQPRTVYVFTGQGSQEPGMGMDLYNSSPAARAVWDGADA HLLAVYGFSIVEIVKDNPKEKTIHFGGIKGQAIRQRYMDMTYDTMDKDGHVKTLPLFA DIDVRTPKYTFSHPNGLLFATQFAQIALVVTEKAAFEDMRVKGFVQKDCAFAGHSLGE YSALASIADVLHISALVDVVFYRGITMQRAVERDSQNRSNYAMCAVNPSRISPTFSDA ALREVVDSIATNSDTLLEIVNYNVEGQQYVCAGELIALQTMTNVLNFLKVQKIDIAKL TETYSVEKVKEMLGDIVKSCFEKAQEQQKAEGYIKLERGFATIPLPGIDVPFHSRYLW AGVLPFRAYLSKKINAKHLNPDMLVGKYIPNLIAKPFQVSRDYAQIIYDQTSSPRLDK VLRKWEEDQWDSPENRQQLAYIILVELLAYQFASPVRWIETQDLLFTRFNFERLIELG PSPTLTGMAVRTQKAKYETLDGSVSTTRTILCHSKNVKEIYYQYEDEAEAPAAQEAEA AAVVPAAAAAAPVAAAPVAAAAPSGPVASIEDAPVKAIDILLVIVAQKLKKRIDEIPL SKTIKDLVGGKSTLQNEILGDLQQEFASAPEKGEELPLEELGSALGTGFNGTLGKYSN GLISRVVGGKMPGGFNASAIKAYLSKTWGLGPARSDGVLLLATTLEPPKRLGSEAEAK TWLDGVVAIYAQRAGISLSAPGAAGGAGGGGGGAVINSEEFLKFQADQQKFTAQQIEL YMRQLGRDSRAGEIAFDKEKADNLALQAKLDSITREHGDAYIEGIQPRFDVLKARHFD SSWNWVRQDALLMFYDIIFGKLTTVDREITARCIALLNRADPAMLEYMQYNIDHCDAS KGETYKLAKEFGQQLIDNTREVLGKPPVYKDVTFPTAPHTEVTEKGDIKYSEVVRENV RKLEAYVEEMASGDTVSGAVNIQKVQDDVLKLWTVVKSLPEISSDQKNRIKALYEGVV KTLHKSSDPRPARASTPRSRRSSSQFLRPQITGVNAVTSIEADKIPLLHLKRKVGATW EYSSNLTGVYLDILHEIATSGTTFKDKNALLTGVGKGSIGVEVVKGLLSGGAHVVITT SSYNRKTVEYYQSIFQSFGSRGSALTVVPFNQASKQDVEALVDYIYANLGMDLDYILP FAGIPENGREIDGLDDKSELAHRMMLVNLLRILGAVKNKKASRQFVTRPTQVILPLSP NHGLFGNDGLYSESKISLETLFQRWASESWGEYLCLAGAVIGWTRGTGLMGATNIVAH ELESYGVRTFSSKEMAFNILGLMHPLLFSITQVEPIWADLNGGMDRLPDLADITGRIR AKLNQKADLRRAIARDNSADFKVINGVEAERLLKTVDVQPRANFKFDFPALESASSLS DLAHLKGLIDLEKVVVVTGFGEVGPWGSSRTRWEMEARGEFTIEGCIEMAWLMGFIKH FDGRLKDGSLYVGWVDSKTNEPVEDKDVRGRYEKEILEHAGIRLIEPELFRGYDPKKK VFNQEIELTHDLEPIEVSDSEAQKFKLQHGDKCDIWAGEGGQWFAKFKKGACVFVPKA FSFSRTVAGQVPTGWHAGRYGIPDDIIAQVDRLTLWALVGTVEALNASGITDPYELYK HMHPSDVGTCLGSGMGGTVSMGKMFKDRRDEKEVQNDILQETFINTTAGWINLLIMSS SGPVKIPVGACATALQSLEIAADTILSGKAKVMIAGGFDDISEEGSYEFANMKATSNA ETEFAMGREPTEMSRPATTSRAGFMESQGAGVHIVMNAKTALELGAPIRGILAFTSTS TDKAGRSVPAPGRGALTVAREIPAKHPRRILDIAYRSRQLSFRRSQISQWLAHEHAQL TEEVESLKASGESVDEDYVSTRVANIEKEAKQQEKDALAMYGMLEGSDPHIAPLRRAL AVWGLTADDIGVLSIHGTSTNANEENETRIWNDIFTTISRSPGNACPIVAQKSILGHA KGGSAAWQAAGLLQSVITGVIPGNRNSDNIDSHFQDRHFLMFPSKTIRTDGIRAGVMS SFGFGQVGGTAMFIHPRYLFGSLDSAYYNEYKQRNRLRGLQSYKAMSEMMIKNSLVKI KDHPPYQGDMEGKVLLNSVARASLDPKTGEYTFRGKLPEVATYDTANVKAVSDIIAAK SLSEGSPLGVGVDQELISSVPTHNPTFIARNFTDAEISYCQSQPSPSSSFAARWVGKE AVFKSLGVKSKGAAAAMKDIEIINDESGVPTVRLHGEAKIKATEKGVSKVLISLSHSE TVAIAFAQATS JR316_0005821 MSFVSQFNGTLPSSDEWSGYLAQAQTAIQEHSRLITLLLINSPL ILIVLNVLRQLIIPKRASDPPTVFHWLPFIGSAISYGNDPLNFFFECQKKYGDVFTFI LLGRRVTVALGPKGNNFILGGKSTVFNAEDAYTHLTTPIFGKEVVYDVPNEIFMEQKR FVKVGLSTDNLRAYVGMIEDEIDEYLRHDPHFKVYQSNDINEWGSFDAVKVMQEITIL TASRTLQGKEVRENLNKTFADLYNDLDGGFTPINFLFPNLPLPSYRRRDIAHKKISQF YVDIIQARRSGKTQDHEHDMIAALMQQKYRNGRYLNDKEIAHMMIALLMAGQHTSSAT GSWALLHIAANPDVAEALYEEQIKNFSTPDGKLRSATYEELRALPVLDSVIRETLRVH PPIHSIMRYVRDDVPVPPTLSAPSKDGVYIVPKGNYVLASPAISQSDPTVWKNADKWI PSRWNDAEGVAAQAFKMYTDEGGEKIDYGFGAVSKGTESPYQPFGAGKHRCIGEQFAY LQLGTLIVTMIRNLELKIDEVPEHNYHTMITMPKTPRTISYRRRKFD JR316_0005822 MSNLISAVAWVKRGVAVQHPEKYVLDDKELERVSALARIELEDA RIELERAHLAAQEMDKREEDEEGDDNAEEDDEDDWVDEDDDAMDVDSGAAPKPKAEDD LAEYNLDDYDNDEPTTAVGPFSNIKGLTYYKDNEEDPYITLKDEDDDEREELEVLPTD NLIVVAKTEDEISQLEIYVYDESQENLYAHHDLMLPNFPLCLEWLDFPPASSSSHAQH GPHANGFGNYIAVGTLDPEIEIWSLDVLEAMYPSSILGRPDETKAHVPVPSGTGKKKK KKPKHREAQSAYHVGAVLGLSWNRHQRNLLASASADRTVKLWDLSRDPTVNGEGGEAA GAIRSFDVHKGEVQAVKWNNLEPTVLLTGSFDRTVRTFDSRAPTTGVGAIVGSDVEAL CWDPWESYSFYVSLENGLVLNFDARTLPSNLEQPSPARFTVSAHDGPASSIDVNPHMR GCIVTGGADKLVKVWNVDEEGDKRNVSLVTSRDLGVGKVFSTVFSPDDPLTLAAAGSK AKLQIWDVGSTFGARKAFGAKLRAAGKELKEKEEGKGGVIGVQSDDEESDADEDE JR316_0005823 MPVTFKVAEHDANPVMFGGYGSCQNAHDVLASTWGGKSGANRFE ELLQSSFPSDCHDLGPQSNGFVDTVIHAYNQHHHLVLRPDDVWIAILGQFNFYVNANA EQLRSKFVAHKGKKKLTVTTAGDRYSVNFGDLANQMTQQIHNNVVDKELKNWILPDFS TTSFNDVVVCSVLMMATLKAYFSYEMCLCCGIPLVTLEGQKEDWEKLLNRLDKLASFG REPEAWAVLLKPILRRFVSSFDGKPDIEFWGKICHIDYGGSGSDSLSGWITAFCVWSN TGKWQGPNIDEVLSHSPVQDNGAYNQGYGLVLDGVRYAVIDDDKIPVGFCEVDVDLND NGKHFDCMMVSGHMAGRLEGPNRDTLRPAPGWFMFVKYKGPESATAQSLSDVANPVGM RFPARFVPMKPATAVVSEEKVKEPKERRLKRWRSVVNKLLRLNSPGK JR316_0005824 MPISFKVADHSANTFTGWSAYNHTRECQNADDLLAASWGLISEN NKYNELLQSSFGSGFKDIMPQRNGYVNTAVHANNRHQTLVSRPDDVWIAILGQFNFYV NANAEKLRSSFVKHEGKKRLEVRATGDRYTVDFGDLAHQMTSKILENVVDKRLESWIL PNFSTTTFNDIVVCSVLMMATLKAYFSYVFILECGIPSRPLEGTKLDRENLLARVDKL DTFGEEPKAWAILLRFILRKFVLAFEDEHDPEFWSRICHFDSGSDSQSVSGWITAFCV WDSKGIWQGPDVSETLNSPPEPIRDNIEENRFWFTTNMDLELSTIPVGFCEVDVLLID NGVPFDCMMVSGHVATRIQGRQRDTVSPSSGWFMFIKHGAENHAKLMATTKAMEL JR316_0005825 MHSYILTNLRFLLLLQFTVSQVWGFNASARWGQAAVVINDALYI FGGKTDNYNSFSYTSAPNNNDLLYLSLSSPFDASAPPWDLVSSSTNLSTSQGPALAWS TLSALNTSTILLFGGQPGPNSPIVITDAADSADLLDVFSRLSPNWIIEPAFWLNEPIR RIRHSTVTAPSGLVFIFGGEKADGSRNGFSDHYVFDPNALNFTLLPLDNAPPDIYGHT SIILPDGRILVFGGFCQSLGKLLPFSTIWILDTKQANLTWSVVELVQTDVPSPRMAFV AVLISGGKIIIQGGSDANLQNNFSDGWVLDTTQSPMTWTPVDALSQLGPRRDHFGVSS GEQVIFGFGYTNNGPAPAPLQIYNPSSNSFTPTYTPPPDNSVTQTLPIPSQTTKSTSH TTAGSTTNGVHPTSTSDPNNPAGGGNGEPNDDTGTNRTTAVAVGTVFAVIGVLLIGIG FAYYVRRKHRQRDDRQFLALGGDDDDESDSPHLSGPIPVVGMHREMGEVRGARGLLGS LGIASALSAATKMRSMRNQPPRRDMLADEDTRSFGEWYNARKREGRGGSSWSLLNMFG GGTPRLRSRQASSTGRSVRSTPWVEKHDPFSDGATSMKDEETGFIGAAALDHRPHTRR ESSYASYASSRSGPYRDPFADTIQEEARPEYAPTDLDEQYSDSIHDHLEPTVRHVVPP TLPAIRTVLPLSQQINQTLSPLSERTSQSSFLHASGSSQAHSSENVPSPFSGGFMSQA TSMTSIHPTTPSSPKTTSIIGASDPSLLQTNQPMRRSDSWWSRFSRSSFLERRSSNAG ARASYDIRDPNPAPRLGAIEEGSLHTDSRSSPGSADQKALEAAAAAAVAVVAQKEKEK SGSGSQRESGGPHVYGAEGHGKSMSSLRTADSEAIERMAGTMDVVQRVKERSKRGSGS TSSTGGLSFDTQGTVSEIGEDMHMRMVMSPDSDPTGLAGAGPSTSNAIATSPPSAYKD TFGPIGPLHIDGGSTPLSPSKVSERVQAYERRMSQDQPTSPLPKNSKQREERPKKRVE VDYGLVPRPSLFVANPDHRLESS JR316_0005826 MSESQFEKAVAIIGSLPKDGPVKPTQDDQLFFYKYFKQAKVGDN TTTRPGMLDFTGKAKWDAWTSVKGTTKEEAYKKYVEKLIAILEAAGDEQSKKYIEEIN AA JR316_0005827 MSPTAAPPTPQEIIRKLSVHSVSRERVKTASFSGGPVSGTESDS DSILTPDVSTPMGHPQSMHVGPSSTSHPPLSSIAERRSDSGEDSEDEDAAEGPGGWKT ADVRTKPRNSADESVIKAGYLWKKGERRKTWKKRWFVLRPAHIAYYKSSAEYQLLRLL ELSDVHSCTQVNLKRHENTFGLISPTRTYYLQASTPQDMQSWVKAIEDARETLLATST RTSAATPIPIPRPTAEMRSSSRGAIPLSSSPNNIITSSDSEDNAGTSIMQGYSAASPT QAAFSLPPSKASVPNKDPGKTVLSGYLMKCGSKRRNWRKRWFVLTGEKLMYSGSHMDT KPHNQFPFSSILDALEYDLHRQHPTNPSVTSPPHGMNHAEGNDEVNSTHTFKIVTTKR TLLLCAPSEDDEIKWLGAIRALIARRMESGQIPGKTSSKSPPVAGHQHHDGSAGAGAN AGVPATAPAASGGSVAASAGSGIKAKVRRLSAAGSISLGHHGDHAPHHEMREAKASS JR316_0005828 MPATPKSPRSKKSTSISKPKGAVRAKSGCYTCRIRRKKCDEKRM GNQEEGPCETCFRLKLECLGFGAKRPDWLRETSRVSEIRDRIKAHLAAQGMIKGHAGS GSRSAVQEDILRLSDYREGDMIYPSAGSSSSSSSPRRGDSMESDQPYGYAPHTASRPM FDHHRYDQHDHLEQYPVHSDIPSRSDSPCDTAMNSSVYDEGRDQSLYLSLTRYDNIET PARRSTFSKNGLYEFSFDNLYTIEPEEPAPRTFGISYVYPSNHIPSKLLNDSLKNYVD NVVKIQYLLGDRQILPNMIWEAINNHDDSKEAVTLLSKAYYSRQLDPQVPVLSDREFE MPITTLKVSLASKKRETRFNSDDAMTALHVVSLYLFDGGGGDWLTFLNLAVSYTEHVL KSPANPAFLDNYPATLEAASAKDEFVVKTTIWFDVLASITTQKPPQLLSYIRELFRPN LSWVGTPQSYSMMSPMGCQNQVVWALAETSYLSYWKKIQQQQGTLSIADLVRRVADID VYLEEGPLPERPQKDEEDWTRYLASEIFRTATRLFLRTVESGDYPKVTEIRQAVYRTF QAIRNFPKYLDGSHQSAVVRSTVFGIFICGALSDDPELRNMLKIQLEQNQGGVNGVGN CGVTSGLLDNLWFNRRPNDGQPVKWRDILKVNQILLV JR316_0005829 MAYQSHTPSPAGTPSPSSSTSVSPYINPSPLDMVANDDHDSSYS AQGTPNHSTTNLPRTLNAGKGGCWTCRVRRKKCDEQREGDSCRTCKRLTIKCLGWGAK RPDWMRDKKNVDAYKASIKAQLSRAGLIRGQPRHNPMQVPSHRAVQQQQRQQVRQTTV NSHTGSVNVTRTNFESFHHPLDTSFNAQHGPHLMSGMTGAPSSFDDHISRQFDTYDPT FFQQTALFNPSLGGENTDFGLLSTQTANDVLFQDPTTMPAYNSPANQTAVQEELVMHY FSNVQKAQPFFAGEALTDITYSAILEEPRGAVTFAICALAELHLKQMRVSQGLEPINA AAETSTNYLRNEAVLQLDNNKNTHGCWSEKDAIAALHLVSLSQLSGGASDWESPFTIL CQWLHQTNLHLAENPWLTFLSLTASVQHLVKATLWLDVFSSMSTLRPPKFLPLWQKLL GEKNFYDLDLPRSMHMEALTGCPDEALLAIAEISALAHWKTSQIRNGCLSYPDLIRRG TVIEQQLRRQTEQSVATDGVQTGLGSANATHPSVEERSLIANIFREAADLYLHSVLSN STPGVPEIGSCVTTIVRLFTQLPASEVDRTLVFPICLAGCMTNDSTVRDFFKGRLRLL NESYGNLLQTRRLMEAVWQKRDIGGKEVDFRETIREQGLKLLLI JR316_0005830 MKFGTKISTDLYNEWRPFYLDYNGLKRELKTRTTSQTGWSEKDE HEFTLMLTKELDKIHDFQKAKTSELSRRIHEAEKDVQALVVEDTEAHRSSASTPSKQP RAARSSEQLLDAESQTQRRDPYAHDAGSDDDLSDEEEEEESDESFDALEERFHGLEEE VAILVADVHDLALYTKLNITGFMKILKKQTHLALKTSFIQDYLEKRPFYKYNWDALIV KLSKLYDLVRTRGHPVQGDSAAGGSQSAFVRQTTKYWVHQDNLVPLKLAILRHLPVLV FNPDKEFEPKDAAITSIYFDNEDLELYLGRLEKTEGAEAIRLRWYGDVDTKTIFVERK THREDWTGEKSVKARFPLKEHLVVPFLRGEYTVDEDFQQLVKRGKKTQAEVDAMCQLA NEVQYRILTKGLVPVMRSFYNRTAFQLPGDARVRISLDTELTLIREDNWDGRTRSGDN WRRTDVGIDFPFEGVPEEDKEIFKYGVLEVKLQTQFGQQPPKWVTDLVQSHLVEAVPK FSKFIHGCATLLPTRVDLVPFWLPQMDVDILKPDTGYLSVIERPSGSGANSQPSSGRG GAGTPASSSRHTIASGTASAGTSSPELGPSAGVGMGIYTEPLSEGEEEDGYQFAEDEE EEEADEENTKGENTEGKKKQKKKKKLEPARNEDLGKRTGLSGDDVKAAVAYRERMLNA HKQEEEIEENRKRAKKAGKEKEAIRAVDADEGEGEESHVKIAEVNGQVNGKSYKHQRP EMGRHLSTGIGKAALSIDPLAPSLAFDERLKDRLEGEEAKRRRRAAANGNGDGDGGDD QSGVRPKARRSTSSLGSARRRPRGSRSGSRSSRHAAQQYGLRNDEEAADDDDEDNDER PRGDEAEEAAALGRKNGDDRILERNWRAPEGKLIAIPVRIEPKVYFAAERTFLKWLNN AVFIGTIATTLLNFTPADDTRGLISAALFTLAALMAIAYSAGIFVYRSWKLRERQASG LYYDRYGPTALCAVLFVALATNVGLRWSQM JR316_0005831 MSVPNYSSTAKRATVNGANNHRTKILLLGLRRAGKTSIQQVLFN NLPPNQTFYLETTMRIVKHQIDTVIPLELWDCPANTTVESLGAPLSQFSTIIFVIDIR DLYNQPISKLVEFIVASYAENPNIILEVFVHKAEKLQEDDKIENFRQIHERVSDRLLD MSPEYEQMQLNFHLTSVYDHSLHEAFSRVLHKLIDSLPFLEELLNVFCANSQSPKAFL FDTRSRLYVATDASPVDSATHNLCCDYLQMLNSFGPLYKSITATPSRQRQLISGTSIP VPATPPPSSISSISSRSLRPAATPSSTSTAASASTPSSSSSNPSNSNSNARTNAYRPP PPTNGSSSLLAPIPPTPPTPTTPRASATTSPVHTNGKTAGNKDKDRDKDRGVGVGPGS GTGSTTVTPTTVTPTRPSVHGSYFPPMPTPPPSTSTSTTGRTGMVTSPPGPIHPPTTS LTVNVSPDSNGSGSGSGASGIGTGKRKDKDLFYPSASTTLSSFSFSSSSSSASAPASA MGAGHSHSHRHGHGSRHASAHGHSHSQLPTALSASSTSLSRTPVPNGHGHHITPSHSH SHSTNTPTNPSTPITTAPASQPPNPGTTTLTYHLITQHLALLALIPAHVYTERRGLVE YNVVFFREGVQEICEIEEEARGV JR316_0005832 MTDSTGIPSTSTPPIIPPADDAEEGYSLKTEGSSITLLDVAAGD YDSNLDDHRPKSTSLRDINSENLPRKIEALRSHVGKRWSHVDAFSTISDILHQASQIF STVEKLKAKYTQQNYTFSAISFSRVNTNHYEKLGISILSKAANVEAVITNLSGGVAFG EEHRADYFKTYGEATTKTIQNIVIYTGQKPEARGRLLIDQFILFMMGYFISINMIPLL FPELQLATVRKPVVVLYSKISPNGSATKVATCITGSVDYALFVAFRSAIKQSVLLKMR TFNNLSQLERDLDRSGLPIHGDQLHLFMVEAKKMGNADDLVNFIPQVVAEGAIIIAYR ITVLRTKTAVTWCITTGEAWIFGISLKKTDHTQCLVYHSTVMKLNYDLEDQNLLSAQI KKFFNLMVSWSLSPALYMTADQEGAGMLVTTFHRFKIDWQFTKAHHRSWKWSIVFVKS SPNLRLTKPDIVIDDDIRCMAELHSQRTLIYSVPQGAPRMRTRTGTGTGTA JR316_0005833 MTSILGSMNRWILHWVKDFFLKTRPYIFRIPVELIIEILKFLEW REVLLVRQTCKYLAGVTTSRAIWKGLVDEYLVTSFMPPKIERPLASHSSQELECLFLR WKSADLAFGSDKFITPQERGRWLLSIQQTGAVQYYDLDAEMSVAVELIPAQSEEAVIT STIDYDMDAPFLKFNIAFCYKSEDALEMESHKFRGNRCICKIWNVKAILDDTRHVIGL IATQIAWFPFGVEVNSVPMFSLLGSNLACITHLASLAPSYEPCLLRIINWQQAHENPL RYTFRVVERLERQACVQLLPTGKILVTEYGNYKLYDYLSIPEGNYTPEQPISSYQPIW NIKGPSCDWPFNCFFTGSRAYVIIGEYALVSMLAFDYVSPSGTPPSMNIVYRDKTVLL QEKGTYVGAKRTIALVRLHTLRILDYSSFTTSKEPVVLRQEGVTNINIISYLIADDNI GRCKTRPCIFRIPVELIIEILKFLEWREVLLVRQTCKYLAGVTTSRAIWKRLVDEYLV TSFMPPKTERPLASHSSQELECLFLRWKSADLAFGSDKSITPQERGRWLLSIQQTGAV QYYDLDAETSVAVELIPAQSEEAVITSTIDYDMDAPFLKFNIAFCYRTQDAGKMESYK FQGNRCICKIWNVEAILDDTRHVIGLIATRIAWFPFGVEVNGVPMLSLLGSNLACIAY IMSLSRSYEPCVVRIINWQQAHENPLHYPFRVVERLDHQACVQLLPTGKILVTECGNY KLYDYLSIPEGNYTPEPPICSYQPIWNIKGPSSDWPFRCFLTGSQAYIVIGQYALVSM LAFDYVSTTGTPPSVNLIYRSEWADMHRRATYVGAKRTIALGRLHTLRILEYSTFTTS KEPVVLHQERLTNIEVISYLIADDNIGRCVSIFNGYDDRYKHQTEFHVVDFGRLPNQL AQSCQVILADCL JR316_0005834 MDRSSTKETALEEIEGVLSEPRTQEQYTGAIWTTKRPELWAYYL YYVGNNGLSGFNFGPSQFQNLLFLAGYDPVAGPGSACSVNGCVLPYLGEIRDILLFKH FCYSSSEHGPIMELGGLFDYEKPFPL JR316_0005835 MSTSRSAVTRPSSSGPLTGNNPASALVPENVEASDTSELPAYVP STRSSSRSRRREFPEFKEFSYDAKNRKGKSVVILTVIAERSYSKHIPTFLQDSPVKGR VHLDLDKPESILSVVLNIRGEYLTGANPDQQLIFLDLAYPLWSQSDGDPQRMNVLRET ESLTRQGSSTLSARFNGPKLVGQYTWPFCITLPKEVSVPYGKGKALRSFALPQTFNER HAKGSIRYEISLRINRGRFQPNYRIPATIGYIPISRPPPFPPLRSMCYQEGTTLLGPT IDPDGWFSDTPVTIKGTIFSARAVHVTCTLCYTRGSVIPFFMRLESDDFQALDLLSNP KSISVSLQRRIKYHAFPEKVLGSFAKDSVEYVQQAVWWPSSEGVEVNVERFRFLNGEL HLKADTKPSSAIADFSIEYSVVLFPFKSAGFEASDNGPLQTYPVEIVTSFAHGPRPRK YAPPGYDADTPPSMDFVNLDPVAYSS JR316_0005836 MHRCLRSSLSHFSKTPGGATFGHARRSASSVNAPSSMQAGPSKH SPKTLPKPERPKEQVGVAKNTKNDIVKLYDMKRSLDPALEESGQLAQIIAEGEDEDSS EMAFLPGTFVEIRKNDLSAYGIVLGEVIEEHRYGVYTLTTKGMIISHFRADVYFAFPN VISASLAERCDFSNPTVTTENNSARVEALKKLRVLVAEVEKYSAGMLQRPMNVYVEVM SNDKTKWSTTTVSHVANLLYERPSFMDFYMTHKMLMDQPLYYIAKPGYLKNQAFAVRP RQQVEEIETVTRYIYDHRISRSDQQTHFKRFVSKASKVVKEFERRRDSGPISQEKIEV EWDVEDRIFINFLIRSLQPHRSNQLDPYTMGRTEIVRAIFQPTEPVNDDMTHQLLIKL GIFAPWHDLHEFVPFLNPWGDLYSNKHTIETEANEILKLSHASTATTGSVLGPMDLIP SDPLESVRHDFGNARVFVIDDLTAQELDDGFSVERIPSEPDNCWIHVHIADPASVIHP NHALVKLARQRGSSYYLAHKTIPLFPVALVHDPKYSLSLQSRDGESTRVLTFSTKVDK DGNILDYKVRGGLIRNVKKISYDEVDMAIGHGLVKRSLPFGGTIPSISVPTSLDEADL ADIKLAQQVAQGQIRRRMKKDVFIYDDHSAHVRWDSTIPPNIVSPTLGSNLYHGYPDM TYEVYTIEEYESGSRLIIAEMMKLANRTASRVVRDHNLPVLRRTMDPPIFSNPESKQE IMDLRSPSGHVLMQEVAKRVDLNPVGMFCLEPKQHYALGIEEGDGYARATSPLRRFED IVCHWQLHHILLGSQSPRAPFSVADIEAILGDTEMAFQQQKVVNKSIKLFYSLMYIKR FADDVAKGIRDPGPVDPLASMSAWTKNTPRVVTNTSQMSLSVNVPHLGVTAQVDDLPF SMRNLPVGSELRVKFKSIDLGIKRTKMVVSLEQ JR316_0005837 MPPKTERPLASHSSQELECLFLRWKSADLAFGSDKFITPQERGR WLLSIQQTGAVQYYDLDAEMSVAVELIPAQSEEAVITSTIDYDMDAPFLKFNIAFCYQ SRDALEMESHKFQGNRCICKIWNVEAILDDTRHVIGLIATQIAWFPFGVEVNGVPMFS LLGSNLACITYLVSLSRSYEPCLLRIINWQQAHENPLHYPFRVVDRLEYQACVQLLPT GKILVTEYGNYKLYDYLSIPEGNYTPELPICSYQPIWNIKGPSCDWPFSCFFTGSRAY IIIGQYALVSMLAFDYVSPPGAPPSMNIIYRNEWANMHQGATYVGAKRTIALVRLHTL RILEYSSFTTSKEPVVLRQDRLTNIEVISYLIADDNIGRCVSIFTGYDDRYKHQTEFH VVDFGRLPNQLAQSCQAILAD JR316_0005838 MPPKTERPLASHSSQELECLFLRWKSADLAFGSDKFITPQERGR WLLSIQQTGAVQYYDLDAEMSVAVELIPAQSEEAVITSTIDYDMDAPFLKFNIAFCHR TQDAGKMESYKFQGNRCICKIWNVEAILDDTRHVIGLIATRIAWFPFGVEVNGVPMLS LLGSNLACIAYIMSLSRSYEPCLLRIINWQQAHENPLHYPFRVVERLERQACVQLLPT GKILVTEYGNYKLYDYLTIPEGNYTPEQPICSYQPIWNIKGPSCDWPFSCFFTGSRAY VIIGEYALVSMLAFDYVSPSGTPPSMNIVYRDKTVLLQEKGTYVGAKRTIALVRLHTL RILDYSSFTTSKEPVVLRQEGVTNINIISYLIADDNIGRCVCIFNGYDNKYKHQTEFH VVDFGRLPNQLAQSCQAILADCL JR316_0005839 MYRALPVSRQAASTSNRQAVRVIRRHLATDTTTPPKKSKVLRRI ILTTAGLTGTFYVGSAFLAFNNQAYYDIFSEQVPLGQSVLDFGESQGWDTLTVGDVIN GTTGLVVTTYNFAKDMINGTTPEKNKAPVETKSAEPKSPFKVVKQTTSAKIEPAVQQV KKEVEEVKEAVKEAAVKVEDVAKEVTDKARIEYQDLVKRAEAAIAGASKDGNPPSVIT IETTEEEEIPPPSSKNVYDRPLPLGFEPPPGFSRPAPPPKPKVTEAEAQTPVPEPEPV ELPLVAPVVATASEPIITHLAGTIDNLASFLKSDPKAAQQAGDVLETAKGDLAALVDR MEAVKEQERAALEAKMDEQTREYSLKLLELEMEAQDKLDHQEEGFKQLFEQERASFVQ AYREKLEHELKVQTELINERLKEEVIAQGIELQRRWIREIKVRVEQERGGRLAKLDEL SANLKRLESIALINSAHLDENIRVHSLWSAIRALTNSAISSPVRKPFRDELRVLRHIT AAREDPVVSVVLDSLESTDVPDVGVEPLADLATWFTNEVAPKVSQVALVPDENAGVLS YLASRALSGLRFKRQGLIPGDDVLSVLARAEYYLNEKNLDSAARELNQLKGPAKVLLH DWLEAARRRLEVQQALEVVQTQATLASLLVV JR316_0005840 MAYQQSSPYVQQLAQPDVVSGDQYRYALTNFRIAHEKVEQQRKQ LEEQEAQVAALRARIALLEGGSNPTIGSQNGNTVDDFSIKNSASQLDKLINRWAADVI RAPPVTLPELCAAILNDVVSGLDVSHVETTPMQVQSYLRHAMAETVSEGIINCLIVTN STEANIQLTRIHEHIFARDPTVASVWRRQTFSAAVDTCTPDMSLSILYEQIPELMKAL NGKIPASGGVSILESAYAFSRMLHASGSPSNDAFYRAFVPELGSVLYPRQIELVKRCL RSERGESDRVGATIFPGLVKVSRVASVPGMEETVQTVVRRAQVICECAMGGSAVPTLA ATPYDPQQSVQSIQSYEPQQPAYEPQQPAYEPQQPAYEPQQTYEPQQLNDYPQTVGQS PMIGGTTMQPSYF JR316_0005841 MAFLSNSSAFGMKPATTSNTNTGSIFGQPQQPQQQPATSIFGTP PTQPQQQQQQPASLFSVPPNQQTGGLFGQQQPQQQQQPATTNLFGQPQQQQPAATTNL FGQPQQQQQQPATGATGLFGQPAQQQQQQQQPATNIFGQTQQQQPSTNIFGQQQQQQP AQPATNIFGQPQQQQQQTQPQTSNLFGGSLFGNTANQQQQNATPNPLAAQGTLPASAF GAPSTTTGTGGAFGGFGTGAGSSLFGAKSQQPQQSTFNNQNQVATLANNSNNGVPPFT KSTKFNDLPDFIQQKLEQIETHIQGRVQICKDLQQRKLGDEPTKSHDAIRNLKKELIS TATTIRNDLHLSKDLKAKVDQAVEDTIVATHIIDGFKNPQSGNTYLKDHASFPLEYFT RVTDQMKERLTWYKSTIEQIERKLASASGSSQTPQSISATLQAQHSTFLALASKTAAV DAELQKIKVYYTQLWRSKTGSVRDPFNDVVKSGTGAGNDFGLSGLNVR JR316_0005842 MAPALTHPPGATFLDDHHSHSSKPIVDAASYYNSADVHSRSRTY SHSQLHGYNPKRVPAFQEDWHLRPRRLSHDEKTVSGPPRRFLIDVEETIRVVLEQEDT DGDFQISITDAGPKMMALGTATSNGFKTFDIRGTYMLSNLLQELALARDHNRKRIVLD EARLTENPVDRLSRMIKHSFWHSLTRRIDGDGLEIITADPKNRTGRVNPRIYVPHGEP AMAEYYRKVAKEKPHMNLDVQVLPEKPDDPLFVKSLNSKPGLLALAMNEVDDGAGGKT LKGIPFIVPGARFNELYNWDSYFIALGLLVDGQVSMAKGMVEHFIFEIKHYGKILNGS RSYYLCRTQPPFLTDMALQIYNQLDRSDVDANRDWLKRAIQAAIKEYHTIWVAEPRMD PKTGLSRYRPDGLGIPPETEATHFTHILEPYAEKHGLSVLEFSEKYNDGVLKEPELDE YFLHDRAVRESGHDTTYRFEKRCANLGTIDLQCLLYKYEVDIGTAIREVFDDELDLEE DFPLAPFPPSVEAYANPKRESSKSRVQRSDEWFERAEFRRNMIDKYLWNESKGLYFDY DTVTEKQILYESVTAFWALWAGCASEEQCWKLVSRSLKKFEVLGGLVSGTEESRGKIS LDRPNRQWDYPFAWPPHQIMTWVGLERYGYLEEAQRLAYRFTYMMTTAFVDFNGVVPE KFDAVKLSHLVDAEYGNQGIDFKMVPREGFGWMNSAFQVGLSFLTTHMRRAVAACTSP EVFFGAGASGVSGESALLTNNLPPSDPLGMAMENLTL JR316_0005843 MKFSAIFSFALLPAISYALTTSYDTSYDIASQSLATVACSDGPH GLMTKGFTTFGSLPHFPNIGGVPAIQGWNSPSCGTCWKLSYTDGSGVTRSVNILGIDV AVNNFNIGLNAMNTLTGGQAQFLGRVPITATQVASSVCGLNQTVTLELA JR316_0005844 MAKAEYIGKDGFHSRMVEKNEDIATDRYKLGDVFEKQRRFEGFL EHQKRDVPDFETMADEVSREANSESFQKEIDELKAAHAKDLEHLYDFNANEYKESIRM QYMAQDCTCQDPEAYEIERAAIADLFEAERQGLVSTWANRHEQLRHAYVTALLDLQNN KISAAQDEYRNKLTLERAFPLSIIDFHTKPEDFKYRIAVFLTSDETKKTEIKKQQQWN EEEVKNLCNLYKTDVRDHCSGRSGITFTNRTSLLSKYQKMFASDIQGILVKHKNSILS PKKSTIKRKRPAAAELW JR316_0005845 MASIVDTLPYDLIATIVDFVAEEDENDKKTLKACSLTCRTFLPP CQKIIFRCIKLTDFRLAARLTHRFARTIEKSPWLADYVRQFEWLVMKDHFNPSSSVPT AFKHLTKLEVLTLDHQLVAPFRLGVGSDWRRLPESMKCTLLHLMQLPTIISLTLPSQG HGFNLFELSPCINLKNLYMLATSLGHQNEISLCHRARPIRLQQLQLHASATPIIVPML RKSSHHSTRLPFLDFTELKTLTLVLDKNAFEETTGRFLRCAYNLEILNLLLYMPDVTA QTLGLLLFANISTVKSLTLFISLNDSFDVLYSFSKMLEELSKESNCLRNLTIHFRLFQ GFTLGYDTFVIGGHWGLLEDSLLSPGWSSLEEVDIKVAIKNLRPEQLPEALEELNLLP ESDLRGLSSTKSFNFKFAVSVSQSDAANWRSPEVTHTTSSMARAAFQQRAPRAQARRE TADELLEELIDDVESTDAEQRHENQSVRPILPIGHEISLELVGVILKHIDDKPFLLRS RLISRKFKSAADPFAFRTLTVSRTQQSREGFQNLIASPQLAAFVQQIIFVTSGKGART DDAINEGVLSDLECIPKFPSVNALQLRFLGTWSEDEDEPDEMSPARQLQIAAIRTLST LHSQQGLRLQSLEIHNLITRTNESILTDEFKSLLETLTSLTISLLTNDSELFYHSDED YCQFWDRDMTTMLNAARNLASLTLVSDIKTFCDWDKFVTFLKLESLTLENFVFGELFS PEPFILRHKETLLHLELLSCWNQPDSGLISATEPRAWADIFKRFEEELIGLLTFSFNP LPGIEEGTEDHPQWHGYVYADTEGSEGGYKNFFNEKPLPQAGEGDISAFRSFQEVIAK RTE JR316_0005846 MLLSSVATLLVPLLSWLNGNQPPTNIHGSVQPLNEPSTNLQFEL RHLHAVSPDARVVFADAPPPALQTLSDPSGSTKASYSVRSKRAKTYRPSSFVAHTQAR LRSLYFGENEPLDWDDEEILHPDVESRETLLELAKMTNNAYLEPSDAGWYDLDEKWNV SLPFGWEPDADGFRGHVFATPDNSTVVISIKGTSAGLFGSGGPTQKKDKLNDNLLFSC CCARIDWTWSTVCGCYRNGWKCDQNCLEDALISESLFYPIGTNLYNNVSYVFPNANIW VIGHSLGGALSSLIGITFGLPVVAFEAPGERLAATRLHLPSPADTLWKQRKRYLSHNS KTSNALSVSCHVGKSIVYDTVSNSSWSVDVRTHGIVTVIDKVLSDPWPPSVEIGREVP EAAAEVDCVVCFFPYHHHEE JR316_0005847 MIIPVTLASASTTAANPKLPPGLVKLSNDEIVLIELQGALEVDL SDPTERNGKLVGKLKMDEATNKPTLLIGHHLLEGKIAALPKPYAILVRSGGSSSSSAS VRGTTTAANTSSTTSTAPGNGDRRMPKRTPDQTDAESLDDDADESMAVDDDNNDNDDN DNNDHAVSLGNAGSGSGAGWKIAGIVKKKIIFSKRPMPVIKRK JR316_0005848 MSSNDNMNLSDTKHSEPISIPTNARGRARSMSVSSASSASTSSS ELPTPPSGSANSARFTVPSPSSPILSYFLAQSPTTKTPATAFPFKRKFGTTPVFEGAS YLHNSIRSICLQSPLYFAADEDTDAEIPVAAHARRASAQAANRFSQNPPPAFPDVNAD RGTNLLRRLSLSSSAFVKPQLDPVNTRTASPPSPPPNTAVSPTSKTAPFINKPRRSAT ISNEGGRPRRAPSPMGERILKGHFDGFN JR316_0005849 MVSLIDRFPLEITELIVESLAPPRYIPYTDSDDEDSENEPEDAI AQYKGLKALALTCHTLLHICRKHLFFSVGLHSDKEFTRFVQIIARSPALAQFIRCLYY WPKASVSALPSLVEALQSLTQLKYLTLNGGDLLSIPNSLRDAILHLTHLPTIEYLGLS DVENIIPHLLVSCSNVKHLLVSSRGFSRFLGDDLPTIITKPTQPRTIFIDDFGSEVLL SMLKARDSRGTSMMNFDNLRRCTVFTFNEEPSHLRSELYGLISYVTCLEDLEVCGSPE SLVSDALYAIASNTKALKILKVEARIRDDPLTAICAALGNIAGRNPIETLTVEIIDCD HIVCCNNVALDGGYKLLENVLLQPGWKHLQYFKLRFTIWPRTTDRHGSSNLPRSMKQE LASRIKGQIEPNFQRLATKKSFKFEYRIRDYQRYLW JR316_0005850 MPTPNIPERDVRSSKPRTLIRMISTKFTPRTVSVPALRSPPAAP RSAFSKEQRDAALRERGLLPPLPNRDLSTQEREQDRHIPIVELGQEESHGHQRQGEGE GEPSAADLVKKAWEAKNSQPRLPAAAAPPPPPAAAAEGAADVNEAAADPRTSLAIKAG QRESAESKRLSDSDMLRPSSLLADLSDEIQAFMFPLPPSLNTNTNTSTSTDKTDADAD VSVPPPSTPAPRLRPPASKADPDPDHETDPSLLRVNNRDSCGGAPTEESHSDASIMTP SLDSTTQTLSSFSHRSSSGVSSASSSVNKKGCLQVRMAGEGQCDEAFSGDELGVVIEE DSVPPSPSLLSKALPAANIKFKLDPAMDKDRAERETHADQDTALLSPVKDSAVKAPPQ SVERKKSMNPFKRAATMSGAHGKDENEDTTATPAGTQTPTPTPRRRITMASTSFASLS NLRRSVVGTLTKTPASAPMKSRGSTVVDGLPGSPTSPTPTTARAMQMSAGKGEGKPIP RVRQPQQLVMYNRGSILLETAGIEDEETRRMTELAFLG JR316_0005851 MASRLSIQHRLPLEVIEHIIDQFADYNVDPDGRAHIDAESLKAC SLTCQAFLPRARKHIFHSFVLIHDVDVYLFANFIHDFPELAHYVRFLYYVFEPSHTGN PLIPAAFQRLTKLTSINLVAGSKTWHDVPRALRTPLLNLIRFPSIRYLRLEDIYNIAP YELFPCPNLKELELSDVLLRRPGKPAVLEVQDCKPAQLERLQIEGRGSQFVLDMLNTR DAQGNPVLDLEQVTDYTVYRITNPTDFYATEEVMMQMPNLEKLHIACSHESSAAELVE KYSLAYINLKTLQLGFDLLGDDTLSSVCTLLRSIKGRNPIQSLQLDILDSYHYIPNHK FKFALDKKLSEIEEIFLQPSWDSITNLTLEIIIPEGVEDGLTSWFNHKDQLPLSFKQD LEDKIKDSLNQCFKKLSEKKPFKFDYVIEDLQDYEDSEI JR316_0005852 MPRPSGSSMSSASLSALLSALGLSDSTDDDYHTTSSGKLQPEIS KFASQDRYRPQRPTTPFSNVEFSTEDEARIFRHDFLSDFDICSDFEDDRESDFDFETL PSRPGSSLEGFEVITPIAHQQHRVESPPASPVSFESPKAVDHIYIKAALNASIIMLRT PRDITFKELQQRLYNKFVHQENIFLSHSFSVLLALPPPSSSNLGRSASGRRRVSFACC TEMSFIDREVDWRRIADKNDGSKITLRILDTPP JR316_0005853 MFDDDISVSSRGRQVEYDIWRRWEDCLWLQETLEQEYRRSAREK KIRLQQGKGVKSFNGMYKQDMASSWESLPPGPDPNSVAQDIHGYLPKLSKKGTLFRAS QATIENRQKEFRNLVETLFSDDMPALVQEIRASTIVTDFFGLWRRDYDLLESSRQGQR NSLTSSVFSSYFSASSPSLSGRSTTTASSPRKRVSSSASSTSTFSSDLPSDGSSVCHS SKRTSIRSNETALTHRPRRRPLSSTSSASDSSSIHSDGSLESCSTASTNPAIAAEASI GFGHNPESNDRPSSILEILPEERELLSKSSEGYLPAPIPRRTKASLMERKANRCFSIV GHPVDRREESESKSHSIRESWQTTSSMDSNADALLEGINLVLPHKIKEQKFRASIASI STFMTTDSAEAVIPSERRRSNQSRLRISTPLSLSDFEIYSDDGDADDDCTSILDAFPH PHSYEQHDDRPATPTLHYSSSETPSTPSTPDSIRTTFDRPPSPVPSTAPSTVSTAFSV STTSSTISPGTSISIKAAFNSSIILLRVPRETTLADIRQRLYNKFVGQEGVPLSKSFD IIFVPPSQSSPTKDQSSSHSLSPNDLMDFFFIHSDDEWQEIVSTTSGSKVNLRIMDSC K JR316_0005854 MATPNADVDHEKQQAHLGDSKDIEVISDQDSGFGVLEDERDIAT HVITVADDPSLNPWTFRAFIVGIGLSAFGGVLAEIYYFKPQTVLVSTMFLAIISYVLG IAMEAVIPTKGLFRYLNPHPFNKKENAFIVIMASAAANSALGTEVLAVQRLYYNITPN PAASIFLLFSSQLLGYGIGGLFRNVLLYPSKMLYPGVLPLVSMFDALFKDVAGSQKKL RLFWIAFGAIFIWELFPEWIFPLLTGLSIFCLANQKNADFTRVFGGSNGNEGLGLLSI CFDWQYISGGYNPMAIPLRAQFSNLLGYILCIVVFVGVYYKDIWSSKSFPFLSQELFY PDGTVYNQTLILNDKFEVDPKLLAEQGLPFYAGTWVVQLLSTNLGMGATFAHLLIWNF DDMKQAWEWMTPSGIRKMFGNFNWRFWKDSGMREQQQQDETLDPHYREMLKYPDAPNS WYLVTLIISIIMGLVIIYKTNSTLPWWGFLISIILATLSILFFGTLYAITGLQFIIQP FIQMIGGFIHPGKPMANMYFVLFGYNSVSQAQLLLRDLKIAQYTKLPPRAAFTAQIVG TLFGSVLNFILMNSIIDNQREILLSVEGTNIWSGQQPQQYNSQAIAWGALSHQLFAIG KRYQFVAWAYLIGFLVPIPFWICHKLWPKLRADYLYTPVICYYIGWLCVGINSSILSY FAIAWASQWWLRTRYPRWFTKYNYILAAALDGGTQVMVFILSFAVAGASGESHLFPQW WGANQNGNYDRCQFIDT JR316_0005855 MVQHPKPKLKPNRTDPVTNHQQKEEHFATEGYESNGSDDDNAEW EDEEIHTSQYQKTPLVASKSPRTGGAVKTSLRKRKKAIQTIRSNKETNLPSTSPSPKS ELDQQDAKEENTFISYKDMQNAAKVGLFSTGKYLYEISATVFRLLRPLFSLLVVLLVL SFIIGRISSTLYSTFYPICYIPGISSSPICRPLEPVIPTAKKQAKWPDFPKLVEVQSK TFEQLLSESIGGPALSMELQSAQMATKDLAVLVKHSSLSSKDKLVGILKDIASDAKVA ADQLGRLTAKVGGSVDNIMAANDWALSRIEEARANEPSPWSLRGTVPWMKPKYTLHEV ITTTFDDAMDVMSRQMERLITEAGSNLQNLNVLEERLTTFYDVYHRENIEQSAAKEEV LAELWTILGGNRDRIRNFNDNLELLKGLATYKKEAMAHVVATLMALRDMRDEMEDMRE RVAAPHLLGENVPVEVHIKSIKLGLERLKVGRIEAREQEKMKSHEVASKRGL JR316_0005856 MADAIPQTDVSRPSALPTANLSQRGGVPPALVEQNSVEYLDAIE EEWNKKVDAEVDILVDGMVDLVGIASIRAANSLLSITHSMKLLLLLSDEAQIAHRRDA EMKVVQEERDEAKKQVEQILNELLKKPSGSTQQPGDGAPEAFKDTQVS JR316_0005857 MFGPEGSGSIDQVHIAQVGYKYLSDNMYKLAHLFGKAGDQIGYL YDYGDKWYHRIEIVKIIPQEESSGSVEVIEGKGMCPGEMKREILATPNYNSFGKPPSL VDPAVFDLDAAKARVAEALSSTTSVRSGAKKFHMPIMPGAEHIINQMDQQHLKKGQTI VNSHDMENYGFWNETVSTKRDARKEAVCGFCGKPASSEVQLKACAGCQQVIVIGNPFT RSCYDAVDEKPKSECEAMKKPQAGSLINKGSMIDLKQDSLTF JR316_0005858 MSGKGYTYTGSGTNSQGNHYCSRQGNDGGSGYHYSNTNGSYYYA NTNGSTYYNSGKGSSTYTSPSGYTVSKSSGNIAVLFSSYGTDIETIVKA JR316_0005859 MATRGATLRSGRAPRGHTAARDVPTTGSDRDPPASRGDDPKQEA LPTGMEEGGARGRDDHRGNNPNNTGSAAAAARRARRSLSLNSFNRYMVDTEINSVYRS SVNDAQTVVTETKELITSLMDRLSRIENAINMNGSIFEVSRAPEDSDNSHGREESQEI AIDNDYLQIQEMERKLTEMKKAYTRRYQSIEVQPKTERRGISTTPMSHDVEDLITQAA RQQTQARRTEAIKPSNQLPAESLLGQLLANPKGNSGKEHAELSARALGPLDTEGHIIN PARFKPLPPTAPDKYHGDADFMKFYKYITQCDRFCKEAALPPQDQVVKCADYLAGKAY KFYSTMVSISVDSWDRQRFFTELYNYCFPPDYRLKQRKKLEKFSQGSMTVAEYAAELL ILFRIVGKSTPSQRVDRLWNGLKPELQSALWKEGLDYEQNTWDEVIRIATRYEVAHEI ERNQRQYIKYNKQYYVSGKYDYKTHDNNYGVNNDDEPSDSDHGQLSSGGTDDDSDQDK EDKVLALPQDSNVKASGYVRNAQIKCYRCGKTGHIGRHCRNVRRNISSTYNESPSVSN RDRELDSNSENEHHGATDQTASGLGSYFMEVEVAMCDIADTGLNTDIYSYSDHSAIDT TASQGTNVELSELHVNQILVSEDLEGGWTQLAQTVTAQLQDEDVDLEPEKIATSLFDA DSLVKQGIECQKSCPIEFTHESGDISHSFGMPGGRM JR316_0005860 MATPVILGVSAIAAAVLGRSIVKKGLFVGKGAAEQWVKGGFRAK MDRKEAIAILGLKDGPKLRSQLKDAHRHIMLANHPDRGGSPYLASKINEAKDLLDKTD GRGR JR316_0005861 MLQFIASATTLLTFILAASAIPQRSPLTSLPVITDPPPGFNITS LGVNGSGCPPGSTYYLLSQDKSSVTVTFSKYYAEVGPGIPISANRKNCQLTFGVQVPG GFSFGLATVDYRGYYQLDDKVTASQQSIYYFQGQIQQATARSDLVGPVDGADYTYRDS FDLVSTVLSPCGASTVLNVVSDVRASNTRNTKGSGYIATDSIDTALKQTYGFQWQKC JR316_0005862 MVKTTFTSIIALALGVTSVFSAPASSVAPPPDFAVFGINGSGCP PGSASYYIDDNAALNVVYSSFYAEAGPGIPISENRKNCQLTLGVRVPQGFSFALASTQ VNGYYYLDQGVTAAQDTLYYFQGSLSQGNATHRVTGPIPGMGKAKLHASRASY JR316_0005863 MTTYDALQPSKLGTKEHWDNVYQQELENFEEIGDEGEIWSAVMR SWFHFVPLLMGEVRFGEDSVEKMVDWVSEHVPPSNNASILEVGSGNGTLLFGLLEASY DPATLYGIDYSAGAVKLAAGIAESRGASRITFSECDFLNEDPILPKNVDSSAEGIQVW DLLLDKGTYDAIALGEKNEQGYSPAVKYPNRVSRLLKPGGFFLITSCNFTEEELKVNF STEDTGLIYQYA JR316_0005864 MPIRKKTSTTKLPQILQQQLEGITANDQPQNWRFSHSSRKEARK KGRAIEKQKRAEYFAQAKNLRKRAAEEEHAESPQHKKVRQTGPQNHSNRKVEKTPSVL PLSTQKLDPSHSKPVVEPLPSKLPKTSAVPKVPKKVLVQKTLAEDKEDKYIAFLESKL GIKRGAKRKIKSAEEDDGLDDLMDWADSFLPSHSESKAIDEKDESDSEDEEDETPESE YLNESEGNDFEEEEWHGVGHDDSDEESVTESEGETTAPPAPTPPPKGAYIPPHLRNTQ PAQKADSEEIQKLTRQLKGLLNRMTEQNIASIVDSFEGVYREHRRNDVTSTTTTLIIN GISSHSSLLDSYVVIYAAFVSSLYKVVGIEFAAHFVQATVSSYEQRYKELRSSVSTDI ENNGKECSNLLVLISELYNFQVVSAVLVFDIIRALLADELTEVSVELLLKIVRNSGQQ LRQDDPSALKDIIDIVQGKITSRTRFMVETLTNLKNNKLKRNTTQNQGSAAVERMKKF LSGLSKTKHVLAHEPLRVSLEDLHSSETKGKWWLVGAAWQGDPLVEKHDEFAKSTAAQ AQEAIEMSSESADLIKLARSQGMNTDIRRGIFVVLMSSDDYVDACERLSQLNLTEIQQ REIIRVILHCCGNERSYNPYYTLVCQQLCRTSHAYRITLQFSLWDFLRDLGETTVGGA AVIKNIKEDDGFNLKSVSDVRLQNVAKAYAWWIAKDCLTLMIFKPVDFTMLKPRAHGF LKELFTQVFINSQRATPLLTTDTQNITFTRNRNVIEEIFIKASRVQALAMGLVYFLSE SFRDKSSSDQDLPKFLNWAIGVAKETLRTGVDLIPTL JR316_0005868 MQAYRDNPFEPNQSSSDLNEYYQTSRSPSNAGRSQAGFNNIPLR PTAASGSGTYTPLGANQPFSAESTDNLASQNPFGTPPPSRQGMAAPGGYSDLNSVNHV GSSEPKLGAYTPGSRWMEPQPPKGKRKMWIIMGTIVGLIGIAVIAIAVGIVVSHNKKN NNNLSSSVSGVSSNSTVQQTDPGDPSKFTKDPNFHQAFWGMAYTPVGSQTPDCGNSLA AVIQDIQIMSQLTTRIRLYGADCNQSALVLEAIKQTKVNMKVWLGNYVIATDNNAAYN RQRDTIKQAIQTYGTDNIGGITVGNEFVLNFLTNGNQDPNSAQGDQAAAILVPDIQDT RAMLQSLGVSIPVGNSDAGSYFNNKILEAVDYGMANVHPWFADGVTVDASAAWTADFF QNQDVDLANTLPNRPQMYIAETGWPTKSSDAAHANSLGGSPASVAGLQTFLDTFVCQA NANGTQYFFFEFADEDWKDKQFGGVEGWWGLFNQDRTLKNGIKIPNCQSP JR316_0005869 MSIQAKPVGAKRGAQIVIDIGSSPEPTEPGFVKSASRSRTTSGQ ANVSGKGKGKAKAKSNKKTGKVIMGPVIELTDSESDSEQPGPSVPQSQIQTNDQAQAG PSRPRPKPFPIVSPQRSNSALAALPVAASLENIPVASGSGSTSGSQKKRKAPQPTLFL PSQSDEENKPPVVDVDTIPNNRAEIVEPGMNALVLDDNLDLEMDQYLQQFHEEDKRAR QRLEAPLAGVAPVAAPVPHPHMPEVHQIVPPIPVPVHQPIPAVPPPQNLPRHIAEPVV QVQPEHGPQSQGDPMVKVIAQVLEIMPDVDPDYLRGLVETHLPNFGAQTTEHILGLLF ENGGYPRVDRSKGKRKATVHHDSENPQKKMKVDYASKERNVNSPVYHEFALIHLQLSF PLVPKPFLRRTLEQNNGLYAPTHFAVAALHKAYADDAHNPHRFLPYIPKTMRYNPSKD LRIHGRRSDKEFAEERKWLIEHLQDPTETKLGVVGTAISDEAGLSGKGKGKEKDEGEI EDIPLEDGTGIECQCCFSEYAFDRMVQCPEAHLFCSSCVSQYAATKLGEHNHLILCMH ASGCSLPFTESELRRILSPKLMSLYERVKQQKEIEAAGLEGLEECPFCEWKCVLEASN EEEKLFRCGNEEGGCGVVSCRSCKKKDHLPKSCKEAEEDKVLDGRHAIEEAMTRALMR NCPKCNKAFVKETGCNKMTCPHCRTLSCYVCRKVIDGYQHFDQMPGQPSTSKSAGKCR LWDNVEERHALEVRAAAEKAAAEYKRDHPEVSDEGIKIDLPAVPPPAPAPQIVRLNHA PHLPHDALLRGIAPQAAVRGPILMGALPGQQVGNEVARPMVHPGIQVFPARVENPAAR QTRLARIAQQHREYQLRLAEQQQQQQQQQQIQQQQQEAQARIQPVQEHRNAVGNFAVQ YAQLEQQRVALQQQLAERIVQERQLAQQRALGLQQQQRQDRFVVPPAGPQGGIQQRME VVEPAADNFLQRAEAMILAHEPQQAEQQRMAAARRVPVQLAAPGLAHPGHMAYEIDAL RRYNEERAGQR JR316_0005870 MSTPSNTLAPAPDALQLILQKLNAYSGAKNVFLSGVALAIFLRL CFQGKKSKGYTSNLDKVGQRTEVASQSGPGGQYDIIVVGGGTSGCALAARLSEDPNLR VLLLEAGGSGKALSDSSTPAGFGRLLYNAKHVHQLRTESQVAAGGKVNFWPRAKMLGG CSSINAQMAQYGAFGDFDEWATYIEDDSWSWKNISQYFRRFEAFQPHPDYPEVDASAR GLSGPVHVGFYNTVTKASHAFIKSCVAVGIPFTADFNGKNGTIGAGRIMTYVDKNYKR VSAETAYLTPEVLARPNLTVAINATVTRILFDKSTNPRPRAIGVEFGRKEGGQTWQAF ASKEVVLSGGAVHSPHILLLSGIGASTDLKKHGITPILDLPGVGKRLVDHPVVDLFFK DKHNVSAKYLKPRSLKDFWKVLNAVVQYKLGKGGPLAMNFGESAAFVRSDDPRLFPKA EFPDKLVDSTSAADSPDLEIFSTPFAYKEHGQAFFDVHTYGLHIYLLRPMSHGEVLLK SRSPWALPSVNPNYLSAPEDVAKLARGVKLALRIAQADPLASHLDSTFTRTDFDHQLH LRSDDEINALVRERVETVYHPASTCRMAPKEDGGVVDGKLRVYGVDGLRVCDASVFPW IISGHTVSLRLLGHMDSCLNGEMNQAGGCFAIAEKLAEEMKGEYGGIEFAPSAAETKV ILWLWSGEVTTGVRMEESCGRAFLPSVLPLILAFNTPPKAPRSGLAAKVGALIRLKRP VRRNTDPGSVQLEQSESGHREPHQDERATINIPPIPVITASPSSTPVSSLAPLPSQQQ HQQESTTHHSPPPLLSLQSNHDPAMLQPQSQFRQPAQNKQNHKSWWNHFALISKPKKD LSAMTAPYKAHDTADHPVFGKPLKESLRYASVQISTANANGDLYVWGYIPVVVAKCGL YLKENATEVPGTFRVNGSNKRMRDLQAIFESPPRLLPSPLPMLVILFDKLPGIRIGCT VFSKPFLLSFRYGKSLDWKQETYTTHDVASVFRRYLTQMPEPVIPYDMYHLFRDALSK KPFNQEEVISTYKSLIRKMPRPNQYLLLYVLDLLSVFARKSDKNLMTATNLAVIFRPG ILSHPQHEMSPQEHALSQRVLEFLIAQQDWFMLDISPPPSSSVTTFEGGGAGPSGSGG QGGSGPGGKWHEGSNTPGPSRRGTGASMDNNSTPLAGQNAGSNQVTRQANAYTNAEAG PSKSSSPQMESVGRHRVVSGPSGPLPSITPVSPLITPWLGSTTKSPPNQPTQWHQRQR SLSHSPPSPISNENINPNWASRPPMQQHSQSQPVSQKATAKGYLTENMSSQPSSPHSS QPPSPSSPVFPIIPHHRQPHTRSTSADQAPTKSSSHPRPSSKTPAYPSPLAFNTANHV TFNAPIHARFSHTQTHPYEPPISSSLPPIPSSPLGSGSSDVDEFMVIPSSGEEVSGVG GGWKLVSKGFAAVPANGRSQGSTGTGFMVLGKKDKGATLKMIRRRTAVDPSELIARGG ASTEQDCDKTSGGATVMRSKTLPSRKKGSATDTDTAVMSQGIPPSSFAKASHGVLEQE GTREGNDSRTKRVLKKQRRGSGSGISPIPPHQAVAITANI JR316_0005871 MEQQIDQVVQAIAIAFDQSQISLHQQALAYVSTFQQNANETWRL ALRLFVDQNADGTRKYPPQVRFFGLRVLDEFLDNRFERFDDESFQTLQQALVAYIESE YVRGPAEADATFLRNKFSHTLTLFFLCTYQDQWPNFFTDLFTLIHPTEQSPSRGFNRH VSLLFFHIVLEISGEVADQIIKSARSFNAARHARDAKVRDGVRERDAARINEAVLTIV AERTERMNELRKNPGSATEPKELDNAVEVVDWGVRTFGSYVGWIDINLTVTPITVPLL FNLLADPSLPIRLATSVALLRIVSKGLKEPGDKLQLLKVLSLGQVLEALEAKTRAQQV ERGDDTDEGEESYREALGKLLNVLGQELAKLVEECQNEEIRSEASTYLTQIQPIMLNF LADEYDDTCSTVFPLLNLVLAAYKRSRKISTEPLDEPKRAFLASLLQVILAKMKWDEH PDPDGAEADEDDIAEFEKMRKDLRVFLDSILSIDQDLVTEAVRTLSLNTISAYTSGVS LKWNDAELGIFLVYIFGEINKSGGKGRAAFCQAPTVDKEKRKSADYSEYPLTAHGEML LALVQSGIASFPNRNVALQFFETVSRYADFFKVRRECILPTLEAMVDTRGLHNDNDQY RLRMFYLFHRFIKELKNEIPVDICPGIIDSVRNLLPITVVIPEPEEPETDVLAEALAN STFASQLYLFEIAGMLCSLVFKNPAQQSELLLSLVKPLMDDLSVSFQAFRTNGPSDLV PIVKVHHIIMALGSIAKGFPDYPSTVPENYILPPLEVFSQMAQAMMVCLEAMSVFKPI RDASRFAFARILATAGPTVTHFIPPLMSNLLVHFEPAELADFMNFIGLLIHKLQSNMF DVLDQLIGPLSTHINELLSQPITGTDDQRAHVETKRAYLALLNNIMASKLQGIFVSER NSARFESLIETMQRLAEDVLDPASEKVALMFLNRGVSVWGQPVDSSSATNGLEAGEGL PGFERFIYERLIPMAFRVPSLPNFNMKDGQITVVLHEIANLLQVVCKTRGAEAYTFFL NVFLPSQNWPSEMALDFTTKLKDLDGKNFRRYFTDLIRSSRTSS JR316_0005872 MAAAPRRGRPRIHGPEFSPESTWAELSRNIREIQNHKASTLSFE ENHRYAYNMVLYKQGDMLYRGVKRLVMENLESLAKEEIIPAFPTGTTDPIMQNTEEEV LLKALRKVWDDHKSNMVRLGQILKYMDRVHTKSAQVPTTNEMGLELFLSHIMKNPIKE HIVTAILNQIRHERDGNVINRSAVKECVDVFQSLQYENTPTATIYKRDLEPPLLEASR AFYQKEGQELATTCTTPEFLILVEARFEAEQSRTHHYLSSQTSHPLLQILKDTLLDPH IENVIAKESGLDSMIDNNKTSDLSRLFRLCQKIGKGLKCLESSLKKSIVRRGQEINAA STGDEFGEPDAEGKETEQSTKGKGKARPPKASGIEPAINWVQEVLNLKDKFDVVWKTC FESNRAIESAYNDAFGTFVNQNEKCSEFISLFIDDHLKRGLKGKSDVEVDVILDKTIT IFRFISEKDVFERYYKGHLAKRLLQGKSISDDAERGMLAKFKVEQGIQFTQKMEGMFN DMKISADMTKEYLEHVAKTTAPEIELNVTVMTSNAWPMTHSASKCLLPPKMSEACQSF QHFYLGRHQGRRLTWQFSLGNADVIARFKSKTHELNVSTFALVILLLFEDLGDGDTLG YSEIKQNTEIDEPELKRHLQSLACAKYKILKKHPPGRDINPNDTFSFNIDFSCPMKKI KIGTISSKVESNEERKETIDRVEDERKYQMEACIVRIMKGRKKMTHNDLVHEVTQQLT SKFMPEPLAIKKRIEGLIERDYLQRCDDRKSYEYLLCSFLSKALDISRSLISSPCKVR KELTFCLCLPNLGIPVKPAHMLLSTDIVSLVEN JR316_0005873 MFAKLAAALTVAISVLSASAVPVTNTGLVVRGGVHSFDNYGGIS SFNGFDNFYGSDNFDNSHFSESSISVIKEKEVVCHSESVEIIQQRLLVLQEMAKRIIS EQICEVETQTVVFEQFHASLHGFSRDLRRFSGRDVGYDSDIVSHFSNFYGSDGSLSTD DWGFSGSDLGHSYVVPSGNNWDNSRSFGSVGNAYKAARSAVTTF JR316_0005874 MMLSPVLLVVLGLAMLVAAADLYKILDVHKSASEKDIRAAYKRL SKKYHPDKNKDPGAEDRFVDIARAYEVLSDPTKRQIYDRHGEEGLKAHEGGQHQPNPF DVFSSFFGGHHQQQTRRGPSSLTEFEVSLADIYQGASIDFMIKKRILCDHCRGSGAAS DGDIHTCTGCNGAGVKLVKQQIFPGMFAQSQVTCNECNGRGKVIKKLCPHCQGHKVVD HTAHYTLEVTPGMPEGHEVVFEGEADESPDWEAGDVVLRVRSKKVKGSWRRKETSLYW KQTIGIDEALLGFEKNITHLDGHNVQIVRKGVTQPGFVQVVKGEGMPVFGNRDVKGDL FIEYNVILPGEITPEMRRKLTEAFQSPSSTHDEL JR316_0005875 MLVQDVPFRYYPLQAYGLSDPWKISHHSRSQIHFCEGKKQALFF AWIQETSYLKGIRCIPFTTSPFSVLKLIDTAAGRTLTLGPDSLYVKSSRIYDRHASPT SYASVKIDRFQAAAIARSHNRPLPRVFNNGMKDHDVSLIDNGNEVIDFGNPFKRLNGT FMTNNESNITIGLFEAYLWHILGSYENVKKLESLGSLASDEDSRIHEMTAKEMAKRKQ ALQDEKDRLLNFMKTRNISPTKENVRQRTSKLGVWSKYKTSPETRRTSGTATQEQPTT QPSQKKSGNP JR316_0005876 MLRRIPKIKHCASQIFSRKSSTNRGTPYDAIFTNKKLRAYPFEV SPEDALRQMGGWAGAFSSTTASGLMSSVLATVVPFIDYQRPVKMTAVYFPAWIINAEI EARLTHGSSEQNASATIRNTYVPGFDAPLLSAAPLWNSDGDNYREDLVPFTAEFLEQQ ALDVQCIPFSVSPFSLLDIPASANSSTSWEISRDLSVSPSSIRTTLFSAQPVLIPLYM GLYNVGEKTGQTMTFFTSGFANAQNVMTQNISGMNRVVEETYKGLSKLSLFKWLDLDA EVFRFTDYESVRQVHIGGVSISPAVDTANSISKWLQGQLGSSENISKLAAMRRFESDS DLRIREMSEEEDQALKRYLDLSSEISMIKRIIETMKVANNKAMVLTYGDGKMPHFQAA EAAAATLAAKLEELERQREEARPEWWMVWEVSNSTL JR316_0005877 MRLTFIHNESSSGSPNVALYTVMLLQLVTAGYAAVGNGMGGHII PRAPSDLITLPIRTLHARSPADNTTFGASLTSLSLASNRQSYYTIITVGGLNFRVDLD TGSADLWLASSACETITCKNIPLYPLNHRSPSFVELNANETVFKASYADTTFASGFVA RETIGLSNSNLTIANQVFGVVTDSNVSLTDQTTGIMGLGFPRLSSISTAANASSFFAT LAARGVLEYPLFALSLTRNASGTLTFGAIDSSVVTNATNIGWNKVAQFPPFGAESNVS SYLQWAIPITGIAVNGTQFTPTSTYANIGLTRSLALFDIGSPGIYGPYQDVSRIFTMI DGARLVDASNGQWALPCDTTVPMSFTFGQRNYSISPTDYIIGPASGNPNLCLSWPLAL PPSSDGIDWQFGSAFLRTVYSIFNFGINGKEPPLIGLYPLQNSTNITETQLASFLSAN SATVTTTLPNFILSTPTFTTPPYTLNTSVSVSVGGIVSSGLANTTYSALFAQKTALTN VSALPTISPSPTVRTLVVTDSAGDVSTTVSIASMAAVTLGLPSSSAASIHITYSAN JR316_0005878 MSYQDQPTSPGMSSTRRLTNRNTNRYSVTALFSMAAEQDVEVED DLARAQKRLRDLKGKISAQSKKNFVLERDVRYLDSRIALLIQNRMALDEQREVERTLE EVDPTEGHYPDDRKIQQYSNLFFLLQSEPRHIAALCRLVSLSEIDTLLQTVMFTLYGN QYESREEHLLLTMFQSVLSAQFETATEFGSLLRANTPVSRMMTTYTRRGPGQSYLKSV LAERINSLIEHKDLNLEINPVKVYEQMINQIEEETGSLPPNLPRGVAPEIAAENNDVQ AIIAPRLTMLMEIANSFLLTIIESMESVPYGIRWICKQIRSLTRRKYPDATDYAICSL IGGFFFLRFINPAIVTPQAYMLVEGVPAKHPRRTLTLIAKMLQNLANKPSYAKEAYMM TLNPFVENNKARINQFLNNLCEVGDFYDTLEMDQYMALSKKDLMIHITLNELYNTHSL ILQHVETLSPNDKQHLRILTDELGPAPPQVPRKENRTIELNLYSRWETPVHDIQSALM DSVSSSDMLYMETKSIFVQLIRSLPHAAEKRPYNLAAIAERAATTKDAVLVRKGIKVK EMLRELEEQKIVEAADGYRLMQEEVAAELVHLGNLREKVVLETKSLEAVYKTICDHNN YMRSQLEQYKAYLQNVRLTATKDKGSTTGVGVVTVGGKEKKPVKAVVLGPYRFTHAQL EKEGIIVESNVPDNRRPNIYFNITSPTPGTFIIALHYKGREKAILEMDLKIDDLLEKQ KDNKHLLDLEYVQLNVPKVLGLLKKVFAKR JR316_0005879 MSKELICYQNALLSVASLATGDVVYRADCSASVIRNEQRLDARR VRDSSNPDYTQYGYPNAAPRYPDGSHQHNGSPHYPVDSNAYPGAQHPYHGGSHGMAIP QGYPSGGYYPPPTTSHSSATGPLISHWRTFLTNQRVQVNIEGYGWLVGTVVAMLGAVQ AVSAMSFGTVVSVTGSDKLTQVTGAFVRVRYSQESKDRNGHVTATSSKG JR316_0005880 MANLLSIPFKKAYPIEIKQATRAYLSNQGGAHPDQFKEDIKSWQ DLRRDGVGGVVHENRVGDSLLYHAQLISILTKLPTDMQLAISYAPAFTPSSIPVTLNN LVFERACLLFNLAALYSQLGSAQDRSNNDGIKGAAANYQQAAGTLLYLHSVVLPKLTY SPSDEEIALDLSTDFVKGLEWLMLAQAQECSWQMAKLNQYKNSVIAKIASTAASLYRS ASSAFRNAPSEIRALLPSDWIPHIETKEHHFSSVAEYRESLVEYEARRYGNELGRLAK AHIEAKQAYDVGRRGKVASTVLQDAQSLLETVKKSEARAQRDNDLIYHQDVPSPSALP PIQEAKLVNSTIPKGLQNPSGILGTRHQLFSNLAGWGSREAISIYKDRKQNLIKDQIF DVAQELQDQIDEELRRLNLPSALEALERPIGLPPSLLRKAEEVRLEDGPAKIEASIED VQRLARQDLAILEEALDILDTEASEDEAARKEVPLNRLKSYEANVELIEKSKRYRSIL TQAAESDETVRQKWDEWEENICELTLDEEILEAAIPSSTISSAAQTTPQGQVTRTHAR ALRVKLEELDTLRQDRDQILHRAQTLADGDDIQGRIMQAAAGFERMAEVTPDMFEDIL DEELAKYDRFIVEMKEIRRKQDEMITEIKNLNTQFLDSRKDDPSVKEREIALQSLDMA YSKYREITRNLEEGFQFYNDLAAILIQFKEVCKAWCHHRNQEIHAFTRAMQSMSIKDD KPPEEVSSAKPKPTPTMKKAPVVQNTSTRKAPLGKSSLGLPAINSSEWDFEELPLPPG PGERSHDSSSSSSSNSSSNSDSSHKNYKKMDMPGVPPRFPEANREHAGAPPPYAGNPA PPPSGYRLPLTTSAAFPDHYQTGQPPFYDADGTSPIFIGSALFEKSVHPCKIGPHLQP FASVPYGGGEHGHHGRYDLLPFRPDQMEFVHTSHGRIPPGRRPVEGGYEEGGERLYHA VGMVNGVKVPGKAGEHLNGCRLAFGGVEYSLEDNYEIL JR316_0005881 MPPRTSILFLALAGAGIVSAQTFQRLGTCPTLGCVFPPDQTDFL AGQLFDIRLEVHAPVNGSEAYNGGIVNEKFSFCIQSGKGSCQDVTTFFKLRDPALEKW SFSYFEDLFARDAGEITVVNVASKAYRAPGTYKAKLTYNGGSTTVATWTVREPATQRK AKNVLLFIGDGMTQPMITAARLIAHKSINGKYQSLMQMDQMDNLGHQMTHSVDSFITD SANSATALYTGKKSSVNALNVYADSSKNSFDDPKIETIAELFRRRIGGALGIVSTAFI ADATPAALCAHTRDRGQYAAVVTEYLYGASAVNASYAWPTSCDAPDVIFGGGAEQFIA GKGSPNGTDFYKAFETKGYNVIYSNTELKSAPVKEKTLGIFSTGNMAKWIDRNVLTEN LNGLKNSPTGDGSDATDQPGLKDMTLKAIDILQARTKRNSGWFMMSEAASIDKMMHAL DYDRALGELLELDDTIRASIAHLKKIGEYENTLIVVTADHGHGFDVFGGADTKYIAAQ RDDRSKRGGVGTYGESGLSGYTVSEGSLPNNNTIVYGSQGPNFPVQWNPRYTYAAGFG ANPDHRESYVLNTEGPRVATVSSPEGIVVNPTDNVDGFNVGGTIGTTESQGVHSLQDV SVFANGPGSEAFRGVYSAVDIFFKMADALALGRANN JR316_0005882 MSFSGTPLGQGRRLDHSTFLGKPPSNGSTQPSYAYGAPALGSRS PPKPVSPSRDRSRALDSESGHEDNEPALQRFARLKQRESATGIISRPGGPKTTTESLK PDKWPYKDTSVNIANAFVQAASGADMSSSYTNPHNASWASSRGDKAPLPRSTSEDYEN HVHHANKRLAPPPDKLGRAPTTTRKPPSKAGSSLHVPDSEEEANTSGRAKSPLLEHGL SFAKQALGAAAFYVRQQSREPENLSNEHPPPNPGNGATNTNGNDSSYDYAAEEQDFQA SKRNAPKRGRISVDNKAYKPSLSDDEYSEYSDDDGKKRRKRKSKKGPSGGPLTTLPVV AADKRRRRKGQGSKPNAGGQDESESDSDENTQTESVLQSAQQRASLTRTSNPPVSRGS VSRLSQEPPEYNGDDSLISANQGLESIPEIDENALPTEPIRQSSQPRRGRSRTPAPHA IPRSFSVGGLLGTIVHIFIKLSLWIVSGVLSALNMLSFLFGQVFGTTFDIVLRRPIGW AWSVSHLGKYVIPGLVMVSAWYILQNDPLSSYLPSLSFPSRTPIAPPPSNVVDLANRI LRLDTLMLEISNELKGIKHKVDDSVKRQAEILGSLSITEDRLSSKVKNVADSDAKARE DVTRTIRGVQQHVEVLQSQLVIQQKLHEKEKEQRTSAASGSDEDARAQIRILEEKLAN VQGSIQEALELGKKAIAAVPVAVPAAQSGPAWWNKITHGSDSKSTLQIKSADGQDVTG LVSQMVDSAVSRMSKDTVAKADYALFSGGAVVIPRLTSPLMEIRPRGLSSKIFGLFSG GATYVSRPPTKALHPDMHNGQCWPFAGTHGRLGVALAFPIHIEEFTIDHIAKELAYEM LAAPRDMEVWGMVEGKENVERVSAWKADRAARAEAGEEESVHDSIPYPSELPKNPEFV RLANFTYDINAPSNVQTFSVDPEIKELGIDVGIVVLRVLNNWGRDEFTCLYRFRVHGE RIGPAIPKPLLENS JR316_0005883 MYPEREPFEVGKLKVSDIHTLYYEVSGKRDGSPVVFLHGGPGGG VHPSDRGFFNPEKYKIVLFDQRGAGKSTPSACLEENTTWDLVKDIEKLREFLKIEKWH VFGGSWGSTLSLAYAQSHPDRVKSLVLRGIFTLRKSELKFFYQEGASHLFPEAWDEYI APIPEAERKDMILAYHAQLNSTDEETRLKAAKAWARWEMWTSKLLVDPAHIAHADDDN FANAFARIENHYFVNEGFMREGQLLEPQEIDKMQVSLYLSSYQNLMDFRRHIPTIVVQ GRYDVVCPATTAYALKKVFPQLTLNIVPDAGHSSREPGIARLLVEATDSFADL JR316_0005884 MPNSSLTHKSEPSEPQSTLVHSRNLSTVSSVTLHTNTTLHSQPY EPLLRPISPGSGEYYDVVSHQSRQSDRVLSLPRWSQSNVISRSVSVIDEKKSFSDRKP QRRRAVMRWSKNTLQLVMAAWAIYSTVRYFIAFTVYQSITGQAVSLALGTATGLSFAF ASCASILWTGQTYLLIHGFSVQALMTLRRTLHFLSSCCLFGPSVVNLVLVFLWKKHID LELQVRYRCKLDVDLVWSVRESLCNHRTPIIVAFHLIASSAQFTPSRKPTKPRHLKGK KSHIRLESGPSPFLPPSTHISPRDHILQHQSSEVTLSGKSSPRNRLHPSRSHSSTFSE QGDAPSYHKEFIPMNNEQQEGDQEMTGFADRFRLLISQITRETEEALAFARSDDATSS QISAESPPPPHPHDGPDEDIEYAHDHHDYHYDEDDDFYSSSPEHNVSHSDYHQAYPAE EHIRMLNGYIRRMPTIESMGSREWRSSVGASSQNTNRDRTGTSRPPTRGARLSWAGTE LSNSSEPRSQSNSLAAQAELLAGMFSKAHTTEIGELVRRGETIRMVDNHSANEGSSIG DAVAEASVGSGSLGNASSSNSYHTASTVSSLSSALADATDSPLPTPPRISGELPPISS TDSSKKH JR316_0005885 MSTAEKVFLPIPPPVTPYKDDPRAVLSKSEQAMYDEVLAHFTRA DPVYVIPNIEKGELTEQEKFWLSRECLLRYLRASKWKVSGAIQRLESTLAWRREFGMY DTLNANLVEPEAVTGKEVLYGYDTTGKPACYMIPSRQNTTEGTRQIQYAVWMLERCVD LMGPGVETLALLINFGDRGKNPSVSTALSVLHILQNHYPERLGLALIINVPFLVNAFF KMVMPLVDPITRQKVKFNPEIFKDGFFTKDNVMREWSGDCNFEYQHEKYWPNLVSICE ERVKIWFENWKALGAKIGISEWAYKQTGTPNLEEEKKGEASVTVSPAADAAESIATPG ATTTTANAAPETTGKVTEEPSAETPVKKSAGEAPAPVASHATSTGAAVVAGGAISGAD ANAGDSSAAADGGAAE JR316_0005886 MDSTTYVVHLSPSILLYIVSSVLIMFVWYYNRSAFSVLPIMGYA LLAIVLAYLSIRGYRYLKSRAQTSVSLPKPVSGSSHKSTYALGRSTGWNWRRWILPRV PSWPKVPEVVVGKAKAVAHSKNLSVELLPTTGLPPPPTASPTPIAAVTMPTPQLVDLT TPATPPLTTGTTFNSYPTSPRTPSPPYMPHISTSKLIYPTPPHASYPRPPSPHGIFAT TIQKPHRRSRSLGGVPVRRLSGGTSGLRNAIELKELSGDHKRGTSREHLLIDFNSSSS SDDDRESIMKISPAASDIGILPPGKGLMPLVDPRMVGRHVPLVDLGDDNHFSSQVEHE DDSWRWFNPSGVTTPLADRIGTSILRPKSSLATLRVEKLVDVDSESDSEPVLGSNPIG IHRVEVQTTQIEKLIDIHPPKEEVKVKEATLVDVDDYTSVADGTQNQGQLVDVESLGN TLEPIHSSPPPFPIQTSILMKATLPLPQETLTLAESPFANPLARAQSPTVENQDQISV VSKNPGLLVEHANEEHELYHSESKTSSPLSEFNYISESTSKGAEAEDLESGILSHDGW EWDLPGHSDPWASHIAETPSPSSEVFVYTGLDAVEREGHEPDVLTLDTSLPSAGSDLE SEGFKYDAEVLEKSPGASLLPTELTEEDEEEMPSLTLSDDLENPTPDHVDFNLSEHIL LDEEQDLTPKPTPSSLELALPAMPAEGVMLSEDYPDPELLPLPESPLLLTIDIPDPET PSLAFEKDEPVERAMPCQTPTPPASPPPISPLRFVNSSNGSNVFHLSPHATTQSMSHL QLPSSPRLSVSTGRSPRTSSSPSLHDIEEKENATPTALSPKNPKSIVAQDVHVTLASK GGNTQESPVLHRRILGDHIADEVESKQSEPATDDVVVHNEMTPESYSLPETDIAKAVQ HVLAVKLSSNFDETPLPGSFPDSKPFVEVSTPISKPDTSATSTTIGARLAMTSNSLTP RPSARALVRSPVDIALAMQLRPGLGAGADPAWMVRFLMAMFGWLAVVVSGQVEY JR316_0005887 MLSLLLLGVFYFGIAWATWNFIKSRTYRSVLKNIPGPPAGSWIS GSLKQMMNPKAWDFHQSLADTYGGLSRTKGVFGSDELYVYDPKAMHHILVKDQYIYEE TDAFIASNKMIFGAGIFTTLGDDHRRQRKMLNPVFSIAHMREMVPIFYDVAHKVHYTF FQVRNILKYEIFQVRRVFITKTQGGPQEIDVLTWMTRLALELIGQSGLGYTFDELTEN AVQHPYGIASKSLVPAQGQEAMLFTTLMPTLSKIGTPAFRRFIVDHLPFKVVKEVRDI IDVLYETAVEIFDSKKKALEAGDEALAAQVGRGKDIISILMKANMAASGEDKLTDKDV LGQIMSLSFAATDTTSSALSRTFHLLALHKDVQDRLREEIRNARKECGGEDIGYDMLV SLPYLDAICRETLRLYPPVSFIMRTARKDIVLPLATPIKGLNGQQISEVPVPAGTNVF VSILASNRNPDLWGPDSYEWKPERWLSPLPEPLITAHMPGIYSHLMTFIGGGRACIGF KFSQLEMKVVLALMVENLEFSLAKQEIIWQMTGIVTPNTDPDSTTPTMPMIISQAK JR316_0005888 MILYTSLAVSLAITWALWRFVRPLLFPTMLDNVPGPKSDSWITG SLLQIIGNNAWGFHKEIGQTYGGIVRIKGILGRNDLYVSDAKALHHILIKDQYVYEET DDFIESNKIIFGKGIFTSLGEEHRRQRKMLNPVFSIAHMKEMVPIFYGVARKVHQTLL GKTYNGPQEVDIADWMTRLALELIGQSGLGYSFDALTEDAVRHPPSQGPKIALMQMSI LPYLTRIGTPRFRRFVVDCLPFKEIQDLKGVLDVLHKTSEEILESKRKAIAEGDEAVA AQIGRGKDIISILLKANIHASEAERLSEEELLGQVTSLTFAATDTTSGALSRTFDLLA HHKDVQAKLREEIVTARKENGGEDLGYEQLVSLPYLDAICRETLRLYAPISQLRRVAR SDIILPLGSPIKGLDGKDISEIPIPSGTTIHMSILNSNRNSELWGDDADQWKPERWLN ALPNALVNARIPGVYSHLLTFLGGGRSCIGFKFSQLEMKVVLALLLENLEFSPSDKNV FWSMTGISTPNTNPDSNTPTLPLLIKRIDQD JR316_0005889 MSFDPNSAVYTTDEYGRPFIILREQAKKTRTHGVEAIKSHILAA RTVANIIRTSLGPRGLDKILISPDGEITVTNDGATILGQMEVEHQIAKLLVQLSKSQD DEIGDGTTGVVVLAGALLEQSEALLDRGIHPIRIADGFDRACAVAVEQLDRISERVDF SLADTENLLKTAKTSLGSKIVSKEHTQFAQIAVDAVLAVADLERRDVPFDLIKVDGKV GGSLADTTLIRGVLIDKDMSHPQMPHYVKDARVAILTCPFEPPRPKTKHKLDITSVDE YKKLREYEKEKFADMIKRVKDTGANLVICQWGFDDEANHLLMQNELPAVRWVGGPEIE LIAIATQGRIVPRFEDLTADKLGKAGIVRELSFGTTRDKMLVIEECANTRAVTVFVRG SNKMIIDEAKRALHDAICAVRNLVVDNRVVYGGGSAEINCAIAVSKAADEIASIEQYA MRAFASALDSIPLALAENSGLSPIETLTEVRSRQVNEKNSRLGIDCNDRGENDMKKQF VYDPLISKRQQYLLATQLVRAVLKIDDVITSGEAED JR316_0005890 MEHEIDGWIEQLSQCKQLSEADVKKLCDKTREILMEESNVQPVR CPVTVCGDIHGQFHDLSELFRIGGNSPDTNYLFMGDYVDRGYYSVETVTLLVAMKLRY RDRVTILRGNHESRQITQVYGFYDECLRKYGNASVWRYFTDLFDFLPLTALIDNQIFC LHGGLSPSIDTLDHVRSIDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQD ISEAFNHNNGLTLVARAHQLVMEGYSWGQDRNVVTIFSAPNYCYRCGNQAAIMEIDEK LSYSFLQFDPAPRAGEPLVSRRVPDYFL JR316_0005891 MSLSSSCKEFSISKSGVLSAKCKIRDTNNFSSSSIKLDDYVGFI DGKLTWGSHGFSAAAESLSVNAEGILTARSKGGSDKATESHLDLNRHIKNVDGSLELI TRPLSLSSPKRPEPIKLVAGQDVAKAMLSANSASTATTASSSNMFSAASTMSSATTVS TSSTMTSKSSYFASSSFRRESHHLLIEETCTKLELKGTFLHAQCRRLDGAVVHSKIDL DTIIGFLDGHLQWDISGFSTHCFEYSLDGFFLVAKYRIHKGDEYRIARLDLRTRLRNS NGVLIIIELNKKLSLMLSEVPWMKFKVIAEPDLSVFAKHPVMQETLVSIAETTVEHVT IEMHKMLTIAMETAITAITASAMKHVSAQMESLVTGAVGHASASASITAAENLHIYGG GYYGRGSAYIRGAAGVIHDIHQHREIPVGNGASTGHAHSPLNGHMNGHSHTH JR316_0005892 MDLTGIRRLRSSVVLSDANMVGSETRIRRDSSLPSSMIFSETCD KVTITKGVLSADCKKADKKSTIHSSISLNDYIGVTDGKLVWGGRGFSHHAEDVHVHNG VLSAKFKVNGKTVESKLDLNIYLQNHDGLLAVIPNPHPVDAHANGKHLVAPELLRGIS EASVSSIASAASASSMFSAASTTSTSTSVSTSFKETKSSSSSSYAAFSSTKFRSESQH LLIEDTCTKLELKGTFLHAQCRRLDGTLVHSQIDLDTIIGFFEGHLQWDISGFSTHCF EYSLDGFFLVAKYKPHAGDEYRITRLDLRTRLRNANGVLIIIELNKKLSVMLSEVPWM KFKVIAEPDLSVFAKHPVMQETLISIAESTVEHVTIEMHKMLTIAMESAISVITASAM KHVSAQMETLVSGAVGHASASASITAAESLHLYGRGRYSAAGGYGYSATSNGHSAHYE SHGSYHADGHAHHSYAEIAKHT JR316_0005893 MSIRAAAGASARFNSTRFVLEKEKHRTLNRDLNDKTSSPHEYAP GWNETLASASEAAVKADRSDGGPQEMQSRTVEHLKARRRETDGESSTTAYYSRDTVTG PLSGATGKEEVIVHCGKVVLDKLGADVVSKGLKMHDFMTPSEEDVKADRGEV JR316_0005894 MYEQRRSSVALPGLPPGAQPPVIGQTSSAAHAFPASTAYNFEVT PPMSGQEPLPNPWDAPFTSNRPPQMSRPTRPRVVSMQDTYPTPLASHGAGPRIDTGQR IAFPFPDPNYYRSTSYRNEMQSGERPTHHHSNSDLASPSSASTLQPPQPLHHRNTSVT SFASSFNGYSEDGHFGSDYHEADYQEVDNDSVRALTDQQLASEEGLRRFQAGELSEKD QEWHRLVPEEARDALGKQEVQRQSVIFEVIKSERDYVADLEAVEAVYVEGLRMAKPPI ISSSRLGIFINEVFQNLRIILSYHQRILAALFARQREQHPLIQSVADIILDTALGDDF RSNYETYIKQYPLAESRHRKQMKSNRAYETFIQSVSNDPRIRKRDLITFLSRPVTKLP RLNLLLEQLLKLTDAEYDHPDLQTLPIILGILKDCIKSTQPGIEAAESKVKFWGLCES LVFQKGEIIDMDLYDGSRTLVYLGPVMRRSRTETSFSDKWVELTAALLDNYFLLTREE KRANGTVRRLLMSRPLPLSFLRLGAFNTPPEPRREKAEDGGLLDRYRNVPMYPFTIYH AASRSTRRYTLYTASDALRKKWYNSFVDTIGVHKVRQEANMWFNPQTLTDGYFRSVGR DFTPVNGMNITGRIQCAVPFFNGSRRFLAVGCGPGVYVAPVKTEKYRLAVSVRTPNTL AAFITWGDKIFNRLVVQVDSSLTSYSLDILARFADGQTDSTAVDASKERIAGNDSHIV FFRHVHLGGRALLIYCSKRRLASSMTLQVLEALDVKDLSIVPRRSIASNMHCFRPYGE PGYIPKDAYDIVALSKTVGVCTNDGIVTLDPTNIVQSAVNIIPDLKDGLTNEPMALLK ARLEGKRPLGFVKVDQNELLVIYDGNSKHYSSLGKCAHLSPFLLEMGCYINKHGVPVR RSGFIKWEIKAVSYAYRNGHILLVSPEFIEIRNAGTGRIVQVIEGQDIRLLYSGPYST KDDPVLVVMRGKKDDKEGVSERIAELVQTEEISVMTPAVTTPSASVWDEWDM JR316_0005895 MPSTQPYIYSENGDSTPTPHALLPFLQSQSQNTLTRLYQRPSSC LSIFRLLAPLERQIIMNLLWLESAIAASTMAAWVIREGKKLYEDALATLGRLNIIPQS STKLALNGTFKSGLRQAMTGGGSSGSFGVPADKDDKRQGIDIEALDGYALERWETILH YMVSSGTGQSPTRPSQGVLFLLQRSGLMALSHSSLLQITSAGFQFLLHSPHDQLWDLL LQYLHLAEERQMDLVEVLGFIFMLSTMELGREYSTENLSGTQKAMLEDLRDYGLIWQR KPSSRRFSPTRLSTTLTSSAPPLPTARGTSSGPQEGFIILETNYRVYAYTDNPLQTAV LNLFVSLKYRFPNLVVGSITRDSVKKALMNGISADQIISYLTAHAHPQMRKNNPLLPV TVQDQIRLWELERNRLKSREGYLYTAFASQADYEFVLNYAKELDVVVWENSVKRCFFG TLEGHSNIKGFIERRTLAAGS JR316_0005896 MASGKARAEDIDLEDENNQEELFITEDDVLEEIEDDGDHPMGDD DDDDEAADTVGDLAEGSSGHVEDISVQHFSTHNASVFAVACHPTQPIAASGGEDDLGY IWDITDGEILVKLTGHTDSVTSTAWSTDGEMIATGGMDGKIRIWRRVGKENYNTWEFL TELQGPDEVMFLRWHPKGSVLLAGSNDSTLWLWQLPSGNTMQVFAGHMGPVNCGEFTP DGKRIISADQEGFLIFWDPRSPTPLFKLGPDDARFSLDGITSVAVNPSSTLAVVGGAA GGVRVVSLSKGEIVSTLGGHTEGESIEAIVFIDLTGAGGSGSGVVVTGATDGKACIWD LSTMRLRSTLQHEDAITTLLAHPAPKSYLLVSGSADKTLRTWDARTGKLLRTHTGHRA PVLGASLGLDGSVVVSAGDDGHCMVFTTEAEETE JR316_0005897 MLFSFSLVVTALVASVSASNVVELVPDTFDGVIGKGTPGLVEFG HCKNLAPVYEQLADAFVHAKDKVIIAKVDADGEGKPLGKKYEVTGYPTLLWFDGEGKH EKYTEGRDLDSLAKFITKKVGVKSKIPAPPPSAVVSLDLHNFDEVVMDPSKNVIVTFT APWCGHCKNLKPHYEKVATTFEPESDCVIANINADDQKNRQISEKYEVTGFPTILFFS KSDKEPEDYNGGRTEADIVSFLNEKCGTKRAVGGGLNDEAGLVPEFDSLAHKFFTAQS SARDAIYKEALSLAATTGAASKHYLRVMEKVANGSAEYIDKETKRLSGILAKRNLAPT KLDEIKVKINILKSFVEDRAKDTIAREESEL JR316_0005898 MDDLEEEEEEEEKEQRRAARPAPAPSLPEEPVQQKLIKLKAYRF RPSKNAWKHVVTNVHRDDEHAVDEKKGPCSQLRIISWNIDFDSPHKEERLTAALRHIE EDVLQCKEDEPPEPCCILLQEVNQSVMPSLLRDEWVRRWFVVTPYTKDKWPEDAGYGN VTLISRSLNLVESHILHYGYSVMSRTALCVKIKLNVPGTQEKRVISIVNTHLESLPQG TPFRPKQLEMCARFLRLNGVYGGVIAGDMNSIAPGDAEIGKRLGLKDSWRKGDDSDAG KTWGFQGQNQGNYPTARLDKVFYLPGMGYRVDQPKCIGVGLKILESSDEALWVSDHYG LDTTLRMLKPRSNSS JR316_0005899 MKSAFAAVATALCLSAGALAQTSPPLVNTPVPNPPVCQPLLITW SGGTPPYFLRSVGFPSLVVPLLNILPGGQPSAPALLDFGQVDGTSLTWRVNFTVGTSL GLVLRDNTGLVSQSAPFSVAAGSDLSCLSGSGSSSTGSSSTGSGPGSSSSSGSSSTAA VTSPTSPASTPVTPTSRSSSASSHSSGTGTSSSATNAPSSAASIESVQMGLVGALGAA LVALLA JR316_0005900 MAPGGLGAIVDYIHQGPWYKTRGILVLNIFLLVPLLTSVINGLD SSLVNGLQISPDWQDYFGNPRGKTLGLLNSAQFLGNLVGLPFSPYVSDTYGRRVALFL GSMIMCAGVGLQAAAWSIAAFIGARFIIGFGLSFCTNAAPLLLIELAYPTHRGRITAM FNSCWYLGSIISAWVCFGAFDHANGSQWSWRVPTLAQALGPLIQIFSIWAMPESPRWL VSKGMESKAAAVLARYHAPTNDVYDQLVVFEMAQIRHAIRIEEEISKSTSYWSLFSSP GNRKRMRIILGIAVFSQWSGNGLVSYYINLILEGVGIMKTETKSIVNGCLQVFNFGAA MGAAMLVDYAGRRPLFLISTSGMLITFCIWTVTNALYNTVGDVNAAKATIPLIFVFFF FYDLAYTPMLVAYTLEILPFKVRAKGFAIMNLAMMAAIAFNQFVNPWALSAISWWYYV VYCGWLVFELVFIFFFAVETKGRTLEETAALFDGEERPNDLAVMGDAAANPTGNRRHS IIVIHPQGQDSYRERQTKVEEQYEMNRRYLDSDTSSISSKDVLRKASG JR316_0005901 MAPTGNEGHWSVVDFLHRGYWWQNRGILLLNICLLTPLMTSVAN GLDSSLVNGLQILPEWQSSFGFPKGRTLGVINSAQFLGNLTGLPFTPYLSDILGRRAA LFIGSLIMCLGVGLQAAAWSVPMLIGARFTIGLGLSFCQNASPLLLIELAYPTHRGKL TSMFNSCWYIGSIISAWVCYGAYRHAGESEWSWRVPTLVQAICPVIQLVSIWFVPESP RWLVSKGLESKASKVLAKYHAHGSDERDPLVTFEMAQIRHAIRMEEDINKSTSYLSLF STPGNRKRMRIILAIAVFSQWSGNGLVSYYIDIVLKGVGITDTETKSIINGSLQVFNF VIAMGAASLIDFAGRRPLFIISNSGMLITFCAWTITTALYNTMDNAAAAKATIPLIFL FYFFYDLAYTPMLVAYTLEILPFRVRAKGFAIMNLTIMATVAFNQFINPWALEAISWW YYIVYCGFLVFELGFILVFIVETKGRTLEETASLFDGDEQPADLVSMGGVAADMSMRL SQGVIVTQTEIQYRERAPELGRSEDKLYEHYEMKKRYRDSDMTTSSSDLHNSRAF JR316_0005902 MFAKIKSWLGLAPSSISSPLTYMRLGPEERLKSANPLMIQFFTW DCLHESLSWWKHFEAEIPHLAELGVTQVWLPPPNKAAEPKGRGYDAYDLWDLGEFEQK GTIKTRWGTREELLQACKVAKEHGIDILIDAVLNHKLGGDHVETFNAVPSQPENRLKD AGPVREIDGWTAFNYPGRGNKYSTMKWNREHFTGVDWDHKTKTKGIYRIVAPGHKGWS RNVDSELGNYDFLLGVDIDHRHPAVREDLENWGEWILKTTGASGFRLDAIKHVDRKFM LHWIQETRRRSGLKDMFVVSEYWSGNLKMIMPYIKAFKGETTFFDVPLHMNFNQASRE RTRYDLRRILKDTVVQVEGQSLESWVGDNFKTQAYALILLRGYGLPCLFYGDLYPNKE GYNQNTSRNIYLLVEMRRKFAYGPTEDYLVEKNCIGFVRKGDENHHGCAVILSNKEDG ADSFVHELRMNVGLRYAGKMFKSQMTQHGRVEIDAQGWGTFSCFANNVQVWSPLEETP JR316_0005903 MSLRHAGPHFSKAPPSLEAANQAQIDDLVQRNRTLEHTIKKLTD QVSHEQARTRDAVLEIQAKWDANQKLWKEGCEAVLSSYRIVQKKLQVEVETERAAVIR EMAITREEKLLRVQRDVKITRFQMREQELERRVEEMEEERAEFAEEMQRELQAEKEKS AAYLAKLKETRESNKLQLAEVRNALRIAKQERDAKDAEVVDLTAKNAVLENEIKSLET KFQRTTLNMEALQNKLSDADRLADELKREKASLSNQLERWQSLENKEGEAAEQQRKQI VALELELREIKEAYEHDTEKAARDLEKAHNRLQRTKDALAELESQSNNHEEENESLKK QMAKLQKANEKLKADIEEERSRIRPSPIQKSRKPTSPPPMEVDDDEIEEIEEEEPVAA SKKASSKATSSKAREDQDKPSKNQRQIARKSTGGMPPRRKKPPTTTTTTTPDDDPDIV EISEHEMEQPAPTTKRKGKAKAVEREHAEDEDAAAATAAAVPRNSKGKRKATEQNDAD IPQIVEKPKGSKKSSRAPSEAREAPAAKPNKARRAGSKQPVSRADTDREEKDGEDDDG DDEKVPKKKKRKLIPLAANVGPFAFNTLNLAQVDDALGIPSVLSPLKQDERVPQRSMS SSLVNSIFTKNALSRK JR316_0005904 MAPSKPAKNISLRVPRHNAQRINVKLSAEVQNQARMQRVLVDVV QPSSRLSQAIESASDWNSLLMTARAERGPQWDVGTQQFLVDKYSDLYYDPTPLLETVK KLTEDEHGPESGLQSQQQHQHQQHQHQQSHRDHREHQLPSHHPPHAPSSFQPSLPPRH QTPMRDRDFGMAQHMARDQSPHRHPGGGGGGGGGGGPGGPAQGNYPYPPGMNMRGPSS GGFPGGGGPSGGSPFNPAANRYMAADPTTPIRGGSGMGISSPIGGDGMRPNMGGGGMG GMGGGMGPAGMGGGMGGGMGPSGMGGMGGGMGGVGMGGGGMGAGGMGGGGMGSGGMGG GGMGSGGMGGGGMAGGGMGNMGGGMGNISGGGMGNMGVAGMGNMGGAGGMGNIGGGGG GMGNISGGGMGPMAGGMGNMSGGGMGNIGPMGGGGMMNMGGGMPNMADRTMAGMGANT MGGGGGMGGMGGMGMGGMDGAGMGNMGGGMGGGMGGSGGMNAGMGTGVGPMGVGMGVG MNSGMGGINSGMGGMGGGMGGMNAGMGGMSAGMGGMNPGMGGGGMNAGVGGMGMGVGG MGMGGMGGGMGMDHANPMAAGNMGMGMNMSPRGMNRGMPDGYN JR316_0005905 MASLRLSSTSIPRFGQSLTRAIFSPQWVSTRCYSAKKPAAASAN PLSDGKAKPKSTTPLRRSASESLPIRSNPTPTRGTIQPVTTLATAERYILSRLRSHPD LPARSQALHESWWIPKWGEAGKEGEIFVFSNGNIVCWGLGETEAKTFVSDVIDRARGM EVSPLGEPETEELEFVVDPIEKTRLQGDLIILGQAEPLNEPELLPKVLPPMAFPEETL LARYAFSQALSRSTALSALEVSLDQYLSSMALLPHTLAQTGKPGMGRTQLIKKLGELM KFRQLLNLNRENFTEVPDFYWSEPELEAHFKSLSDALEVTSRTASFNDKITYAAEAQS MLRQLLTESSTHSMELIIIALIAVEVVIALIRDGPELWHMLVDPETEEKAKPAHV JR316_0005906 MFRKMKSRRERSDDNNNPYFQQPTFKPLRIVASGTLFQTHTLHV PCHPAPSSVVRAHSVQKTRGGSANMLLSLLAQFPGVEAVLVAPLGGNEDGRMVLKDLE NEGVVTKYCKIWRDAGVPSAWVLHSAENNTRTVVNHNPLPDITHEDFISLLGPLLAPE NYPQTTPNISPTIPNYSSASLATTNPTPRPSQSGPRVPVNPVFHNPNSPAPFDWLHFE GRSVKTTLNNITGLDGLARERKWRSHCVFSIDVGRKGKQGVEALIPHADVLFFNKHYA QANSPHYETTPRAFLLSLASSAPPHALLIAHWGQEGGAVLSLPTKEYFQSSGWVEDKP PPVQIKNTDVDHLRTPFPQRNSELRSVRSGSDFWADGRSRTPSSRGYTRESNYFSDTD ISEPHMYHQHQIRSHTRQGQGTNESSQFTTTHEEDDNDSQGTERPGRDHDADDGVVDE VGAQDAFISGMIYALSRRICPGFPYTPAWSGEDDFSSAGEDGRGRWKLDECLRFATEL SGRKARRRGWEGLAQEMIQAGWFETV JR316_0005907 MGRTRPKKKGLSKPGDAPAKSSPPSTESLLEKAQALIVQCDYEL AVRFIRRALEQDPKNAEAREMLGVSLLELGDLDAAKETFLSLIPPSPDAPSPSPPSAH LYLAQLSEDDPRGALKHYQAAIHILHAQLKGKERADDEASKKDESELRSNIVRALVGQ VEIWMDPSYDLCDEPEAEQNCEDLLSAALKIDPGNPEALQTLASVRMSQERPDEAKAF LEQAWATMQDIDLDDPKLPPIPTRLALVKLFLELSLYTPALLVLHGIMSSDDQDVEAW YLEGWCFYLMAEQAQENGGKLEDLTWQELGRDSRDCLETCQVLHRNQEHPDKPLLEHV QELIAKLDELGITASPVDEGEEGEDGEGEWESDDGSVDGDGDVEMQ JR316_0005908 MTTLSSTTFELDSPPVDESVNDPLYNERFDAFLQKSLADIKAFA DRELRSYDETRRRVAEWHAKHLFPQSPSSLASGKSPPQVRSILCDASHILESLSAVSN TQSFLLAINPSDPSDQGFLGGSVVGREFWRGLRGGGVQGAKAFKVYCANLISQAEASQ NPSNAGKSERNVSTPPAKSIKSELYESVRNALRLASGVRNAEMKWTNPERLDVYGVRL EGWPEGVPAQNPSTLRVNQNKMLLEAMQNGSMKFVKLVPEPNESHDASTSGQTEAANE DFSWAYDADALTTSTSPSPSPGPNPASSTFSKASTVTPVPTTMIDNNDPWALTPSISV EPDEANSSSSYDVNYAWEDSFNEEAVNTLGEDWDDGVILERPRKRQRSEEPPYSGFTE JR316_0005909 MFSSIFSTAPPVDPTAPNFHSVSSSVPENELFGELEPKDTSLLC AGGFVTETQTFYVITEDGTVWYPTIQFTCKIANPSKGEKIWKSVNVNNFVTPPPGGYD KRSSKADEYSVLYKATADPEYPEGYTIRANLGNDLQISLEVTRPASIPGYKVGKGPEG GYSYFGVDPKKADGYVIHRFWPRFKATGHIIRSGQAESIQGSGMFVHAIQGMRPNLVA SAWNFAHFQSEELGGVSAIQMEFTTTETYGKKGSGSGGVVVNVGSLVIGNKLATVTAE TKWPGETSSGPVISRAHHLKPEHDPDTSYQKPSEIEYQWKAPSVVPDAPGIVEAKLHV DLGTNEKHQGLIEKVDILAEIPYVIKVAVNYVAGTKPYIYQWLNKTKLSITGPEALAP GLSSGVEVAGTLYNEATFIS JR316_0005910 MFSRIIRIVPKPILRRTDGRSLSRRQQSSLALVSTAASKPNLSQ ISRIHYHPQLSTSTVTLLHPVLHRSFHSTPPNNGGPLIPILASFLKARAPRSAHLCTV TKASAGFELARVASRIALTFIPFLWFNNVRSHKMIKYAALHGFPLSEEKQMKHMKKIR RRTMMLQFLLFVPFVLFWATITASLEKTPLTGRWRMILLSPEEEDEIAAKLAGPGWYN AVGDILAEQGSPKAIPPSDWRYQWVNDTLRRLESSIPILSREPELCPKWMEGGEDSKP LPPPAKFPLRPRPRASEYIHWACMKMCNKDDVAAFPPMGPIPGVPYSLLVVENPEQSN AFSYGFGPDGGSGIVVYTGFLDEIFARHPQECQSTPPPAPKSSWSSVFRSLFSSSPPP PPHPIPTSEQTAELAILLAHEMAHLLLSHHLESLSSVNIIIPGTLSIMSDILRVLIFP ITMLFGPFVNDAVAQIGKVGSGELLKISEYCSSTHQEIEADVVSARLLAHAGFDARDA IKFWEHRSGEEAECAKPGKHELPDSTSTSSRVARQIMGDHSTHPVNELRVNSLKEELA RWESERQKAVTRLSSSSPP JR316_0005911 MRKDDVEVEAQGAGPNETTSLLSDVPITTLDEFQAIGAPVERSS VLGREVTLLSAIMLNIGQITGSGIFAVPGVILNSVGSVGVLLLYWILTPIFAYAGISL YSEFASMFPNRSGAEVVYLEQAYPRPRFLVSTAFAATAILTSFSASNSIVFAQYTLSA FNVPITAQNQTVTALAMIFVTIAFVAFSTKWSLRVVNFLTVFKVLSLVFIVATGLAVL LGLTRIEDPYANFHNLFEGTSWNPNSLATAFVKSNHAFVGYNNAFNVLGEVRSKDPVK TVRRAGIISLLSVSVLFLFINIAYVAAVPQEDIRNSGQLIAVLFFQRVFGTTGARTIG HTRVIREVARQGLLPYPGVFTSIRPFGTPLGPIALKGLLTVLVIVAIPARDAFNFVLD LASYPSLVFNCALALGLWALRRRRALANIKPPAFQAKNSIVALYLTSCVLLLLMPWVP PEPGHADVSFWYATYCVAGIGLLGCCGLYYWVWIIFLPRYYGYEIIEEVQYHADGAKN TRLTEHSH JR316_0005912 MGAMVSTLRMALQTFKSLLNDFEVVSSRISESPGIPRPNSSIPY WTIPASPIANHGKDSDLLQEVDVVIIGSGITGTSIAKALLEHKDSQSGDTTGRLNVLM LEARDVCSGATGRNGGHASPIIYNEYFGLKKHHGAAVALQIIQFRLAHITTLLDVAKE AGLLNESQARTVDNYDAFIQSSFFANAKGELERFMKEVPPEIAAQFGSTDDRETIEKL QLATSIIGLISKPGASIHPYRLVTGILSKLLDRFPNFRLYSHTPCTEISTENGKYVVT TPKGKIQARHIIHATNAWSSHLLPGLRRKIVPIKAHMSTQRPGKGLHVTDNVDNINPL GALDWTGQRAFVFYPGHVDYAYDYLTQFLPAPSSQTAILSSDDNGNPHSAAILPTAGE FMFGGGLTIGGSSEAALMAAVGVADDEHTDFAVEAYLSGALPMYFGQHWGEEADGKVN DPSGSEWQKGRVKALWTGIVAISADANPWVGRVPLSVSGRPEPQRPESTRLTSNAESF PLSHSGEWISAGYSGEGMVHAWLCGRALAHMILGVPDQASNPTLPAPFFITDKRVKRA KIEDFMDNL JR316_0005913 MVYTRGAASDYDDWETEYGNKGWGSKCGRVFLTGTLVNSNSIKA ETHQPNTLNSTHGSSGPLKVSFAPDLVNVGENFLEVAAAFDQERTITDDTNTFTSCDQ YGRVQRYIDLKTGRRSDTAHHYIYNQEGNKNLAILDRHKVTRVVLEDGRAVGVEYFNE SKGTESPLLIARASRLVVVSAGAFGSPAILERSGIGGEAVLNKAGIDLVVDLPGVGEN YMDHNVIFLPFVATEDADTMDQIFRGSTEEIKDYETQWLKDGSGLLSHNGLDAVVRMR PSKEELAEISPEFDYRWQSYFAKADHHDKPVILMAPLAAYCGVNPEVPRGKYYSIGYY LGYPSSVGSVHVTSKDAKTPLDFHPGFLDDPSDLVSLRWGYKKARELGRRLKYYAGDL VVGHPIFKENSNARTIQPASPVNVSAPIIVYSKEDDAAIDEYHRRNVETAWHSFVPRY VLRSSFTLVT JR316_0005914 MLLTSKFALLACSVLAASVDQAFARERWLERDGRAVYLYPRRFG QEQPAVLEKIRTACPGEVCGVLAGQAVTPLLAAQPECSQQDHADAIIDASKQFDAATQ ELMIAAAVEYRQVEKNTPPDFTTNPPTNRNSVFCQKAPKNPQLNGLVQAQDPANDPNI FFDPAKKASVRKGTQANTSPFNGAVAQPSPETPVETPQETEPILIETPSATDCAASTV TVTVTAAATATASDPAVTVIVGDDAATAAPPAATTPATAPAGADFGSCTVPEIEFAAG FDNRKETSFQPTDKQSFNHGSAQNIAIITRFICDTLTNSCKANQAAKDLCAQAQTAAL AAASQTGAQADAFNAAFGITTTFSTVAVIDNQGRVVSAGAAPVAPVASVVSQTPPAST PAVSSGIGSFGKCSVPEIEFAAGFDNRKETSFQPVDKASFNHGSAQNIDIITQFICDT LTNSCAADATAKATCKTAQSAASAATKGSGAQADAFNQVFGITTNFASVQQIDNQGRV VGAVQAPAAPATTTAAAPTTAAAPVATATQASNVSGNLQTFQGALGNVVAPVVTAAGN GQFQVAGNASFNNVRNAVVRSCDVQNNQCANAANASGNKNGFTVSACNAQQAQCIAAA GN JR316_0005915 MFKTAVRAARPAALAGRALTKPSTSTLRAFSTSVRVQSGPPPPQ LYGEGAKPGTVPTDIEQATGLERLQLLGELEGINVFDDSPLDSSRIGTKANPVLVPSF DVERIIGCTGVPADSHDILWFNLKKDKLGRCTECGSVYKLDFQGEEHHDEHHH JR316_0005916 MFSFVAHVALSALLATSAMAQTYQINTPILPAGQPSAPALLDLG SQSGNSVTWVANLQPGISGFLNLRDSTGTLAQSGPFTVQSGTNTSCVGQTPVTSAGPG GAAGQAPASTSGSTSGTASATKSNTPSTTPSSAAIAQYAPAGVAAMVGAAMLALVA JR316_0005917 MPDEFIQEPGYISTQRNDWQGRPVPSLQPKKNTVLVSHGRPPWY AEDGARISDAFVIGVAGGSASGKTHVARQIVRRLGSIPTVIILSQVQFFIILGFIVLI FKDVQDSFYKYHNEEELKLAHANLLDFDHPDAIDMPLFASCLADLKACKQTNIPVYSF AEHQRLEETKYLYGATIIIAEGIMALTDPALRALYDLKIFVQCDSDLMLARRIKRDVK ERRRDVGGILDQYLRYVKPSYDNFVRPSAAHADIIVPGSNNDVAIDLICTHVRQKLQE RSNKFREQIAIPHRYISFPSGVSTPERRLEDLNLTILPQTRQVHGIFSILRDKSTSRQ DFIFFTDRLSTLLVEYALQHLPYIPKTVTTPVGVQAHGKKLGARYICGVTILRSGGAL ERGFRRVINDVPVGSLLIQSDSKSGEALLLQVTLPIYVRHRHLADESYVFLLDAQIGT AAAAFMAIRILLDHGVKEDHIIFVTILVAREGGVSVLRRAFPGVKIVCGAVDDEMQEG WLEGYKGEGNPEGKGRKVWVMKPGMGQIGDRYYL JR316_0005919 MASPAPCPVLAERADIHKSCKSIETLLNTLNEYCEAAGAVVALQ KKLAKALRDTAAHKVTGEISANAMNASASIYDSLSDIDSKFSRIADKEYDLISAEVKK WFKKLAKEEKAHDERISNANAKIKQAGQTYEKKSKKKTTDAGEEHARYINLISTLGPE VSQEKYNHALNVTQKHLAITYNVAASLSRLADTEWQKSCECIRKFSPAIGPLGQWRAL CEGGWTGPIPGDLPDTKDSNDAVESEKEPTNSTDLKLKLGEDIRQPQQVASLSPEPRI PPPGYSTGPPSASASSNDLLREQTAQQNSPKLSRQQYSTSSHNTPAQSGTAAPTTSEA LEPPKLPFVDTVTGSVRSLSAFPVPPNHFPIPPPRSQNSSQFLSSVASSSNLDIPSES QFPDSPVSANEHPSSPGILEELYSRANKHGSLDSPVSPPSPEIKYRDRPRMTDGTTRE QYIDANGQDIQQPIPSRPQTTFSIELINESSRDKPTLRREVRHVPSSSADLKYRNAEP PRRRSLDQESQGLEAGTRTEDGKVRTTSNAKHPRLERMDTGESRGSIVAEMRNRYSSN PESASPPPRDVPRLPLSVNDLATRYQATDAPLSPRHKAALPPVLRQQSLPLLETTAHQ VKESYQDRSSGQSQSKQEQDKRRQLRYDDKAEAERKAKEQSLRERERELEIRARELER DRARLQTLLEDDRRSSPNVYREGSNSGQAQSTQFGLRPRERRTSLRHQLQRPLSQMDL EDLGELTPRAPSGAGITSTNRQHSDSAKHMALHSSSGVDLPLSPTRPLHSPKAAQNAP RAYDLYPSNSAGSNSNTSSYESATSHASYCGCETCSVNKYKYSGSSTPKQQSNNAKSE KPTRSSWIRRLSMPVGNAFSLDSKRHQNSNSTGNIYGLGSGVENVPTGPSRGLFFMDG KKNASTTALLSSSTSGESGLAVQEDGRLRHGRRSLETSGGNNNRSMTNLGNLGLR JR316_0005920 MVQKIRPDDGFLRVVPPPGLEAPLPKEGEKNILITSSLPYCNNV PHLGNIIGSTLSADVFSRYARTRQWRTLYICGTDEYGTATETQALKEGITPRELCDKY YVLHKETYEWFDIGFDHFGRTSTPIHSEIAQEIYTNLGKNDLLEKQEKEQIYCEGCPK FLADRYVEGVCPHCAFEDARGDQCDGCGRTLDAIELIKPRCIVDKTHTLTTRISSHMY LKLDSIQPRTEEWIKQSWKAGKWSPNSVINADGEIVDPRLKNGLLPTPLTRDLKWGVP VPYDDEDKLGMAGKFDAPIGYPSITAHLTPEWKQWWFNPKNVDLYQFMGKDNVYFHTV YWPSVQIGDGRDWTKLHHLSTTEYLNYEGGKFSKGKNRGVFGPAAKETGIPASVWRYY LLSSRPETADAMFSWAECIAANNNVLLNNFGNFVNRALKFVSSQYNSVIPESGDAPGP YSPNDPDDAEFITNVNTLLKEYIDAMDSVKIRLGLQTVMHISSAGNTYLQSSGLNKAL MESNPTRCAQVISRAINLIYALSTLIYPFMPATSESILSQLNAPARVVPEVLSNDILG GHTIGKPEHLFKKIDDKMEEVWKAKFGGKPAAEAEAEPAKGAKYKKKKGETTDVASDK PKSEEAIALETKVAEQGQIVRELKAKTPKTPELDAEIKAAVEVLKSLKVQLAAALL JR316_0005921 MNSIRAWVNFLKPRAGVPTSSAQPEFTLRAVCLGLAIGCLICFT NLSLGLQSGWISMMSIQSALIGFLISRTLPVPLTPQEIIVVQTTAVATGTMPLAAGFV GILPALTLLSEERDGTPAIHLTWIAAIGWSCSVAFFGVFLSPPIRKQVIIEEQLPFPS GTATAHLISVLHHLPPPDTTIRHRQGYQQVEDEEEVTVSAENQQESITEEESEDETER DIVEHQGWSNLIWSFAVSGGLTLVAYFFPVLFAIPLFGNYLAKEWLWYFTPSLSYVGQ GVIMGFSTTISMNLGMFAGWAILSPLSKLSGWAPGPVGDMASGARGWILWISLGIMCS DSLVSLLPVVFEYLEDGLRHWRRDYSVVEGLGQSKSHETETKERLVPNSWVIIGLFLS VFIGTILVWIVFGNEGIKPWATLLGFILGGMLSIIGVRALGETDLNPVSGLGKVSQLF FAWIQPGNIVANIIAGGVAEAGAQQAGDLMQDLKTGHLCQASPRAQFYGQLIGSSVSI VVTTTAYTLYNRAYVIPGPSFPAPTAYVWLSLARLLRDGQLPENSAMFMVVFSAVFAF ISATKTYASRRSLCHPFKRTSMSKIELAKIKSLTFYNDAVTAARRTAPMPQLVCVGKP CRVFQPEAVRCVNLGGSGTDVDWKCEADLPDSLRFGKVEVSCEGWSKPGDPYVLKGSC SLEYRLVEVPRTLLRDSDSPLFNPSSYDWASIFFFILWLAFLGIILYSFILSCFRNNT TTRGPRPTGHNRPGGGGSSGWFPGGYNDHSTDPPPPYSKNAQPEPRQGWRPGFFTGAL VGGLANHLWNRPRNEHARLLKGAREGKPPRLEMRSSFTAFASLALLSSVSPVLSVPVY GQCGGQNYSGSTVCDDGSVCTYSNPWYSQCLPGSATTPTPTTTTSTTNNGGTPTSTGT SPGNSVCAGPRTKFKFFGVNQSGAEFGESIWPGTLGKEYTWPSPSSIDYFVGQGFNTF RVPFLLERMNPPANGIAGAFDQMYLNGLKTTVNYIVGKGAYAVIEPHNYMRYNHGIIT SVSDFSTFWRNLANEFKSISNVIFDVMNEPYGIEAQTVFNLNQAAVNAIRAAGATQQL ILVEGTSWTGAWTWQSSGNAAVFGAIKDPNNNVAIQMHQYLDSDGSGTSPNCVSPTVG AERLQVATEWLKANNLKGILGEIGAGSNSQCISAVQGALCTMQQSGVWIGALWWAAGP WWGNYFQSIEPPNGAAISQILPQALKPFL JR316_0005922 MYLQHPDYSQCLPGTATASPTKTTSSASSSGTGTPTSTGTVPGN SVCAGSRTKFKFFGVSESGAEFGGTAWPGVLGKDYTWPSPSSIDYFVGQGFNTFRVPF LVERMNPPANGLAGAFDQTYLSGLKTIVNYITGKGAFAVIDPHNYMRYNNAIITSVSD MGLFASFTTFWTNLAGEFKSNANVIFDIMNEPYGIDASTVFTLNQAAVNAIRAAGATQ QLILVEGTAWTGAWSWQSSGNADVFGAIKDPNNNVAIQMHQYLDSDSSGTSATCVSST IGAERLQEATQWLQANGLKGLLGEIGAGSNAQCISAVQGALCAMQESGVWIGALWWAA GPWWGDYFQSIEPPNGAAIAQILPQALKPFL JR316_0005923 MSRSQLPPLNTVAGDALIGPDASSFNSPSRTRHHPTPATAKRKN KQPAAVLPADDDDRVPSDESTPLLASAQKPKKKPFYRPRPLWLVPFAVTASLVRAMTL APRVEVFTQLSCNKLHGDHHWNHTQVPAIFSPTVDPISPLLHQFHPSNNSSPLSVSVL LDPLDQDRQDSDDTENPSRLPSKRCLSDPAVIAGAARIQAIMTTTMGFLSALTTGWWG HFSERHGRTRVMAIATLGLFLTDLTFILVSTPSSPLARHGHNLLLIAPVIEGLLGGWS TLQCATSAYLSDCTSPGSRAQIFSRFQGVFYLGLAVGPSIGGFLIQHPLRIFAAEAGG DKTVTTVFWVSIMLSFANLLLVLFVFPESLGKKKMLEAIAEAEAAALAASKHTAVAVD PAQANDAGASSSDVPEPAKQEDGVIVGFLRPLAVFLPVMVLQPSPNGIGLRKKRDWSL TILALALFAFMLSTGLYQLKYMYAMQTYGWGAEQLGYYISFMGVGRAVWLLFALPFLI GFFKPKRKTAKKQPTAAATSSGAPTTTTKKPKPTRTQLGEEIRFDLRLTRCSLLVDIL SHTLVSVLPGPAHMNLDGLMVGGISPEKSQALFVLASSMNGMGSGAVPAIQSLALCML QVRAFNGGEGGDNVNENGSPSAGSGAGETPGSGTLFGALAVLQAVGQMILGPMLFGLI YSGTAASFPKAIFVVGACIVGFAGLMMLCVRNPVKGMKRRRRILMLGDDAEEAERGRS RVSKDLRGGAVRYSSCDS JR316_0005924 MYSTFLFVALAVASSIALGNARRCDNKHIIDSTPVTMPSGHTIT MQRFNCTNDIHEAPKARAAANSLRSLQDKRAASQCTTANCICGVPCFFSMCSATTDHI QAADCTNLANSLINNPATFTIPPGQALAFILNSCEYAAFGTSSTQPTEYCFNDFGVAA SEVFHVCGNTQHGSCEGTLNGAPFFVDQL JR316_0005925 MQRFNCTNDIHEAPEARAAANSLRSLQDKRAASQCTTANCICGV PCFFNKCFPTTANIQAADCTNLANSLISNPASFTIPPAQALAFILNSCEYAAFGTSNT QPTEYCFSDFGVAASEVFHVCGNTQQGSCEGTLNGAPFFVDQLNLL JR316_0005926 MTNSNAVAGPSSMPSLIPHYSSSPSPPPPAHHYPRPPTPPLLFL PPAPGVRQQPKQHLESTQDLLARFHLHPAYDKYVRPLVTPGDDFASAPTHDQHAPPVD GATERLDKGKGKERDPATAAVTPGPSGATEADHADGPDADDDDAAGGKGEKKKKNSYK HLIKGLPGKHSFKKDEELTKIMLAPPKQRMRILQFDAKTQEDAFTVSAEGLKGWNINA LVLESAQAREDRKKRKEARRLAKLQQAQAQANGVALHHADSTTGASTPQPSFAGTTPG VSTPGVAQPQPVKASMQASAGVGGSGIKKPGGIVPGRTGTPRTAAGAGVGTPRPVSAA PQTTVAVPSPVIAAQASVGGGSGVAGGPATIPRSTSTIPRPGSAVPRPGSTVPKPGSV PMKQQQPLRSGTPMDVDQQRGKKRERDDGGTVNGAVNGNGNGAHMNGHNGHSTANGTQ QFHPGHHALNSKAGTGNIRPRPIKKQRMLSRVAVTKDMQGQARDVNGPVQQQPTPQGV JR316_0005927 MFQCSSFNQAFLSQLQVAKIQLKYTRKNRPEYFDVPATSCHLQP NIYWKPVWVNAYIKSVHLGMTDYVVRPKRRRNGAFKAVDPCLGYYIPLPRDTHPGPET MRQLPDGGSNREMEKKAETAATGGKMAVDELDVGPDVDSLSCADELDRLHRQENRDYG SGSDSDGHTVTRSRCRNRRRIVASPPSSPSSSLQWANADWAILIPGPVAALSRDVNRT RPHQASGTSSPKPYDHVQKEPQSETLTVEAFLKRSAQKTYTSKRKRGRQKRVLHTKLI AGGLESEAHEEQHNREDERDNENVYLNANTIQLRSREIIRALSPTSPGFSSDISSDME TETERLSGSRNSSSPSSKTKKYTKSKKTSKKKSKSLRKRIYEAAISTHVDPDPYFACS QKAGSVSTRIPLRFVPGVHVGSIGGSETHSSKRVMKMKKRSFTLIDPRKSVGIRTAAF SSKIRPPNLAFNNVQNVLPSNSRMTGKKTQGKWKWDPQLGAPMIGPKQQFLESKVEAK KERIKQDVPETKPGISPLVFIPMLEAEASYSSLFRGRGARPAEMAQPEKRSRLKTGPV KTQANRSSSKGTE JR316_0005928 MQGKAEFCGDANKLETPARGVMDGDSISTGDSDRGVGAIAWVEN ISVSYIWDDFALAILDARFGGVHSSMVE JR316_0005929 MPAHIPNRKDDKGVSSPLSPAVTGIDYPSVDDASTELDMYRHRG MTRGLYTHPNIAGSSIEDVEPPADARSLTLVWDSIRQEKEKKMAKERPKVQSLEEVVQ ELYAADHVPMQIPLMESPPISAPKSVRKQKSISTFRESTDGRAIVATFDLPEVAKQDI HISFQRNKLVLTWESGEITEWEEEDGVVLREHVRKMFHRTLPLPEGTRFEEIHAQMTS KGLILRYPNMRCYRVDARSRSGDS JR316_0005930 MNTPASGTDGITSAQPSQSDSATCIENILAGLNPAQLKAVQHDP AIPLQILAGPGSGKTKVLTARIARLIITHEISPSSICAVTFTNKAANEMRDRLTKLIG KRRTAELKMGTFHSVCVRYLRMYAAAVNLDSNFTICDADDSKKLLSALMKPYAEYMAN NDITLSEGTVSSFISKSKAKGQTAALFFKEVEHDEGRSSKVSKTETAQEEKKSIQRII AEVYIGYEQVLKANNALDFDDLLVYGVKLFSTHTESVLWCKHILVDEFQDTNTMQYEL MKAIGYRRCVTIVGDPDQSIYGWRSAEVINLSRMRNDFRNTVQVFLEQNYRSTASILR ACLAVVSEDKKRIPKSLHTNHPLGATPFVGTFETEKEEASFVASEIKRCVANMGGVLK WGDFVVLLRFNALSRPIESALQKQGIPCRILGGHKFFERMEVKDILAYLQLVDNPSFN PAFIRAIKVPSRGMGEKSLSELAIRAQELKISQLELVERIHDNKASDIKPPIKKKITS FVKSIRCMRRLDQEKIPPSDLIRKLLDMIQYEDHLRKTQQDWDSRWENVQELITFANE IEADALKSRPPFDELAPEQQSERQVLYCSMLRDFLQASTLSSEGDNDSEDQAQEKVTL STCHAAKGLEWPVVMVPSVDQETFPFYRTEDIDEERRLLYVAFTRAKSLLYVLMAEKR VVAGKTKSKRLSNFVASVRGKDESLFSCDVPRFLPEDRAVVSKVLDRCLPEDDEINRR LNELESDGSYVQPTFQTRTGEMVTVSLQGVRSKIQKVPNDSAELAAMYAAPETLAAIS ATFTRDFKKCAFSRPTKPLSSSNTLSTAFTTFAGVHKHTPQINASTLKPQKGLNSGSF ISPHTVGTSIPGGHRGYISGQKEHEHQTHSQTLDHNKPPSYFSKGSAVIHKPPANPVL PHLVAAATVSLPSSSAVPGSRNNTQVEPFYYHNRRLGESSLKPGPCFSLKQAIVHGSN EDLSDPDYDMEIFCSTPDVPKSSNAPPPPRHSSTPNATAKNDVPVIPKYQTGISVTEH RPAAANIVLAQPKKGPPMPSSIPSVVTEIPSLNKGVPRTEPSQSSHIVNPILQPTGVK RRLGMGRSTTGYSNKKFKKPL JR316_0005931 MARHLGLGGNVPAMLRTESELSCCSVDSASNLINQSDLAEGTNQ AELPYFHARSSQSNPSFLNGGHKRHRWTTAFVGWRVAFCSWLNLLLVSIPISWTLNLT LKNSHGLTFSFCVLALLPLVRLHDLATRDLASRVGGSKAGLLNGSMSNFVEIVVAISA LRKCELKVVQSTLIGAMLCKLLLVLGLCFFAGGMRFSEQGFDPTATQVHSSLLSLSVG AVLLPAAYHFTLGSPGDASFETQKQNILHMSHGVSIVLLFIFQLWSHTYLYNDQHNKK SNRLSAVLRGKRAARMTQYPPNLTQASESHIDVYKQANEAFSLDPPRRPYASTPLSSS SDITMHERVDIHLDHVAHIPPKNSTVRLVNGPEGVPMSRKTSYGSSMRSTSSGTSPSI LKEPEPESSVTDIPPQKEPQLSWFLTIFLLIIVTGAVAITVDWLVEAMDEVSTTISKE WVGLILLPAVSSVAEIITAVKVSVKDELTLSVSVAVGSTIQTALFVIPFTVILGWVLK KPLSLLMDPFQSMVLYIAVHTMGYVVGDGKSNWLEGVILICLYIIIAVSFWFYPASNI DLTTACRV JR316_0005932 MSSCENPNVDKDALMTRRQKFDGKTKICVKCKENRGNIVVRYAV YCKTCFFPLVQGRFRKSLEPTINAPNGTRRKGLKASGSLVVGISGGTSSVAMLDLVAK TYFAPLEADFKGGMEHPRLVDKSVWKGKPYVCYVEVCGAFPGERDRIEEVRHLVESYA DAEFEFIPLRLEDSFDKKWWDTFGGSDLSNSARSLGLDMRDEDLRLATSSSEISSLTA MRTYLSSLPTPTAFHSAVQILIRLLLLHTAASRRASHLLLGTSLTALSVNLISGIAQG AGFSVAEEAQEVWDPPNSGDALPIRIVRPMREIGMKECAIWDWWCKLNIVAHSRTSPS GVKNAISALTKDFIYGLESDYPATVSTIARTCAKLTPKEGSNGTCILCGRPAQSGVQE WKSRISIRSYQEARSAVSGNTRPSHLTEDEITNLTKTSTLGSTPTVPSLTPQLCYACH TLLTSRSSRATIASLPPGQSLDNIPLPLWVQSTIEKLRQQEREQEHAEHDSHPDLAQG VKLSRSEMREQIAEFLLPEE JR316_0005933 MADSYSAFFYGTLMHPKVLIGVIRNKGEHLEVCPAVLLDHTRHE VKGCEYPGVIPISKGMKILNRSLTREEGSVRGTLVKGLTAQDIKYLDEFESDEYVRRK VKVHPLGSFTRIFEKIVDEDFVPEHPPPLPEISKLHESSFEADTYIYCDETNLNEELW SFEDFVRNNAWKWYGGTR JR316_0005934 MDFMDKSSKDWGILNGVKLQKPKLSFPELSSYKPLRTLSPNEFP IDDPTKRVLIVGDIHGQMTYLEKLMQKVKYSPSQDVLLHVGDIVSKGPLEGSLAVLQF MVSNNVTGVRGNHDQLVVEWRNWYDWVTDSLGGKEWLDGLQARWEKAVSKDPDTELEA WLKREKKASTRREKAWWKLIPKGWVILDDHYYVAKEMSDQHFQYLLDLPLRLYIPSAH TFIVHAGLLPCDPRYPVEDEARQPLARIPTLTRRPSGNQTGVNTTLLHDVDANSTSTS LMSNKSIDALRNLQETGILTQIPQNSDPWVVLNMRNVLPDGRISKQFGEGMPWSKLWK QHMQSCLGYTRFPRIASRDADDDIDASGNETTVDDSDDDEQGVKKYNLLCYPSTTVYG HAAGRGLDAKRWSFGLDTGCIYRRRLSALMIKGQSKDLKDVDSTENGTMPRYEDDEED EDEDEGEDDDRDEGDGNDEDEDEDEDEDEDDEDHSDLAAKNKHKNKAKTPWLPFGDNH RATVASVRCKPRS JR316_0005935 MSTGTTRSLFVFFLVVNCILWSRGRYGQPLESSSSLLHGDASLV KRISFELSQPQGTRVSHYSEECQPLAYPIDTQCKHVKADCPRSDTVLAINYLRRYFCT DATLRPVMFIGLLFWLVFLFSTLGISASDFFTPNLSTISQLLGLDENVAGVTFLAFGN GSPDLFSTFSAMRADSGSLALGELLGAATFIVSCVVGSMCIIKPFEVHRTPFLRDVGF FTLAVSLILTILWDGEISRIEAGALVGLYLTYVLVVIIGSWWESRRERKRLREARARD EFAEPFPQYTDDPNPPTLTVNTPTPQRVRAVSAPNPPRIQTNISPRPTRSPSPVPSHI TQLPSFSLIGALEFRDVVASLQKEAAGNSLGMFETPVTPYAGGHYHNRLGMTRSPHSS LQSSMDSETLHGLQLPTRGRTNLSSSNATLREEHRDLLADEPDDYFGSVQQQPVPSIF RTPASPSLTASEVDSEEQLYAPMTKQQRVLATLGKVFHTLFPTLHNFRKQSFVGQIAL LFATPAVLCLTLTLPVVVTPYPNLHASPEKMYNGDARLVDFEEEGMERVLIAEEEVEE NMHELLFSKWLTAIQCVLGPLFCVKVLFGNKHNFIWIFLGTLITGLSMGALVAIFSEK GNSPAARMTRCSMGFFVAIIWIMAIADEVVNVLQTFGFIFGLSDAIIGLTIFAVGNSL ADLVANMSVAVFAPIMGFSACFGGPMLNILLGIGISGSYIIHQTSQPYSLELSKTLFV SAFGLLFLLAATLLFVPLNGYFLTRQWGVLLIATYTIIMIINIVVEVKG JR316_0005936 MLSARTLAWIPVIVGALLYRAGDLLQNGVIFRSNFPRGYIANGN YSSECEVVPRYADSPSENLSLCEDETFWELFDTQGEVAERAVIVSCDPGRKTWNTVMG PLLDPTPHGGLWIYVSPDDTTRRSDGSILDPSLPVYQAHRITLKNYPEGHDFHPLGLE IWPSYSGNSSNLYVINHAREATVIEHFLLNPAHPTEAMHIRTLRSLHFHSANGLALTS PDSFYVANDHLVTRRLPVLGHILPMIESVLSLPSGFVSHITLNKHTPTESKSISEEVF VKLFIPFANGLAISSSGTQLALASTSMGEILLYERDPKTNKLKRKTDTIRLPFFPDNV NFSPSSEGVEEIIVGGHPNFPDLIEVAEGKSAGCPSWVIAIVPQENSRGEQSIVFDQD APVSLNSKIRKVGPRWTMKTLFQSDGVEEKGGFSSSTTGLMDPNTGALYVTGIYSQGG MMVCTPGSTKVN JR316_0005937 MVARPPLPLRFANPRLQDHLAAPNTPPVKYQILNCQGKDILVGR LKIETPTDTGHAFILRRFDTGAVSLTTMFRAAFPNASDNDERIEIQWVRDNYDLSGNN GSTKEPQITRLAGTWVSTEIALELGQTYSLGKLIKAVVEATPDPNGSYRRSGKGAATA PSNHVTGANIPPIHQEPPRQESAPVVASPKPPSASKTLPTPSPTAVLPPSKRRKESSP VPTPQSSKPPSRGSPAPSKIAVATPRRSARTKSPAPRSTATPVALTSIRTPKVTRSSA KKEAVASNSLPTPGGDSDLNTVEEENQLVEDGIAGSKLHEEDVKEQKKLIQDLKAQRD AAKSSIGDDATTVETVEESSTKKREREDEAATLKFEFKEPETEERVIATNRRVGRFNL EPRGKSVAWGVAAFVVGLGAV JR316_0005938 MKIAILTSGGDSAGMNAAVRAIVKWGILKGCEMWIVREGYEGLV NGNEDRAEKVGQEVNANAVAAVDKELAQSIDITSHEPDKNLLHNLRFGDGELLRDGTS EYVGGRTLKGRYIVRVGWDDVRGWFSEGGTLIGTARSAAFRTPEGRLAAAYNLVKEGI DALVVCGGDGSLTGADLFRSEWPDLIQTLHSEKRITDAQLKNHSHLKLVGLVGSIDND MAMTDLTIGAPTALQRICEAIDNINSTAYSHSRAFVVEVMGRDCGWLALMAGVAGGAD FIFIPEKPPTTMPWEDAMCAEIHRHRSLGKRKTIVIVAEGAHDRELNPIRADYIKDIL TDRLGLDTRVTTLGHTQRGGRPCAFDRILPTVQGVEAVEALLEAEPSTPTYMIGVQEN KITRVPLMDAVSATRAIGKALKDKEFDKALSLRGPEFLEMLHGFRGCSSYNSEAEKLP KAKRMRVGIIHMGAPAGGMNAATRAAVRYCIKQGHTPLAVHNGFRGLLDDNVDELSWL GVDAWAARGGSELGTNRALPSVDMGGIASKFQEYAFDGLLMIGGFEAFNALLMLDDAR KHYPAFHIPMAHLPATISNNVPLTEHSLGSDTSLNALVDACDAIKQSASASRDRVFVV ETQGGQCGYIATMGALAVGASLVYTPEGGMTLDMLRRDIRFLKRRYSLDVPGKSEGRL VIRTEAASKVYTTSVLTKMLIEEGGSLFDARSASLGHTLQGGVPSPMDRARAARLSLR CMKFLEEHHEILSQKPHKSRQAGPNTAAVITIQQSDIAWVPAQEMVKHADMANRRGKK SWWGNATDLVEQLAGKPQFLGLPKDSVELSGI JR316_0005939 MATSSSTARKPTTRRARTQPKPCSFAHPGIPNRPVDANVKERSR RTQGHDATVLPQYSSPSHSPSYICILPPEILAEIFAYCIPTEQFPIPSRTEAPLLLTH VSSFWRSVAISTPDLWSSFHINYKDPTEDIALANLWLSRSLNKRLSISIAVDFGEQPQ QAILDALCRHAKRWKHVRFDFRHLLCPPMYSLDLAQGNVPELTTFEFHARDISNTNIS PITHLLSSAPQIRELSWVDDLADMETLLELPLSRISRLSLSMEHGTLDYLKVLNECPN LEHIRITKPLLQTTHQSPLFLSKLSSLNISSDLTGILDHLILPALREVRIYSADNEKH AQPHSPLHHASPHVQPHSSPVSSTTETWDPTAFLSLVDRSACAITSLSVTPPMSEVAL LMCLPRMSASLVKLSIEGVAIGDTLLGCLTRPSTSSRRRDSNAVTHVGSASAHGDFLC PLLTDINLDTRIVCSPGVLAGMVRSRMNVSECNVHSNVSWTRMRKLRVADGHNDIEML KELSRESHTSATSSLVLDIIPKKPNRMRNRHYFFRRKLCASR JR316_0005940 MVHLRLNEKETNPNPHINFITALKADADDEESARQLLRALAAQV RPVMKAHGFAVNSFEEYEYNSVFAGRNWNNGETVELVLRRPDGSFYPTYWLMSTLCHE LAHIKHMNHGPAFQALWSRLRAEVRQLQDRGYYGDGYWSSGQRLRDSARVGEDGINPG DIPEYLCGGAQSRTKPTARRRRQTTRGKRREVVPSLHTGAQTAKKRKSGARVTSKYAF TGEGTSLGNEGVGTGFRKQAASKRAREERALAAERRLLALQTAASGTSTKSAEEELAD DDDSDDEVEFVPETDAERRQAIKEADEDSNNLKLGPGFSWTQFKDDFNFNAASKPSGS KLPFPDIIDISSDDEDTGRACDVPVASGSTFTSGSLRGDSKSNKGKGRAEMGLNNLVQ SEIKLRKQESLGMAPVKGGGRKVGGSKSGPQKSGGRFEQERKPPEEVVSIAEKMRQIT LPVVRVPRLVMKYGGIAVIRAEQV JR316_0005941 MFSTLLTVALFVAPAIQGVFADFAINSPNLVQCKTSRISWEPTK APYNLIIVKASDPCGDALVDLGDFNKTFLDWPAKIKAGTVVQLSLVDANDQEAWSKNI TVGASTDASCLSTNLAGSASSSVKPSATPASSSIASGTDSSDSSSTGLAAPVGAANAG TNPFSSGAISTSQATTPLLALAGLVAAFTML JR316_0005942 MGRPTGSSESTNSLTVRDNRTGKVYDIPIVDNSIPATAFKNMKA PFSPGEREENETDKGLRVADKGYLNTAVMRSEITYIDGEAGVLRYRGYPIEQLALHSS HLETSYLLIYGSLPSKSQLEIFETEVLHHGVIHADAEQFCRSFRYDAHPMAILTSAFA YLGSYYAEANPSLQGQTLYSKGDAASLTIMDKQIYRLIGKATTLAAMAYRVRQGRDFV TPPTGLSYTGSFLYQMDHLGEENYTPNPVLEKALDTLFLLHADHELNASSTTVLQTGS SLVDPYSAVAAGCASLYGPLHGGANEAVIRMLISIGKPENVPAFIEAVKKREKVLSGF GHRVYKTSDPRSFIVRKTADEVFKITGKDELLETAMALHEAAMKDDYFIKRKLAPNVD FCALTKFSGLIYRAMGFPLDFFPVLFAVPRVVGWLAHWRQMMLQEGGVKIWRPRQIYV GASKRDYVPINERVAVEGERKTPSAIPHSGITKRTMLANFKGKAIAKL JR316_0005943 MTTLGQKIKSVSLIFACGTALFSDGYANGVIGSGSFNFWSCNLY QTYRVPIVLTRVYGAEALSHHNYSRTLSSLGFAGTVVGMLVFGYLSDKIGRKFGMMSA TGIVALFSLLSAASAGAHGSVNGLLSMLSAMRFLLGIGVGAEYPCGSVSASEQSEEPG INKKAQHRWFALATNSMIDFGFVVAAFVPLVLFWIFGNDHLRAVWRLSLGLGVIPALA VFIWRLNMDEPERYKKDSMKHTRIPYLLILRRYGGRLAAISFTWFLYDFIVYPFGLYS SIIVDRVTGGSSNLTVVFGWNVVINLFYMPGTLGGAFIVDHLGAKRTMIAGLLLQAVI GFIMSGLYVHLTNHIAAFAVVYGIFLSFGEVGPGNCLGLLASKTSPTAIRGQFYGIAA AIGKVGAFVGTWAFPPMIDAFGGSNTTRGNTGPFWVGSGMAILSAIITLLFIKPLTTD GMELEDRKFREYLEAHGYDTSTMGFPGSNIKTDSSSFEENENNEKKGSAQV JR316_0005944 MSPSESNSKAAESSKLEEKPKEAQQPNLSVLEEDDEFEEFPVSD WDDSKTDLAHLGGAAPGAAKSGGDKLWEDNWDDDDVEDDFSVQLRHVARWFHNSNFI JR316_0005945 MSVMNQEPEELAISLPSGAVLQADMWKPSVVSEQSGEGNKLAVC LHPWSWLGGRKEDPVLWSLVEPLLVQNYHVLHYNSRGVGNSSGWPSFTGFKEAKDLED LVQWAMGQISDIRSVLIAGYSHGSLIASLFPVLPSVETYHILISYPLGPRGWLTFFNS SSYDRALKDLLQQTNSNVLIVYGDRDEFTSQSNYKSWVSSLHGNVIVQEVENASHFWR GQSGRELVSLIQRPLPDERPRQSVANLIGRFETQTKRLSLSASSPSRSSSVVSHITGD SVKDEPKEKREWPPKSVSNAEKPPLILPSLSSRNIPQVAQSTSNGSELGTASNWTKDA AEEPEVPLTAKALNASQRQSKTEPNTFLENWRKDIPAQVELEPEAPIPATISMESQVE ATTPIPSASQKLNTPTTPRTSNISKATTRVPVTKTPSTKTPPSSSGKALAVSSKIPAK TPLKPSVSKQPATPSIAQPLKPQHTGQSVASNATTRRPTNKAPVTPVSKTPSRPEAAS RAKTPTSSRPKTPSTGLFAPTAASLARSRNAAPQVPAPPKKTTISSSSVDRLSKPTAA SKARIAAAVVPAPAPKSGGTTTPRQSTSGKAKSTPASSPSKVKKAPTIKPAATASAVV ASVAAVGLAATSAEAGGDGKAVEDIIDPVLNSEEVGDNGPVEEDSAPKEGVEAHEGGE VLDEAGEESQSVGSGEVGSMPKSPSPALEVSDNVESGEDAPHSPEAVEDEIPEVVEDE ASEVVENEAPKLEDEVPQVTENYKSSKDELEQMVEALQL JR316_0005946 MAETYGSVIKIKALFGENQLYVFDPKAMHQIVVKDQYIYEETSS FIEGNKIIFGHGLLGTLGEQHRKQRKMLNPVFSIAHMREMVPIFYQVAYRLRDAMQKR TKDGAREIDLLLWMSRTALELIGQSGIGYSFDSLEEDVATHPYSRVVKKLAPVSFKLI FPRTYLLAPLVKIGTPKLRRAILNWLPFKTLHQLRDIVDTMHETSVKILDSKKKALME GDEAVERQVGRGKDILSILLRANMNASDDDKLSDEEVLAQLSTLIFAAMDTTSGALSR ILHLLSIHQDVQSKLREEITEARKQKGGDLPYDELVSLPYLDAICRETLRLYPPVSVV QRTTRQDVVLPLSNPIRGVDGKEITEIPIPNNTNVIVGIMASNRNPELWGPDSYEWKP ERWLKPLPETLISAHLPGIYSNLMTFLGGGRACIGFKFSQLEMKVVLSVLIESFRFSA PSDKNINKGKGGKNRRRGKNENDDDKRELVFREDGQEYAQVIKMLGNGRLEAQCFDGE KRLAHIRGKMRKKVWINQGDIVLLSLRDFQDDKADVIVKYTADEARNLKAYGELPENA KINETDTFGEEDGECTFEFGDEGEVDIDDI JR316_0005947 MSFQVIGINSLPVELLYDIELYALSENLPHASHHFNDVYKQASP FFHAKYIIGRVIENNEINLSEVYTKALRYPLCTQKVVEAMQMLLKDFRPSKSAVQLPR RLFKNLAPPTPGWSKDSYPIPFLRYLYNAPEIPRINTNANEGYALTRAVHARFNPLVQ FLLAHHASPACRDNLAVKVAVRQKNLEMVKLLVERKETKKKGKRRKFEDRLTLDSDML KIAVMANAQDIVEYLYREKKILPDLQTLKKMT JR316_0005948 MIHIRFVATALLRICFVFGLIWVTNAQGARQYKIVNSCPSTVAF YIGGLFDSNLATGASTTKTLGVNAGFFYVFANGGNAIGTRAGFYGDNNSSYYYIVKDS AVFNTGISIAPNYQESGGFCPVARCDNVDCTNAFPQPPTRFPPVSNTPPTPPVYACPH SDVSFTITFCPSGAFPTPPSAKAIHPSASSTKCVDVRAANYANGTPVQIYDCNGTGAQ KFVFNNEATKIQVAGTNFCLDAGTTPANGVGMKIWQCYDGLPAQRWYKSPNGQIILEG TAYCLDLTNGNLSNSNQLQIWQCYDGNGNQIWTQ JR316_0005949 MDPQGIRTIDTLSILRKESLDIFNRLHSIAEDAAFVRQVRAAYP AIPLLPNLRCGSWYADPKISAKIPAYFKSTDGHFNNWSFNLRRSNLHLLPLIMEENGL ILVDSTRAGKRIPDALSKTVPIWCAVINRALVILHPELSNEEKRRTKWDSALYTPPSA VSRQEHAQIEQRLDSWARALADSSFVLPVLPAPLRPMWITPGTTTFPALPTKDNDNLD FLPVVCLSASKQIEQGLERRSCGFSYIQGSGDDHELWGMGLTPELFWANHDELLATER HQLPDLVSRVVSVHMLSCKREGQLPTPVAKVDGRLLICAIGEVPETPKQPDSEETDIA CLILTPSIEQQTTSTSSKSYIHLAPGKKGQTDFLQIVLPQSMDFIAKNLALGRRVCIA CESGKDYSVGVALAALQLFFTDRGTLLRNDAEKEKSSNKMDKNTIRTRLEWIVASRPQ ANPSRTTLKRVNEFLLTPSSFRIASKS JR316_0005950 MQTSERDAEATSSKASKAEFAKKYDQAFGLYIKTAESFLHLSRT SAVDDKTKQKWKTNAAKALERAEKIKRFVEKSRGGLVAISEQTLTIPVSEMKLTPIGI DHFSPQEQSYVLKKGSYVNGLFYPLWEDPVVVKGAQSSIFTDPDGQPKLSPEQEKVSP IWRRPQSATSSATMTKRCILPEEIIQHIVTDCSVCASISVCLEHGRRFGTSNSHVIDG RYDIRVLFNGAWRRVLIDDRLPFHPDSGMLMCMSVLPSLADGQSGNASLFPKYMKLMG GYDFPGSLVIVTTFCFVSIYALFSAFAGWIPEHIEIKSSTFEREKTWERLQKGFSAGH CVITLGTGPSTYTQWRDVSFLPSHSYAVTNAYEAEEGRMFTVLDSWVRDNDSVEEPSR ALQIPWSDVLNIFDGIYLSWDPMIWKKTLTYHGMWKRNTDDDDESRQAYIEFSNSGTQ DEEVWILLTRHVVNTHQTSEFIALRVEVEDQNKELNVSENQRLLLTKTRTRVPKSQRS GVLFISASCDGDAREVGFTLTAYSNPGMDIKWLYNRKTPPFAEKVDGSFTSKTCGGNY TYSTFMANPQYRLTVYPARNPADNSKAKTILTLQTKRDIPVHIAVVWSQGRRVADLTS KDLVGTSGAYNYGQANLSLNITAGEYTIVASAFEPHYTGPFSLKVYSSFPIDLKPIPQ EGAGMYSKIVRGSWEGQTAAGGSSFGKYSQNPIFELEIPSTARVIIRLQLLQPSTAVA LNLAIYPGSKDGFATSFERQKYLATSGPYDDAIAGVAIQSATLAPGKYYVVPSTYNPG VELMFRMIVYSNVAGFTIQQVERQRTS JR316_0005951 MSSKLKILFTGATGYIGGSVLSRFLRHPDFGSFTITTLVRSPEK AEKLKALGFNAVTGSHDDVELMESLAADHDFVIATADADNYEAAIATLNGLKRRHEKT GTTPILIHTSGTGVLTDSAGGNFAGETVYDDANPDQIETLPDTQLHRNVDLEILRADK EGYLKSYIILPSTIWGIATGILVEKGIQNPHSIQVPALIRASLDRGRAGMVGAGKNLW PDVNIEEVADLYIVLFNAIRSNPSTTGHGREGIYFGESGEHSLYEVCKAIGQAMVALG KSDNPEPTTFTKEELDKYFQGSDYLGTNSRCRANRSRSIGWNPVKTKEDFIASIRAEV EALL JR316_0005952 MSTKINILMTGVTGYIGGSVLTRFLKRPDHKFFKITTLVRSPEK AEKLKAHGVHAIVGTHDDSKVMESLAADQDVVIAMADADNLKATKAILSGLKIRHEET GTVPILIHTSGTVDIGVLSDSAGGKFTGETIYDDANPDQIESLPDTQLHRNVDLEILR ADKEGYIKSYIILPSTIWGEATGVMVDEGIQNPRSIQVPELIRASLARGRAGMVGEGK NLWPDSNNHLSVADLYLVLFDSIRSNPSTGHGREGFYFSENGEHSLYSVGRAIGDALV ALGKSDNPEPTAFTRDELNKYFGASTISASHNLY JR316_0005953 MHNIFSRVNNVSAFLSSCMMTLLAAIALSSFLFTARPQGDLTVS SIKVVSSNARRYPNKKQDLAFVHFNITADLTPLFHWNTKQLFLYLEAEYANKQGVKNE VVIWDRIVRKKEDAVIKFEGKNKYVFRDISASFNGVPPASYSLKYNLMPYVGVLQYGE AARTSEPVAFPATQSRL JR316_0005954 MSGITSATASITPPTPLHLLRSHTSPVSAIAWSDDNERIYSADA SGKVIVTSTRSLRAIASWMAHTDSILGVEEWGESIVTHGRDNKLHVWQRIEELPASAR IGGSAGLPDLPTPTHRYSMDVNALNFCRFSLLRLPDVAEENSKQKALIALPNLIDSTT ADVWSLPTIDRLHAAIGQETKIFPSSNATGRNNSGIIMSMHLYQQNYTDAQSPASDHK CLRLLMAYENGSVVLREYTRTSKEVSIEGEGWDILWKSKLHVESVMAMRVSRANDFAL TVSADHIIGRYDLRDQHPPSEEHATAFRSKHAGNGSIAIRDDGRVCAAGGWDGKIRLY STKSFKSLGTLKYHKSACQCIEFARAFTAPSLNKLTQNEEDDEYEDFSVEEMSERSRW LVAGAKDNRISIWSLMSFEK JR316_0005955 MSGRYAPLANPRSAPDAEREMEDAFDLDDDENDEETAHESTRLT NDNVDAEASRVESTSKVETSAIPGTYDFEREYDFPPPGSPPRPSSRALPNDYGNSNGL LPTDPVDIPKPKQSIFRRAFGAILPTHYQPVPTSSSTRIGGGIENDGVFANVTAKPQA ARVVRTDDGDIHLVPEDNQKESPPSYLEAQQDTVPPYWETTVHAPAGLDGTGDMIIDD LPTGSFLVFCLNIFISFFFQFIGFLLTYLLHTSHAAKFGSRAGLGLTLIQYGFYSRTM NFNEDSNRTDPSAGDGWDSPPAAPVPSDSVSDTSSTVQPEVLSATSKDWLSFLFMTLG WFLLLSSIIGYWRVKRWEKSIRAPVTPPSAEQVEHDAAVRRGLENIFGISIGGPPGQQ QHQPTIIHGDEFGNTIVIPSQSALEDARLQRNLRAAGIL JR316_0005956 MAMTRSMQGGEGSSEEDSLLGTTTPRMRRSRWRTKLHLPRFSWD AHPFWLELIPVVLIMSMSRGVTMSPRIQVYKAIACRALSKDSPGATSPLASFLDGCGD AEVQARAAKIQAAVVTTMSVLSAISTGFWSRLGDTHGRKLILSTFLLGALIMEGVFVL VMRPNSFFGRHAETLILVGPVVEGFVGGLSTFNGVVHAYISDCTRHGSRSKIFSTVQG MVFVGLAIGPWLGGLFFPPKGYNDGFFFGSIGLITFTLLYVIFICPESRQSTAQAPAS QAQSDVSFKSSPILVVGRLFRDFISALLLPISMFTPRRVPGSSRYNYNMTLVGLTLFL YIVSTGVYSAKYLYAQHVYSWTTAELGYYMSTLWISRAINLLLFLPIVLSYFKPKSTS ISPSTPNAHDIAAELNFDRYLASISLAVDGLADSLVAITRDGSQSVFVALSCLSSFTS GGNPALHSLGAVCLHACGRGSEVGALFGALGVLAAIAHIVSPYIYALTYASSVANFPE AIFVLAACILYTVVFFLSRISSDEEDIALVHTPVIGEDYRTRPSSEHYSYHPIGEGEP DESSPRSHPLPLSISRMSNLKAYLAEKYMSGPKAEAILSKTAPLKKKKRKVKDAGADT QTGTSMIRDEDGGWGEMVKEEDPDELADAVIEKDRGFKKRKVASAAAESSWITIQEGL NDAQIKEESPPPDEKPMVVDTPFVGGLVNAQQLKKMLPQNNVTTTDKMTEEEIARAQE TIYRDASGKKIDTKAAKAEAARLKRLKEEKEAQKMEWGKGLVQREEAEKRKKELEKNR NAPFARRADDKELNEELKAKELWNDPAAAFLTKSKAKGPRKPEYTGPPPPPNRFGIKP GYRWDGVDRGNGFEKKLFESRNAKKRKGAESYQWSVDDM JR316_0005957 MDYQDSDWDHCATLSTFLTLTDIAKAHAHTQPSRTRDRDDDYDY ETPVLRVIDEAKQKGYKRVCMPLTTDKWRARWAKMCLLPNESSEQDKEEASKAAEAWR LNPAFERDEVMITRLDEAEGVIAMISEWLELDAADDWVRHDAEIALKQELAYASYLNI HTAILPAPRNRDHVASYARIVHSCLVKFPFLYISIRLPIYNPSVFHPPSPASPILRPS SPMLLSSPGSSSSGAPSLVISEIEQLPASNSGGSLNATWEMWDLIRSMCDYNMKLTLT LDLSPALPTTLGVLSKWAAESHRPMIILSEVSEGRHTRGGENAYSQYVRHLEKTSPAV QAAKKAGTVENFAQGYQDYLQAPLQPLMDNLPSITYQTFEQDPVKYRQYEEAMYRAFL DHAVDEKLVVCVAGAGRGPLVARCFKALERAKRQATVIAVEKNPNAFVTLQERKRKEW GNKIQLIFGDMRIIDVPEKADILVSELLGSFGDNELSPECLDGAMRFLKPSGISIPSS YTAHLAPLSSSKLYNEARSTKTEKGLETPYVVMFQAVNILSGTKASVDGRCGPQVQEC WEFEHPRREAVLDAQGYFEAVLYGNIGISIHPHRKDQVSKDMLSWFPLFFPFREPLYL PSSSELQVSIWRITNDRKVWYEWHAESFISVPTSAGGSEELLMTQPFSGSFSVSSPSF SSMGAPSPLVDSKEPPFLDMTRMQNSDTGPGGVEYEMVKIGHTSLHNAALFSVPPFIF SKMKYFSTLAAIVTVIPSIMAMTINTPTSVVQCQPQLITWSDGLAPYYLTIIPGGQPS ASPLKTFDTQSGTSITWIVDIKAGTQVTFALKDSTGATSYTDSVTIQPNNDSSCLGGG SGNSGNSGNAAGGNSGASPTTQATDANPSAASGGSSSVAAGSTSTSRPAAGAPSAATI RSTSTPSPVSVTAQRTTSAAAPAGSGSSSSSTTGTNASGAESRFSLGGYLVAGALGLV GAVVL JR316_0005958 MAVLPKNIWVAAGDGDLDRVRVRLSLLPLLSLSIIDVPLGAHRA ALQVFFCPPEYARQIDNQNLHLALSPNMPDPYTYTPMHAAASYGQIHVLEYLLSCGGD INITDSDGDTPLYTVENLETARFLVQHGAIVDRHNLEGVSPIEHLTEEFPQIADYLRS TLDPAVLPSTAATTSPSQHSQNLASEQLTSALMSSVQEIMERAEAEGQDPEEELRQLV SRTVLEGVVTGYEMTVDSSDTTHPRDGSAQDGTPSKRPRNDDSTA JR316_0005959 MSYLINSFDPPHHPLSQSAHSARPPSRPAPMDPDSFAQSQSQSQ SQSQSQSQSQSQSHSYPPHHSLPKVGQTRCYWAMLSSDLQFIYLDPVLASHLEDQAEL LVGKSLLSFVHPDEQASAKQDLGGVLESRTLHGSVTRVRFSRLSKVRRQLGYDGPGPS WSEADKIALDKDYMAVDIVINWAAEGLVLCFIHATVDLTPDDNNENQKTEWTNWCGTP FMDQEQVQLLYRRLLVCISQTGSMSRVFQILSNRQDRPVLMSWPPDPTQGPTSRDFAK LVENVQIGSGVPGGNDAKTSCTRRYKALQDMPPLIGGEVESIFIPHGTIIFACHKVNS TPRSSANPTAPMQQIDYAPTSYAPHQNASLYESPNSYALPPLSTSTPAYSNNYITQQG PMVQPSYSPQRWSQAPLPPTVSNLRSGSYSTTSPTQSPQTWTSGPPSATYLDTQSTPS FNRAPSPSYTYSATAGNSAATSPTSDVVPPPRRRISPGSSRDHTGAVRATGNRPTGVQ KCSSCKATSSPEWRKGPSGKKELCNACGLRYARSRAKKEGPNQAQQRRRKEKGNSTKR DSTTPPTTTPAYSAIRRNYADSSFSTSSAGSASGSDIYPHSGHHVIDNMTPSPSPPAA SNNMNFVHYTGGSNGSDSRPSYTGTGSTFYSVPSPLSNTHVLQQQQQSTSHMTTTQLP PLGQLSSYADRLSPMIPSASPLSHSSLGSSLAPVASYERERDRERDYREMPPTPLSAE PRLVNRRSIVSQP JR316_0005960 MSVDPEAVKVDAPIVSSETDQSLELKKKSTQTARVVLPTAADDA HADVDTDEEGPEDGVEEETDDGDFLSEFPDDTEDLELVHSKIGSLAGLRLARFAEHLK RLCLRQNFISILEPETFHQLTKLEELDLYDNKLKGVGDALDKLENLSTLDLSFNLLRS VPDRLEFLHSLDTIYFVQNKITKISGFASCVTLRSLELGGNKIRKIENLESLVNLEEL WLGKNKITKLQGLGTLKKLKILSLQSNRITKLEGLEELKDLSQLYLSHNGIERLEGLE HNLELTTLDVGNNFIPAIENISHLKKLEELWMNGNKIPDLSSLEPELRGISTLETLYL EHNPCQKNDMTGYRRKIQLALPQLKQIDATKSKAKGINASSFFDLKAELSKQEAEFAK AKAAGRSTSIVGGVKRPDKKPTVWARQNKGVNIRAGRDVELEEVAKPTLDSARAALER KAKIYEKLRRGKTGGLNDAQYDALLVDFDTDNVTSKYYEADSADEDESLTVPTRPEDD PMVEYEDEFGRIRTARRSEVPRNMITNREDEVDEDEDIIIRNPVNHFPTYQPTEERLA EIAKQYAEENNPLSQHYDASKEVRAKGAGFYQFSGDEATRAAQMEELKASREETTRIR QELGAEDVKFGEIEGMRHGEGQGGTAGGPVVSRGAEKRKRELEERRKLLEAKRKKAKV SDSSAATPSSSATPEIKVTSQDLNKLRAASPPPPQATSANRVSRFSDRQSIKETSPAS SDPFAALESKLTSSSKAKGKSKAEYPTNDADSFLAQLEQEFLAKR JR316_0005961 MFTFSARLWAAAGCAVISYLAYSTQTYFTTQLPKAAFRASRLTP STFLITEYNDIFSEHPFIYAKVVPSANTILIIDTGCGGLSNDTDIEITSLRKFIESAN VKDNNGAPINEGGKMGYVVALTHVHYDHILAVEDFKDWPILASAHSPSFLEKDAIPEH SICNALNLTTPQYTPTLVPHMHNILSSDADKKPLGMTILHTPGHTPDEIALYDEVEKM LYVGDSLYEWEPIIFPKEGSIATWFSSMDYLISFVEEKNSVLQGSDDKGQAPSEILIN SGHCTARQPALDVLIKAKYFMEDVVAGKEEVKERGWSRGEATVMYGKTGDRFSLRCPE RLVHEAQNVMRND JR316_0005962 MSDHAKTITVLYFAAASTATGITSEEVPIPESGLKLLGLTELLV SRHPNVGLKEIIDASQWSVDLEMVDDPETILLKGGEEVAVICPVSGG JR316_0005963 MVPYSVQDEDLSVVIDKEHLIISVKGNPPIVKGRIYGSVDTDSC VWQLEPRSSHLTARERTHSTASTTSTHSSYAFVSDPDISSSFAASLESGPVSDAEDVY SPSPSSPVLAYADEGLYPVQRRKLSPNPIHSRSVSPGHAHPSMTSSFSSLDSRQSPQS GRLLTVHLEKEQSTIWPSLIVGPVPESLSSSISNTVVVFDANEESEEKYNMDPTSLAL IALELSDIRKEKQEAFEYFLRSWKQAHVPSATMRLVSHYLPLESTEEIVEPVGKPAPG STAYYLHSIGGYRGLAQLYLEAGLLHLEGAASTLLAASYSTLASIRIPIQAQIGEGGT EAWKRDREAAAEFFERARTLYPILDIPALPSDAGLELEMPIMHLTPSAPDSIQSKESH YEDSETEIPVVRRRRKREEEIVLEKPNTDMDDYDNTWDCFVGCRDCRRPELLKLVKEE PELVILDKCNTMTGYTAETLLNARYQIQVKVIAIFTSNCGYFEAALTRVIVTAMSSTP TEWNVHFLRDEGAVAPTEGRNALIILNTSFSLNLLQRIWSSTQWHCCADGGANRLYDA LQAEERTK JR316_0005964 MEAVPTHRPGSLRSMASNSSIASGVSLSRRPRTTSRARSRTVTG GSFRAEDVPELPTSPSDLPYLASPFHEAPSEDYKVSSSPTPLNEPPSRPPRSPHRLDA NEIRSSDTASSQGATDADPTFVDAAPVPITSISGKPSKASKQASTLPHLDTGYRPPPS AFQRDPALTPVINVRDSVSTQQSGVSSSLYPPSTSTASAPESPTSPQSMASQADAVPF SVEVNEVQDFDGDDVAYRLRLLVKNNYFLPPAHSKPSPADLVSSSLNPSKKSPRSPAP NFLDLFRVGKSKSKPTTPTGQGSAFDPMAPMLRTTADSITASYALRGNQSRPPQQAPN VPMHSPNPAPRGRVVVVREKMTDIAVAAKQSEQDIKMRGARLEQTSQKGDSTSVDDII DPTDAVDIPPPSPSYPFAVQASAMHGLGVSESVGADILAERLPPGKHTPAYDPVEDTW RKALLHQAVHHSLDNTPDVSTLSQIIAPSTPLSSPMSIPTDSPHQDTPKATQNLLQQK ITNHPLPESPSKIKHFREKSNASTAKSISKAGLTVSTPDGSQELSRPTSYQRVDTPSG PLTPLGPPPPRRFPNSAFSLSQSNATNGRVSPLSVRSLDDSRHILRRAASSPLMTDGY NTSLSRLDLSSPPPMPPYDPRFSQASSSRSIRTSVDDDASEVHLSDNEALPRRSLALS AMRSKPSMSEYSHASQSPTTSTFQDMLNHDSQSVSPVQSEPSRFTGEPLERVATYRSS VSARSAATSPPPRHSSSLAYTPLPPPPRTTSLNYQGVKKQLSSSSSRREDSLRESSDD PTFHITAPEPTTPPLPGSGHRSHFHTPPLSIDTSMVSLSPGLRSAPGPSSLTSFFDDI QSQPNAMDDLESSSDESEDEPPRITPQIYDDPRNRAASVSTSPGGSRSPIMKHGNYST PYIRQNRPPIGHDAHSKQPISNKTPSLLSKNDAQGSSYDFFKYAQDNPLNFSALAGDA EGARRPATADHVHTWRHNQEKQESLRKLDGMLIQHIEDERDHIKRIATHLKQTANPT JR316_0005965 MSSFLAPYLPSSAGWLAYWQLFVAGAAVFNSIQNLLTLKLTRKL YNNVPATSVTALQARTFAVWTLTSAVIRGYAAYNINNKIIYDIALLSYLIAFGHFFSE LLIFRTAKLFPGVISPVIVSTTSLVWMFTQYDFYVRG JR316_0005966 MSTALTSTTQDTQYPQEILYAICAQLYASCLLPDEPSLDPLIHV EGDLGVPTAHPSSVPPGYWPEPVVRRTLANLCLVNHAWYQAAKPWLWHKLEVRLPRTW LSLVEEIAWNYDEETVDSVMGKTIQAATQAAIDSAHVISNHEQAFRFDENLFNNLDAP DVSISLDLLSPVPSRDPSPRRLRPKSKSPARWKILRSISNAIQDVMNTRAPGVYVPTP EDPRPGRFVRHLDFNHFRTIGMRRSVEEGVNSRFVTGDRVQAVLKEMPNLTAFGATEY MDGALTLPVLNELFLRGAPSGGRGRPTRGRALVELHDIEEEDRERRRECKDIEAVDLT GCVSAVFVNAFTDFVNMHLLPPPSDSDSEGDEGENGARRIRQRNLYEPLVFPGLRRLG LRGVKSILPQFLGPFVLAFPHLTHLDLSGTRVTPEILDSLGQSSTLRLKSLALARCIK LTSECITSFLVDSAVTSELTELNLYGDMSYGSPIVADDLLKIVTFAPSFVNGNLVYLD LSSTPMTKEILLKFKPQLKLRSLGLSHILHLELKAVAEFLKTKAPNVEILTVVNTSPE LDCGLRLPTADARSATRQSSIALHTQLIRPLCTPPSSFSIMQSSSSALPPPTRLRVVE LSTAMLGALGAGAGSWRIIRSKGGRGWYVDTASAWVAEKGSGAGSVLCRDLPPSHLFR IEMEKLSDANGNVSSGVGWHARKMEILHGHGMLGREDGLYGAVSFAYQG JR316_0005967 MQSLKAILCASWLNVLLIFIPVSWALHFALPHSFANRDTIIFVF SFLAIIPLAKSRLIVKLLGFATEELSLRVGQTLAGLLNATLGNAVELIVAIIALVQCE LRVVQASLVGSILSNLLLVLGMCFFAGGMKYSEQGFGISAVQLNSSLLTISVIAVLLP AAFHFAADPALSDDQEKHDILAVSHGVAVILLIIYGAYLSFQLYSHTALYQDKGKHTI VSTGYDSPKFRMQGLKNFRHRHDDVHSTDIESTAQRLGGPASVESSTTLHNGGPHIQQ FGLASPNGNNLNVAAVEKAQENVEEEEEKPSLSIVVTVGLLITVTILVAVTAEWLVDS IDGMTSNGKISKEFVGMILLPIVGNAAGWILGKPMTLLFDPYESVTMFLAVLTVNYVV QDGKSNWLEGFLLMGLYFILAVTFWFYPGSDPFGLLDKC JR316_0005968 MSASFKGHTVVVTGAGGGLGKAYSLLFASRGANVVVNDFNAAAA QKVVDEIVAVTDLGSLAGGKAVANTSSVSDGAAIIKSAVDAFGTVTILINNAGILRDK GFKNMSDKEWDQITEVHLKGAFACTKAAWPLFRKQKFGRVINTASAAGLYGNFGQANY SAAKMALVAFTKTLAREGAKYNIKSTVIAPMAASAMTETIMPPEMLANLSPDYVAPFV AAISHPDGPDASGKIFEVGAGFIAEVRWERSNGAIFKTDDSFTPSAVKAKWDEGKLEA AKKLPPNVQASPAVRFDGQTVIITGAGAGLGRAYALMYAKLGANVVVNDVNANAAGAV VDEIVKAGGKAAAAVCSVEDAEKIVQVALEKFGSVHVLIANAGILRDKSFQAMTEQEW DIVLAVHLRSVLKYSTAKAGITGLTRTLAIEGKKYNILANAIAPSAGTAMTSTIWPQD MVDAFKVDGDLLIDNESTTGDLFEILGGWAAQTRWQRSGGYGFPTNKPYTIEDVFAKW SNITNFDDGRATNPSSTQEAIQQLVENFGNKGDAVKAKL JR316_0005969 MATNNVRLPQRRTLAQRAKADENAMSRHVRQASAGGTQGPFRFT AGKSEALRSTATRQALSEVTTAAVNRKDAISKSGLGKEKEETGLKRGRSNSTTLAQRV PLGPGRGQVAPPVTNAVNARTLPVRTRVPAPPKRSSKVLTEQILEEEIEVREDLHSEM EVEEDIAHDYDEEELLVNEQEVEAMIGIDSEAEPDEEEDDKSLQPVEAKPPRVWPDVE TERALRYQKEVQVIRSRFEDEIDVYDTTMVSEYSEEIFEYMCELEDEVMPNPNYMDGQ NEISWGMRQTLVDWLLQVHLRYHMLPETLWIAVNIVDRFLTKRVVSLLKLQLVGVTAM FIAAKYEEILAPSVEEFVFMTENGYTKEEILKGERIMLQTLEFRISHYCSPYSWMRKI SKADDYDIQTRTLSKFLTEVTLLDYRFLRVKPNLVAAVGMYCARKMLGGDWNEAFVFF SGYTEEQLIPGHNLLVEKLTEDNFNKQYVCKKYANKKFLKASVFAINWAKNHVETTNA AVPESMILTQ JR316_0005970 MEELEEGQIAHDHSANGYDPAFEWPGESETSREYDSTNLTTALR PGQPIFRLVVLRSSILSRKKKVAVIDSYPEVQLGRDVQPEGSSTPRIRLKEMQVSKIH ATAYWDGARKEWNIVDMGSMHGTFLRPGTMSPDSEGIGTRLSQPRMASIPKRLRHSDQ LKLGTTTFEVHIHDNQRPCGDCALTEDGEIPLFPVPKKASMKRTRDAAGIDSDTSAAS PGFATMEKDPKKALTMLKRSLLTRHNDSQHASTSTAPITKSEYVDRAARRRLLHPASR PDSPGVASITTETTRKLQPALTGAEEQVPNSVTSQPPTPLPPENIGHRLLMQQGWAPG TSLGAPSDPEDGRIGLVEPLELKSSQNRSGLGMKSPPADTDTSISGLDWKEREKLKRF GAFGR JR316_0005971 MEPFTESVWVEVLTSKQIARRWRECNPQSSKQYTQTSDEEAWRE NIINLLSNGHSMGGMLEMSLEEVKSNYSSVGKLGQTARRATSNHIRTALSKPRVATAI RRMTALFNFIPDLSTFNHIFTLYSENAYLIFSTEPITSIADKPLLKIENTFVSQAQTP NSQPPPPPPRQQEHYNTPQPEKSSPKKITPEREPRVDNKVKVIESASETESDSEPAET TKTSLTSNLAGPSRTSARSPSPGSPKDPTPPQSEPIRKSRSRSSLEAEVPPPRPVKKT KPTLQSSSGEDSDQDVKKSRSSSAVASGARRGTRQPIKRGGKKF JR316_0005972 MAASSNESLDILESALDQAFSAPLPTVPQEVPQEVSATEQSDSS QFESVEESNLTESSVVEVNLSESTVSNDSWKEEYEAQVKSWRARSAEEREKAEKERLR WEAIRAIEKEEAAKRKAAGIVDEPVVAPTQPPEEKWEDVKTSSETTPETPASKVETAP SNIKEASELKVPSSPTPPLPRTVSHQDTATTTDDSQKWEDVPSVTSSFPSMSFPENIE ASTPANREQPAPAPAPVSVSLAIFDSSLSTRTRVTALISSLAVNLFLPFVNGVMLGFG EIFAKDVVMRWLGWKPSGPASTVTNVGLRSSSREERQRNAFR JR316_0005973 MATKEFKTYTHEEVAKHNKDTDLWVIIDGKVYDLTRFKNMHPGG ASVLVDDEIAGKDATEAFYGLHKHEVILRPQYARLQVGVVEGEQSVITGKVPGELSKV PYAEPTWLSEGYKSPYYTENHRKFQKAVRKFIDEVISPDAALHEEDGKPPSKSVIEAM AKLNLHAMRMGPGKHLKGLELMGGIVKPEEFDYFHEMIITQEFARCGKRGYGDGLMAG SVIGLPPVLNFASPAIRDKVVPEVLSGEKPICLAISEAFAGSDVAGLRTTATKTQDGK HWIINGTKKWITNGTFTVGCKTDDGFTVILVERGEGVETKPIKTSYSPTAGTAFISFD NVKVPVENTLGPEGGGIFVMLSNFNHERWVMICSSARSQRLVVEECLKWTNQRKAFGK PLHSQAVIRHKLAGMIARVETIQNWLENITYQMNNMSYKQQAAKLAGPIGILKMQATR TAQETAADAVQIFGGRGITRTGMGEFIEHYHRTVPFDAILGGAEDVLGDLGVRQAIRG IPKNSRL JR316_0005974 MPVLVARAEDSDGPTLANPIYLAGLIVAIVILVGAGLWMGLRFF RKRMAAKRQEKTGAAFLSVKGLVRDDPNQEGEKEGFEQRVAKGFLSDINSPTIVFPDK ALSPPATRDAVIDYHRRTGSIPKPFAAKPALEMPAFPASTLDAPSSARNSWVSFLGSN RFPSNRSSVLSTASSNISATTGSTRKVRQTFDPVLPDELLISVNEQLTVVQSFDDGWC VVGRENKSLFPTAKSLFKSAPAAEAETTELGVVPAWCFMKPVKGLRAERPVRSTSLGI TINLEESGPSRHSILTWSNF JR316_0005975 MDVAGYIGGSVLASLLKHPLSDTFRLTILVRDAAKASQFRMMGI HTVVGSHSDLQLLEDTAADADLADADDEGAAKAILRGLKRRFEKTGKVPSLIHTHEFI VSVQGVLVDDAQGMKATDVIYSDLNIEQMESIPITQPHRSVDLAIVDADKEGYVRTYI VLPSTIYGIATGPLVDAGLQNAHSQQIPQLVELSLDRGQAGMVGLGKNIWPNVHIHDV VDLYVVLIDSIVPHRSDTHSHPHRAITPHPDLAHGPSGFYFGENGEHTLYDVGLAIGK VMVSLGKSKDANPTPFTEEETKKYFPNGTMMGTNSRCRADRGRELGWKPKKTTKDMLA SIKAEFKH JR316_0005976 MVAHERPIHLAKRQGPDPLSVSDAIGVNPSSTLVVDTAAATSVT NLAFNPTASSNPGATAPPLNPANPFSTPVVTSSVTQISAASSTSEPVPTAADASSGDS SPSSLSASAKPTIAMGTVIGSCVGAFVGASLLILIALWYYKRYSKSLKARVNARGPLS HSRNLNAGEQRRSRLEPWNKLEDSDDKWEDMYQTKEMKETEQVAPMEKLTMFKKTPSV RTAYTHKSTNIASFDFPQTYSDIGATQAQATPMPVPRPFLDRIDVNPEMTSSWETQTG NGSYLSVHSQADGGRMSPTTAMAIPTPHPVASEAHKWESAEVVHYTDAAPIADAASDP EANNRRSIHNPFFNAQDTDFQPRSRSNSVVKSAKSKGKEKMRYSNVSTVSAAHSVNPF EDINTELPPRPTFVQHAATASSSSTESKERALQSLIAALDVSEDEVRDRLRIASMQPS VISQTSTAGYDEEADVTKEFPLPPPRAA JR316_0005977 MSNSYNMGQQWNQSQLNFSSLQLEQDYEFAANESRQQQQQQQML HQQQQQAYASSNAYHNQYSQQQQQQQQQQVQNPNAHSSSLMGAFSNPLSQQHSHSRSS SFGAAQSGQNSMSGAYAGLYGAQATGPPSNGANGPYRQPTSSAFTFSPPSGIPMSLQN NLSDVSQGGSPSYLTSSPGPLHESFSPPSSSSQLQQTYFNANDTSGAPQPKRHHGSAF KDESAIEDPDSEVGQSDTKDKQSKLKREHLLNQIQAQAKEIERLMHQLEKVTISSNQP RNAGMDTSTGVNLSSPVLTPSSNSGSFFGSDVPGDEAGGDEHGGANGNGSNNVVMNKA VEEWIAKARESLHEFGAFIGIGGAGMPKRYLVEEDYEGGDDSDDDEEYVDASDEALAE AFGNGDERYEVTVDNAPGDASGSSNPEQGGRILNHKSSSSSIGTVATANTQQRKKNSG ENAKPVNLPVGAAPFGLFGELSLKNPVSRAGSAEPEDEDRGSGIANANFFKSTLAPQA LGRRLEVAQHQAPSILTRGIITPLEAEKLFKIYFDNMNLSVSLLDPVLYTAQRTFYRS PFLFTVICAVASRFYFERPDLYAKCMHYAQWAAGTALIGGNKNVEMCSAYILLSLYPV PAKKWEDQRSWLYLGLAIRVATDINLHVPTSAKPLNENHAREMLNRTRVWLNCFNLDR STGSQYGKPPIISSSDYIANNSPKWWNSSPHNMPNFDIHISAYNAELRVMSSFIAKVY SDPLHPTNLNKNIDFEKIAIETDDELQVLRDQWFSVLETTDMNDPHNRFRTGLLRLAY SYARLIALSYGFQHAFGKTDRVNENPFLERCLRAAFDVVDALVSDICRPGQLHFVKHG PEAQSVFVTFASAFLVKLLQPRFTTYLTPETRAKIRSQVQKVADLLGSPEVAIDERHG PKLYSRFLEKLLAKPMASLDPMSPGSTASSTVPLPRQKSRTYRSPPPATTSTNMATHS GYASNYDAPSNVFTHPSPSTSNSLSPPPTESALSFDNFAPAGPIDPYVPQTGPMNALN VNPTDSVVTGGNVMMNEFFQPPLPFDDHIMQSMQSLTDPSGWQDISLPVGFNWMAQFQ ENLGLDLQGMAYDQSMDYMTGPSN JR316_0005978 MFSRTNHHHQHGQRASSPAPLLGTRRQRSPDSTPGPTESESDGR KLLQTKRSPHTKRLIKKGRTHPRKSVDAPLGPSPQHSVNTPIPLADPHSAPNTDAAPP STLPPPAVSSDIVAGQVAHLETLLDSILATVAIIQSISHGTVTLSDRTMHAALLLHST LFPADPECHPQNPERVTEPRSYATVAKTTLVAQPAHTPQRTSPAPIAGGPVKTRPHVT RPTRHYSSNNRLIASWDDFPLTQPSGPLTDFVERLNSELSPMSRDPPRHVLGANVSKS GRLIIHTANDTRTARIKARPMTILQAAQASDCFPNFDSTIGDPPTVHSDVPWHGIVVH DLPADSLRDSFDSATPKNSIWSLLENEAGVSRQDIRGQIRALCRDGEEFQKDRLSILI RFEDKNITERLYHSGIFLFGSWCRVSRYRERKRKPQPSTPTPHAENTMPQ JR316_0005979 MASTQLKRISKEEVGKSVIQWIIIDAVVYDLSKFKAMHPGGLSV LLDPEIAGQDATEAFFSLHRYEVLQRPQYQRLRIGQIDGEEPHIHGRVAGELSKVPYA EPTWLSDGYHSPYYTEGHRIFQKAVRRFFDEVVYQDALDREADGKRPSQSVIDKMTAL NIHAMRLGPGKHLQGRILMDGLVTPETFDYFHELIITQEFARSSLRGYGDGLMGGKVI GLPPVLNFGSEELKAKVVPEVLSGKKFICLAISEAHAGSDVMGLQTTAVKSEDGKEWI INGTKKWITNGTFADYFTVGCKTEDGFTVILVERGPGVETKQIKTSYSTTAGTAYITF DNVRAPIGNTLGEEGGGIFVILSNFNHERWVMCCASARSQRGIIEECLKWTTQRKVFG KPLHSQAVIRSKLAGMISRAESVQSWLENITYQMCNMSYKQQAGKLAGQIALLKSYST SCGQDTARDAVQVFGGRGITQTGMGKFIEHYHRTIPFDALLGGAEDVLADLGVRQALR AMPKDVRL JR316_0005980 MALSGLKVIEFAGLAPGPFAGMILADNGASVTRIDRPSSTSNDV LCRGKRSIIVDSKKPSGRQLLQELIASTDVLIDPFRPGVLERLGLGPELFLGDGQRKG LNEKLIYARIVGFPRTGALGPHKNMAGHDINYLALSGVLAMLPGTAEKPAFPINILAD FAGGGLTCANGILLALIERGRTGRGQVVNSDMVSGTRYLSSFPLIQNYLKTGIVGGPR GTNILDGGSPFYNIYSCQGGGWMSVGCLEPQFFKIFIEAFTDHVPKDFNPLNGWKPNP STQFQKDEWPMLQEYLTKGFLTRSRDFWTDLFHGTDACTVPILTPQEAGKQTSNIPAF HPQLTSHIDQGKPCDSKNVILQPGTHTYAVLREYGLDESRIRELDNAGVLGNKSLPPS KL JR316_0005981 MIPLTLFCILAGILKPALSLSPLRLQGPPTLPEDASHPLNPALA SFSIETAFFEEFFGNASAPNQLSLNLLENIKSRTGVPPEIRIGGITADSTHWDPNQKV ALSNFIDSTGALHNTTLGPQFWKSVGLLPQGTKIIMTLDLHDLDFEGALSMAEATVKG LSPSQFLSFEIGNEPDHYLSFTPQNYTSIWATWAKNISAALNIKTPKFQIAATVEDPI WPYDTPGASSALDCVSALAAGSNKDNVVATCSEHTYQYSVCDPPRIAVATLPNLVNHT RLAEYLDLWQPRIKSVRAQLGDDSFVIGEYNSVSCSGKDGVSNTFGQALWLLDTTLYA ASLNVSRLYLHQGGPLALQSSTQLNHGGLSFYDMWYPVQNQNGAIQVFPSYSSYLFIA EALGRSTSLRISNIFPSRQANGSSITTALGDESAGQLVAYGFWDTSKPNAHSFPTKLA LINLQIFNQTEIGQRPSAEFDITEYRQNKNRPVTIRRLQAPGADVKAANLTTWAGQNF ASGEAAGALKEEKQSSSKISVQASEAVLVVL JR316_0005982 MSFPEEPLNLSPASGGPGYFVATIGQKLNKDKYEIIRKLGYGPR SSTWLVWCEYDDAYFSVKIYTVAESKRAEEIELPILRSVKNLSSTLPLPWYQDSFWET GYHGSHFCIVTNPLSTTVEALRLEEEAKKLPVHVVQRIIYCTTLALEGLHSAEIMHGA VNAGNIYFATGTQADVLRPQLEEEEEPLTIPIGNFEAVESQPIKHSLRGWKHKKAIVS EWPLYLLNLGHAQRSTYKPESSWDYASAPETISQNPSCSLQTDIWQLGALTFKILTGS NLPGFVDEDEGLNLIHAALVTSLHKDDVSAASGFIKDCLRADPSNRLTAKEALRHTWL SKANACSCGYC JR316_0005983 MYFSLKTLLPTVAALVLLKGVVAAPVFDDLVERNDFDDASLLER DAVLDAVLEARMDDILHEIDSAAGKHKYTHGPTRLSISDNAKAELNRLNIHGKERKNA IKWNKNKVKKEMRKNPELKGAHTGVIEHLAHKGGSQPKEKNHITASFKDKHGQQIHNS YNGGPNHHLYINKNNAGAGRQAKGGYKKNNNEIKGKNTGHKSAVNRNPESRHGKSSQK NGGKGKGQQRQGGASKNQNKGPQVKKGGRK JR316_0005984 MSVNVQSTARPILDPARYSLQDHERQFLELLTGIYDDDELKKHV LAVQAKAYQISAVVVVGNDTRKVAVDGWPAENIVASDLRGEFWDCGHELFKSTPESFP ATFIAGDVFDSSMLDMSDIQADAEAKQPPSIRPLRGLTSLTPLKHQVSAIHASALFHL FPEEGQRELARRLASLLLPEKGSIIFGQHGAMLEKGFRVEKKRSTDPLFEVRQAAMFC HSPETWKKMWEEDIFGPGESGWQRPEEMKIKVEAELKQVERWDADLVDNDAKPYFVMN WSVEVL JR316_0005985 MMIYAHPNTRPKLDPSRYSIQEDERQFYELLTGIHDEEELKAHI VAVQAKAYQVFGYPCIRLFSFMRLTIARLSAYPKVLKLLEQRKDPILLDLGCCFGNDS RKAMIDGWPIENIVASDLRQEFWECGHELFKSTPASFPVAFIAGDVFDSSMLDMESDT QADPDSIRPLRNLTSLSPLKHKVSAIHVSALFHLFDEDHQRDLAHRLASLLLLEKGSI IFGQHGAQPEMGTRLEIVRMDGTDSEIGQHTMFCHSPESWKKLWEEDIFGPGSLRHRA SGDSEGEVRVKVEAKLKLIERWDFVNTNTKFYFMDWSVEVL JR316_0005986 MTRYGDPATRPSLDPSRYSLEEDERQFFALLTGINDEEELKKHI LAVQEKAYQVYGYPCIRRFSFAKLKIARLPGYPKALKLLEQRKDPILLDIGCCFGNDA RKAVVDGWPAENVVASDLRPEFWNFGHELFKSTPKSFPATFIAGDVFSPATLDISDTQ PSPNSNSTTEVKQPPPIHPLRDLTSLTPLKHRLSAIHASSFFHLFPEDSQRELARRLA FLLLPEKVARPEKGFRFETVRKDNDGTAVASEIRLRAMFCHSPESWKKLWEEDIFGPH QDVKVKVDAELQEVELWDYRDLEPTIYLLNWSIEVV JR316_0005987 MDNYKWNFTPEKSDLIPQLHERVAQRVYDLMTSNGGLYIKIGQA IGANAAVLPKPMQVKFASLFDDAPQIPYSVVHDVCMRELGRPPSGPGGVFEIFEEKAV ASASIAQVHKAKLWPRVLPDGTLEKEERWVAVKVQKPDVAKQMVWDLGAYRAVMWMFE NWAFDLPVYFAVDFVSEHLKQELDFVREADNARQTAEFIASEPTLRDKVYIPVVYPEL STKRIMVAEWIDGVRLSDKPGVYRLMGEKYPSLSASTIDPASATSLVASPSSELSALP NSPTSVSSLQFPSKPLKGGLDAVLLPLVQLFCAQMFDWGWVHCDPHPGNILVRPNPVK PSVPQIVLLDHGLYVRVPDGFKHDWVKLWRALLAKDFHGVEEVTKVWGFGVPDLMASF MLMRPTVLKKDNRKGPQPPRKPLTQYEISVKMKQKLKEFLMDTDRMPKVLIFLTRNMR MVQGNNQSFGSPVNRIKVTGLWASRSLSRDTALPFSLRVREYIYHLRFRFLIFGLDVL FWRAKATAFIGSWVERIVNALGIRRWGLGWVRRGNFEEELEKTMRGFAKDSLGFDVGS GAFEG JR316_0005988 MTSYASYIPMGTIPVDGPSPYNRQFTGEIASPSGLTRGPNFFTP LTYDGYTGQGTAWVVEEQHDLYHGNPQTPSKRRSVPKTHPYHYAHDTARSPTRAKFSI PEPPPGYFPFYSEGSHLITRPRRVYAVSQATDAYSDASYSPSPPIQMRLPDPSLIPKR RSPSEESVESLSRMQRDAFTTQRGPSNCERVPQAVFRAPASSGSFRYQDIAFHKEGFP EPGIRVGEIGQPTFLLEGATECTLPNTGDSFLSIQIMWPGYEPYKFKLKAFMGEGRAK VPITHETIANRLCAELLEFKKCLKVVDPMLAHSWWISRHYGDAGIDINQLFLSHMVHR GGSHWQVELWAPRRQRHS JR316_0005989 MSSPSTPRVASIPRPPPSPLRSSSAASVSSSTSASLATATTPLA MPTPRPSAAQQHQQQQQTPSHSTPSSAHSSPAPQAQAGASAPRRSVTTTPPPQGQKTR ARDLLRKHYGLGVGPPPPRPGGNSQDPMDLNSTAFDAKSYYEQLITTSSLPALLKREN ELLSEIRQLDSERQSLVYNHHHELIAASDTISAMKTRAESLDSDLDLLRAAFSEISRL TAEVSIEHPTTNRPSSNNSGNSRNGNITGSSLQ JR316_0005990 MDNPQHYQPLSHALHPPSTSSSRPLVSHYTPNSHLGSYAQPQKP AEPTPTTGARPVAEEEEEEEEEEEDDDDEGLVEEQLNQNDDIHGSDPSSPKLTTSTED HSATAPQSAHTIPTHDETSSPAPEQKRRPGRPRGSKNRRPRVGSAKHDSQIYHPGQSQ APTSTPSAVPQHPNISPSNQQYYEFQWRVLNLCAEFYGAAEELVKGTSPLVVAQCYHM GPSAKIDPLVMLGEAKRICDTLLANPSQLVTNPPPPMYPVITPIYQPPVVTPQATPAP STSTPAPSTSTPTAPAPVITNPQSFVVPLGAQPGYPHPQYPVYAAGAYTTTPYYQYAA YTPGGYYPTPVPPQHMVSTPAAGTPAQPTAKITTTPATGGTVIGNQGAWSEEENERLK KLTEESRSKGPSGDIEWDWVVQEWGISRTRQILIRATALGLKESSTRATKRRRGDDQP DAPMSSLQTSNSNTSSASVPATMAMPISSPAHSTSQTGSTPAASPALQHQQRPSSSKG PSSLAPPAAAPAAPAAKLPWPMPTVAVSTPPTVIQAPSHDQQQRSSYYRPRPNQTDQA PKQPIIPPQQPASHQYTMYPSNGQGMRPKENGK JR316_0005991 MPVLSRLVPTIASAYGLQAIFALIFVPQQNEKFYDLGGAVGWLT TTFLSLYYPSLKAKYWDAIPGSLPALSSFAPRQLLISAAVGIWSVRLGSFLAMRAIKA GGDSRFDEIKKSPRKFTYYWMAQATWITLVGLPLYLVNTFPSRIHPALGARDYLAVGL YASALLFEVIADRQKSAWRKAKNNKQHDEDFISSGLWGISRHPNYLGEVGIWTGIWAL STSSIQTAAYPRGTIALAALSPLFTWFLIRNVSGVPPLERAGDKKFAGNAKWQLYKAS VPIFWPWGSTK JR316_0005992 MDLVLHIADEWFLDKVYAHLVPLSAFGASPELAKSLNATTNLFT TSADSSTWSRLVSHLPHPPLSHEIASSIYANSQSISAWPRDYIPRQIASLSILTMIGI HILYFLFAGLSYQFIFNHEMMRHPRFLKNQVALEIQTSLRAFPVMMLLTLPWFQAEVR GYSKLYDGLDTYGYFYLFASVPLYLLFTDYGIYWIHRWLHIPFFYKHLHKPHHKWIIP TPFGSHAFHPVDGYLQSVPYHLFIFIFPLHRVTYLVMFVLVNFWSIFIHDSDMITGHI FEKAINGPAHHTLHHLYFTVNYGQYFTWADRVGGSYRQPESHLDPLLEVKALKDE JR316_0005993 MAPLSYTDTLLAIVGASVAVHLTYKKFESYEPIVAFGLLVLVPS ALSALYLPHAVSLAWAALTVFPLFWTSLLTSILIYRLSPWHPLAQYPGPLLCKVSKFY LAFRSLNGKQYIYYDQLHEKYGNVVRIGPNELSICDANAIQPLLGNTGLPKGQFWDGR IPESEVVKPLIAIRDKTEHTRRRRPWTRAFSTNALKGYEELVVKRSTQLVDSLAAEKG VTNLAKWISFFTYDIMSDLAFGGGSEMMAQGDVTGLWHLLEGGQKNAVFMSHVPWLGR LFFRYPKFAAELKAFRAHARSRAVVRKNEGSAYKDIFHHLMDEDGVASERPTAVEVTS DGGLAIIAGSDTTSSAMTHLFFFLMCNPTAYKRLQAEVDQLGNDVLDTTKHAHMPYLN AAINEAMRLLPPILGGSQRTPDKGSGGRMLGSYFLAEGNSAFIPTYSLHRDPRNFSPA TDAFYPERWFSEEKRINLEPKVFGNPSEFIHNSAAFIPFSLGPTNCAGKNLAYMEMRM VICMIMQQLDLRFQDGYDPSNWQVDMLDYFVTLKGVLPVIVTPRKGSRY JR316_0005994 MSFFGSTASSTVGAAANTSATAEKDIEVAEPPSDSISSLSFSSQ ADYLAVGSWDNSVRIYEVGANGQTQGKAMYQHQGPVLDVCWNKEGNKIFSGGADNAGR MFDVTTGQATQVAQHDAPIKVISWVDVPGAGILATGSWDKTIKYWDLRTPNPVATVTL PERCYTFDIQYPLMVVGTAERHIQIFNLSNPNTAYKTIQSPLKWQTRVVSCFTTSPAN SGFAVGSVEGRVAIQYVEDKDVQSNFSFKCHRRDSTPNAKDQALVYAVNDISFHPVHG TFSTCGSDGTIHFWDKDARTRLKTFDAAPGPISSTTFNRNGNIFAYAVSYDWSKGHSG MTPGAPNKIMLHSCKDEEVRKRAARK JR316_0005995 MSHGEDSRSIPSINITVDVPATTDPHVASSLPPSSGLLSPHSPS NAYGPPSPTPSDVSDSSYIPPSPTLSNHSGNFPTTVQLRENRPEDKSGLSSLGLLNPG LATHNRKASNATSMTDVESDYRHVPSTTTSVTHVDIFSPSPSHSEKKPAESESDNSKP EKGGKKGKKGKKDDKDEPTLTAHQLELSQDDAIDPAPFRFKPYQLAHILDPKNLDALR SFGGIDGLLRGLGTDAERGLSSTDASTLGADHGHRPSLGHKESHQQEARTSSDHGNLP EIVLTEPNGKLASPTGSDDGTTISATLEDRRRVFGTNLLPTRVSKTLLQLMWTALKDK VLILLSIAAAISLALGLFQDFGTPRKPGEPPVDWVEGVAIIIAISIVVIVGSVNDWQK ERQFKALNERKEERGVKVIRDGIEKVIDIKEVLVGDIALVEPGEIIPCDGIFISGHNV KCDESGATGESDAIKKLSYHEVLEHHRKALAAGQDPHTGHTDCFMISGSKVLEGVGKY VVVAVGTKSFNGRIMMALRGDTENTPLQLKLNALAELIAKIGSVAGSILFFSLMIRFF VQLGQGEPVRNANEKGIAFVNILIISVTLVVVAVPEGLPLAVTLALAFATKRMTYENL LVRVLGSCETMANASVICTDKTGTLTQNSMTVVAGSIGIHAKFVRNLDQNAARSNADE KSASGTEVTRKHPQDFSIELADLNQVLSPALQNLLNEAIAVNSTAFEDIVAEDLTLAD LQGKKKKANDNAPPASKTIFVGSKTETALLEFAKELNWPPFQQTRNAAEIVQMIPFSS ERKAMGVVVKLPNGGYRAYFKGASEILAKKSTRHVVVNKDGKHSEDVETEAIDELAQD NISRTIIFYANQSLRTIAICYRDFTCWPPTGLSYDDSNEVPFEDLARDLTLIGITGIE DPLRDGVQDAVMKCHRAGVTVKMCTGDNVLTARSIATQCGIFTKGGIIMEGPVFRQLS QAEMIEIVPRLQVLARSSPEDKKILVETLKKIGEVVGVTGDGTNDGPALKTANVGFSM GIAGTEVAKEASDIILMDDNFSSIVKAIMWGRCVNDAVRKFLQFQISTNVTAVIITFV SAVASVEEESVLSAVQLLWINIIMDTFAALALATDPATEKLLDRKPDKKTAPLFSVEM YKMILMQSIYQVTIILLFHFRGIDILGFEHTEHRELEIKTLVFNAFVFAQIFNSVNCR RLDSKLNIFEGITKNRFFIGITLLEIVIQVLIVFVGGAAFQVTRISGREWGISLALGF VSIPWGAVIRCMPTKPFESFFKFIRLVGKPEVLPTVKPDTDGWSGAINLVRDNLSTFA NIRGGRVRSSSFVLKSRSARLSNQNDQMTISSVLTMAPTLMIGAVAAGQAYLQAGSLS DPAHADPSRSSAALWEGKLQIHPETSKDDPVYRQFAGKENIA JR316_0005997 MASEVPHSSSTEQPAMDFGKGGHLIVVSNRLPITINKDAHGEYH FKMSSGGLVSALSGFKKSLNFTWIGWPGFFIPPKDRPLVDKRLMEEYSCQAVYLDDDV ADRHYNGFSNSILWPLFHYHPGEMNFDEENWLAYRQANMQFAEAVRKQITPGAMVWVQ DYHLMLLPMLLRGILDGKKNVGTFTNDELTKITEGIDVTAFSTTQAPTPGVKIGFFLH TPFPSSEIYRILPVRREILLGVLYCDLIGFHTYDYARHFLSSCTRILGLPTMPNGVEF EGRLAHVGTFPIGIEPSSFIDNLQKPSVINRIKQLEQRFGGVKVIVGVDRLDYIKGVP QKLHALELFLSQHPEWIGKVVLVQLAVPSRQDVEEYQNLRSTVNELVGRINGRFGTVE FMPIHFMHKSLAFDELCALYAVSDVCLVSSTRDGMNLVSYEYIACQQARQGVMILSEF AGAAQSLNGSIIVNPWDSQQVADAIHEAVTMDASVRAENHRKLFKYVNKYSAAFWGSS FIKEMSKIEATDVAPEGQAELSVKPEDIAGSGSVIVNGSVPLSV JR316_0005998 MGATRADKELYFAKLKELLSKYSSIFLVNVDNVGSNQMHQIRVA LRGKGVVLMGKNTMVRRALRTILADSPQFERLLPYVKGNIGFVFTNDDLKEVRDLIVA NKVAAPARAGAFAPKDVIVPASNTGMEPGKTSFFQALGIPTKIARGTIEIVSDVKVVT AGTRVGSSEATLLNMLNISPFTYGMTVDYIFDNGNIFSPTVLDIDEQELVDRFLSGIK TIAAISLALNYPTIVSVTHSLVNAYKNLLAIALETEYSFEAADKIKEYLANPEAFAVA AAPAASEAAPAAAAAAEEKEEEKEESDDDMGFGLFD JR316_0005999 MECRDGVNRLYTPWSNKVVTRRIYGYATLASTTRSIRRHHAKER HSECSEAKIPQASHRRLEQRVLGPSSQGQRPGVRNTRFGAPASTLPKADLLAASSVST PTPNHPKSASEIRPSLSNISKSPSNARICKTTHHTISAIEGLLTPNTPAKKLSTRLLT SLYEDASKQLGVRFLGSERLSAILSLCGSLASSPHPTLYFNILASRYHSDLPKAQLWS FVANVGADKAKSGFELTVEDRYWVMQAHLAKARVAAKNRLKPYLDNLRLQYEQLQNAS DAPEAHLPYLRLLVSTRNVTEAIQHLCRVLQRVEHPDPQFPQFLWDVILMHGADISIT SKELICSTVSHRINAISSHWVPRSSGILTTPPLIAGVASQGAIGIPHMTAALAAALFP CYNYSGHFLYHWAIQQAGQAFASHLPIEDRWNNILLLATAIHPKMRPASYSSATTVPL NSGNILWRTALALENMNRSFPITGSDYGSLCAKVQAITRPLWTSYSSIKKGMQHVDIT RAYISAFLQVAERSFDEQLKGECYRFSKESNLWTFSQNDDRPTKAQAIDLIVAYAKAH ISCKGRDWVGCFSAISALVPNLAWQEEVTTQLIQQYSQRKVEIAYDLYVQCLEVGIPI RPEAVNNLFVGLVKGHRWDIITLFLKHSSTTHHQRQFLFGESLRVFQVERYRYVDTEF MKLLAQTSLKLFEHQSPPRSLKYPIRYFLSMMIWSRLGWDMVKLVELLHRQVPDFFTQ NLLRALIVQLVRHRQSSQALQLFRIFERGSNEVSSQSLERIRHALMFKLFKQGAYSMA RKAIRGETRAVSLTKREALVRRRLSMPTLPASFYQRVRKVALNALAEGSTVKEAVSML VNGNRLLTARKLYAKCRSTLDVKIRTTIGNMIIHGALQNSGFRNARLVRRVVRMKDLF IKQYGFVPDRATLNIIVKAILNWRTILDAPKVRRLFDTMVHGGYPVPEQFRQENGVPF GSPKRPFNSLPLASLPAGYSFAKHIRPMYKMFIKAFYVRKDVTAAKTVVGILKEVEAA SLRELEKRDKARRDGIIKKKLRLRREVEVARKDVK JR316_0006000 MSSEDEKHRAASDEKATYGVQTVSSSDEYLAEYEDAAVTSGAPV ERISPLGYHVDALSVIFLNISKMIGTGVFSTPGAILSAVGSVGLSLIFWVIGYLFAGA SLAVYLEYASYFPHRSGAEVAYLEKAYPRPRFLIPTAFAVQSVLLSFSSSNAIVLARY LLVAGGAQATTWNIRGLAVGMFTIIVIICILSTKWSLRLSNAIGVVKVITLVFIAITG LVVLGGNTRVEDPTANFRDAFAGTSSNGNGLATALVKVNFAYAGFENAFNVLNEVRNP VKTVKRYAPISLTIVFILYFFANIAYFAAIPKEKIESSNVLTASLFFTAVFGSSKAVT AMSALIAVSSFGNLLAVIIGSSRIIRECGRQGVLPYPKFWASTRPFNTPLGPYLLKWI LTVIVIIAPPAGDAFNFIVDLQSYPGNVFSFLMTLGLFFVRKRRASIGAPPTEFRAWN VVLIFAILVNIYLLILPWVPPEGGIYAGDVSFFYATYCIVGLAILGISGFAYVLIIQV LPKLGNYSIRQLLERLPDGAQTTVLVKVPNDELAHWDATHDKHGDVIPESKQ JR316_0006001 MMIKDIKTKAIAMDQLIKDISIKHPLTASQLSSLRAAVISYTLP VNESSESTNEQEVQPPPPPSEPSDNAMLASLFGWNLVSPSLPEPPRRVSLSRANSSVP SVPASPALSRASSVSLPQTPPPKRPRQSISTDIALRLPINLNKAENALLQCDLCQRRI GLWAFSLRSTEDDANMNIGSPSSQQASDDVNNTPGPVSRPKKPLPRRSLDLLKEHRSY CPYVVRSTVVPTFPNSQTPSTPGKSSVASSNGHGSSLSLSQFNSRNGVPGALEGWRAV LTVVLRYGMVQKQRIEYSFLAPKDSTEESGDNSDKMDVDNVKAMVTGVKTRGGKDLLK YVKGLLG JR316_0006002 MSGTASANVAPITKEKTKSKQRYLKRKKERRKIRTKSSLKSKGD DKDESEDEEMEDAPKSISLTLPEERNGLIQEKTLQRSKKRSREEDQEDEDVLMATEER DISPSRRSQSPELVSALPSFPLPALPNAPSKSDLALQGLDQALIGAEWVSPTTVLPIP IGKDDGGTRLSERTRMRLRDIGITELFAVQTALLPFLLPSQQHSLYLPYSPPRDVCVS APTGSGKTLAYVLPIIETLSSRVVTRLRALIVLPTRDLVAQVRETIEVLSKGTKLKVG TATGQHSFAHEQSQLVQDKNIDLLGGSSKVDILICTPGRLIDHLVGTPNFSLQHLRFL VVDEADRLLAQSFQGWLAQVLAATRVRPTTLVAQQPQSESEQNVMVPQLHPLDSLLPD GLSPTVLGNLTSYSMPSFFTEHRSTSCQKLLFSATLTRDPGKISTLELRNPKYFIVQG SDANHTESNLLDVVTEKFSMPATLNEHMIVSDPSEKPLVFFHLVHTQNITNALVFTKS TESTIRLVRLFEFFEAKRTDNESSAPLVLRAYSSDLPSQERKSILEQFKEQKINILVC SDLISRGIDISHVSHVVSYDVPVDMRKYVHRVGRTARAGRTGDAWTLVEQQEARYFKQ MLKNAGHLELVKRVRINAKDLAPLLPHYEAALNNLKEVYTRQS JR316_0006003 MPWSEAIIEQFAIVDFDTTEERDFYGPFNSLLVDIFPSSEHYQV SPQPKLNDGTLDLAVQFIVYHRATPIFFLDIKAFRSLTLSSTRASADDQMRQTFRHFS SATIPSATFYGLSAFGPQFCVYSLDTVTRSIDPPGVARDLTIVNDIAPENRWAYNLFE PSGEAKLREVVNAVKEMTKNCDNS JR316_0006004 MASGNGNFEGRLGDEKGEPSQSTTVSEKSSLHHEKGQQQQNTSD EVKIDLDFGEVQIRSRTSWWKIWIPNGMPPPPRSSLSNAPDIPLYTASIPSILTFAWV TPLMTLGYQRTLQATDLWKLGESHSSETLSKKLDESWARRKAEADAWNDNLDRGIIGP GPVRRSVWVSRSIFAGTNCTNKYREFEKKWRDVDGRKTASLALALNDTVGLFFWTGGM FKVFGDTSQLMGPIVIKAIINFAKKREAAREHGLPEPNVGIGIAMAIGLFLLTISASI GQHQFFWRSMVTGVLARAALIKSIYKRGVTLTGKARTQITNSDLVNHISTDVSRIDAC AQWFHATWTAPIQITVCLTILLFQLGPSALAGFGLFLAIAPLQERAMAQQFSIRKRSM KYTDQRAKTLLEVLGSMRVVKYFSYEMPFLNRIYTIRRNELRGVKNILVSQSANVAFA FSIPVLAATLAFVTYTHVKPGFDVAIIFASLSLFQLLRQPMMFLPRALSATSDARSAI SRLRRVFNAETRDFDSLFVDHGLKWAVRVEKATFEWEESLSNQDAAVPHQSLTPFRVE DIDMHVPRGSLVAVVGRVGSGKSSLLLGLIGEMRKVSGTVAFGGRVAYCPQTAWIQNA SVRDNIIFGQPFNEERYWKVIEQASLLHDLQLLADGDLTEIGEKGVNLSGGQKQRINV ARALYYDADVVIMDDPLSAVDAHVGKALFHDAILGALRNEGKTVIFVTHALHFLSQCD YIYTLRDGRVAEKGTYEELIQANGEFARLDKEFGGNETEQTSDQETQKVEANKEDIMQ QLTSSNRRGAGTGKLEGKLIVKEQRSTGSISKAVYKAWFVAGRGWFTAPILVLSVLMM QGSQLTSSYTLVWWQANSFHRAFSFYQTLYAILGISQAISTFLSGCCTDIFSYFVSQN LHHEGITNIFYAPMAFFDTTPMGRIIGVFGKDIDNIDNQLPVSLRMLTLTVSNVLGSV VIITVVEHYFIIAAIVISLGYQYFAAFYRASAREVKRLDSMLRSLLYSHFSESLTGLS TLRTYGEIPRFLKENAYYIDLENRALILTVSNQRWLSIRLDFCGALLVFFVAIFAVTG ASGSSAAQVGLILTYTTSLTQACGMLTRQTAEVENYLNSVERIVHYSQTDVMRQEAPH EIPENKPPAEWPQKGAIEFKDLSMKYRPGLPNVLHGISMSIRGGEKIGIVGRTGAGKS SITLALLRIVEYVGKITIDGVDISKIGLKDLRTKIAIIPQEPTIFSGTVRTALDPFSL YDDAHLWDALRRSFLVEDTKTRSSGEESEESATATGRISLDTVLESEGSNLSVGQRSL LSLARALVRETKVVILDEATASVDLETDKKIQHTIQTEFKDRTLICIAHRLRTILNYD RILVLDSGNIVEFDTPLSLFQNADGIFRALCDNSSITEKDFLA JR316_0006005 MTKSPIPQDRAQFATTARLLSCLVTESLTPAFYIPQRNPTHGGF AVILKGGRSFNKSLAANDILALVPLHHPPIINPETKTDVAAAEIGLLDPLDMMPMVFE TEEFPPEEPQQDRCLSNPCIAIMSSLLEMLKYVCAIHLEEYQIRLSSDALSIWNKFAI SQNLDREIQMDIAQELSSSVKWQAHSYENPPNAPSFLSPSIQWEQSIVEGHPTHPMHK TRRFLPPLKDYSPGSYDLLHPRLRFVSIPRENLKVTYDFEGLTLPVLKLASQRAGKDL SVEEHCIAIPVHELQIAHIRDKFPEAYIYPEEFSLPLLAQQSLRSVIVPDAYRGLHLK LGVGVKLTSAVRTISPESAYLGPRFSAQVVPKLTMDRNIVTVARELASVVHAHPNGEI AKHCAAIVRECHEDTSDTRGERLIVCTSLVESGHAGKDGHLPSVVRVFGLDTEEKCAQ WFEKFAALFLQAFLPPMLHNGVAFECHPQNCVARFDLETKELKGFIVRDFGGLRVHPE TLKATAGVEIDFMAGHSIIASTLDGVYTRMYHTIIHNHFQQLIRVLGLHYSGRGWAIV REQLKIQIPPDHPLYESWLSPERRTLPGKCFMRMRMSSMYRFHLHGPFPNLIHYTGST JR316_0006006 MSSEPPRDRASFAVMSRLISCLVTEQILRAFYVPMKKPTHEVAG LLVVLSTHTISEDFKVSRTFHTRDIFVIVQLNHKPVLKDVQIHRGSQLVGLVDPMDMI SPIYEFQDDRNVNDNDIFVESVLESLSSPPWDIETCGSLYLVSDPVALWKKFVETVII ADELRDIIALELQSSLDWQTISYENPPLCPSLQSASIDWEQSLVAGHPTHPMHRARMF LNNDESSLEYDWYHPHVRFVRVPARSLDVLGQFRDISNAMVTKAATKAGRSILIDNDY IYMPVHELQIINITSKFKDVDVLDEDIFLPALAQSSIRRTNRTVVIPDFPAIALKLAV GVKISSSLRTISHFTANFGPRFSEEIVPKLAVNPAILAVELESSSAVYRCDDPELSKH FTAVIRDEYKPREGETVIVCAALLEAGHANVPTGISAVQHTFQLDTEEKRASFLDRYI RIACEALLPALVKNGVAFEAHAQNILARFDLETGQLQGFIFRDLGGLRIHPETLRNST GVDFQFLPGHCVATKSLEEIYPKFYHTFVHNHIQRLSRLLGLHHNGRGYEMLRKHMGS VIPENHPVWKVWMDPTSTTVDSKCLMRMRMRDSYRDMVYSPYPNMIQYRPTPEVSTAD KSYWSITKLLVDYMSFIRASLFGGK JR316_0006007 MNKTKSPIDLNDKINKEDEIGTLIVVLLKARNLNDKHSFRKSDV FAQATLNGIQKRTHVDIKGGQRPEWDGEVRFTIVKHKAEKYRKLEVACYSQEPRSEDL MGQGFVDISETLRTGEFDGENLIDWVPLEVDGVQRGELYLEMTYYANKAAPTGPPVPN KQLAAVQAQNNLTRRPSKLSPADRLSRPQQTAAAVQYAQVHSVPGPTSGAPSSRPHQQ HYPSQAINNQGFNPQANALPTSLVPTHPASTGSSPKGKQDALPSPFPEHRRAESLGIT QQPQSAVPLPTILRPGANGSTTPPSASGGPRPIGQGHVRHHSQGQGESSAPNAYIPGT SATSQNPYIGGATTPPRNHGNTYVGGNTTSGSTNPYVAKNGSPPSSHANPYVGSNILP SSGLGPGRAQSVLGYGSPPPSGPPLLWQQSTSCQSDGSFSFPMPMIVPPSQEQIPHQS FSTFSEHNGDHTGGRAGQHYHHRPTHRVSSSREEQFDPYLQARYQSPLPLPPGVSASS SSIAPTRPAPPTPAPTTTAPAPAPSTRVPTPPPKTDVTPGPDKRRLEALRRAEEEAAR RREQELKDLELAMQLDRELNLTEERASGANGDPPIPGRWQ JR316_0006008 MTHIPLRYQHWAYVWIPVAAAAMWFSTLLAMLIVWLASGRPKYV SQEGSIAYISDVGADKLKPLFITGCAITGVGFFLTLVTGRLLRHTGRLMPNMRRRERV FSILAIIGSLIGAVGLLFLSIFDTKRFSRAHRVFLLVFIVGVALSAIFSIMEYRWVSK DFVDQSRLRIAYFAKAIIAITLIILAIAFGITLFHAPDAGAVLEWIIAFGFTFYLLTF FYDLRLSKNVEKGELKALAHPSRTNIQNDNQMAQVI JR316_0006009 MATRLVKLTVLTDFTCANCCVGQHELLNAVSYCQDTLHLPLQFE IEHLPFRLINPSILPDDCTKVDKADFLMTHIGKEKFTKMSDAVSKWAEEKGIPISFRG VMSQTTRAHRLCQKAYKLGRQSKQIPLMCAVFKAYMEEGQDIADINVLAGLAESTGTM SKEEAIKFLESDELEKEVDSMTNEARSKGITGVPMTIIDGKWAVSGGQSSDVFVQIFK KLAVAGSHNPSSPFSGPVADTVLVA JR316_0006010 MDELLDSIRILERRKEELLREVADVDLALDVQKAQYARLLNDQA PIYKLPNELLITIFITCQQSLRHSSKATTTPFQVVISHITHRWRQVVLSTPLLWNTIN FRVRPMNHVQRHILSQLQAHLTRSDTCFLDITLDFHIVDNISAYLSLLSPESARWRRL AIITRYEQIDEIRALLRDAHAPLLEHLSLSLGKPQDGTGSLSPRKQYACVLPTITPSA SSLRFVRLAGLALGSLHPPTSSITTLHLDGWTRHYMTYDQFKSILTAATSLVNLSLNQ LCIHHPRDPFEILQPVELAHLRNLRIRGTCRPLSLMRMPNLHSISLQNVDTFDSDAIP SVQSLHLDSCALDRIEIDHLIRSFPAIRTLSIDESLPDIYDKLIPETVLGTSPANTPW PHLQTIMQHDLLNTDVPQFCHMLFDMSRTRKELTKVCLDRRSRTVLRAKLRLDWLQNL LQVENCDVEEPWPLGLGYEDAHDLLQ JR316_0006011 MLSAGKLIWISCRPLLRLIFCVSSGFAIAKADIFPPVAAAGAGQ ILLNITLPCLMFSKIVPAFTSQNVNALGPLILVALLYEIIGAIFAWIIKQFFWVPHRF RYGFIVAGGWGNVGDIPTAVIMSITGAAPFRGVQDQNLAVAYISAFILVFMVTLFPFG GHKLVAWDFAGPDVEHEEVREAMRLKRKVMFHSVCRLWRKKSDKGDREPVIDVPENTT EKETPSGTLVQRKQVSFDQNCSKGAKDVGILSPSCSLSPTEVNYPISDSPDGNATMTR VNTLSNEGQPISQPGVNKEAVIEVLPTHVTQTSKSSFGHYINRERVLEFFKALLTPSS ITILTSFPIALIPKIKALFVEVPGTYMPPAPDGQPPLAFLLDATTFVGAASVPLGLVC LGSSIARLNMPRKGEWKNQPMGAIIWLSIAKMVIMPVVGVLMCQGLTQAGVISKDDIK HLTFFSSFFSCLPTATVHVLLTQVYSGTGSAEHLSPFLIPQYILMFISMTALTAYTIQ LIF JR316_0006012 MSTPHVIASSSNHPSHSVSSNNGIVGGHYKVGKKIGEGSFGVVF EGVKVTTNQPVAIKFEPRKSDAPQLRDEFRSYRTLNGTPGVPQVYYFGQEGLHNILVI DLLGPNLEDLFDMCGRKFTIKTVCMAAKQMVTRVQAIHEKSLIYRDIKPDNFLIGVPG NKNANTIHIIDFGMAKHYRDPKTKVHIPYRERKSLSGTARYMSINTHLGREQSRRDDL ESLGHVFMYFLRGGLPWQGLRAATNKQKYEKIGEKKQTTPISELCEGFPEEFTIYMNY VRKLGFEETPDYDFLRELFSKVLKTLGEPEDGIFDWMLLNNGKGWEASSHASASAAAT PHREARRERDHGRRSRQPDGTTPNQLVLSPAPIHQKSSRRTPTGDRDRLANTSGSVQP LAPTSRRASQQQRGDIGGINSATLTAPHPYATAPSPNGYRNAPTPNTYGRQSPNPPPN MHLGANGHMNGSESFLYGQAQAGKNGSGEATTAAGTGQKDLHGQVRNGMGMGMYDREQ MQRVGDQGEDGGHGRPKGFWSVLCCRG JR316_0006013 MQDSGMLVQPFSPGIPPAAFGAGGPMLKQFDESTVVSRKGSQAP TPPLSVKGIGNKVAKPDYSESKIVLAMVGLPARGKSYLSNKLMIYLKVFNVGQLRRTR AKQKAQQLGIQEDHTASWFSHDNTEANQSREQLAQDSLEMLIQWLKDGGNVGIHAGLL RFAPLACRGRDRRRERGGLAGAPLFCYDSSPLYLPRTSDATNSTRARRASIEERVAKE KGIHLIFLESLCDDPAVIAANVALKVTSGDPDYKDMSPEVAKRDFLRRITEYEKVYET ITEPHLSYLQIVNVGSQVTVSRIHGYLQSRIAFYLMNLHLKPRSIYLSRHGESQFNVE GKIGGDSLLSPRGMSYAEALPALIKDNIGDAPLTVWTSTLRRTIQTASQLNFPKLTWK SLDELDAGVCDGMTYEEIEQAYPDDFANRDEDKFNYRYRGGESYRDVVVRLEPVIMEL ERQENVLVIGHQVDLPYIKIPLHTVIKLTPKAYGCDEERYALPIQAVDTHRPKPKGPA PVVHPTTARQYFTETEEKA JR316_0006014 MSFATVALRAAKKPAGSRAFHTPFALLGTSPLTASTSAPPNELA YQYEKQYYDLPHEPLMSSSGYRTYVVSEPDASSRHYEVPAGAYPTSSPFLHHFGTTAA PEVGSAQYSSTSPNLLAHGFTTRAAPQHPGGVGESSAVRFKAAPGEMGARGGGYGGAG LVDAKGTKAGVGSLGERNPQPDSKVAEQYSKAGVDSAWKLRK JR316_0006015 MTLHLPLNAASPLPPSPSFLVPAKDHAAYLARAFHAIMKARRIV VICGAGISVQAGIPDFRSADGLFQSIKRDNPKEAMSSGKELFDVSVFNSEQKTSLFCQ MIAQLSDLSQAAEPTSFHQVLRELDDRGRLLRVYTQNIDAIEQKSGLSFGVPEYEGKR GKAARAKAKLKAAAAAAAALAATEESATTPANPDQQQTESSSDPVPSTSRLPSPPAET PRCIPLHGTLQSMHCQICNHSSPLLDYLPSLASGVPPPCPECTSLEATRQLVGKRPRG IGRLRPSVVLYNEMHKDGEGVGDIVQKDLMGASKGKGRSGADLLLVVGTSLRVPGTKR MVREFAKAVRSRGAGSSSTTTKDEQQQHVPTTPAHGGRTTVNSNRTSSGLATPAPSPR RSPTADDESASGSSSSNAAPMKAIYLNLDFPVPTREWEGVFDAWIQGDAQDFAEMLKV EIEKEAKAKEVLVEKKMKKKREEEIEVQAEEKEKEKGEVAEAMLDLASKGKDNYALRE EEEEEEEEEEEDGPAEDELPDYGRMMLQREKEKKAKAKVKEILKKQQQQPYGSTSVKR KLGQVSKNPSSSSSSSLSSLSSLSSSAESLPSLSSSSITPPTPTSLGKRRKASLPLSP PSTPVVRRKVRGEPPLSTRTSKGKGKERSRNEDGNSDEDIKMDDRTTQQTTHTHSNSK LFVRIPPRVPTANVQSENMNMHVKTNLTNSVPQPRYVYTPPQPPLQPYYRASYVPPLY VRPYEFLRGDRMHVGAEEDMDVDVDVVGDERTQYDYEQPHTPARFSPLSRYAGGMSSH PHMPVQSHSHPPSRSRSGSMRDYDHARDKDILNMSPPTSPSRYRHSISSSSYSRSPSL SSMPSSPTSIRVPPRPRAVPPSPPSIHHSYDQYHSSPSHYASQTSGAGMETAVSLPTP PRLNDHHRLGGRIDHSHTLLSLRMARTQLAAQDAA JR316_0006016 MPQPYSKRTVEVGDVGYLNDSGNFVFSFNLFLPGNDPLNQLFRK GLPEGYIPLDPPTNNEILKISDYFAPGSVIASNGVDVTRVSESPLHLKFRSSEPEAVA LVLPDGASREDFKGLSRLQEYLKTHAESWIQYLSNGTLYSVPNGGLYVITGCDKAPTC STAVFPYKHFLGSRHTSFEFAKGYMQPASRLGIDSYEGRDHGRDVQEHCLFVRGIRIA LSDPEWNIQIDEGLSEDTPISPLPLTPPISDQVLPAKIDVEEPLQLETRINNTCSTDT IDSDISQENEVQVCKHPDTKLALVDDYTWSQFAKISQKVGLHEPARAPLISRCKQNSF ISDWGILLDAIFKSHVVVETDGILNLIPKQSKNFSMTIWFDSLRKQLRSRQSSPEPVW ATALVVDLVRQGESIRGPGQKFVIN JR316_0006017 MSSQTDTSGQRVFRPLPRVDRTREHTASEASQVTVESLGDSFDT LGIDDTISETTYYSERERPPTYYSTWATDVPVCAWVHLGNVFLSDSIVDVQEDSQFST SRRPGAGYPHPDIGQSLTVLSNDHGNQSGNTVTGSADATAITSLGYVPTANSEHDQPG IYTSFVHTPTQAIPRERESNTMILSPVRRQNYDTAPINTSLSLGQTPSRSTLSFSLTG SKSYTKYISKMNNMNIPLTGKPLAYMQSNILDQQDHFPLWMQALATNLQPGDVGIFKE EGAFQSFFNISLTWQQNCERFGKAPPSGFKPFGNITVHSSLCGLGFMNRTEGFESFDR TFETYGSHSRARFEFQLIPSQCDEVEAALIFPDPLDHCKENYASDLFNELRQETGVDY LKAQIDNWYEHISSKISKDVKSTHLILIERTYTAIGPCGQVLYRRPRNKKAIQRSNPI QAVFEPRLRTDAQGQQWYEYEWSVVGNGSGKVWLRDRRSGGQGMGGPEEKYCIGISAL TLTKKKKLF JR316_0006018 MLLPDPYSRRTVEVGDVGYINNSGIFIYTFNLFLPSNDPLNQLF PKKLPEGYFPLDPPTDDEILKIPDYFAPGSVIVSNGVDVTRVSESPLHLKFRSSEPEA VAVVLPDGASREDFKGISRLQEYLKTHAERWIQYLNNGRHSYSVPNGGLYVVTGFDKA PSHSTALFPHKRFLGSRHISFEFSNNYMQCASKSGIVSYEGRSYEGVNLQNHCLFIRG IRIALSDLEWNVQIDGIHSDNTPVSQLPSTPPISDLVLPAKTDDEEPLQLEAGINNKE INHSIDSDSVNSDIVQNNNVEVRNQPDAKLAFVDDYTWSRFAKIDAKVALGKPSKAPL ISRCMQSGFISDWGTLLEAMFKSCLIVETDGILIINPKQSNNFILNFLFDNLRKRLGS RHLSAEPVWATDLILELVRQVVDMGTSLNFPSERVFQIARRCTTAAFTLHSKLEFKVA KLQELALLYCMSHFKLISGGYEDDVDLGYEFTYTGHGGQLPMQNKDRSQKKDQEWKHG NLALKTSCKLRKPIRVIRGSRLLSRWAPAEGYRYDGLYNVTEYGRKPGRSGHLVCLFR FERCPNQPPLPEPTGPRQEPRTLRHISPSTASRPSTPSLPAKKRRRSSEEADANRTSK KLKSSTKYEPLFIEGSSKKVLGASPGVYARQDYQESPGSGRGSLQMSPEFRKDFSEGP ASSYGGKGKSADLFSLGAGTDNDEIDDEDMYMSDERDAGINHVVSEPETRVKVEDVYT NYLVSKELEGNLEDDDDIDLLEVDLAYPDENELLSQGLFPISSSPVQISSGDCPSEYD EFEAKQEDDENNVEFTYESQEATFETEDETTMIDKEGRFYGSEREEEEEKDDEAARYA DVKYHSGSEDEGSARREKEADGAIIKVKKEEEADKGVEEDDGDDEEEDSDDDDRDFYR KIPLFQYAVRSAGPLSRRKRIVKEDEDEGSSDSSDEGESDPGPAPPISINHKPSSAVE SSKYEADNSSSNMDGGDLVNELAADLASPVAYTASDFGNTLYSADSPPYAADDAAQSL VGSTASFKTPPYVVDDEAEVLVDPKSNDQANNAT JR316_0006019 MQLPDPYSRRIAEIGDVGYINDSGTFIFVFNLFLPSNDPLNQLF PNKLPEDYFPLDPPTDNEILKIPDYFAPGSVIASNGVNVTRVSESPLHLKFKSSEAEA VAVVLPDGASREDFKGISRLQEHLNTHAERWMQYLNNGRHSYSVPNGGLYVCASKSGI VSYEGTSYGGVDLQERCLFIRGIRIALSDLEWNVQIDEIDSDNTPVSQLPSTPPISDL VLPAKIDDEEPLQLEAEINNKEINHGIDSDSVNSDITQNNNVEVRNYPFHPSDLILQY MFSRKMCNLANKYSETLYTNGIGVYIIASKGRAMQLETKEVGWENTKTYQRGQQSEVQ PTPF JR316_0006020 MQLPHPYSKRIVEIGDVGFINDSGTFIFVFNLFLPRNDPLNQLF PNKLPEGYFPLDPPTDNEILKIPDYFAPGSVIASNGVDVTRVSESPLYVWNCISLLNA DNCDSHLKFKSSEPEAVAVVLPDGASREDFKGMSRLQEHLNTHAERWMQYLNNGRHSY SVPNGGLYVVTGYDKAPSHSTALFPHKRFLGSRHVSFEFSNDYMQCASKSGIVSYEGR SYGGVDLQERCLFIRGIRIALSDLEWNVQIDEIDSDNTPVSQLPSTPPISDLVLPAKI DDEEPLQLEAEINNKEINHGIDSDSVNSDITQNNNVEVRNYPFHPSDLILQYMFSRRP DAKLAFVDDYTWSRFAKTDEKVGHGKLSKAPLISRCMQSGFISDWGTLLEAMFKSCLI VETDGILTLNPKQSNNFFLVCKSRSIVKIIINLFSEFLVRQFTKSTSLKAFISRTCLG YGYHFGSGSSR JR316_0006021 MSSQTEPSGQRAFRPLPRVDRTGEHTDSEASQVTVESLGDSFDT LGVDDTISETIYYSERERPPTYYSTRVTEVVRSYSIPEDSQISTNWRPGAGYPHPDIG QSLTVLSHDYGNQSGNNVAGNADATAITNLGYHDVPTPNSEHNSPGIYDSFVHTPTGA ITGERESNTMILSPVRRQNYDTAPINTSSSSGKTPSRSTLSFSLTGSKSSPHIIDTKY INKMEKKDIPLTGRPLAYMQSNVLDTQDCFPLWMHVLATSLQPGDVGIFDEYGTFCSH FNISLTWQQNCERFGRDPPNGFKPFGNINVLPTSYIPDFMNRTEGFQSFDRTFDTYGS QSRSRFKFKLIPDLCDEIEAALIFPDRLPCSKNYASDLFTNLKKEEGVDYLKAQVDNW YHHISSQISHEVKNAHLILIERTYTTGQCGQVLYRRDRNKRVDKGFIEGVFEPRPTGT DAQGQPWYEYEWTVVGDGSGKVWVRDNKSGGHGVGGPDKEYCIGISALTLTKKKKLF JR316_0006022 MPQPYSKRTVEVGDVGYLNDSGNFVFSFNLFLPGNDPLNQLFRK GLPEGYIPLDPPTNNEILKISDYFAPGSVIASNGVDVTRVSESPLHLKFRSSEPEAVA LVLPDGASREDFKGLSRLQEYLKTHAESWIQYLSNGTLYSVPNGGLYVITGCDKAPTC STAVFPYKHFLGSRHTSFEFAKGYMQPASRLGIDSYEGRDHGRDVQEHCLFVRGIRIA LSDPEWNIQIDEGLSEDTPISPLPLTPPISDQVLPAKIDVEEPLQLETRINNTCSTDT IDSDISQENEVQVCKYPFHPSDLILQIMLSTHPDTKLALVDDYTWSQFAKISQKVGLH EPARAPLVSRCKQNSFISDWGILLDAIFKSHVVVETDDVDKRLGILNLIPKQSKNFSM TIWFDSLRKQLRSRQSSPEPVWATALVVDLVRQGESIRGPGQKFVIN JR316_0006023 MRRLSVPELRANAAKQHEDNGPASPAFSKIQHVAGFPNLIEPRE HNPPDIYCRALSMLGNGFPTWIGYTPQLPEPYSKRSVEVGDVGYLNEWGNFVFVFNLF LPSNDPLNQMFTEGFPEGYFPLEPPTDNEILRIPDYFLPGSVIVSNGVDVTRVSESPP HLKFKSSEPEAVALSLPGGASREEFKGISRFQEYINTHAERWIQYFNNGKVPYRIPNG GIYIITGCDKAPSFSAAIFPRKGFLGSRCTSFEFSNNHMHCGPQERLHVYQRDGPKGQ EYCLFIRGIKLALSDPEWNWQVNDIPPEDTPISSLPSKPPTSDNITPPKTDKKESIHQ GSDVSNNELSRSTNRDGSNHSTDNDPDDSSTDSDDNESNKERQVRVRNYPFHPSDLIL QVMFSKHPDARLALVDDYIWSRFANIGQDTGIRELSRAPLISRCMQSSFISDWGSLME AIFKSHIIVETDEDIGVPPTSSKIQHVAGFPNLIEPRQHTASNIYCRTLLMSGNGFPL WIATRIELPQPYSKRTVEVGDVGYINDSGTFIFVFNLFHPSKDPLNQLFQMALPEGYV PLDPPTDNEILRIPEYFPPGSVIATNGVDVTRVSDSPLHLKFRSSEQEAVVLVLQNGA SREDLKDISRLQGYLMTHAESWVRHLNNGRQLYSVPNGGLYVITGCDKAPTYSTAIFP YKHFLGSRHTSFEFSDNYMQPASKLGIVSYEGRSYGADIQEHCLFIRGIRIALSDLEW NVQIDEIHSDDTPVSQLPSTPPISDLVIPAKIDDEEPLQLEAGINNKEMNHSIDSDSV NSDIAQNNNVEVRNVFQNGPQLNLLSQQRPDAKLAFVDDYTWSRFAKTDEKVGHGKLS KAPLISRCMQSGFISDWGTLLEAMFKSCLIVETDGILNLQSNNLLLNSWFDKLRKRLR SRRSPPEPVWDTDIILDLVRQGESIRGPGEKFQINYS JR316_0006024 MIIIAQDIDGTTNVDTSDAASTNTLVSKEQRIPPHLVKLIFASL FMSTLAFSFSILNSVDVVNIVSDGVVAPILAYIFTLPHHGAALLLKWLQHHDMASILS FSPTSTRSLWYSAGLVILWLTSVSISCRNINYTITFEPADLEWSAEATVVTSVLEFTL ILAIALVCFLHYRRQQNPGQQEPPTVAEHKSVEV JR316_0006025 MFEPVRGGTRGGQAEFKWSDVSADKDRENYLGHSINAPTGRWQK NKDVHWYNRDVDKTAEERAEEIRKIKEMEADALAVALGFEPASKTGGKTSGANSIPVA SSSSGEPKMVDSKSKDKDKDKEERRRLKEERKAEKRARKELKKMSKSGAHEVRELSDH VLAPVPPGTVLQKGGVLQTGGLVVVVAAQLHNRNDVVDMQPEKGAQNTIQYTDQETGT AIEDGIRPSTGIFLLTGEVVSSLERHVT JR316_0006026 MPSKRTVQPEKSGILSGVFSLLSREFDSFVASATGSSSYGPSSS RQLLEDESEEFDDDSQDEGEDILHEGWDEPSPPRKRPRNSRHERRASTPPKARQHAPS RKRPAQPPPEDAVQSDEDVDNSEESHRSRSPPPPPPRTLKRRPSMTMPGSLFIRSPSL EPQAQTRKRDRRQRVRFSSKNPGSPSNRQTSPSPQREDPPVDSPRRRNLLSPSVRSAV DRFHDDDADPSLLLPSPTKSPHASGKIQYTDTARPPSNSEGSPTLSRKDKGKARALDP SSNEESETSGYLRMEGKERELIAAREELDRNQRREEANNEGGSARAESDRDADKARIR ELEMEIERLKAELMRRPSSGLGGVPPPPPPPPPPPLNFPKKNLISISSDADPHNLFAS ARASLKHAPTPVENPIVPLRKVGVSSMGIAPEKMAAFLNEMKTVRLRKTSERAALAGT SGSGANASFSGTSSTAANSSFALPPRRQSMSSLQRPQLDNSISSSRPRPVAPSTSTSS STVIGEKRKRVDDTSGPSKRHTGVSSAESSFASASTSSRSLPPGSSIPTTSLSRPIHP GKQANIPNSNTTTSTSRTLPNNNNKFMQPRGGNPTPSITSAPTPSLCSDNEAEREDTS PYDRPPSTPPIDDGVSVGGAGAGGPSRAFFRFRGNGIPVDAGEVSRSRGRIKDARMDV SVSNFRGHEGDDEDEEGGEEEDEEVDEEEEEDVEMGEDILPASLQRPTAPSRPLASAP IRTPTPQELRGEPVQRGAGAQKQQQQQQQQQQQQQAKPKSKPNVFAKRPPSTPFPNKS PRRPRPPNSNSSRPQRHVVPMASTRKDDEDNREISGGGNRNQRGREEAEEEDPLSLLF SSPGSMRPPPVPPARGAQVSSSDRESVDVARKAAGKAAAKEANARTESRQRAKPLQSK PEPEAEYEHGYEEERRENMFEREFGRESESDREREMEDEHEHDYEPPSSPSVRRKRTR SQSRSSVNTSASLSRMAQARMQPPTPPPQPQPRTQRHEHAQQQSELQRSTSQSQSQSQ SHSRRPSSRTSRRLTLDEELRNAVSAFSRDMNEEAMEVAAAAERDRVDADAGSSGGHG HGHDERDEAFESGAYTGVGMRSKKRGFLAHGGAGGTPVFMGVGYVEGAEEDEEDEEER EREREERERERKVQEREKRERERERERERERERQERERQEQERARQEQEQQRERERQE RERHEQEKARRDVRRESHSRARTRPQDRKRASSRADPFDFGGVEDEPEHEPQPQPEHV YEPEPEPEPENPDEDPGPGNGDDDEYIPSPVRAPAKVKPKTTKANFKSRIPRRR JR316_0006027 MPLLDKISDLAGRFAPSKHAKPAGIGSSGMGPVDDDMIVSKPTI VLHASQIFFNFLAMACFASVAGFQAKWHVGPSGLTGFALFVSISGMFLSAFMLGVPVA YEKYDKFVRLARALKEVRVGFILTGTGVTFSLLIAFVTTISAWTQPGCKNKDNDPHSE ELGDDFKNGLPGWCSTKKAGSIFFWFTFGFWAASLVMLVLDWRAGRLHAHAHRDPPFQ RPPPGAHDDGEGDADDDDASYMHVSAPAPGRRQSAYDDPSASQAQSPFADPYPSQQQQ QQRYGGGAYTPAHLHQPLAGRPSMDAYGAFSDPAPSGFGTASLPPHSHSHSHPHPQQP YSTPSYTTSSSSPIRSSTAPGPPVLPDPDMGGPMVSRTMQYADPYAAVHEDEDDDEDE DDDEDDETITDATVDDENADGGYHYDHDSDSDSDSEAHDAKAGRRRSRIGYVSVMRVF GA JR316_0006028 MRLPWLVLACFCLQASAQLFPRQTPDPTDNPTNPSQSSSSSSSS STSVSSSSSSSSSSSSSSSSSSSSSSSSSSSSSASSTTPTSISTTPTSLSRSLQLTTV GGTEVIVTVIGSATSSTPSTTATNASANGDDDDKSSGMSTGSIVGLAVAGGIAVIGII AFFVWKFTRKRFSDFDDNEAIKWPDLNTHGAGTSDSHPLPVNNTGRSGFDTGSEGSLS RRPSTNYSTPDFASSGPDPYAVPPLPHLNPNQPYRDDPAATGAYYDPYRGPVPGTIEQ GGEAIPMTQMNQGRMSPGPHAAYDHYDMGVPQGRQSPGPHNMGGYGGGRTSPGPQAAY GGGRASPGPHAAYAPYPDQR JR316_0006029 MSTIADHLSFPCDTEPPTIEIQSHNFLQDVDTYLENYLGPHPVP EWIYTPLGLPNGNQPNSLPLCADSAYSNVDSGHALFPPPAQSYLNQNPSMPSTYDIWE DHYGAPFPTSLSHTPLLEQGQLAPYPSARPVQHANTGEHFTLGTTFSPDLPAFPPLNH CMTPQQAQAPNLQRSEPPCKVPTPQTIDMNYIHQVINEHAEPSLPVVPPPQRSISMGS FSVSAAANADATTSGAANVEDTRRHLEANCPSHVLACFRREARQSVFSPAGYEAIMKR RENSARFLCKFCERDFTRKYGLDNHIKAHLGIVDKYCEFCEKSFASSLARHKKRCKFI PNAETV JR316_0006030 MADEFTFPSDPEAPSIEIEYVHTSTSVAPQESNILLDSLYPPRR QYAASDSGSEFSPSCPPSPASVHSFFSDNLLSADFFDNPSRSADSLGLIDSFDNLDVH PENSPDIPSLTINSDYTSTNAPPSPISDQNLSPSYIPSGQLLPFYQINASSSLSLPEY LYYDQYDQRRPLIRVASSTSLASSSNDGHHTPYTPDIHGYFDFSPALPDAPWQDDAQS YVQPSESPNPSSPTIDMNQIHETIKEHAEQPTLVAVHPSAPGVTTEINNSNAPTIDVQ RVRQLVEASSAPHVRAYFKKNAKQAVSTHAGLIASNNRRKFSAKFPCSICGHNFTRKL GLNNHLKAHLGIVDQHCRFCTGMFATSLKRHMKRCRFNPDRETANRGTSSLPSSSSSS SSLAKGKGKEKASAGELAGEDREQIGTATEMSPFVFRGASGPTQRPRVRVPRVKVNST SATENGGCGVEGDANTADEGVELPSASRAAPSSSSSSKLLKKHGKRGV JR316_0006031 MSSPKRRKLNDAGIAHDFQGVEIPQRFLSDVNAEVETEIALKEQ LSDTLEARIAWAMMLQDSLKKGTGNASQGTFRSVALDALSVTEQTASHILQKDPVAPP LGDPTRLLSPTVPRPTPRKPVYTPFKKPAFLYIRSSTLGGTDPNDPDTHNHLYLLKCP GCARTAFTSLQGLLNHARLTHALEWGTHDECIRACAVVDNDLDVAAGIEVGIGPAGIL PGLRTIFQRAVGGNVQLPPPPPTPSTPGPETEVGPAIDDSVDAGEGTNAAASVEANVN AAKEEQQPTSSGVGGDHLIKTLGFHSESPALAPFLGKDTIRREIKVWNEDADIDIFVN DGLQTTKKSQWKMPFTPRNFFEQVNKSHLHVDTLGQPHNITDMEIDTSSGDKQDHDSN ATLAAHPKIAENVVTSNSRFHFMARIIITDRSLWIPPAQRPVGEKYTHKWMMSVDAPA YTHHITSILNSVRVGPSEPSLPIPTPPPTTSPPFVVVGFCDRPFLAKVELSFSGTSSQ HKESDLAEQKVSFEHWVGLNPIDNPEVPVFQGQDQVLDVELDKSTILKPPQLGYVPLS SRSLWNMAAIPSPSTLITSESSGVAQSEVTEQEKVERCEEDAFELSNETRAILTRLVK QFPISLDNAKRNGIQPSSLPYKLPASVAYFRTLVMGRRKAIERARARAVQEAYNQEIH NLNNPQLSPLSVGDVFWWMTETGCFLREKEKKPTKQNAKQNEHVQQELQSEPLVEQKI KAEDEQRSASTNHSAPSVRKWCRVCGLEARNHETAKFVVKAEDEMESLEDGNGGVKEG LVKESYAGVVTLVSNQEECHIVPRVLQIAKLPNIDIRMLQEDSQPPTLTRNGVNKTGT VKKVTLDAREIVAAADPKLMLSIQKSVSDLSLSTFRHLQESESSGELFPIDAIGAHRA EIESRLAPTALLAHLTKQFIRVLVQDGLEVAKRDRVIASGVVPASSAPSQKGNRRKKP NARVTVLLTPTHILSGILTRGRGRSSSSSGVPVNNAVDAVILSSLSKLGIGVEDDSAT PSCQGEGKGESEARVKLEE JR316_0006032 MSSQLNPRTTKYEFLGPPGAFAISVGVPIMTYALYFGCSESAGG CPPKFASLSAVSEVVIHSVTSLDWWKALWDTEAALIYLAWYTFCVVAWAVIPGDQVSG TTLRTGQKLSYKINAFSTFLLALGITSGTILRFGPESFTFIYNKWVGLITASLLMAFV QAVYCYAVSFNQGKLLAVGGNSGNLIYDWYIGRELNPRIGSFDIKSFNELRPGLILWV LINISMVCEQATRRGGLSKVTDSMWLVLAFQTWYVADGLYNEPALFTTMDITSDGFGF MLSVGDLVWVPFVYSLQARYLAFHPVELGPLATAGVLFTNLVGYYIFRTANGEKNDFR NGTNPKNLKYMTTKKGTKLLTSGWWGRSRHPNYMGDLIMALAWSLPTGFETPITYFYV AYFTFLLVHRQIRDDEACHLKYGDDWVAYKKLVPYRIIPYIY JR316_0006033 MALAQRLNELAVANSQGLLNDDEYRLLRQSVFEQHASTVVVPVE APVVPIARVHVQVQATSQSHRRPSSKPTNDPPKPSPHALARPKSSVNLGVANLIRRAT GRKPNPSPAVHDTPTNRKDARKPNEPVAVTPVPKRSVVLPRLHSKKPPELPPIFVGGS QPLSGLPSKGSTSQLDVHYSLQSPPLSPSSSSMYYASPTSPRHPENSSSMNDVFDDDN LETAKDFRAAIAITEDEALRLIDAFNNLELSTLRRIQKQNARRLPTTTPTHIGVVMEG QEWREHRLVPSPSSPLLNPRQHRTAPSVEMSNSDGKSLRSVRSGSSHATSLSQSRSIS SLPKAAPASPLSSHFRAPSFSLARKSSISSTSSRSTSLSSSGMLSVLKPLTHHRSTSR LALHRETDSQAMASTDTIARTALEDADEDPELSDIRKRREDLINRYTARIEYLRAKLK SAELHEKLLRK JR316_0006034 MSNPLVLMLERDNPCNTTIVNNETGELMYTVTTVHEKDSFTYLK DAKGGHIAHWKWRDIRSDVLTMGDAKPIPAGDWLQKSMVPFSSTVSFKDNKKRTFKWK NVEGGGQMELYSSEDKKNPVAAFLKSLDYKDRTVDPPVPVHRDATLTLVGQAQEIINL VVISFLILEKKRRSKEAGEGTTASALATPLANLQ JR316_0006035 MDVDTEQTTTTATKPKKEGKDSGKARFEVKKWNAVSLWAWDIVV DNCAICRNHIMDLCIDCQANQVSATSEECNAAWGICNHAFHFHCISRWLKTRNVCPLD NREWELQKYGR JR316_0006036 MRYDLESQISAAKTTSPLPQETLQDLAVSLAKAAKALADATGSL PSYDQKQYETQLKALEKSVEELRISNAPKSKFAFKRKPKAADSAPAPPLSPAPVTTPV DPKQSVTVLPTPTHLVLSSKSHQYITRASLPEHPQQTDLALSDLDNCVVNLLPPIPSI SDGRTESLILTALHARNLTNCIILLPSIEGSALLHDMSNCILVLGSHQFRMHSSKKID IFLAISSNPIIEHCNAIRFSRYPSTLMPALLGKKESPPFTVQDFSHIRPTPSPNFSTM DDSTKQAIEDGIASAHNDTTFVEKLNTVLPQ JR316_0006037 MSPPDKNLVLSVNSGSSSLKISLYAVSSRQNQYPDHSSSEAVTL ILTSSISSISAPPAKFSFQPIESSDDQRCKDEIVNSITDHASAFSHFLEVLRSKASIH GKQIMYICHRVVHGGDYTEPVEITQEAYHHIEKLSDLAPLHNGAALSVIKACIDVLPD AKSIAYFDTAFHRSIPIHISSYAIDPELAKKRGLKKYGFHGLSYAFILRTVSKYLSKP TEELNLIVLHLGSGASACVIKNGKSLDTSMGLTPLNGLPGATRSGSIDPSLIFHYTNK AGRITHDPNMAIHIGVTKAEDILNRKSGWKAITGTTDFGVITSKADLENHDPDVSLRN PYRLAYDIFLDRILHFVGSYHLKLGGEVDAIVFAGGIGEKSVQLRSMVGERLQCLGYP QIDAERNESVGKVDEVVVEISVDKGQTSNRQKHILVCHTDEQLEMARECALDPRFWDE S JR316_0006038 MPAQIISQPNPPADPSLLPDSTLDYAIKLDTKDYLSPEELDGIR KFRRAADYIAAAMIFLNNTSNVLLERDLTHDNIKPRLLGHWGTCPGLVMVYAHLNRIV RKTGLDALYVVGPGHGAPAILSCLWLEDSLSTFFPEYTQNLAGLQKLISSFSVPGGFP SHINAQTPGAIHEGGELGYALSVSFGAVMDNPDLVVACVVGDGEAETGPTAAAWHAYK YIDPAESGAVLPILHVNGFKISERTIYGVMDDKEITALFTGYGYQVRIISDLPNLDND LAASMEWALAEIKKIQTAARTGKPIIKPRWPMLVLRTPKGLSGPKMINGEIIEGSFHS HQVPLPGAKTSDEELAALQDWLSSYKPQELFTPQGVPIDAVLSVIPDVNTKKLGQKKE SYAAYTPLLTPEWMDNCVEKGTQESCMKAIGRFLKEVINKNPKTFRIFSPDELVSNKL DAVFDVTGRNFQWDVAARGQGGRIVEILSEHTCQGMLQGYTLTGRTGLFPSYEAFLGI VHTMMVQYSKFSKMAVETSWRQPCGSLNYIETSTWTRQEHNGFSHQNPSFIGAVLNLK PTFARVYLPPDANCFLSTISHCLRAKNYINLMVGSKQPTPVWLSPEEADQHCIAGASV WKFASVDDGVNPDVVLVGIGVEMTFEVIAAAALLRIHAPELRVRVVNVTDLMILLDTG LHPHSLSHEAFKSLFTEDRPIHFNYHGYPIELKGLLFGRPNLDRITIDGYAEEGTTTS PFDMMISNHTDRFSVAINAISGGATVNAAVAAYAHEKCSYLRHLKQKERDYIYTNGKD HDGIFDTPVFN JR316_0006039 MASNGTQRAFNDDPSVLYISLHRYEQGTFYPCGPFGSLTSCGEG PGTGFSVNVPWPCAGMGDAEYIYAFQKVILPIATEFAPELVISKLQSAFLYGPAIDVR RISLSVSAGFDAAAGDELGECLVSPAGYAHMTHMLAGLAGGRMVVALEGGYNLDSISQ SALAVTKVLLGEPPDELPPLKANEEGTETVWLVAREQSKYWKSVDPKACEPQADVEPI SFSVPEILKAHRQHYLYTKHDMMQVPMMTPELEEKFSSQIMCTSDIFESKTLVIFVHE FGNLRLELESSTTCDVHLERSYLIDFSKELVGWVKSEGYSLLDANLYPKPSTTPTPNL RHKTMEEVGRDVLVYLWDNYVQLSGAERVILIGHGPGCKPLVDLLNRRTTSVTKSAKA IIQVVGSQRMPSYPSDVDDARPWYQKSSLVIVPQSHPVMGPHIKPKDIRRHGVMVPID ETRQIKLITRALPAIKQFVQETLSSFPLANRTNRP JR316_0006040 MATEPIASGSGTMDIDSEKTPSTSQANPMADTFQTRRPRASSLP LQPSNLKVGYIYSSEMMNHFCPGGHPEQPLRIQQIWATIVNEQLHKRMKWMPIREVKK GEALLVHSEDHWNKVIAIQYLTDQQRADSVDYYEQMSLYVMSGTTRSALLSCGGVVEA CLAVARNELKKTFAIVRPPGHHAEPDEHMGFCFFNNVAVAARVVQQRTKLKKILILDW JR316_0006041 MSALNPRTTQYEFLGPLGALAISIGIPTVTYLLYFGCSEETGGC PPQLSSAAPIFQSISSLSWWKGLWDTEAALIYLAWYAFCVISWAILPGDRINGTTLRN GERKVYKINGLSTFLLALGITSGIIMRYGPESFTFIYHKWVGLLTASYAMSFAQATYF YIASFQEGKLLALGGNSGNIIYDWFKGRELNPSIGSFDIKSFNELRPGLILWVLINIS MVCEQATRRGGFANVTDSMWLVLAFEAWYVADSLYNEPAVFTTMDIISDGFGFMLALA TTYVPFAYSVQARYLVFHPIELGPSATIAIIFVNSLGYYIFRVTNGEKNDFRNGKNPK NLKFMTTKKGSKLITSGWWGRSRHPNYMGDLIMALAWSLPTGFNTPITYYYVIYFTIL LIHRERRDDEACSQKYGEDWVAYKKLVPYRIIPYIY JR316_0006042 MPPKKAAAAEKKTLLGRPSNNLKIGIVGLPNVGKSSFFNALSET DLGKAANFPYATINPEEARIPVPDARFEWLCDVYKPASRVPAFLTCIDIAGLTAGAST GAGLGNAFLSHVRAVDGIFQVVRAFDDAEVIHVEGDVNPLRDMEIIQTELRLKDIEWV EKALDNLKKSGRNLGSTSLADKAKKEEIATVEKILKTLTVDNKDIRKAEWNNKEIDVV NGLTLLTAKPITYLVNLSEKDYVRKKNKWLPKIKAWIDANNPGDPLIPFSVALEERLV LMSPEERKEEEAKVGATSALPKITQAGYSSLDLIRYFTCGPDEVRAWTIRKGTKAPQA AGVIHSDFENKFVCGEIMSYDDLKEYGSEAAVKAAGKLRQQGKPYEMVDGDIAYWKAG G JR316_0006043 MRFLPVAVLATLFLFSNAQTTQTTTDGSGSLIIQVVSTNAAGIP ITTAIQTLSASPTPVSSTSSDPVGVLGPKNGQPVSQTGSPGAPTPYFYTTVIDGQTQV FLATFTPTSPQTINFTATSQGTILPYSSWLAVYGPPTGSAQSSGMALRPALVGLGMTT AAMITYFL JR316_0006044 MAADSSSSSKETVAVVFLAEASLAVGHEFCKKLTDYSTSLIKRL HDNHLHAQFKIAFVSYGPSDTAPSPILCKRFFSDRPAFFKEMMEDVSHFGIGLTNSGG GKGMAALDGFAATLELFDILFSLALPRDGSTSSPFISHIIHVAASPPDSSLHPIYNDN AALDNIDWDSLPHELKKASFLRNINYTTISLVPGLSRFQDLHSAVVTGGSAPWFTVYS PHVVLLASFPPPLQSKATPPKRVNEQPIERAPDPKRPRIQPNTESPKPAPKAPTPSVS HIPTVASHTQPIRPAPPPQQHKPGPPQLPTLSPAQVSQFSERLKHAATELSALHNRFN TAKLAGDIKLVEELTKEMREKFENNGKILIMFKSVINSIQNTVPQQLMNQLTAQAQAF YKLLIASQQMIGPNTTGNPLSPDNNHPTPSNMPMPPSGSMAPNGPNPPPQIQHIRSFS DTNFPMNPAAIPSPPNNMPQQNGGINAQMLKMLEQNRARQQQQQQQAQAQAQAQHLLQ SQQLQPPPPPQVGGLPNPMLSGNPMHNIAPSIAMPNRLAVPPNMPLAPGAPMLSADGQ QRQPVWQGPMLWSGVGAMGKKAMQTLVAAYFMQGTECHEHTWPIEMVLAPTRENLVTE AELQMWIHRFKPALCQIIPQNNVADPDRNNTSYKQLVSLLTERKIYATVAWTTPTGGQ TKNALLIPANGKIIGAFFALTGLPDLPKPMAMLLNEFNISPSALQQIQTLPADRVQNY LLNQLRNRNVFLMFLRAYPQQQQQQQQQQRPPQLQPSNNTGFPPNMVANQNFMAAMLA AQRSQAGPPLNMNAPQPSVPASLARFGMGNQNNFSDEIMQSFVQRSGDTGNNMNLQG JR316_0006045 MSHQHHDGCGHESHDHDHDHDHDQSNLGYQDNLFIHIDRQNVVA LNAVGNAPNIIKPWHARLDEAQFLESDADDQLIIRIPFTGSVRLKAMLLKAGPEGQTP AKIALFANQESLDFDDIPDKTPTQEFDIPRNREVGEYSLKTAKFSNVSHITVFVPASQ GADTSRIYYLGFLGTWTQHKSQPIITVYEAQANIADHEKIQGMDGTWTAPGH JR316_0006046 MSESSSTPQAPQTSSVPASEQLPTDFKVFRPTARSPAPLAELSD DYFTPTAADLQAAQATLSARTQALVNAPLKVRAVREAEAAAKLNRWPETRIRIKFLDQ TILEKSFPSTNKIRSVYAFVRGCLREDVKPIKFILYQPPKRDLKVSDPTVRDQTLASL QLAPSSVLLLRFEDDKLNHVNVPAPLAPFVLEQAVDLPSPPVNDDKGKDDKSPSSSST PSAKPKTSGGEVKMPKWLKLGQMENWNLLVQSMLANLQ JR316_0006047 MTTTIPLQNYQRYGRQMILDGFGLPGQIKLHQASVVVVGAGGLG CPALQYLGAVGLGRLGIVDHDRVELSNLQRQILHNEDTLGMYKAESAALALKRMNSGL NIDVMTIALTADNALSLLRPYDVILDCTDNAATRYLLSDAAVALNKPLVSGAAQKFEG QLCVYNLGPTGPCYRCLYPTPPPLDAVGSCEELGVLGVVTGIIGNMQALETIKIILGT NGTIFSFEA JR316_0006048 MNLVPPEDTNSLAHHTGIKKFPTLQYPDISSRAKWSVSSYKFGF GAECLLDGDPDTFWHSDGPQPHYITIEFPRKMAIQKISIYLNFPLDDSYTPSTLAIRA GTGLSDLQDVRIVTLDKPDGWITFDVSSEPNEDGDGLNPVYAYVLQIIVAANHMSGKD THVRGLRVLGPIDESSAIDDDPFPFQSPAFKMYETIR JR316_0006049 MPRRSQTRASNLHTAITTSSPPPLHDSISENLTLLRKQWRWAAF SQFYYTFAQLFNTNDVTISDIERDLVHQSNTVISRIMQKLLYALSYDRKVTTENWQTA LRKQYNKRDPASNPLGPEPKGEDKGTKEEEKELALQELAPAERLGQDINGKELVDGES STPKAESVSLEHTNPDTPLPETAQGSRQSSVLNNTKSASVSAKGLQNEEEEQKPSVNW FDLPVLTKLESLHTLVEWQFQNPIRLRTIMKSDDELASWRVEPIGYDSKHNAYWFIGG ERLWIQRVPPKPPKTQASKRKRPTGKVADNKASSKAAKTSSKSNPPPKKRPRMEEANT SSNVPSSSGRHSRAAKDQAKIRLDLQAKELAKLSREVTKPNKSPAKQPSRMATRVVGT RTSARLRGQEDEWQPIPNEWLNSPVKPKTRTSAAKVLKTGLESDEESDLTELSEDDAD EDHGEDQVEEVEQPAEPASINIEATSAVSQAADEMNEKEKVNVEDTFSDFTEWETLCV TLFEWEHIAERFANATYYAEKALYKLLVNDIVPAITHELREIEKKRELEEALSHRKRS SRLAIRESEREEARLAAKRKQEEEEKFSRTRRLEARQQKEEEERIKRENAREQRRKER EAREESRKALTAQENLAAEQAAAKAKSAQEAKAKAKANQANRQNIANGKNGNHSGSGS RTPAGEDWELSCEICHRQGINLDDGTPMMSCGRCSKWQHIRCHDRADQAAGRPRRNWD AVDFICKNCRAVQQNSYHRDSMQMYNNAHHHQMAAPSQTHPYRPYPQQQHMNSQSDRH VPYPDYRGGVTPQQQSFYVRPSYAQHQQKLPTQYRNAPPPSANIGRPTISFSHYQPSE HGFSPGPQPTYADQQHPYYGVPNPQYRAPPSTSSPYKPQATWNITTPAHAPGYALPHN GAAASSNPTQYRNSAHEHRPDIIPTNEPPPQLYPRYPEQHYQYPQSNSKHLPTSYQPP LGR JR316_0006050 MSVSVVKQSFTIGGIQTTIFKTSRAPDGSVAVVFLLHGRQGSAE DVVSFAASLLQKEKDVPKSRGLYVVTLDHRNHGGRTVEVRANNTWQEKNELHAMDMYS IQVGTARDITFLCDFLPTYLFPHDECKVDAWGVVGISLGGHSSWLALSQDPRITVGIP IIGCPDYLELMKYRANESGVSLEAPYMPVSFFKLIKSVDPASKEYASITAANPFLGKK ILVLSGEKDPLVPWVASEKFVDRLEVGDGSKRVFLQKGVGHKCTEEMVSEACSFIEAE LLN JR316_0006051 MSYGGYHGGGGYGGGGGYGGGGGGGGWGGGYDDKMSNLGGGLRS VDWQSTKLTHFEKNFYIEDPRVTARSEQEINEFKRAKEMKVQGRNVPRPVTSFDEVGF PEYLMTSIRAQGFDAPTPIQCQAWPMALSGRDVVAISQTGSGKTISFALPAMLHINAQ PLLAPGDGPIALVLAPTRELAVQIQQECTKFGSNSRIRNTAIYGGAPKGPQIRDLQRG VEIVIATPGRLIDMLETQKTNLRRVTYLVMDEADRMLDMGFEPQIRKIVSQIRPDRQT LMFSATWPKDVQKLANDFLKDMIQVNIGSTELTANHNIQQIVEVVSDFEKRNKLIKHL DQISAENAKVLIFVATKRVADDITKYLRQDGWPALAIHGDKEQRERDWVLGEFKAGRS PILIATDVASRGLDVKDVGYVINYDFPNNCEDYIHRIGRTGRAGMKGISYTYFTTDNS KSARELISILREAKAVVPPQLEEMASYGGGGGGRGMHFFPLIHPLQ JR316_0006052 MRQFNVVVLGAGGVGKSALTVRFIQDVFVENYDPTIEEEYRRTI TVDGQLDSLEVLDTAGAEQFTSLNEVYIKSGRGFVLVFSLTQEASLQEVDNLRKQILR IKGGDTTAPIVIVGTKLDLVNEREVQRATIQTLASRWELPFYETSAKRNWHVAEVFEE LLRQMRIRYPADPSRGRRRRASGCIAM JR316_0006053 MSLFGPAPKKSKKISLNEFLGDSTLGSWADEMESLPNAPAPRIE EESSGDRYGRRDDFLSSRRNAPPREDVPLPTQPPFTAFVGNLAFDLTESELESFFSGI KTKSVKIIRDKDEKPKGFGYIEFEDLDGLKEALGKTGSNFSGRTIRVSVAEPPKERGF GAASEDNAKFDNPWRRDGPLPDLPNSRDASRRRFDGPSGDRHGGPGADRQPPSVSDNV DQWRSNRPPRVAEADPPPFKRKGSGFLTPEAQSGAADKEETWTIGAKFKASSNGPHED SSTRSSTHRVRNDMGPPKETHADEGDWRSSARGPKGVSPNNSTPPTPQLARKKLELLP RSGSASVSPSPLSSPKMGPTPPTSGNPKSNPFGAARPVDVSSRDKEVAERLEREREAH QEKFSMSRSTSRTGIERSQLSRPQTPPVNATSQPKASVAKPPAPSLVPNVRPTLSFAN AAAKKEPVPRKGAEVERTSDNAEHTEEATKASA JR316_0006054 MSLDDLTVYAKTRGTLAEADTALFTMKKVILPMNPSSRPGYQLL DHFRDRVHFDDWSLDLGDPESEPKRRTHLDNLMIQLQMGWEDSSLPLTGRHQAITSVV LFRGHDKVNRSRWAAGRVLAPDAEFMAICHTVVLALSNNNCEQFYVFTDSMALARRAV DPSIHSGQGHSVTVCQTLERWFEDKPLSQITFVYIPFRWKWGVHGMAYNYATELQAPL GHNPMTSYDSLRWEAVICRSDLWNSLFQSAGYCGRNFLVLDGLDGKPILPDSKDKSAW LSSMSYGSQLYARLCRYILNHAPIGSYYDRFNIQEDTSCPCGSSWEIRSHIFRCGKIY CPPMSCELRAPTTIAYLIGFLLANPKAFAFHLVPRGVG JR316_0006055 MVLLFPVWAGAARALDSRHPTPDQRVAPPPAPSAEGDALRLVGG MNEQRVTPEHNLPVNKLLGPGTVGGIPDVKVSHSSSAMPRVADHARQAGHGIEVRPEG RGRNGLRHLFASSAVLGECARGLEVWDVHDPKIGLGCRRANPGNAVVIPLKMRNNEAT NNIRVLLARDDVSRNGKAAICTLDG JR316_0006056 MPPKATPRRAPSPFCTVANLVAAQQQPSQAPSPAPTTSAPSVRD CYATGPIPDMPWGRSTHSDRSQSGSAASSCTRTPGVATREQTPFPAGQSAPPQSNNKE DTTPVPLALSYNMGKEDSDAWRVRDALDTINRWMGQRGSGKTLSSEIKREISSSLVEM TRTAYTGLFGHHSDADTVPALDRVAALFTGLDDTIRAAQTAQAPLGAIPATSPGRPPL IPLHSKPRRTFASVARQAAISVLQQPTATCSPPARPRKSAPAFTAHGPSRR JR316_0006057 MIVEDEDKIAEDDDLDWTADWTYLDSVSDKEKIDEIINFLPGNV FGKVLALINQAVNKHKFGKGLGLGGKNSMIVNQAKVQL JR316_0006058 MASALSPRFQQVFPPPMDDVYMDQMDLKTLYRFSWTCKELNNRV SGYMRRAFRPKNLFAPIFKPNEHLLFRLLQFKTGLVISGSTVLHFTCFSGPTQSVA JR316_0006059 MSAPARSLLKTTRPSLLRSAFPAARFSSSSAKPVTLKERLAELI PKEIENVKAARLEHGKKSFGPVLVDQLYGGMRGLPALIWDGSVLDAEEGIRFRGKTIP ECQELLPKAPGGSEPLPEGLFWLLVTGEIPTAEQVAELSRDWAARSEIPQFVEEILDR CPPTLHPMSQFSLAVTALNHNSAFAKAYQSGISKKEYWGPTFEDSMDLIAKLPNIAGR IYRNVFGQGKLPAIDANKDYSHNLAALLGFGDNEAFVELLRLYITIHSDHEGGNVSAH TGKLVGSALSDPFLAYAAALNGLAGPLHGLANQEVLIWLRKMQDKIGEDASDEAVKEY IWSTLKGGQVVPGYGHAVLRKTDPRYTAQREFALKHLPNDKLFKLVGQIYNLVPDILL EAGKAKNPWPNVDAHSGVLLTHYGMDQMQFYTVLFGVSRAFGVAAQLIWDRALGAPLE RPKSYSTAAIKKMFADKA JR316_0006060 MAKRKREAAVTSDSILPQKKHYRQRAHANPFSDHALQYPPAPAA VDWAEHYPAFAGTGRAPEFADIGCGFGGLLITLAPLFPDTLMLGMEIRVQVSQYVQDR IAALRVSSQADNPAGASSGPAPYQNVSVVRANSMKFLPNYFAKASLSALFFLFPDPHF KSRKHKARIISPTLLAEYAYVLRVGGIVYTITDVKDLHEWMRTHLENFPLFEPVDEQT LRDEGKGSIIDAVYTSTEEGKKVARNGGDKWLACFRRIDVDR JR316_0006061 MASRVEILNEGGFRSDGRRQFELRSIEMDMSQQGHADGSAVITH GLTQVQVSVFGPREAKMRSQTIHDRANINVEISIAPFSTGDRRKRSRGDKRILEYAAA IKSTFEPAIQTSLYPRSQIDVFIQVIQQDGGLLQACINGTTLALMNAGVPMIDFICAV SGGVHSTHPLLDLTLLEENDVPNVTIAVMPKTQKVSLVTMETRLHVDRFEEVFRLATE AGLVLHEEMKSAVLGRAKELISSLDMGSNANRGGDVDDDGMTGFN JR316_0006062 MSASLTDLAIPQSILDTDLYKLTMQQAVLHHFPDTEGTYRFTNR NKGVLFSRQCIERFRTAVSHFTILFLTHTEREWLARTCPYLTPEYLSYLSSYRFKPEQ VKIKYTPVTSDNLKGTVDIDVSGPWVETILWEVPLMACLSECYFQEVDTDWNYDNQDE LAYSKGKTLLENNCAFSEFGTRRRRSFQTQVIVIEGLLRASKDLPGSGKFTGTSNVHL AHLYGLSPIGTIAHEWFMGVGALKGYEHANTKALRLWEEVYAGGQAPLISLTDTFTTE SFIKDMLADPELAQRWACLRQDSGDPFAFGPRVQKMYRALGIPTNSRGLIYSDALTID KCVDLKKASDELDFGYVSFGIGTFLTNDFRSPTTGEKSKALNIVIKLSSVAGKPCVKL SDDLNKTTGDLETVNLVKKIYDFCIFPPSHSKFDSTFHLILQRHTSEALYSTIMADVR ALLKAKRQEARITHPYAAYNSSGQLKCTVCSTTIKHASAWEGHLGSKAHRTNIIRLRE EEKRQQQAREQEQLPHREESHSPEPTRKRKPDDDESQSSNGSVEKKRKVEEPSKPPQA SSSSFPQDFFSDPSRGPVPLSYSDDEDEEEEPASDKPAPAAAPASGVDEEYERFQREL LSSAVDPTEAYSRATIAAEPVLAPTQIQGFPPTTVDQAPEEPPQLTEEEIRQKREQEE RELIMDKLLAEERAQEDADTRVQLMKTKLENLRRKREAAKANKQKDSR JR316_0006063 MPGTHLLPPNLLKLFAPRPPLPYARPVDRDIDRIRKKDVTGVAA ILAQLKEANTDSLINAGTSEAMEEGEEPVYTHAEETKRQIRREERKAKKTEDFKIAKE TYKPSDDPEAIGDPYKTLFISRLHKSATETDLRREFEGYGTIERVRIVRDKKQRSRGY AFIVYERERDMKAAYKESDGLQIMGKRILVDVERGRTVRGWKPRRLGGGLGGRPKRVE PAQLPPPRSGGMRGGMSGGGGRGFGDRGGFRGGRGGFGGGRGGGGGYGGDRPSFGGGD RGYGDRGGGGGGGYGGDRGGHGGDRGGGGFRGGFNGGNDGGFRGGFRGGRDGDSGGFR GGRPGGGIGFQGGGGFSDNQPNGFGPPHAGPGGYGGPPSGGPPGGGYGYRGGELKRDA PGGYDDRDSKRPRY JR316_0006064 MAQYDASGTYSRRGSLRIDSLSAPTDDELSNASPSSTTATNSPS SAFHSNAAGHPISVKLEEDKEPSSGPHRQRSTSISRTKGEKEKRKRSRVTPEQLVRLE RYFAMDRSPTAAKRREISEALGMQERQTQIWFQNRRAKAKLQDGKDGRVDGMEIAPEL APELGSKYEVDLRDLIHEDEPVTIIPCTDLAVGNWRRIASASSKHDLVAYVSETKRCL TWFIHSDGYGFKMEIPFETVIDTQFQSASPGLALAVFVLSQPPLFYLENASAPRPDGT VARTWKRSADWTEGHQATQVLRHSLMGSAVPLSHLVRSLPANNNPSKPPLPAYRSSEP SASRPMEIPAPPLASLNNPTFSYTAPGMGKSSDFLHLDQMQKRLSYGTLEHRHMPELD LRATPHSAPTLSFAKQQPPYLPPVNRPTATGFQQPSPVFNDYQINSHQNAVMSGYPAA QAVSRPYSVQPQRFYDNSGPRALQNFHSDSDSPMLFDPQSPRLLNSPYHPANVDNRST STRPPSSHSQTGHSQAPSDRAIVYEIDEDLRGRRPQ JR316_0006065 MSDFLSRSSASSPSDMAARKEAMMNNVRQELAIANAQELINKAG DRCFLKCVTKPGESLSSSEQTCLARCLDRYMEAFDIVSRTYTARVSRERQEGQSASL JR316_0006066 MGARESTGRPQGNDAEQQENTLDYYQILEVSEDATADEIKKSFR RLALIHHPDKNHADVEEATRRFATLQQAYEILSDDQERAWYDSHRASLVPEADADTVF EDIRKGTTTSSRVRDRGLTVRHLARFFEPTSWKTFDDGDNSFFTIYRNLFARLAAEEL SFGQTETFPSFGYSTWSWSSSGPEDPDDAKSFYNAWLSFVTEKDFMWMEQWNTAEAPE RRVRRLMEKDNKKLRDDARREYNETVRSLVKFVRKRDPRYKKHLEAQVAASSAPTPTP QKVTPRPKENIGALYVEQEWQKVETKGLHADLDWAEAEGDMEEWECVACRKTFRSEAA WNSHERSKKHMKEVERLKWEMQEEDEALNLDGEFEAEGAETPPKSDVDDDNVDDTKDL ATEQVPAPKPLPSEDGQGKPPVDPSTSTSESATNRKNNIPKHREEEDEIPSRRRKGRR RNNFDILDDETQENPDSTLSPETQIPDRGQSTPIPELSKKEKRRAKQQAKQEGPKSDN VLACNECGETFPSKTKLFNHIAEFGHASAVAVDDQKTKGGKGKKQRR JR316_0006067 MDLLKAEIANKRKALQEDPVLGDRPSKYMRRGDIERLREEREQK EREEQKRAAEAARKAEEEAAAAAKAKKQSKRSVTPSSSKGATPDVQPEAESSNATEST GFTLSNEETIRRLRAKGQPIRLFGELDKDRRLRLRALELIEEKGHDRQGGQNDFKKAL EDVENSERELRNKSTKGKKREDDPGANSILDLGLLKTDPDKLYPLIYYALKRTLKEWE EAMDERPEHVKRTTQGKLAAATQVQSAENLKPLFKTLRSRSLPSDMLARMAEIVHYMQ KRQYQRANDSYLRLSIGNAPWPIGVTMVGIHERSAREKISADQVAHVLNDEVSRKYIQ SLKRLLTFSQTKYPPADISQLMG JR316_0006068 MSDASELSSKYVLQTVGFDARFPNTNQTRNCFQNYTDYFKCIAA KGDDFAPCKQFKKAYNSLCPNEWISKFDEQRENGTFPASLEP JR316_0006069 MDYSSEPQHSTLLNIQETQPFNAEPSAAALVEFPITPEDLVYCR NHGPVREFDENAYSIIIKGGNKGEAMFSLAELKALSKATVVAALQCAGIRRKEMGAIR PVHGVPWSDGVIANCKWGGVLLPDLLQLVGIDTQNPNVHICFESHATLCQDDTSYGAS IPLAKAMENDVLLAYEMNDDPLTADHGGPLRVVVPGYLGARWVKWVDTIVISSEESPN FYQQRDYKILPPSVQTKEMAKPMWDKYPSMTALPLNSVVATVGCEDDEKLFVKGYAIS GATGQVHAVEISVDHGKTWHQARITYQEGKWSWTLWEVEIACKEAEGKVYSRAIDTEG NIQPEEGIWNLRGVAYNGWGVGQWSRLD JR316_0006070 MQGFNKYYPPDYDPSQGSLNKVRGKHALGDRARKLDQGILITRF ELPFNIWCGTCNNHIGMGVRYNAEKKKIGAYYSTPIYSFRCKCHLCDGWFEIQTDPKA SNTRYVVTSGARQKDEDWNPEENGGFAVHDTEKEGAPVDPLAALEKITDAKTHMEKVQ KPRIESLQNVSEHYNSDPYSLSLKVRKRFRENKKIELKKKQVDDNIKERYGLPATMSL LEDDDKAVEAAKSEWLKAKQDHDEREAKRRKTTLDITSLSSSGSSSRSLAKSLKIPAS GSGSGSGSGSDALSLLRSKILRNTAKNSSAIRSIPSSSVLP JR316_0006071 MADRLPQYPMFNGNLLQQQQQQQQAQQQQQQAQQQQQQQQQQIQ HQAQQQMQDNSQGGPQSIMDQTRVWQQIQQMNQQFNNRTSGAPPDINSPQFAEIMKRS QSISQQNHAARAQQQQQYQQQQQQQFGMPQMNPAGAVLGGSAANQPSFHDASSVHPQP NHVQAGFPNMGAMSSGNANQPRMPFQNPSVSRQLELINMAQNQQPQNGPVKITQQQLQ QQQHLNQLREQQQAVQQQAQQQAQQQAQHAQQQQQQQQQQQQAQQAQHQQQQQFANGM NHSSSGDIFSSPGMSNEALRRPSPHPANMPQPPTGVQPQPGPMMNPANMPNRIGLAEA GNRITQARNAIAQMELVLQNATQQLNAVRGTPQELAIATKHREFTNDLVKRKEILARM INGYTQMQLQNKQAQMSQVNANANPSAGGPAPGQQSWMGPHHNPTPSFDGPPMVRNPL NQQQLQAGAMQPTPSLPQQQPQGGNPGFVPPRSGPTPQHPGQPGPQQAGSPFNPLQPA ISVGGVGQFAMPSSAQPPVAGPSQPNVMGVVPSNMGTLMPFDKPRFDAAYKAFCAKRN IKIEPRLLVVDNRPLDLYRLHVEVIKEGGVNKVDQKDNWWSVIGARLGFVQFPGTEAE PAKSGPAIAQQLAHVYKEYLTAFEQWYTTQAFETSKKIQMMSSNNFQSQMLARLGPQQ MQNMIQMAHVSVADLHAQGIDEKTIQFVETNRAHLQRAAQEQKSFQARLRNVNQALHA PGGMPPQVPDQNGAQQIPRAPGIGQSPSFGQNPGAPGGQMLGASLNPAMAAAARQQHF LQQQQLAQQQQQQQHQQQQQQQMQQQQMQQQLLNAGAQAQGLNQSRVPGPNRIGPDNI YQRMKNDYLTMHIPKLPTLDNPGEQRHEFNELLETMFRQCQDAEQKIQMIVTLIKNDE LIKKLVSIVAIVQQQRSMLSTSNPRFIVTFDTLRNMSQELQRICDIIANWFNNIRQRL PNSNPHMQMHLQQLQQQQQQQQQAQAQAQAQQQQQQQQQQISQQPPMLNPAIPQQNMM GTPEMQNRMPIPQQPPNLGPSPQQMTSALRPPPILQQPPAKRVPKPPQAPGVAAASTP SPAPVPTVSTPVSSAQTPTAVASSPPAGKSPKTRAAPQKSKAPPQPKRKTSKAQGVPP VVPLSTTESSQPVASTSSGNVKRAREEDEFATGDNGLPSSSSVVVDEPSPPKRAKTEW EQQPSEEMLKEKEAVENIKTEEEATAFFEQMADFIKSQSQTELTTDISDTLDMILKGY GQVPSEEPVPADFEEFFDFSFGADDDVKALTPDLVSSSSTNPSPESNHEADVNHIFSS TSSEVKKEEPPSDPTRLGLWKDIDGGEGAYYQPNEWKWESPMPTSEQPWAIYNPSAVP STTFARPGDAALRYTPHHYDPKCFKVRILTWNMHDSLPKGELEELFGKVPLYNTAATK SGTFPQLPNDANHPYHLVVVAGQECPTPSGIPMGLAASFKILDKDRDKSKEFDRETER PREKERNDDPKLDEDAEYPPVGWTSMVEDYLCHCGGVTSRTGSPSTSDVGFPRPLMRQ KSSKETRKGPYQLLIKERLMGIYMAVYIYRDLKPFVRGMSKSAVTAGLIGGRVGNKGG VGISLNIDGTTFLFLNAHLAAHEGRSHHRLANLSKIKAELSVEDFLSADDHRTVAEDL TDRFDFTFLCGDLNFRLDISRLHADWLISRQEYKQAFEFDQLHALMKKGDYFKGFSEA PINFPPTFKYDVLRTLKRSKTSSRSKLSLLGERSGHVAEVDERETEETEDEDVEGASL ASSAVTSTVSRPATENGQEDEAYFYTSASSPTSVPSEKESVASPKLTKAKVKWLSILS PSLTAFPGKLSKSRNSDRHVLPPTPTTATRSVPQSPLRVTTPEAGKRRFLRPPPMILV NSSGSQVTPTDDAGVEEKGVYDSSHKKRVPSWCDRILWKTTVQPDPVSEDIYSPESAQ RSRSRVGHFLANAFRPPSARTAWDSNTPQGTGLFSGDAGKTPTDAIISPAISRVRFGS PIPPIDLRSPTGIHVHAESKPRRANTAASPPPTAPAYEHPSRRFNTLDGLESPSSADR PHSATPSIWRFLPSFLSPTHANQGAQAPEASPNLPPSAPLPRKGDVVCLTYDTLDDRG MRRLEGRSDHRPVIGSYALYV JR316_0006072 MILNRPPPIAPPAPGNASLYTLDTYPDDDDDRNPLLSPATPSYG ATFPRSPAPPSSAKIIFNATLKMGLIFALSSLVLGGTLWLALPTLEEADRPLLRIPKS FVQLQQLNGLLKKYRDIFPYRIFICYVVTYLFLQAFSLPGSMYMSILGGAVWGVPRAL PLACCCVATGATLCYLISAALGPALLTLPKWKAVLDKWAHKIRENKENMISFLIVLRI APLPPHWVVNVVCPHVGIGLLPFWFSTALGILGVSVIHTTIGSGLDEMTSADDFHLIS LRNFLGLAAVVVGVMIPVGLRYWFRREVESVVEEEQDEQEESEAETSHLIPEAIASVN KHSSDSSDSDSDSDLILEAGPNIIVVKDNNASSSSSRL JR316_0006073 MDPNQHLQLQQQQQQQQQQQQQQQGSQQTAPAAASKRRKRAEGG EDEAAAAAAAASEPRRLRRSHEACARCRSKKIKASPDGNFQRERCDSKHPRCTACATA GTPCNQEDRHRRTLTPRGYTERLELQLAQCDALLKRQFPGFSLDSLDELIAREGIEIP GIPPPPSPSFQLDPAIRSFRPHDHPPPQPNPKAYQQPIFAPIMHPSYGPHPIMGPYGP APPYHHQLIQGPPPAPYNPHIHPAFQPYPQGPPPPMHQHPPPPDPVHPHPHQPLSPVM RATRGTDPNGHDMSSARALATNFGVSPAITSGLKLDAGSEEIPVDTNALPKLALHGPR EAEHWISVSVPRDKTGGGPNVLSLYGPITKSPQVDIWLPKDRAFASHIVEIYFKHLNI HRPVFARKDFEKILDDLYDGVTPVYDPGHVCSIYLIFALGTLCELNHRAVAKSPEADQ FLGSAVSKKLMPPNWPEHVEFFERALATKPDLYVAISSLQALILLYWYLYIERQGRTL WRLVGNLVRLSIELGLHHDPTIQMAAGTNQHIFTEEESQLRIRLWSIVLAQDRGTSIL LGRPLAIAPSDTNTPQPTRAKNKNFVEFSEHFELSPAVADIQADIINSMYAPSMQSGD TIMRNATRIIKSMAAFRNNLPEKYQYYFTGTDNWSTEKKAKLVHDISEDLGLTLLKIG IARILMLRALFNSNELSYDQKHKALVDAIITSHNIIILHNQLIQFPNIAFFTSPIPLH IAAMVILYGHISEVQCLPPTTAVNDVFLALDMIPKFRWRWERKDANGGHPLIAKLVEH VMKVNLHSFVPEQKPPYLIPELDWDETKSPAIKSQHGTPTLTSAAGGYGGGPGGALYK SPHRPLNALTNPMHRAGSGGGGGGGGGGGDPDATKLVEMPSNLFYPFFPEAQVPVSNP GMNVPTILKSVAAAQDGYDGAQGYPSNRNAASAEQQDIDWMSPMHPPRSYSS JR316_0006074 MFSYALSTASTYFHLPIDEEEDEDIENYERKYLTWVAEPSGSSS KREQSTNPNHDSDGRGAAVVRAVLENDDLYEILGVPKSATLDKMTLRRAYLSRSRACH PDKFPDNPDATHAFQKVAVAYDVLSKPSLKRVYDNRSPSSNFDVFATRPMGHAEDTFR SVVLGAFNDFLDGDLEVIRTLLKAINDINPSIKLGEDGINSVLVTLQGIRERALTCRT CIYALHTEVTRLLEVQSAFRELSYFDLMGRSRLTIQLTRITLSLPIALEKALQDQQAF EYDDDGNEKAKERIFPRQVTLLIKGVDVALARMEKILKR JR316_0006075 MPSIPITVFTGFLGAGKTSVILSLLPKLPKDYKVVLLKNEFGDV EVDSKLANQSSLTAVSEILNGCMCCVLVGQMQNALLEIRDNYRPDRIIIECSGSAFPA TLAFQIRQLERDTGGDFNLDAIVTVIDAENFVGYEDTSPTARMQASYSDIILINKWEH VSERSLDIVMDHLHTLNDTTPKIKCQTRNGVDPGLIFGLQSKHFLSDPKSAPVDHDEV ETLTLGRAGIVHHNHSHASSEETDTTTMEKTKLIQALELVPKDTVWRVKGFVRLDDGK VYILNWAFGRFELTEYTGDDIRDSVRFTVMGSRGEVGRAVTKFVAALKA JR316_0006076 MAVARIHAAPIARLHTSLATTAFLSALILGCALHYRKIVKNSVA QYPDEWFPSVSATIGDWYPERNIFQILIALTSGPRFALVFLQYFLHHSSTSSLPTVVF LSGLVRTLSCGGWVYITSSDDHDVHDFMMILYMVCNIPWMLLGIACTPPARTSVRRKR MLIAGAFFATIGPLVYFFIQHKVHRIPGAYTRYAFFEWGLIFFDVLYDSVAEQEFREA DLHASCLLTLAGSHIDKPLANAVESALAPAHTTHATSQNASRIPASWRNVLTFLSDVY LSYVFWTLFTSLIPTLFYFSVWKLGIAGHELALLSVLSPLLLSLQTNLVPSVLAYARS RKGQGTLQLISLIGVVAYLVPSPGGRLGLVAVANIVAVMRQVVAWSGIVDGETDVPYQ AIVTGLGILTSSLLKQANRANNPLWPFINHKAGGYNKTGLVLALLAIYEYSARPVFST PKTTKKSTAQVKPEPFQSAWRGALPLGSLLFCIHNLLSEPSTLIAWSWTGYENRLPRG PVPHIHGSLTVLAMALGLLLACISSKNNKLGSVLTSPAWFLFGTGSSYVMYAYRNWMG YVGGLGVAAFLMSLLPGVFHTAAYSAGDVGGSGRNISKTYSMALAVYCVLNLASIFTV AYAFVPGGIYLRERTDLVTTIQIACFLPAFRWSTSKSSGHAISTSSQTRYATKDKYKP HILITLFILSSLSLLTTLHRVPRTIPVPYKSGTRIFNTGIWTVHFGIDNEGHDSQRGI LNLIKDMELDIVGLLETDLHRTAFGHRDLTRLIVEESNYNVDIGPGPNAHTWGAVLLS KFPIISTKHHLLPSPHGELAPAIEAVLDVYGTEVLVVVAHNGQEEDPLDRELQSTELA KIMAASTRPVVFLGYVVTKPHTPRPNPYGIMVNEGNVHDIDEDDLDRWGLYRTSYARV SRGIITDTETQIGQFVLPRQGHNVTDDSKEARYLRSRKEELAVEHWFPMPYYGDEKQG GVNGHYYHVFNTIPESAEL JR316_0006077 MLKTPTVQEEAIKMVKNKVVQVYPDTFNSDDGPETVICLEKYIK YYHTYMRSKKNSRAPQQRKSRSYSNPPTGQPYVLLPNSIERNSRSVVPNAPQTENSLV PRPVLGLSPAFVEMPSSKIPAPITTRKIKFVSDEKKTMPTLYNSYAVTSPPQSLPDSS HLGISQPSSRSSHGHQISRIHVFLDSCIPSMSRYLQRFIDFGIDTQEHLLAISMWTDE EIDLLLKTRLPLGPEDASMSDMNVLCLRRHFRTYFFG JR316_0006078 MLNSILAWIMKTDFAIRLIEKWSFDYIKMVCAGEQCYGVLAVHR ICFALALFHLILSSLLIGVKDTKDKRAAIQNGWWGPKVLVWLILVGISFAIPNGFFMF WGNYVALIGASIFILVGLVLLVDFAHSWSETCLENWENSSSNFWQWVLIGSTAAMYSF TITLTGLLYAYFAGSGCTLNQFFISFNLALCILITLMSVHPAVQERNPRSGLAQSSMV AAYCTYLIVSAVSNHVHETKQCNPLRDGKKTQKAVLILGGLFTFLAIAYSTSRAATQS TVLVGKGKKGRIHLSEDEGHSELGVVTQQPGRTESPRYQALLAAVEAGAIPASALQEE DDDDDEDEVVGESRDDERTGTRYNYSWFHVIFSIAAMYVAMLLTDWNIVSKSPISGPV DPDFDVYIGRSEVAMWMRVVSGWVCIFLYIWSLLAPVLLPDRFGD JR316_0006079 MLRDGTIYFGVMLASTSSVLLSFYLLSEYEQGITAILTNIISST MISRLMLNIRDPKNRSSLRPEGTAAETRTGAVFTSIIQSSADGQSFASRQDTDTALPV HSRYSELDRHDFMNNSIELRIRTTSHT JR316_0006080 MLTWHDGPAHWQFILLRLGFKLPVHPYPLALAVSVVLLIISEAN SFYAGSSGFKIAASLSFLGAGIQAAGATGDAPFRSSALMVAGLAFSLVGDIFLIPTPK VYAQKMANSKSEAGFAATDKQGDSLLFKAGTFFFALAHISYIAAFLSDSSLAALRVPD FALAASFGAGLIYWLGILQRKPGPDAWFNVPQDMRGLVIAYVTIIMTMVATATATDKG HQKIVGAWTFMISDLFVAADAFGVKKDRVQPSHGQRGWKARSVGWIAYFGAQLLLAGS I JR316_0006081 MSKSPPPGYYVPAVLFFDENEDLDEASIKAHVLRLAQGGVTGIL VQGSNGEAQHLSHEERKQAIALTRKTLDENGFENVLVIAGTGAQSTRETKKLCADAKE AGASHALVLTPSTWPPQMSVENILRFHRSVADASPIPTMIYNFPVVTAGIDLDSDVIA ALAEHPNIVGTKLSCGNLGKLHRLTTRFSSNDFAVFAGRTDAFLHGLNAGSAGAIAAL VNILPKLHGRLYKLFQEGSIKEAMELQGKLGHGDWAISKIGGIGGVKAVVSKHFGYGQ TYVRGPLKTVNPDNLVEIKQYHTLAELIDLEKTL JR316_0006082 MASAPTSQKSVTYGLQNTRRQLETARPARRQFTTFLTTGSLRRR YASSPSPAMLRHMHIRAISYSSIPRFVARAFRVPIAGATIGAGSFGYANYKFEEMRTK TNKWISSAQDTVSDVFETASDSINAVTARFADMKLPEIPSVEAPQFLKDLFTAKQQNE EDPNHDQEPKNSNNSPNDAAAIAALVAATMSSPSDSKDKQDGLAADARQNGLMHLTRK LIEIRSMLLSIDQSDALKLPSIVVIGSQSSGKSSVLEAIVGHEFLPKGNNMVTRRPIE LTLIHTPPKDGKIPAEYGEFPGLGLGKMKDFTDIQRTLTELNLAVPSSEAVSNDPIDL RIYSPNVPDLTLIDLPGYVQISSLDQPETLKEKIASLCEKYIREPNIILAVCAADVDL ANSPALRASRKVDPLGLRTIGVITKMDLVPPEQGAGILSGNRYPLHLGYVGVVAKSHG KRSSNDTTVVAKRGENDYFGAHREIFGSQTSLMVGTDTLRRRLMEVLESSMASSLHGI TNAVQLELEEATYQFKVQYNDRRISPESYVAETMDSLKLRFKDFTQQFRRPIIRAKLK AMLEDKVMDVLEQLYWLDKRAPELGALGSDPKLKPEDIEPYWRHKLEAASSLLTKSGV GRDSTLLVADGLRSLIDSIATGEPFNFHPRAAERLTEFSHMILRDRIGVTSDQVENCI KPFKYDVEVEPREWEAGRERAVQLFENEVAMCEQKLQEIKKKVGGARRLNGLVGYLKS IEEKQKERKLAADGEQVEEQPVESYRYPPAQLSDARYAMMYTDRIGILKLRLMALKSK RCRAGPQSEAFCPEAFLNVVADKLAYTSAMFINIELLDQFFYQFPREIDSRLLYDLDR KEIVEFARENPVVRRHLDLQERKDKLEEVMKQLNSLSTLRADPQPAPRRHRGLFGSVF JR316_0006083 MSDIKVPGFKASELIAGLETAFSKYTDAEKQSQIKKTNGIFELQ VTNDAKETVTWTIDMKKTGTVYKGKAPKADVTIILADDTLVDLADGKLNGQKAFMTGK LKTKGNMMLATKLDTVLKGAKAKL JR316_0006084 MPIVSIEKFHSVSFDYLILGGGTCGLALASRLSEDENLVVGVIE AGGVPADIPEITVPGFMGRIIGDPTYDWAFLSVPQAHAKGRTVLQPRGKGLGGTSIIN FMGLFRPSRAEYDALEGLGNKGWNWENLLGYMKKGENTFPSRLSPEDAKIYAANPKEK YHGVDGPLQKSLPLNIPPQNKFFFDAVEALGVPRNESHGDGSNVGSTTCFTSVDPRSA QRSSAFTAYFLPIQNRPNLLVLTNAQVTKVILLEGSPQGEQTAIGVEFVHGGKQNVIK GVKKDVVVSAGAFQTPHLLELSGIGDRSVLAKHGIETLIHLPGVGENLHEHPSVATTV EIDSKYETMDIMGDPNALELHTELYKQRKGLLSSIASMGFSFLSRRHLNLAGDAVSFK SLHEPTDSTLCRGLNKQYSLQKEWFAGEEVSQAEIIYQNGYHRRVSGIPTPGKRYASF VHVVMHPLSRGSVHLSSADPLVPPAINPNYLAHPTDLKILEKAVEFSARIATTGSFGE SVKGRVVPDQEILDDPDKLREWIRESIATTYHPLGTAAMLPKEDGGVVDSELRVYGTR NLRVADVSILPMQLSCHIQSAAYAIAEKAADILRIGGGN JR316_0006085 MSGNGFPSWIGYRLRLAPPYSKRTVEVGDVGYMNQCGNFVFVFN LFLPSNDPLNQLFPKELPEGYFPLDPPTNNEILRIPDYFAPGSVIASNGVDVTRVSES PLHLKFRSSEPEAAVLILPDGASREDLEISRLQEYLKSHAESWIQYFNEGKLPYCIPN GDIYIITGCDKAPSFSTAIFPRRGFLGSRRTSFEFSNNYMQPGTQSGVHSYERIRDGP DDQQYCLFIRGIRLASSDFEWNMQVNHFLPEDTPVSSLPSTPPISDHINPPKTDNEEP LYHETVVNNQGLGHTTNRDGSDCSTDNDNNEGSSKSDDSDSSSDDEHEVRVRNYPFHP SDLILQIMLSRHPDAKLALVDDYIWSRFAKVDQNVGLRELSRAPLISRCMQNSFISDW GAMIEAIFKSYIIVETDGVLPAPSYML JR316_0006086 MTLQKLLPLVIPSVLGKGAPFSMMTFPMDAYRQLRNTLEELNDD TASEIQPQKTPWASHWLFVDKPTVATTLDLTRLLRPSQKCDSDQSAIPDLFKGELNDG KPNCERKRTYLPIFLCIDWSTKRKPTMSESTIPPDIAKSAGPLLLGYMFNWGLFGALS VQVYMYYMSFPKDRLYMKCLVYGLYLLEVAQTGIVTHDAFDGYAKGFGNLKALHSIHL EWLCVPIFSGIVSGTVQIFFAYRIYYLSRSKAIGIAICVIALTEATAAIIGGVQAATV DLQRLQSAAFASTTIWLAGSAVCDIIIAGCMSYFLSKKDTAFKATRTVINKLIRLTIE TGTLTATVATIDIVLFLAFRHNNYHTAPATILAKLYSNTLLMICNSRMRIVGGRDPTH STSELMDMPLDATSGIEFNKFTRSVDLIPPTQRPKPFTIHTDTWAENDDTDQRHKASS SYLAEVTPDGRDTKVNLQSALGSSSHDTENA JR316_0006087 MKYIEIPSLSHLAQSLTHEGPECSVHTRMEAYSCKNIKRDKKLF KALENAYQEDVSNSPPLPSWLALDREAEMTPFGPIDKQASRKTLYLLIATLNVAFPDH EFSDVRPSHFVKEKDGANILNALSNTLLSPQRAGSNAPRSYSSYPPTSPDFFPSSESS SSSPYDRKIRSPLSPPAIESGTHPTLFRLIDDVIGLSECEVFSYTPEIDSDPHANDFS DDEDDVASIADEASSSDEDATFEFDNYDIDEVKPRQYSGPTKIPPPTPRAAINYSVFE DISSPILTSLRLKRRGALLWSSHWFFLNRKMKRILFVSVWARSRGIGRMYTGDDEDYD VFTSNERFQAWEGGVGLGARSIGLNGH JR316_0006088 MAPTRSVKQLYNCPVGTNNHLPSRMQNTVYLQDVPPGKHSLPPT IPHAAHRHAAPSGPWPWFDLVDDDAPFPIVPPEHSKRKGTANPENDSRDQDWRRYPEL LYPNWTHYRLVRSGIAGVIDKPSDRRCVLYHIDVGSDGHFSQPGHHTVEHEKEEEFWK MMTERKRVDRNRVRLIFVENISGAVLQMLGTTYNIEPFFFSSSLNWIPSRYQENVIEN DSDHITLILTFLRSMPNPVTAPNTPMLGGQFFSQIMSRPGQTTINTQAPLILKSCDKI LLLDLISLHMVRRKDGSTVISYHPTSEWKSTSARYLHSRVRYAGESVYWQSLFRQSQD PTLVMLCMLWYPLYGWDESLETLYSHISWLESRVLIANDIHLTRELHIIRAYLLHYAS LLESFRKSVLFLRNTPNPAMKDQDDFQKSMDVMNRECDTLLSEIERLDMYRRMQEMRL DNVVNLAFASVNFQDSRDMQRLSEASLRDGTGLHESKERNDEIETTVLYRLQWPIRSL KRVTAKVRKKRRGVNEGVV JR316_0006089 MASFHEKSSSNNSNEKVATTAGGAVHVLDERRRVALAEIDNAKF SWFHAKVCIVAGVGFFTDAYDIFAINIASTMLGYVYGQGQRLNKSQDLGVKVATPVGT LVGQLLFGWLADVVGRKRMYGVELMIIIVSTFAQALSGEAHAVKIIGVLIVWRFIMGV GIGGDYPLSAVISSEFASTKIRGRMMTAVFASQGWGNFTAALVAFIITVAYKNAILAE ASITSLGSVDQMWRLLIGLGVVPGVVALYFRLTIPETPRFTMDIERNIDQATQDIKTV LTNHKDNVDKDLLVQRIEAPKASWADFREHFGQLKNFKIIFGTAYSWFALDIAFYGLG LNSGIILQAIGFGTPVTTGVRGVYDNLSNICIGNLILSAAGLIPGYWVSFLFIDSWGR KPIQLMGFILLTILFVIMGFGYDKLTETESTRKAFVFLYCLANFFQNFGPNTTTFVIP GEIFPTRYRSTAHGISAASGKLGAIVAQVGFSQLKDIGGPNQFVQHILEIFAFFMLTG IFSTLLLPETMGRSLEDLSNEDQDGFVKGTKGPANYTAGPSTA JR316_0006090 MIPHFSNPHFLPKAFETVSRAELYCDDDADISHTCSESEVSRLN QLLAQTIEIIHYTPKSHSDHASERKKKRRKLEYAAKVEGVINIELDEQPLFRLVSSTL PPLPVSLLPPPPPPPITREPEPEDTEIQAAIRRERAQIASIDGETILRQSKPTQSTST FDFHLNLRTKLENPNPPIMIIRTTQLPRKTRPPVESSKLLMYPYKSDISTLVVEAIKP GKDFQTVDAETSQAEVSLTHRRSRRRHKANSQNRKHPVPQFWRPSSSLEGKCRGYAYG YPSYIAAATGSMKEKYSRDRMKTGVHIDGWTYTKKKSLNTIPRGHVPKTNISMTSTPP RSNLFPIPGAMPRLPSPSGSRNRGSIGSTFSFGPQNLVYSYLDANSSTMSNTSAALDP NRSTMTASPQRSPKKHRKGKSSTTKHPLANDTTDVFADSEDDGDDESEHEWGMVDRMR LWRHDALMQHLYDTAAFWGDKILSWTNDANDAFWLAQTYFMTNQYSRAERLLTRPFPL TSSKIPQVPTATNGHAPQYQSTTKGKGREYDASQLMLSMPRLPMGAGGMIELPEEMQD KVSRLVDMSVACRYLAALCQVRQGHWTDASEMLGQANPFNGTGARGPEVRNVDGGIKV EASMCHLRGVLNLKLNRGEQAKQCFMEALALDVKCYDSFEQLVSSEMMTPDEEWEFVQ GLAYAAQTPEDAQFVQLIYTTRLRKYKHQAEHALTRSRLVEEYGLGDNPDVLFSFADA LYTSFRWADCFTMTTRILGLVNIHKPTMPLHIASMYHLSHLHSKLFILAHEMVDREPE NALSWYAVGIWYLGCAKWAEARQYLSKTSLMDPRFAPAWIAFGHTFALEGEHDHAVTA YSTCARMFTGSHLPLLFVGMEHIMLSNYALADEALEAAYSMCDGDPLLMNERAVMAYN HGEYGKAAHLFQQALDLADITQTSQKAWATTFLNLGTCYRKLKRLEDARGAYQKVLEL DARNAIALGFLGIVYHLQNDLEKAIVKYHEALSVDPINPQILELLNIALDSSAVPIPS KEATEAEFVTAVKALKLKYSRIMNKGKERAVGGGGDAMTIG JR316_0006091 MPATNKSTKKSAHKSAEKAPVAAVPAAESSSKPSKSLKRKKEVE HAESKPEETEPVTVFKKVKKDDVREKKPSTAPQTSEDQEMIVDLVAEKPAKEKADKKK KYTAKEVGKHVAASDAEEKTAEIAKKPKGGKAVAPAPPSSDPPAAQKIKGTKKSKSSE PISTSISRPRKPEASKPKSKTSKVAVPEPESDEEEEPEEAELEESNNESDLHGFSTDD DDSSDEEDAMDDEPSAFDVAKLPTVAKDDASVKRKLEKAKKQPIEDTGVLFLGRLPHG FYEDQLKAYFSQFGNVTRLRISRNKKTGKSKHYGFIEFDSLAVAKIVAETMDNYLLMG HILRCKLIPKGEVHPELWVGANRKWRVVPKEQVARAEHNKPRTQSEQAKASKRLLKRQ EERKQKLAKANINYNFDAVSYKKPTEIKV JR316_0006092 MSTISTISLLVINPNSSASVTAGLREVLIPPPGVHLQFYTGPSN APPSINDTTTGVLSAAACFADIQDKGLIERYDGFLVCCFSNHPLTHLLRENTRKPAIN ILEAAVSQSLLIGQRFGIITTGTGYKYIYYNDVHNFIGASSERFAGLVTTGLGVVELR EGDRQHIEAKIKEGSVKIAQKGADVIILGCAGMAGMEDLVQQGVVEVGLEPVRVVDGA KAGVQILAGLARLAT JR316_0006093 MAETLLALLLVTNSAKGSNLVFRWPESPQSSPRLSRSRPDPKLS LSQLDNPWKASHSQDALERAEVLPPKDYAHDPEYRWERPNALRDRSLSYSHESGRASP SRDMAYSFDKVSSPDEYDHVLGYSGEFLANVLCPHKAMCHQKFELVVDDLAFIGHPVC ADSDTRWSFKPEKIKPGSRGREERDLDSSSSPHIAENSTSLSPELTGSEPPSSGKAPW LHTFHLVLVLDLPDPSSSASGNLAKYFNTLYEQIAFTLTAVLYQEQVLSNFVEEECDV LLSLKETCITNGEPYSKYSASALEISSIAPAMKTIFEAIKSSSMAYVTINYLPLELQL PPYLDSLLHSQDDQDADSIDPYDDDPTLTWGEHMNLGWKLPTMAPWKTLLLLDIDNEM DPHQILNGPHGNADDKTLAEGLVRFLETASVTLSLYDMANLLDWDLESQVYPIVRWLV LHRRAKVVDVVHAGLKTAFALSPKFGSTLSQLSTEFHDAFPHPAIPPLAQILATVSAS TSKQTDNHFFASVVKSKELIPMYHDVVLWMLKRDILTTLHLRIRVVATRELKLRVKEE RDRKIMQSGGSVKFHKRSKSGSDNGDSVSRTRNPSFFLSPRSARNFARRISSNESGKS EISELNFDIDEPMHRLVEEEEASDSEQDNSEVDDQEESGWDTTEDHLSPSMINDPGKA TPMQRRWLSAMSDGKDPAIAKRFELINQYFDGKKSDDEILYRAEISRKQLREVLHHYE DYLQTFLHPS JR316_0006094 MKIVVTGCNGRVGQRVVKASLERGHSVLGVDVIDQSLEHQEAHW IKKDPTMFSFKKADLRNYDTVLKLLAGYDAVIHLAAFPNPDDYIVKAHNSNVVISWNM LRACAELGIDKVAQASSVNVIGMAYCQKSRLHYFPIDELHPCETDEPYGLSKIICEMQ ADVITRRYESMRVASLRLHWSVPSKSKANVVDGREKDLWGYVQEDSAANAFMLAIAES DKWTGHERFFIAAPTTAVDVETLSLLEKYWPQVPIKEGVSLTGTQGLFDCSKAAKLLG WHHAD JR316_0006095 MRCEDYNGARAALRNGRLARVGKNSVAIGVLDSPLPTGKWQEII SNSITKVEFIPRRADAGCIEPFTGWKPTSIIKLNDEFTGKRLKTIYDCMCIHYMQMLC YLEATAKAMIWKPAENLSYAILSTEAASMDFLSGRIIRDRLSLQFVKLTSWVET JR316_0006096 MSNRQFSVEEVAKHDKEGDIWVIIDANVYDLSRFSGMHPGGLSV LLDEEIAGKDATEHFFSLHRHEILERPQYKRLQIGTVIGQTPQIFARNAGEISKVPYA EPTYLTPGYYSPYYNESHRKLQFAFRKFVEDVLVPDGQIHEDDGIKPEQKIFLEMAKY NIIAMRLGPGPHLQGLKLLGDVKPEEFDSFHDLIMAQELSRVHSRAYIDGSGGGTYIG LPPIVNFAKPALRKRVLEDVFSGQKTICLAITEAFAGSDVGGLKCTSKRVPGGFVVTG TWKWITNGTFADYFTTGCRSEKGGLNALLIERGPGVETKPIKTSYSATAGTAYVTFHN VFVPEENLLGEENDGLHVILSNFNHERWGMCCANIASQRLVVEECLKWVSQRKVFGKP LSSQAVIRSKLASMISRVESAQSWLENVTYQMSKMKYKEQAIYLAGPIALLKRFTTQA AQDTARDAVQIFGGRGITKTGKSSPKLQKCLLTAF JR316_0006097 MDHYVAHFGDDPSIKGAIVSTFNGGCFFGAAGAGWANDRFGRKR TIQIGCIFALWGCAMQSGASNVATLLIGRIVAGLAIGVLSMTVPLYNTEVAPPKIRGF LVGLNQQMLGIGFIVANWVGYGCQFIKSDVSWRLPLGLQMLPAGLLFVGIHFLPFSPR WLLEVGRDDEARKVVLKLHGNDKVAADEEYTKMYTTIKAEHSIKSRRISDLWATRAMA HRTLVAVGVQVFCQFTGINVINYYGPQMYTALGINGGKALLVQGIYGAVGPIANIFFI TLILDRVGRKKPLLFGAGSFVVTFSILAAILATNPLDAPETNASAQRAGIAMIFLTSI FFSLSFGPVSWVLASEVFPTSTRSIGTSVATCANWAFNTLLSQVAPLGIARVGWKFYM LFVSLNFVDFIAIALFFPETKGKSLEDMNQVFGDVPPEPTNKEVKNSKQLHSDEEKSV EAT JR316_0006098 MSLDDIPPADPVSPPSPASISSVDSDIDDDMVRLSDINTVAHLY KTAVLKKLAADKLRHPVRPDLLDRVALFQGDITTLKIDAIVNAANRSLLVDGAIHSAA GPKLLEECRGLNGCATGESKITRGYNLPARHIIHTVGPVYSSKFVEERAEQLESCYKT SLQLAVENGLKHIAFPSVSTGIYSYPIVDATRIALNTVRAFLDTEVGNKLDRVIFVVW SDKDLGVYETLIPEYFPIGDTPAEDSAEKKTDSPADDTIEVEKKSDAAVSEPDQPAS JR316_0006099 MGCCFSEPVDFDGEVNLYHFDLHRAVGKGAFGKVRVVEHKRSKK LYALKYIDKARCLRQKAVANIIQERRLLEEIDHPFIVNLRYAFQDDENCFFVLDLMLG GDLRFHLERKGYIQESVVRFWVAELSCALEYLHRQRIIHRDLKPDNILLDAMGHAHIT DFNVAIHYSERRLHTSVAGSMAYMAPQVVSKKGYTWHIDWWSLGVTAYELIFHKRPFD GRSTEKMTHSILKDPLRFPENAAQRCSEDGIAALKGFIDRDPTTRLGCRPDGQGIEDI RRHPWFAGIDWDNLESKECQPVFVPDMKQANFDVSHELDEFLMVEKPLTHSKRKANPD LEKMKPELRQLEEQFTVYNFTSMKRMSYYPHNQPIVALGADMDNTDRTMLAHSVTGTV QTIVPTATVVERSQAGSPMLESDDRHHHPPLPNGAYGHGHS JR316_0006100 MDPETLSIRPPTTDLASVRVFPLIPALRRDITCTCTLQHTIADL ATDSALSWELISTSQLTAADINFSIVRPLVNKYARLRNMAVVYATLVVRSYFLSASES DLAFSGVMIARANLAEIMAMKLLSRFAHDYIQLVAVLTTTWNPLVGASRSVVEGVKLA LHAHDDDVDSAQSAIEMAISTSAKNFLASPVTQQVVNDIYSGRVVFSVTAHRSILADN YKPRAIEIYNPHNAPFINHYRLRVPKYRTYLDFFNFTLLLLIFVLCLANHDRTKLNFW EVAFIIMGSAFALEEYTASTEHGWIKPDLDTVY JR316_0006101 MDIQINSLANGPDLVRKHLPKLRRSSELSPRIRSDSDDDVRSAG EYAFANDVKSDALFSYQPPFNILAFLILKPLSYTLTPRALHSTNVFLIKLTSLPHLFL IGAYERYLVSASASVDGGGRGGGGGKRAVGTLFHRLPRQIKYMPFVEALVGSSAGELY DAIFELCEDGEGEYSIFGEDAEDGEGGGGGRPALRSVDSRETVADAHVGRKKAGARRS RSRSMNPKSRVRGPAGFDQQQQQPLVNIVSADSEAPSISPVLATTTTTATTRPHPRQR ATSAMSPSTPVHHSDYPHVSASGSGTALASTASAGGGGVGAAPAAGAAGAGGAGVTTL SPPDSPSVVLTTERSPLMRLFGSRFPSLTSSTSSASAAQLHGGGVFPPSASNESALNV STGAGGGAGLSVQTQNLNPNLAAHSHSHSHAQAQAAQAVQAQAAQVTQAVQAAQSTEA SVKHIEALLETVGKLPVYKLKEEMKELQDRQARIENLLLMLTRGMRNEASLSATPHRQ STL JR316_0006102 MALSSMSAWIPDPASRQPLTVHTFTQDILPPILFYYLTGLLVLI PRTYPIRLALLPITLYTTFKASTQIDLAKGYAEEPSLVYMNQGLLLAMITLGIRATIW TFQLEPYKRAKSNGLILDSFDLFFSLRGIGWSWSTGLKVTKDSRNTAHPPSFILSSLL SLLFHYHLFDFLLFSVQSFGDLSNPLGASIFDPALPAPLRYLRSSFICFLAGLAVYHA IQLLYYTATLIGLVLLRQSPDQWPPLFDAPWFTTSLNLFWSLRWHQLFRHNFIAFGGY PGYILFGRLGGVAGAFLVSGLLHYWGLWGMGRGTDFYGAAGFFLMMGVGTVLEFAFKS VTGRKVRGFWGWMWSMLWVLGWGNILVEAWLVRGLAASKFQPQHTRPSLMVAELVKHN LRAWGYNTTI JR316_0006103 MAIYRDPSIRPSLEPHRYHIQDHERQFFLLLTGIKDDQQLRGHI LAVQQKAYQVHTILFQFTSAHPLQDPQLRLHPSFFFHQIAQIPGYYNALKLLDKRTDP ILLDLGCCFGNDIRKAVVDGWPVQNVIASDLRQEFWDAGHELFKSTPESFPAAFIAGD IFDPSMLDIDIDIAPTPTDNDIRPLTSLTTLTPLKHRVSAIHASSFFHLFNEDQQRLL ALRLAALLLPERGSIIFGQHGARPEKGFRIEVAANSSADAEPNPTKHAMFCHSPASWK HLWEQDIFGPHQPTRVRVDAELQETTNERWDFEDLGTNLKVYVMNWSVEVI JR316_0006104 MSSYNQNPIGQNQYAKVPDANDVELREAFEWYHRELVAVKDIPS HLERELGLKISLSTVKRRRNDLGLVGSRVNQREMSYSEKVQYIILELNDDPSKGRGVS TIRARIASNYGIHLPRDFVSDIMHIQDPDGFDLRKPTSKKVFRVRKAPIGINERWSGD GHNKLNSIGFPIWGVVDDATSKWIGAWVVPSNRLATVIGYLFLVLVEKMGGMPLQFTT DCGSETTQLYGLINALRNAFQANLDSSELPAHVYLRSVHNISIERSWLRLRLKWGNNA VIIFNRGIDAGLYNPDDIRQKQLCLWLWPRMLQCELDQFMEFQNGVRMRKDSKKAGPS GCSRNEAFLFPERWGGINCLQPVDLDVVREIKEKMGGEELLSFVSAEFANEAQKAFDS LGFSDQKADAIPTSILGLPGVPELIFKKEVPQDDATVQNMPSENLLVDSIMEAASEHM KKASNTRLAQTPIAYKSPGLVGFQSKNRNLDSAAKIQAVRKAKKEEAKLLDNGIRVTA CLNKLNQMKEFQIIERFDPDISTKLAFDKILLRIRDDFEAKHPGTEQLTWYALHILSF VTMGCIDRNNRANVSVYAQENQTRFSSLQHFDQAKSMVTLFKEEFLKKKIGSQKKLEN REIDIRLTYEKTKVFNGGGGSVLSDDDDSDGIECLGVSLALLGNKRKRSIPAGSSRRL RKEAHGSRSALFSAKATNSQDQVVVLKSAWLRMKASDNTGSWTRNVQMVPYKFIRTSV TYDIQTGHLVWTKEMVEETIEIAEKWHSKSDSGFIGEGFTKRGIYAQFGGKEYVLTQP VGISSSTAQHVLREEYKLLCQGDAFKAEFDKLAIQVNMKTISRFYFNFASSIFGEIVP SPASDGFPLPCPYFIATLLLSCGEADPGIRKFTGNNIAENPEDHLAQAIHAFAHFSAV YSDNQLLFCDLQGARDKQGIMCLIDPQYYSTTESPVY JR316_0006105 MDPIRTPLTASSQFIQADDDDDLNDDDIPGFSHPGLAQSPVADK GKGRATFVPEQLAAPGTSNGRAPASPNPAAALSGNIGSSSSQNAGRAANRQTVGGVQV ETRYSGGDTLDEPVTTTIARDLLSIYSKLVQVLYPRRSSGREVLRDWDLWGPLLLCLC LGIMLSINAPKSQSLGVFSSVIVICSMGALAVTIQAKLLGGRVSFFQGLCVLGYCIAP LDIAALISCFVHVIWIRAPVALLAWAWCIWASVNFLDGTKIDQPRILLAVYPLLLFYF ILAWMILIQ JR316_0006106 MVDGDQERNGSLILNSCQTTPDMASMYSTTTTTLAASPRYPLQQ LPDGASGPGTLHLARPLPAIPEPRRNSQTQQRLPSSSTARQSTTVFPVVRTLSSFMPR FNSPSSLLALLKNPPILAALLAHMDWVDVYSVLSTCKPLRAIFQDPALRDVVLSRFVP GYAYAVRVRDMNQYQDVQVSVHDLDLLLISQKVLPHRYPTHALRILTSLFPTFEDDEA TAKLVALTQAHSRFVLLLQSLVHSSSLPLPLEPEEIRTKSRFSPVQTLRELTFPAPLA YAPAQPQSPSSIAQDLETMRTTRHKHKHKHSRSQPSNTITSRTDDNAHLGEFGMAAAS PKLAQVHPYGSGPSANRLSSMMMMSMSSIQPPSVRKGRRLSIFGKNAYGISPPPPEEP KTLKIYSHTWRRHREVSVDFGALKRPSRRFAISASADASSESSNSGPPSIAEMRESHH SSSSGSVHAASSPHDLVLATCRTRAPILRVFVPCAKLDDENIVQCEKQLEESGLWEHL STGDVVCNLGYIPPSPEDPSSDEDLSNLTLQNPSSRLRSQSQPQLMRGSRRGSGSSAS GSGAGSASPSSAPQSGKKWLIFNGQVLVPYTPPDLLPLADPLSLPSPFYYTHIFPPHA NMCFAIEKLPVCDDVPQLTLVHSTSKVPSPHSPKGYALARRFAWTARVVRMRMGDESE GEVGDGWFGEWVLEYEGTKEGKQVLLDALAGKTLGGRRVWELVREKSGGGKVWLR JR316_0006107 MGLDLEREVFGGSESELSDEEEDYQQQHQQHQQQQHYHQPSPAK QRPSVSARDEYESTGGDSEDDYQQERPAAGKTKKRLKKAADGGEGKVQRKRKRKAPVE VDLADLPPEQASRARLDMRLDEILKTKKSKPKKKKNEEILDNFADDEVARLREAMNNA AEEDIRANTEKMPATSKLRLLPEAMDTLRKASLAQSMIDNNLLDAVRRWLEPLPDRSL PALNIQREFFNIIKKMEFIDSSVLKESGLGRIVLFYTKCKRVTPDIMRTASDLVSAWS RPIIKRSASYRDRVVPVAQETDMDARAGERLNTILARAKEQEKGRVKRNAVMIPQREL GTYTVAPKMNAGIGRSNVSVDVDIERRRKNAERLRSLTRKATRENVHIGTSGE JR316_0006108 MHSSRRTHAPAPLAIVLPHPQLNSRRSSLLSLNSAASPHTPRSC SSPLPPVYYQPSANRNSTDSWNSSNADDMDVEWKQEHVLLLSRTLDALPAHLVTPFNG SVPPSNLLDKIARGVSNAKGPAEWPYSIRATRVKLLELARSHAKEEALAEQRRHAIAE EVEINDDSNYSYFHNGEEKPLTGVGIAPRRPLYRQSSMDFIKPTLSEIKNNSAIAKLS SRLQRTDRIIPNPSYHPYSRIPRSQQANRRSSSPPHSNDVPALINQSSTPSSTTLDSF STLSSSASAPRVLRRSASTLSSGSLLSTSSNGMSLADPRIQRVRRSDSFCSGPPAPPP KDTPTLQRAGFKRAPSYGALAQEARQEAMAAERVHARKLSGSYPSSDEEEKARTTRAK KAKTKVGAAVVSAPVPPSPSVVSPPSSAPPSPGTAPSSPVAVKTPTGATKPKLASAIP KPRLKGVAALAKEGEGAVKSPKANKLSSPASINKADGSASKSKTGKVDNKDNLTLQDN KAKSHPRARPPPMNLQRNPSMFGAELPPLRATTQNNNNAPRLPSSPPSAYRGPLAAPS KMRSPSPGAVRPLAPAATLSGNANGNIIGASALALAPAAPVLGPAVGAHACAYNSHVT RSPAVMSMAAADSTEPASPGPGALSPALTLVMTPEVSPKVRTLRRVRRLAPGGRRISF GSLVAPGEEGEAESQGAGLGLDVGARSPKGMGLLGSAFQLH JR316_0006109 MPLLSTVVGFSFVGLAARMGQLSIQGRNLYSNPGGHLISMGAFG FAGYLAHKWDVRSSEVLAKKRAELRERRQKALEAAAEMHAEA JR316_0006110 MADSLSDVPSATAQKIAIAKQKKDAGDQAFKLGNVKDALKSYHE ALMYLLGLDKNALQNISGPSRPADPASSDPTKGAAVKEKTEVDDILEKIYANMSACHL KNQNWRRAVETADKALAKNENNYKAMFRKGKGLGEQGFFEKALKILEDLKTKNPTDGP LVDQEIARLRAIDEERERVHKKKMKGFLNKAEKKGEALFNDDAAIPTENKGSFIEEVS D JR316_0006111 MAYVMALQQGWPTDNLKFKVNNDIRGLLDSVNDGSTSAFMWEWF TTKPFVDAGEARFIGSVPTPWPSWLIAAHPERAKSSDAKAFLDGLTTFVNGFDSEESR STKNVAFIEEKFGYPREDIEAWLKTVKYPQSCSEIPTTVISDTLSVLEKAGVVKQPEG GFNVDDFVNKEVVSLT JR316_0006112 MSTSDDLAPSVAILKRYYGCVKGLQNYLADILELNGRRLDPKEL LSDDDSQSYLQLLSTSYVGVKNLTSGKTFRVFIPMLGMREVLDYAQERLFREKRAKNI ITSGYRLASHANDNGKKGMARMGITNYFLNTVITALQAEEWENLLSRIGEDAMLHLLT ETSIYVSLPNGCLCQLTGEPLINTAPPAYNDESLPKKMTQKRLHENATDTHNERPAKR LKLESSVALPNSTKPSGKRPISIPNSRRILVGLPLKHVLNRISPCYIVKPPNLAGQPS VDDSRQNAENARRLSKYVFARQYGLSNPFKTSGGSSPFYGDYLDRELEIKSKGSCKTP QRLKGILPVLEKLIRRHSRCGYIPLRDLTCPSKIKTTGSKDFDSSVILEMMSENSIQL KSQLVTGQGNISYDSSGNPIPAFGLTQAQKHAKQKPRFVEFACSHLEKFSITACDWLA PSSPGFLQQGRVSVSDAVKRRQLLEDFLFWYFDSFVLLLLKTNFYVTDSSAFRNNVLY FRHDDWATLCAPLVERLTSVTFEKLTDEEAAAILRQRKLGFSFVRLLPKETGVRPIVN LRRRKASAKGSYGNEQSINQILQGAFSILTYERSNQPENLGASIFNYNDICLKLKKLK ASLPRDSKGGLPKLYFVKLDVQACFDTIDQTKLLHVIRQLISEDVYMIQKYGQVRSLA GKIRRMFLKKAVPEDDHPHFMRYAADLAGSLRDVIFVDQKQEVLQLLEEHITENIVKI GSTYYRQMVGIPQGSILSTILCSFFYGDLEKRFGKYTEDPHSALLRLTDDYLFVTTNL ESAKGFLNMMKKGHPEYGCFIAQDKTLTNFEHGDHTLNVLDLTQKWWTTVDTTEASMI LSVITSRNRKHANRRQRPTPWRIFHLQDAAPERYRPVKRKSHAIFSDRELNSKHIVYL NIYQNLLLTALKMDAYISALGEGGKKNTAFLRSVIQKTIVYKYTSVRMHARSEGNQND GTNSDIHKEAVMWLGNHAFQYILSRKPQKYKALVWWLKVEMGRMKRKSYCYQFKELVT EGQKDFAVIAV JR316_0006113 MTSLGKRTVDAREEARRNSLSPPSLCNYDLISKGFELPAAATST NPIRNLYGPTLVLALIFVLTVLALLPGAMEELQSINKSSILLEVDVEKGPPQSDASRL PLPAQSKGGSGITVCYVDVFNMKKADLVDLCRQYKLPVSGTIKVLIERLQEFSGQREQ WDRLTTGVARRSHLGFSTHSSTKPTASHKKSVQRREKMFLESTNTENGNPKSLPSILS TAVQPSHQWCQTRRAADLDWARYIVNLYPYRREETRNRLAERDAAQFNQKRLFSSSND DDVKAGIEIANGHLLKIVNWVEAGTTSPHPTPSPSHQLQPSTSHFSVAATKDLQNSQG HSISASTTTTPTRTVTMASGSITFSSSDVPPPPAVSFASDIPGLNRMWDDTSIYWDGY SVLTIKGTAIPIVYWKEIYTSKGSVEWKPKQWESLKGKFFDWKILVHQWRQGSPEDFW AKFTKDGKILGYRQILDQLAEQRTAHDALFAQQIMHEYGNQFSSIFSYVKNGTRHVKT KPCHIIKQYRRMKGLINEDDNDEDN JR316_0006114 MLSSMVVPSNSIYAGLNSIISGSPSPTIENEPFIPEDVENDLDG ETETLPNLSLHDLSSEDLDSHNPTASKWRDTITGASKGVTDKTDADYQRLIKQCIKFL ISKNMIKKREDFACCNPPDDAPFFIAAWIMDKCDDIYLDGTLKPTHVVRDSYVHGQKM RASMTYLFGRELGLGSDRWRRNELTGKMIGNPSVSDTVATYMMSLRARKIRNGETPTS ARAITSATLKALYDENHKSENYDIKPYAPGSRKEQDSNHWGGGLARRALEAIYTIAFL CLLRSDEVLKIRREHIVYQMNPPGLVLTLPFRKTHQHGGIKPFYLRLLAENEAHLCPV RAMANWLDASEITDGYIFRKIASGDRPSADNIPMTSEQFLELFRNNLLDIGVDPIPYG THSFRRGGCQYLSSERRWSIRTICEWGGWSTEFSNLTISSALCVDEHVTVHSKIIYN JR316_0006115 MGVKGLWKLLDPVATRASFQRLAVEDGFMSNRQGTRGYRLGVDA MGWIYRACYRHGATKNMELATLNARCSRLYSLPILPIFVFDGPNKPSIKRKKNIRGNR HWIEADFKSLLDAYGFIWCEAPGEAEAELARLTKEDYLDAVLTEDSDSIVFGTTTVLR IDEDVSDDEQVVVYRSSDILQALQMNSEDMVLIALLVGGDYNPGGVKGCGIETALGLA KAGFGRSLACGLKENNYSQEAQQQFLHEWRSQLISEAHSNSSFHLPRRCPSLAHNLPA DFPSSDILSYYLHPVVSDDLIPVLFSRQLSLPAMMLFAEDHFVWGTDAVVMLRHLSNS ILPGIALRSAMQIARARDIGFHYYGHMFFGQIVGKRQSSKRSPELRVKIDFPKDIIQD GINRLRAAQENPRFDQSSVDSWIQNCLPKLRAWIPSNMMEGI JR316_0006116 MATSGFYSLKAELPGGKTYDFADLKGKTVLIVNTASKCGFTPQY KGLQELYEKYKDQGFVILGFPCNQFGGQEPANDEGIQEFCTLNHGVTFPLMKKSDVNG DNTNEVYKWLKAEKSGLLGLTRIKWNFEKFLVDKNGKVVNRWASTTTPAAIDAEVAKI L JR316_0006117 MLVPIPIIGNIVRYRATYYPKSTLDQVDAEVALPPTSAAVDVPL DSTVKSKDPTVLKGTAGAEPVVPDSAVVTEPLLIDGTPYGELVPELTGPPTLLGVAKN VWNREHISGTFRGIFPGLFFPFIFFRLYVTPGPCVYLVSYYEAIIKNLFYIPYLILTY RIIVCQQKLNFGKPKSVFRTIFSEYERAHPFRAIRHSPFILPFLCLLCIDLLLLPLLR TPFYNLGDLTAEGFDPTDEKYQGQPWTALVQELLKNVMWGTIARMVAYPLLMGAVAVV MAPVDVVLTRLAIQPVHEPIEQERESEKMLMVAPPSYLHLTTEQRPYANFLDCFQRIR KEEGWGVFYRAWFLTFLGYCHPALR JR316_0006118 MQSSQDYVPPPVSNTVYALHDDGPVPQPVIEKQEVSAAPIATET EANPTPAPVHTTTKVEPSNNPNTYLNPLVEHPNGSEGWLPAEDVPNTTTTTTHTAGHG AANLTSSNPPSGKASPTAFNTHTRHATGGTTFTTSSAGGGGSIRRSTSRRSAHTASDG RLISGSAFVNGAGTTGPYATAESGEDVIVRTKEANAMLTPKQKSKIAKEDAKNGRRLS KIIKQEAKTEKKSLEIAIKELDNLQQLQKNAVKSEARVQVSHGKLIHAFKKAEAAYLN AKMKYETAQAELNSEAETLELLRAAAREATQSVQDKSAEVDALRQTLALDEREREVRL GELKGVKRNSFWK JR316_0006119 MTSNQYLNSLSENATRFGMRLQETISERTREFGLNSIATSAAAL ETPADEKTHAALRKQLEGSSDRDKLDAMKRLVALISKGRNVSTHFASVVKNVASPNLE IRKLVYIYLLRYAEHEPDLALLSINTFQRDLADPNPLIRAMALRVLSGIRVPMIGSIV VLAIRKCAGDASPYVRKAAALAIPKCYELDAAHLPALIQIITQVMLRDRSPLSLGAVA FAFAAVCPTRLELLHPHFRRLCRVLVDVDEWGQVEMMRLLVRYVRVMCVRTREGQAPP ADGTGTETGTGVEKGKVEGEKGIGFGYEEELDKDVKLLLESVLPVLQSRNPAVVMAAA RVLFHAGPYRYWLKFVQPLLRLLDTSKEVERVVLVDLIAICKTAPELFSPYYTRFLIR SDDISAVKKDKIKILLLVLTLDNYAAIMRELIDCADDTNDEVVSAAIDGVGICARRIP ASVSQCLTALIRMIKSRYDMVVSSAVMVLKNLVQAQLASPVDFATVPGTSEQSPLSII AHLARRIDDIKHGQARACVVWLVGQYAGADVSPSTSTIPGVLGAPEGMADWAPDVLRK LAKSFAEETVLVKLQVVTLAAKLFVLSPFDRRIGMLTRYVFSLARYDVNYDLRDRGRM LSALLAGLGLDLNGESAEERGGVVLRREQVKLVLFEGKEKTDETKEVVHGKPKFITID EELPDWLEKGVESSLRDSEDDAPAAPPVPTAISSAGPVKSKGAVGVPSPPIVLTPTGP STVASGNGAKGQFMDLDAFYAEEEKEESAEEESSEEESSEEESSGEEESGSEESSGSE HASADDVTSAQ JR316_0006120 MKYGPSYTITTKKRRRRLRINAPPKLPIELIRPIVEFIEDRGTL FNLLLTSSTFKYEAERVLYCAMTDEDRKTHMGFLKAILHNPDNATHVRVYHAPIARIK KHDALWRRLGSALRLMYNLKELVVAEFSASLFPPSQDRKSILFSLEHFQCRIESIHDH KRLCHFLSGQSQLLKIKIDMTHDDPAYIEHFSPGTTPHLSFLRGPLESLKAFLPERTI TMLAWETESSDFHSNYLPVLSALAPSFNNLKYLSFGPPEPSVDDDTSLATIAHQFISL EYLDLVGFRSSDIEALQHIPSLKGLTISMGRGIVLFVPSSTVSNIFTSYPRLQFVAIE QLPGKRGKKVFKSWTSPQAQPSVYTIPLSAFHHIFELLPI JR316_0006121 MPSLSYPQHLLLLLAVVQRSLCADVNVSIPLVAPSTAPVISPSL VSFSIEQDRWTDWVGTTSRNQFFFNTLDNLRALTGEPPQIRIGANSEDHTDFSENVQF SQATFPAISATVPYPEASSIIVGDGFYEAAQFLPPNTHVIWGLNLGQNNVTAAFLEAK SLVKAFASSPIKDSGITLDAIEIGNEADLYSNNGLRSSTYTSTQYVKEWIQFATNVTA AAQLSLSSSSPKFWGAAFAGSSHSTSGFSPQAIFSEGILSSAPGALISTISEHRYSGS FCSGSGGLLQDLMTKSTIRSNLTQFTPDISATHAKGLDYVLGETNSYSCHGAPGVSNT AGAALWTLDYLLFATQIGISRVFFHEGIGFKYNLIQPLTLTRSTLDGSPLATPLPPHI QPQYYAAIIAGEAIGSTGNTRAVELSINNARISGYAFYEEDTLARAILINSQAFFTTD KTNRTSTHVDLAISGSGAPTEMTVKRLFVPHADDTTGLTWGGQTYETSDARVSGSLQV ETASVSDGVDIQETEVVMLSFL JR316_0006122 MRLASLVPFIPADLVASLEAHGIRTEFDLLFSASTFDIYKRLPA NTVTFQELIDYTSLVAEHCAAPGISGQEIFRLEKLASSEYSELQSGCASLDALLHGLG GRKVLEISGDKGTGKSVRSQETLALSLLLHHVKCSPANKAVWIDTTGEFSLEKATQLI NAFQMPPTTLERVEVSLAFDIDGARALFEEINLRAEIKFLVIDTITPLLGPLLSAASA QGHAIMTEFMQQLQSFSQSFGASVLVGAHK JR316_0006123 MAPVATNEEKPQERNTAALKAATPKVFNPFYSPPSTESADGDYP HADLKPYFPNVSWEPLKEFEVVDRGLFADPEKKSLFSAATKVKHLTPAIGTEIEGIDL RQLSDSQKDELALLVAERVVVFFRDQEINIHEQLELARHFGPLHKHATTPIPRNGLEE VHVVYNDASRRPDPTSFSKLELWHSDVTYELQPPSTTSLKVITGPEVGGDTLWSSGYA LYSSLSPGFQKYLEGLYAVHSATAQADGNRAAGLPVRRQEIDTVHPVVRVHPVTGWKS VYVNPGFTRRIVGVPKAESDAILNLLFRQISENPDFQVRFKWEVNSVAFWDNRVATHS ATFDFWPATRHALRATPHGERPISVEDYERTTGKEAKDRQIEIWKQQGITVELNTKPK SAKARGYND JR316_0006124 MAERELKKQEDKEKRNKHDIAAGEKHEDVARMNESLDMASRGAA RSVLATTYLAVQDPSSSGDRTDNSDSKPSRSTSAMASAHQHHSRYSLPTVPQSSQSDF RLPSLKDLNFQYRSPSGTSQPPPPTELVPQQQDHPRHQTTSWGRSVQPTNPSAASVQS HPHQQQHTPPLSAGHEATPKVEYPSKHENGGYAHPGIPLSAQTQPAPASVNITNLRNE DIPHSPIQPTQATKRRNLTETIAARDSHYAPPVSYQQYAAPPVAYPAVPGPPPHNAQP APPAPPPVSHEQMQHHSIPPSYTTYQQQQYMQPRPPVMHQQHPTHPHPTHSNPYPSPG PPPPAPPPQGPWSQQPQHAPPPPQHPSHQQPPPPQQQQQPPPPPQQQQQQHHVQQHPS HIPPHQQQQPPPITSNPSYGVSPHHLQHAPQPPPPQQQIQQHAPPQPPPPQPPHHYQP PPQQQQQQQQIPFARTTAIVPTNIDTRSSYPSSEPERAPPPPPLARPGDTMSERQHVP PPQPSQGELEDMLRLAQELVRLLEETKRANAPETDQSRMSAPEPMQESPDDPRPPKRP WEDISQDDVTQNEQSSFPEQQYASGGDVKPQTTAEQDMELIRTKRATTTAGTNGPPGQ PKSKYRKRSRATPPGKCHSCNIRETPEWRRGPDGARTLCNACGLHYAKLMRKRDKNGA NGEAPQIDMETLRASARAAEIDKPSRSKQAQSRSHPSEPASPAEVKQQTPQQHHQSTF QLMNMMAPTTEQSSSTMSSESSRAPPPPQSHNSMPPPSLPPQPPWSTSSTPRLYAAPE HLQHQSFIRGSQHSAPSR JR316_0006125 MVQEASDLTSGEEYTTPPSPNLQDSANMAQFLVKQKLSSEHDTE ICVTSCHELDVHENLPKFMKSVQENTIGLAAAYDQKCRVLRLALSSPTEALVVTLVAK HDRWNGMRKQAKHQACIEAKTSALQCLLNNPAIVKAALRMDKLAASIFLDHRMTIASA KDLLSAGDGPRHSLAALMSVLEGYVPIRKRTVIDMFIKDDSGANGVRETALQAWAAYY ATTHPHMALRLEQMSSIDTSTIELHVMAPLAKLIRVADQVLSFKPSRVKHEIKHEQNL ETGEILITSQRYKTKVSRTAANQNVEVTFEHGGKRTTSKTRTAEVHGRVAVLCAAEET KGSLVRVETVGRGGPSPAEIMKSDLLLRALHDPDFLISHPFVEALWFPRRKAKNVAKL KVKSEPLPPVDISYDGPLNDSQRAATEAILSRDQSKRVVLVHGPPGTGKTTVIAAAVT SVMASRDRNATVWLVAQSNVAVKNIAEKLASVDFFDFTILVSTGFHFDWHEHLYEKVE RNVITSEDLPDDILQAEHMLPTSRVILCTLSMLSNRRLSPITSLVPLRTVIFDEASQI EIGDYLAMMLQFRSTLQKMVFIGDDKQLEPHLSQDIFGMESVFDKSHLRENALFLDTQ YRMPLAIATCISENVYKGRLKSLDVNFDTSCCRFVDVASGTDNPQGSSRINKQEVAAI LTLSKRFFHQKKSFRIITPYDAQRTLLENALKEAGLPWEDMCFNIDSFQGNEDDYILV SVVRTQRLGFLSDHRRVNVMLTRCKKGMVICTHRGFIEGPARPTLISKLVESLGDSAW VAEQELEAAQIFDKIHDT JR316_0006126 MPPTSTFTVIQDILDGYKCSDMLPTVRLQEESLSDSDLFKTFAH TLPLGISPGYSKEGRLLALSITDGKQCRIVEFFSQQPKRENGRRGPQGDKPTLSTSLL EMRKKLQDRILCRPNGDVFAFDMGPLAMSLYCDLGLRISRAVDVQSAFSAVDRKPISA IQEALGKDSDDESLPANERIKINVENVKTLFRYPVYDPNDRNTAVDLAVRAWVSQFLP GFGNGAEVFDKVARIDTKKLAQEQLDIIAKIAKDSLRLDQTKPGQTNHQFQQSQDMSD QQLRLVSTHYNTKLRRNQEVKMQVQGPNGSFTVKGTMAGVSGKVGSVNTNNVAFADKT ITVVTSIGRDDPTTAEAHRAATVLRILQGSDKLLDRSPWIQNIWFPSSDGGPLIWPKE WSMPRAAPIFKPISFKALQLNSSQQLAVNSMMSEKDDHRIVLVQGPPGTGKTSVIASF IQFAILSQNRTGIWLVAQSNVAVKNIAEKLIKCEFFAWKLLVSKDFVFDWHEHLYNTK VTANIIRSDTFVKISKNDLQGTQVILCTLSMLSNSQLGRFTQHIPLRVLVVDEASQIE VGNYISIFVKFKATLRKACFIGDDKQLPPFGQEELQDLQSIFEITHLKKQVVFLDTQY RMPPQIGRIISKLVYDSKLNSNPHHEIKDTVTACYFINVPGKEKALQSGSFMNGLECE AILKLARRLQDEQKKFRIITPYEGQRATIEYALQTEGLDWGDKCFNVDSFQGNEEDFI IISLVRSRALGFLNNLRRTNVMLTRCKKGMFIVTSQDFLQNSGAKSLVGEFVKEMDTV VWVPQDKIEVYNF JR316_0006127 MFNVARIPEPHCDTLSKPPDLSSQAARSIFLMVHDWCYSVTVYH PPASKSEPPRLLSPGLIEARLRAVVLDVEARLSIGEKPLPVGILSADDRDRWAENLQY LLSLSPVNQKSYQAMCHSAMGLSLDHTTYNIVPTPSSSNLPPSRIHPRTPSESSIDSH LHAIRGTTQNISNRFYDKAFTLIIDPSTRAGASGEHSPVDALVPSIVSEYGLVEGVDA EAFRTVELEENLLDGQGWERLEWVGDAKIKKECQLAMESAKVIVEDSDDRNYKLSPDA FIQMALQLAWYRYRGEFTATYETVLTRLFKHGRTETLRVFSRESRLWVLSMVDMKTSD AERFVLLQKAIASHTRRTREAMTGRGFDRHLLGLRLLLRPLNAESAALFEDELFERSS RWKLSTSGLSAGMLFKGTGFGTVYEDGFGINYLAAPDMVKFGIESKFSNPSTSTQAFK HAVDCAMKDMYTLCQTVELKDNQRNVLSHL JR316_0006128 MTSTISRDLGGRLPVPTLRHTLDRYLDSLEPFLHEDESRGGMSF DAAYSLRQKWANDFETGIGNTLQERLLALDKVSPYNWLDDNFWINKAYLEWRAPLLVN SNWWLAFGDDPLIPRSALCGETNNNRAGTTFWQLRRSAWLVYRILQFRDNASQTYDTY PFPPE JR316_0006129 MPPSLRVSQWLDQQFQKPRVDPEWLEGCTEWLEGDQNISPVSQF SEFMDKVKGQLLESDLIDSMLPGTGLDAHISTLSGCLSGPPVLVQITAITEIGSSAFQ LDQIRTAREERKLAGVGNEEGEEDGDIEVEGEGPMPKYPRGMLRFQLTDGATLIEAME YRRIPQLTLGTTPLGFKMQLKGTKFQNGMAMLEPTTIVLLGGKQAELEANQNLDFKRG LYARLGRPLTPVTQNPEPAQYRYADVPGAARSPLRDISPPPLPAQMSQHDDDIEMEPR RRIPVDSSLNRVPNQVGSDNSSRDRAIAALPSRQNWTKADCDAQTNRITPRAERATLV FAGSQSSKSTTSEYFNGNSVASGSNIAKLRSQEINNTVQNLDFNLEPTGRQLSHTLPS PDYFSDVDQFDFDLLDDVDRENQQPISTNKEMESHGPSSVPQDRDIQDASSDDYGMDD LTAIDTSFLEACDKIEKDAITKTGFAHPSSSTNVPFNSNPCTSLVSPPLSTVASSGGA SRIVDVIEINDSDEDVLEADDKENAPVATRHVRRRTDTNSRPSRSQSHRSQNTLKKPG QPIVLATNPDDIIDISDSD JR316_0006130 MSGNPSSSSAKPSAQDEIDIEKILNREASAYQREVEVDRILKAF KLNPYEILDIEETASMEEVKKKYRQLSLFIHPDKCPHARAPEAFDILKKAESELSNKD QREELDSVINQARNLVLKALNLPVSTSNSDPKVQGLDPPFKTRLRAQSKLLLIDEEVR RRKAIKMNLANEGLEARKKDEEVAQRKRKAEEDKNWEDNREQRVDSWRNFANSSKKKK KTKVTVLG JR316_0006131 MFCAATGFAGTPNVGTGRFEPERLVPVENAGRIKVHFNADRSEQ LPWTFTPQQKFVTVLPGETSLAFYTAKNNSDKDIIGIATYNVTPDRIAPYFSKVECFC FEEQRLLAGEEVDMPLLFFIDKDILDDPACRNVEDVVLSYTFFRARRNDQGQLEPDAA EDVVQNSLGFGGYEHAPKAETRTLAKQSNPASSSTS JR316_0006132 MRDKEGRALVNAHVLGFLRRIAKLLFFGIKPVFVFDGGAPALKR STLNERKKKKSGAATTHVKLAEKLLAAQMRREALNQAQSNQSKGKNRDILLDENTVFL EDIDGTAPKTPVRRKPPTPSSSSTKKNKFHDHDPYKLPEVDLEEAITKATRSAAPDPR LATEDELRTFIEEMRPEDFDINSPEFRELPTEIQYEIIGDLRLKSRQTSYARLQKMLK TARTPLDFSKQQIRNLQQRNALTQQLLMTTDTIGKANIVIPIRIASERNREYVLMKNE GVDGGWILGIKDIGTREKPIVLDHEEAEPEEEEDSDADMEEVEMPPPTHDDTKLREYQ RENALSGITRRIRDNRSKFQPISRNKRSAALKSVYGDDALSPSLLEESDEDDMLAYAI QESLDQSKSKCKSTTISIHETNSTAETSTRQQNISLEGEDDEDDFYVDSTPRTRLETA LSFANSGSSRVLTTPPKNDNKRPMFGRPELLTSSNNRISKDGLSPSIDDDNNYIMEDM SPLKANKDTHDYKAHTESPTNSAVGQSPQASIEMVRTENIENSGFGQPFLLSGNTSVS RPRNPPSTIIDSVPLPNEDALTTTATFGTPSLLLASSDTASPKAKPVSYDKETATDLK DFPMEEGMAAHLLSTTPPSSLPIREFDAETAILASDSDEDMEEIPVDNVAPVIPFTPM NTNSTPPSQPHYTPSSPNEEQSQMSKKDTERLVDSSETEEERLFAWSRTPSPIRENNS PRRPPSSPVHWDAAEEIDINAEQGEFARFMSQVKGKNMDDVRKEIDAEITALDQQRKN AMRDSEDITQQMVTQIMTMLRLFGIPYITAPMEAEAQCAELVSLGLVDGVITDDSDVF LFGAQRVYKNMFNQSKTVECFLLTDLSRELGLDRDTLIRLAYLLGSDYTEGLPGVGPV VAMELLKEFPGISGLHKFKDWWAKVQSGKDREEDNQSKFRKQFKKKFKNLYLPADWPN SVVRDAYYHPTVDSSEEPFKWGMPDLDGLRTFFHQELGWSQAKVDELILPILQKMNKR NQACFLIRNICQGNLNEFLDIAAGSGTHAPRQSRAYQSKRLQQVISDFRKRQKSGSAQ PSSRSNTPLPEEKSDASGSGDNTSPPSKKRRTTVSSKGKGKSKSTSARQTKAVSSSRR QTGGSRRGGARGSKRKDADSSGSSSPGYVDEAEDAPAPVDDNDAALREVALNLRPRIR PRPNPEVGHVVEPRLGSTNVNDDLSMSSSAAG JR316_0006133 MTSPYPQTTSPPHWESRNGYVPPPRFQQLRAQDSLQQLQLQYNQ QQQLLQQQQQQFELAQNQLHAQGQSPYQRQSVLYQHPPSPSNNNFVQQVPSAPPTPDM AHSRSSSFFAFNRNKQSVDGQPNVHQRPMSTIGNTGSATIPTQQYLPPSTNSFPPQGP APGPSRQSQPPPQNVPPSQYGIQQQQQLAQPQPQPQPQHLPPQQPQQQQPSSPSFSGG QPSPNQFTPQPPMPQSPPPPAPAPQQSQRPQAQPQQSNAPNPSRTSNASQPTTLARSP SVGGAQNNPQPPAPPPLHPEIRSVVQLSIAHAHKIYFSGPLVRRLERQGDGQRPHKDE GWTDVWAQLGGTTLSIWSMKEIQEANKQGKEVPPSYINVTDAFIQVVGSVTVPATPTT PAKRYSNVLTLNTAGSNLLLFSCPSTAALLSWAAALRLSAWEKSRLEEIYTAHLIRIT LSAARDIPTTLVRGKMEGYARVRIAGQTDWKRVWLVVQEGTEGSGKVEGAEQGAISGP PQGTLVKKKRMSNLFSRDSNPSNSTIPTKAMISMFPGPKPKDRKKALMTVFNVTQAFG VYPERPELINRSTLMKVEGTFGDDDMAGSLRTREGWVLIMPELENGVGQAAEMLKWIV GLHDAFELYGRPEAWTWDPRDPVSLMFGYPVGAQKENLFLDRELVENMDPRDDRTSVI RAEMKKLLQANLRPAVQVVQPNPRAMTESPPIMPSVGSATAVAKSASPPPQQAPNAGP QLPPLSFGANTSTNTTESRERTLTPITERSNSNMTTTRTLSLDVPSGLNTGHSPITSS PLNDQSLQLGGITESSSSGSNNLPNPVNNASIKSPDSSEQIAQSSQPTSSSGLNQQGQ TVSASPSTNTMSTQFSSAVGTLPSVDSVADNSLSSLATSAPVDSPRPPANVGFDAHAR SPPASPLPNFSSTIGKVQQPLSPTSLDEARAKSQAMNRQADGPRTSPQPSGNTAHLRT TSPSNQQEHQRQSFAANATRSSPTDEPNDFINEAGALYYMRESGVGNSGNVQQRERQQ EDLDEDESSSSSDSLANKATAAKPSQSQQQIGDGTSAARSPTTFVHANTVTPSASSPK MSHTAPMSPIGRLSPSRSNLGRKPSGARAQAVGRSYNRAESISSQTVTETDESMSKHQ KQESNTSDLAYDDANGEALAALTYLDIADSQDIAAATSTVAPLTPPLPKVEPLNLRGA DRNSATSPLPGTQSGEAVPYKSSFAPSNKAAERKLKAQAQQAAQHAATHKPGRANGKR KSKTAGAWESSDEEEEEEEEEDDDDDDVDSDSQQRRGPQSSSSQSQGMSSSSNSLRPL QTQGQGPVGQQHEYQDSPQTPSHLRPARNLPQIPGNRMTGDNFHHPQPQAAPRRLISD QYTGDGSRRTFYDDGTPIRTQAEFPQPGAARQTVWSQVLDPGHAPGRVPQPEQAAPVR DTFVQLEPSETMTKAFTPQGLLSAGLQDKEDRSARRQEELARESGASLINVPNKPPPP QTGLLGAITAHERERKREGGVGAALTEREREKRLAEERQRRFDDHQRQQLDQMQQGGS MYGGQFGFNPMMANPMMMGMNPMMAMNPMMTGNAMNPMMTGAGGMGQMNPMMTGQMGF PGMMGGFNPHLFAAQQAAQAYQQAMMAFSVAGSQIGGDGGGATGAGAGAGTGSAQITP NMTGMNPAMGAGSMAGFDPRMSMMGMPMMGMGMGMNMGMGAPSMSPMGMQATGMSSFD ARSSPGIANNNTGNSNDGGLLPPQFSSRTSSPASRGSPLARGGPETADRGRPSRPTSP K JR316_0006134 MFSKTRSLLYALAVLAVLAPVKSAPTPQEEFTIIGDDLPRSSGR VTATSAPSVTQTTASITIVSPKAEQTGVSEITRQNALAAQQQNAGFANLNVDDACQNG EQACISGFFVLCASEKWQVFSCGPPKSCFALPKQGVNGTGILCVTESVAKDIFADAGV TGGITGSDPTGHCTTATSTPVPKFTTLPPSGSFSIDQTLTFTVNVPKSSATIVDQVVT VTTSTTTITVEPSASANALFVRERPQTPSPVPVSSTTISEASSTPSSTNPDIVVIGLS TLPGTAVPSSSASTVTPITVIPNPTAVVTPAGSDPATTVAPSNTAGGSAIPVVTATGA DGIVTVVIMSASTVTVTAPATACAPSNTIITPSVPSSSVTFFTTPTISPQETTVPVII ASSSAPVFTVSFPSASASSSTPVVVSSTTSAAGRFSFSTGAPFPTVVPSGGGEFSDIS VLLTATVGGN JR316_0006135 MRSFLLIPSLLSVLAALTANASVVPGAPAVRRFASNNTPSQTKK LTAAQEYARELTHRQPTRRSTAQRRQASAQSINVDTSIQVGARNRDTGSTLGGVTITS SGLGIATSADTEVSLGTVRTSKTGSETERRITIDGLPGYSNLGVVQFSSTSGLTLGAG LSNGLRITGIANPGTGSGETPTYGTSYGNTGPALAGETDVWSFDYTTGTISLQWVNPD GSTPATYIITNGVDLAATGDIDAFNAVFGPGWFATTLTFTANA JR316_0006136 MSSSIIRRAVIARPALRCRTVVNSVRSYATPSNEEIDPQLNGYP QLPWKSRQELPPLGWQDPLYRRNFGDTLHEQEEVLSMWGPDVPPIAPNTAVRHFLIAA AGFVAFGFGVKYVLLQDAPVIRREYPYDGLVKELGGLEENKARIVNSLS JR316_0006137 MAKDLLVKTVETNTQRKSRRATGEIPYPIKYTNQMADFDIWDHK FLANYFGGLTNHHFQDPPQMVLDLGCGTGYWAIEAAQQWPNSKIYGYDVANVQPCLEH MGKFKPLSRRIQWVHGNFLDGLPFPSNHFDLIRMAGLGLAIPEDECTVLLEDVHRILK PDGVLEIIEEELLFPCSSILLQRPSHQTTSYEHIIGPRTRALSLATSLDSLTESMHLK FKPPSKISLTHPSTGISSAATLATSSASSFLETEHPQDHTRLKMAWDAMLAMRFLSPK LLSVIPFYLTSASFNHIKTQPSLVIPLPQNSGIIPVVDVYRPTGSFHGNESQKSLVTE CLPMSKSEWTPPRSPGFTPKVASSDWSMMHLGKTVSTIRGCKEAIWKEYEKLYSDDAL YLLSRTAPGEEDYSLQQPHHIARKSFEVDWRNWELDMIDRIGMRDSLLTRLQWAQSIP DTERDRPDWVTWREKLNRKSGSRHLITGYNPSDTCRSLRVFNAFK JR316_0006138 MADIEKPAAAEAPAKTTTSTTEADLTKYKTAADIVHQVTKKLIE LCVEGAKVIDLCIEGDRLIEEGTGAVYNKSVKGVKVPKGVSFPTSISVNNTVAHFSPL ASDPQSSQVLAKDDVVKIQLGAHIDGYAAISGETIVVGASAANPVTGRRADVVKAAWT AAEAAMRTLKVGNKNWAVTEIVARTAAAWDCKPVEGMLSCQQTQNVIDGKKRIILNPS EGQKRDFESAVFAENEVYGIDVLISSGEDGKARLEESRTTIFQRDPTVTYQLKAKNSR AVFSEVQKKAGSFPFNIRTLDDEKRARMGLQEAVQHSLVKPYEVIYTPANTFVAGFHF TIALLPGGPTLITHPPVWYKSELVKTDKELDDEELKSLLARNLRENKKKAKKAKKAEG AEEETKEE JR316_0006139 MDDALAFLKVLNRSTILGKTPLSPNFGPSSNLDGSLRDQDTFIL GDDDDEDDVLTTYDGGIRVRDSGAPPPYTSICEHPSGAVAPPQTSHVQHPSDSTNPDP NASPNSRMVTMSANSTKATPYKYYLDRKDTLQGLSLRFGIDGHEICRMNKLPPSVLRT TPHLLHTRSFLLLPPSAKPHPSLTLSTAEEEALEAKLVRERAEKKLQTLTKEADWRIA KAYVALADDCQVQEDFSSKRKEMGFAKGNVQTASASKLDGLEALAAAHYLDDEEWEAE ERRAGRDVQVLRLPAPDSNQAQATNGQWWGRRKS JR316_0006140 MSKSTSPSLNSDKESGDSSEGAKKHQQESPDVLRHNRSWWQRVP FTSTKTPPPPLKSLDDAPLLPEATANFLSNITFTWITPLLSLGYARPLEATDLYKLQP DRGAAHIAHLITESFDRRTQKANDYNTKLANGEIGPGIKGIWWSIQGKRQEKEAEWRQ KTGKKKASLVWALNDSVMWWFWSAGLLKVVGDTAQVTSPLVVKAIIKFATDSYVGHRT GADNIPGIGVGIGLTFTLFAMQIIVSLCTHHFFYRSTSTGVLLRGGLITAIYDRSLRL TSRARSTLTNGKLVNHISTDVSRIDFCAGFFHMSWTAPIQMIICLILLLINLGPSALA GFAFFVLGTPVQTFVMKKLFALRRKSMEWTDKRAKLLQELLGGMKVIKFFAWEIPFLA RITQYRRNEMAYIRTLLVIRSANNAVAMSMPVLASVIAFITYSATGHTLEPGVIFTSL SLFNLLRLPLMFLPVSFSSIADAQNAIGRLYGVFEAELLEKTHTVDPSIENALEVKAA SFTWDAPPPDEGEKKGKKSSGLMKSSKTKAKAKAYEKKRAADMEEKANGEEERIFKMN NISLSIPRGQLVAIVGPVGSGKTSLLQGLIGEMRKTSGDIVFGGSVGYCPQSAWIQNA TIRENICFGRPFEEERYWNAVRDSCLGPDLEMLPHGDLTEVGEKGISLSGGQKQRINI CRAIYCDTEIQIFDDPLSALDAHVGKAVFQNVLQNSLSEKTRILVTHALHFLPQVDYV YVIADGTIAEQGTYAELIARKGAFSAFIAEFGAAEEDEEKEQEDEEVAIDEASSASTK VKEGAAKSKKEKEGLDKVKSSVGGAALMQTEERNTGAISWDIYKDYMKAGRGSVVVPS LLLSLALLQGATVMSSYWLVWWQENTFERSQGFYMGLYAMLGVAQAIFSFTMGATFAL LTFFASQSLHKQAIKRVMHAPMSFFETTPLGRIMNRFSKDIDTIDNLLGDALRMFMAT FSAIIGAIILISVVLPWFLIGVFAICLGYVYAAAFYRASARELKVRDAVLRSSLYSHF SESLSGLATIRAYGEADRFRSDNEKRVDVENRAYWLTVTNQRWLGVRLDLLGASLTFI VAILTVGTRFSISPSQTGLVLSYILSVQQAFGWMVRQSAEVENDMNSVERIVYYAHGV EQEAPHDIPDKKPPPSWPAEGRLVLKDVVLSYRPELPAVLKGISMNVAAGEKIGIVGR TGAGKSSIMTALYRIVELTSGSVVLDDIDVSTIGLADLRKGLAIIPQDPLLFSGTLRS NLDPFNLHDDATLWDALKRAHLVESSAAKQRNSTTEDETPGSGTQTPVNRFSLDTVIE DEGGNLSVGQRSLVSLARALVKNAKVIILDEATASVDYETDRKIQDTIAYEFKDRTIL CIAHRLRTIIAYDRICVLDAGQIAEFDTPANLYEKPDGIFRGMCERSSITIDDIKLAS KALHADDVDEN JR316_0006141 MQYGHGHLPIQDRRDPNLDSNSSFHQNALGQALGNHLPANSYSR PADYRNPSTSPPTLAQQAASHAPTASNHPPLAFSVQQGPAATSPAMKRKHVDPALAPA ALKRRRETGPADDSDMYDLEGAGQGAKHWTDDEKSKLFTWLMGMGHEDHWNALRATKN SCLRDCAAEVFGGKKTYQALKGCYERNFNLFKQIYAFETAHVSAGPGQANLAQMGEAD RLREYERRLQIARKSGSDVGNITARTIDHWHRVGWYDLFYQRWHGDPATTRPVQGRNS GVGNNSMVGGDEQDDDEQQIDFGDPSSHMNGMNGLAHDRQQQQQQQQQQQHVYINPRD LRDNPPQPPPPLAQTPSVGLRPGPSHTQPQQQHPQQQQQHQHQPQQHQPPQPQHQQPP QSHQQQQQQQQQQQQQSQHQQQRQQQQQVQQQAQQQAHAPQPQPQPTPILTGDPASLM NVAITQDLISSCLNLLTLQTKLAQEKLEYIRRREAREVSELNAKKEQDKSAVNKNKTE KAIELINNPNAEANLKQAATEYLRKMFLTD JR316_0006142 MRGLAFPPNTPADREPSYEYGTPISNVDDIPIDPALGGAPIDPA LMPDEQHTSAVPEMPPAMVSPTRRVVHPQSTQPFLLPSKTEATNQLAPHEPPLSDDYQ IRQYSQGPQGDPFAPQIPTPFFPALEQTQQPTPPPKPKRKRKVFKEEECSFCQGSDAK NKRGEGEQMLTCHECGRSGWHMDCMVPPLENPPEGEWHCPQCPPVIAIEQPQLIPPQE EGEQPTIPSAREPSVASTSRSALEPQPTPTKPKRIRKPSTRKKTKTAKAAASEASDED EDEAQVPESATPTAYRGRGRPPKGTVRAKARAPTASSEEEIEVPSARPHRRKRPRESS PPPAMSTSSRVVRLRIPPQRGKGKGREEEEPERGLFDDILGVEERDTSKTTPTNVDKA YFERSRIAAEVKTAPPPPPTASSSRTGLDGETSTPSHGRPLRSSTLQRHTTPKLLDFA NSPGPSTPGAHTPLPKLEPGVLRIRSIRFGPYDIKTWYDAPFPEEYASIPDGRLWICE FCLKYMKGQSMAIRHQIYCQNLCLLSKMFLDHKSLFYDVEPFLFYVITQMDDLGGHFV GYFSKEKRCPKDYNLSCIMTLPVRQRQGWGNLLMDFSYLLSKVEQRLGSPEKPLSSLG ALGYKNYWTLAINRFLEKAPDNIRLEDISSATSMTIEDVCNTLVQLNMIYIREATPPP IRPSPGQSIKFPKGRKNGLPRKQLQRLQSHSATPNGHSGSAQDKDRDGGGAGAGDGSG KGAFVPPKHYEIRWDREKVDAYLRKWEAKGYLALKPEKLQWTPYIVARTEAAVVGEQI PAVSAGVEDGATKEQQQQQQSVVVPPPPMVHEPETPATPVSVPAAPPPDPDPDPVVEI NGATTSATDDSRQPSRSPAVEPDGQVEDQSMNVDDEVDDDAVETRARTRSGNRSPVKG QERPPPRMTRAVSLPSSPPAQTPSRSLRTRSSHLNIPSPAKSTVGASTSTRATRLKGG GGGGHAKKAAAANGSSKEEEMKMPMQEEQPVQQTPTRTLRSGRGEVQSENKRPLVVTT PRGAVGRKRRRIESSPEVEESPVPEEVVVVKEPLNGAEGEETSMVVDLAAPPLKVNGA AAVEEIQPPPLITPPSTATPVDGGTVEEERVVKSEEGLTPMTSVFMGSMEYKGYHGAV HAESDLRSTLGAELMDLDDCHDEDADGEYEEDDGEYVAVN JR316_0006143 MAAVHPLPTQLLSQYARQSLNGINPAGPSGMPSSPVATRKRKRA HQYTVSYSEVQEVDSNGRLREVIVIDDTPPPPPTISPSTTHNGAYSASYQPPMYAAPI RTRARAAAEAQALSASSSSTTTAPAPKKRKRDHNEEVRAPASKKPAATSSQSQAVVTA KSWESRSGAATDDTSKGPVSCDDKEGHYIIVPDDMIHRRYRTVRLLGQGTFGKVVEAI DTENNTRVAIKIIRAIPKYRDASKIEVRVLQKLRERDPTNRNNCIHLLSWFDHRNHIC LVSELLGMCVYDFIKENDFAPFPRHHIQKFARQLLGSVAFLHDLRLIHTDLKPENILL VHNDYRTVHVPVPNSKRNATKPKRILECTDIRLIDFGSATFEQEYHSSVVSTRHYRAP EIILGLGWSFPCDAYSLGCILVEFYTGLALYQTHDNLEHLAMMEMVMGKMPERFARAG ARSKPEFFKDGKLDWPKPKATRQSKKDVRATRSLSEVIPPSDNINRQFADLVRKLLAF DPAQRITVREALQHPYFSLNIPPEI JR316_0006144 MSSCIVGEQHRKQRKMLNPVFSIAHMREMVPIFYQVTHQLRATL KMRVQNGPQEIDLLRWTARLALELVAQSGLGHSFDPLTEDEPPHRYLKSAKELLPLAF KFIFLRTYFVSTIVKIGSPRFRRAILDLIPWKDAHRLRDIIDVLHETSIEILETKKKA LAEGDEAIAMQEEKDILSILLKANMKALDSDRLTDQELLGQMSTLIFAAMDTTSSALS RTLHLLAMHPDVQEKLRQELRDAKLVQDGDLAYDQLVSLPYLDAVCRETLRMYPPFSM VQRTTRQDVVLPLSKPIRGLDGKEMSEIPVPNNTNIIIGVMASNRNSELWGPDSYEWK PERWLKPLPDPLVDAHLPGIYSNLMTFLGGGRACIGFKFSQLEMKVALSILIETFRFS PPDKKIVWQMNTIASPTTSRIENIGHNHLPLIVELVKN JR316_0006145 MSSHPKSPIAYSPPSVGRTTAPPTPVVPTVNVPSQPHLVSLVVQ SKKALQHGEQLCTRAHTSSNESAQASVDVLALDAKVRWIVEAVVEQLQLAASVAKTIE EKRTDISRQANEWDIARTQQTDALDAILESLGAQLVPPEFHQHSSDSSLFGSQHSADL ENERLASKGNNTQLSPAMLSNKKPNGSSDDYSQLRSPISPVSPSATLRRNGSIRQANE TKDKGRTKHRNPSGTRRSTKEERKRWKTLRDFVDDQAIETVLETIENDRSALEEIISK TDDYPETLTRTIHTIRSSLPFPNPDEPDALKRTQQIVVEQEHLVNSMASLLESLASHY DGMANALKDSENGEDFSDEDLQVMNRDTDELPAIMRELEDSLRVIEEYHLEKDLKHLS TVLDDLDELGEIMSEMLHTQEILEVQANEELIRLHDHLTALESTFHIPYVEYRTAFTK LLLEIERRRQYKEAAENIVSGMMKHLESMTEEETRVREHFNKEYGGSLPLDLCLYVAN SPTRWEIVPWQGTTPEALPHIDNDLLAEARAKVASSDGPLKLGHDSQ JR316_0006146 MSSTRFTVSALPLAQKSQLLIHNLTPDTYTPTPAHFQSKVLVES PSIQRRARLLPGPCHFSHVSPFPIPFPYDIEPPVPAAATDDKASYIEKWLADREAVHI LPSSEKHPDAPLRKYAAKNRDQPLDLIGISETGLRDCVPHLDVGDAFAVLGVPSLAHE FDDEGDPQPSDIKDVVDARQDLIDVLSGQYMLMSSAQDDSNPNHIPFAPWSLRYSGHQ FGSWAGQLGDGRAITIHVTPHPSDPEMTYELQLKGSGRTPFSRSADGLAVLRSSIREY LCSEAMEALHIPTTRSLSLISLPALPVQRERVETACVLTRMAPSFLRIGNFEAFNGPT NMFFFGGGQQKPDYEGLRVLGEWVAGKVLKLNIEPGKAWGSQLVLEVARRNAKMVAGW QAYGFMHGVINTDNVSVLGLTIDYGPYAFMDVFDPQHICNHTDESGRYAYKYQPNMIV YAIRALLNALSPLIGAEAELGGKAVSTGWADGVTSEKLEEWNKSAQELKSEAERVVQE IASVEYGRLMRKRLGLRRQDASDESEIFKPLLEILEQHRLDFHSTFRTLSSFRPSLLS DKSSLPDSDTESGDLQSFIAKLLGRSGEPERLDHAAASSAWLTWLDKYAARIRSEAGE WTGVSGEAAIDAEREKEMKGVNPRFVLRQWVLEEVISRVERDSTSGKRVLAKVMQMAC NPYEPWGAEDEDQPDSELDKEEKEERRYCGLGEKKMLGFQCSCSS JR316_0006147 MHCNVQIFINILAVVLFTSAFIHLIISIIYTNPTEVAFTTALST AANSGIAHPTLIYKIAAFEPTETQVFVHANSMRHTGNNQSQTTPNNKGKGKAKAVDKP HQQPLEGPAQNNDDSYQNDIEQATKKSKKKNLIRTERERKAATSSAGVGPSSQTTSPV RNFNTSRSLAIGHREETNTTDVFAPAGSTTHSPIQVHTRDNATTGRNPSTLSNPAVSS STQQYIPPPYNDEDSISPDTYFEARRAQNEYYSSLENEPLYTEHISRSPTPERSAPPA PRCRPQATLRPASHQPVSSNSDNEGRYYNISISSSPSQSLSSIAQSKMSINTNWPFSV PEFSLPPSSPPSTDYEDDEDYVPVHMRQIAPLPVRRSTARPAVAVPPNTSEATPNPSI DPSVPSPAIQDEYIEPAQRPIAALPRRHRIASVNQALLVGVSNSSVVQENSSSSGFSQ STS JR316_0006148 MAPPHTLGLTGHGVSTSSSSTVPEAFGLMGPGVNSFNFGNINLP GQTTGFPVAVSNISGATSSSLYGALSSTEVSAEYIKPARRPIATLPRRGRIPSVNQAS SVAALNSNTNKNPDNSGISQSTLSSSTAPQQPLGLMDPGVSPFNSGNGDLYQQEQDMA HFLASLKSSQQSSAASSQSHKTQTGAPQAHLQAPIWTSNSDPVSANIAAWQPPRSRLE HVYVTTPQVRADANSFQPRRSGQSSSNTDATRYFDMDAARRNSVPIEFNRLAPGTRKL DEWFDTPDRYLEEAASITQGSMGYNNARNPYSNDANMEVAGLGQGSSQSTSTGRQVGT GFQFHQAQDWGFHNNQGSHVPSSSTAHRRARSNMGLVNTSGTGLTRTTIPSSEMRSSI EEPYRFTFLSSTVTAPNFQIHPLSIPTIAQPPRAFEFAEPLNLAKYNEQGVSYNSVQG QEDPVYNGSQEDTQVIESMDIASQNHARVFEMEKDGMKTPAYSGLAPVQEILEASGEF YGHLTDSRHSFPATVTLDQAPSLVSTSLPEFEGDQRIDTQMDGKMDGTDGLQGAEQSN NTPPANNADELRQDFAPLAPTATPDFLMGTEETADAMSFGEDGNFTKAAISSTLLAAH LTGQPLREAKPNEDHAADLSRLAMASEPVSSEVNFGTIKAGILPAQTTAHLAGQPQQE AVPSADYPADQPWPVDSIDTWNSQSAEIFDIGSGITTSGNNFGQHIYAQIQSREVSAS DPADIEEDGELDWLAKSFQSVVPNDHSMQDLDTGDKGETPLSSTPQPPPQQSQGDTLV EAASDDAMAPLPAFEGVNAEVNPTDATPVPSATAPSAAAHQPVATAEQKEHSPVNGDS GTGEGENSSGRPPLEVSSSPFPSPVEPTEPAVLQGSDNGSTMAPPCASPEPTPTTSHE IPDLGSLGVQQGEVGEPTSAEVLDGMGFSTGETGNKVAAQAQQPITGLSDIESAGKPK DKKRRTIRRPRQGREERPTMGWPYHRPPNPAAHIRPSRFEGKIVRHSIPTRRSEDIPV VEPVPPTRKPAAKDALKRLERRKLGINPPPYPNRTERPALLARLARFGAQEVSEAVTA PTNLREAPKDPLPKAASIQVQPAPTTLPRVSQAIVHPQPTPVGPIQAVQRDATPQPTR ARNGGLFGHPARIEGTHTGMPRGQALPFESKPVARVDPITALLRLRPLEEPEEEDMDR RHRRNCADRKRKRTQQRWTRRQDLVVETEEMEEEPEAVDIRELDPILTLRGFATMVAM FGAGLFLDSLVGRWFGV JR316_0006149 MDDICPASPTPSTHDPCPLSHSEISMPSWSGCPSRQHRNPRRNN QTLPEPVSSLPPLPLSATRRVTLYVLDRFTSVINVFGVWRYYPRRPHHDPNSFLSLED LASKPATFKQLSSSILDGITSYISNLQSTVHLLLQWANNGQTLKSIGQINELVDTVLL APNFNAQDLIGFDARREHARMDKAIATLGLGAHFRETCVRIWVPSGIPHCPPLPYLVP GLLHRFFTSLITEMFTGPLAKHMHYAPFELWHQSPCTNKSERIFSELYNSDAFLAKSE AIQRRGALPPDNPNCKREKVVAALQIASDATHYTNFGNAKGWPIYVMAGNLSKYIRAQ PGSGALHHLAYIPSLPDLFKDFASENHPKWKSQSQQLLTHCRRELIHGVWKIILDNDF KHAYLYGIVIKCHDGIERRFYPRIFTYSADYPEKVLLVTIRDKSLCPCPTCLVPKSKL DQLGLKRDKNLRTDNRTVRQYIVEQVALARDAIYCLGHSIKSEVVNRLLKPFSSVPTE NAFVARLGLDFNPSDMLTVDLLHELLYAARGDSNELVTELDRRYRQVSTFGNGTIRNF AENSLEIKKLAAHDFEDLLQCAIPIFEGLFPDPHDRQISKLLYRTAKWHGLAKMRIHT EGSLKLLDELTFEFGKLMREFRDVTCTHFETFELPQETAARQRRQAQKQASTVENNAP AKAPSSRRSIKFNLNTPKFHFLGDYVRHIRNFGTTDSYSTQLSKVAHRLLKSLYKLTN KKDANKQIAQKYSRMMALQTERAEAAVSGHLQDTHYISKSRNTPVPIFAFMQNDTDPA KKNFLHLLKNHLLGRLTGRTFDGDDTDSLFDDEDLNTMRTYYTTYDMRRAYDTISTRS HPFVMVLSPETEPGAHPFWYASVIGVFHADVQHTGPKSRNCSPKRVEFLWVRWLGVEP GYKSGRKLACLPKIGFVVEDDEFAFGFLDPSLVVRGCHLLPSFVDGRTNELLRTTGPT QARPPQETDDWMNYYVNIFVDRDMLSQYLGIGIGHQEASGRFQFEEPSDIAEELEAAE DDGPGCPDDMSDDSESDNEDIDSHSANEDFDNDTVDNDDLGFDDL JR316_0006150 MESARLLHRLKIHTANLFGYDKAGSTSVFSGLRSTLSKCGRRRR TRSMVRDGGKRQTTHGNYAVHHGNPTAPVRLTPCHNDKHGQHTCGSTAYRLRPDDNAR HRLAVAQIKLGDIKEGKICIPHRSNFP JR316_0006151 MLENTNEAPPTIVGIPLAFIADTPEKPAPQPAWVDTDSSSKESE VECSHSECELESDECWCQYEPKIVKIKKTAEERAKERAAAAEREAKWQNFITQRAQQK MAARAVEASTIICTQINSDIGHNKPNTQLNEINNEGSGSCEVVDEPAAKVTAQAQ JR316_0006152 MSSYLYQPGGAFHPGAQGSSSHGRVTGTPEQPNVVTQHGHNAPT YPVGFNGGPPYTQNERNHALGGGMPTSDNSFYPSHSQPQMPAPTTASTPGYQARYGHH LEPNASSSSMSSDTTSLNEISAPDTSTLQTQLAFYKRRIEEYEMGNEERSRKSKKAKT RQAVSLYVSAQELYRDWNNDYLERNGMASAKFRDVDTKRNFDCNVSSFNKLLEYIPRL QMMIDRDARSQEIREYLHKIDQGGNAARSDDCNHIKEFLRDFLNAEHRPEVLFTKERT GCGVQNDITGKYLCPIHYNWDDPSVRTRIRAGDIMDPKFPIFVNYYLRFLYPDGYELP PNGRVEHLFLQSLILVKVWCYIFTSPGSAEAIIKNPNGGPPIRNRAYAPSTKSTKTNV ARLIGMTSVTAHSIAYTVIMTGFNLTDALFWTSKYNNFNFHALYDFIVDYFRESTPES EDLLRWWNSMVFPNGVGAAIDRTEASTNASYSLLEASRATASTTFST JR316_0006153 MSAQNSSPKIPEGYIAIDGPDNIKYVVPRFMIPSLEHDLAVLGS KEDPIVNNADLTRKPNAKQSDSYLTLSGILRVPPENSLTDHEMLALHSEVQALKQSLG ISYKDAAHRLYMAEVAKLKVADTDRRYHAYIDRCIAKTLTKLEERHSVREDLGNSE JR316_0006154 MDSKITDAKSLRLALAKLDANVRDAVRSGEVKKVMTDLNGLLKE IFHVKKARIHPEIFKEFGNFVWSVIVDYKKSSKASDFDIAGFVTKHRSALANIEGGSA LPASTLPDQHKSDVGGNPDIVSDTSRVKGSDGSDTSRVKGSDGSDTSRIKVSDGSGSA PPPDNSKMSNLESTPTQGRTPTPTNVERRESNSEALSAQHRKGLNIITQTMSVHAERT STPSNATMRPSNPSSRPANLTPSLPPSAANPIVPPASTSFRSMSTSNPVALPANKAND MTKAQGKPKRTSKGGESTKDNLKPQSVGIFAHEVAMAHFDRPDSPENKFRALKLQKML KEGPSREPISGSEYQGSSSENEESEEEKAVGKRKRSSKASTPILATKCDWCIKYNTQC DEILDKTGKRACAICNRQKKGCTWGGTLVSESRRAQKAAAQNAKANTNGPTNANTKTL KATRARKAKKSESNQTDSEVMPPKKKVKKSLPYVVDSDVISDGAKSDRESKQKKNKPL DSVDYVEITSGSDTSQSPPKPISKPTPSTTVPPAPSKTMPPAPSTTIPPTHLATIQAP PPPPQRRLQDSDLTDIPLVSDLLNRMNEMEVTTCALQVDLALLRTTNEELQDELTSVK SAHTSLKRRYDDLYERVTQHRKSAADCQSAFALKWGSGMSKIKAEVKYLSDCLERTED SLVVLEGRVDDIDKLHQMHSDGAYLSWDGESDGNDCPIPMDMSSDEASHSLNAATNNP TNPTIDKPASDTKSDPASDEKSDPASDAKSDPASDKKSDPASDDNSDPASDDDADPAS NNNSNAAANANKDTVEDAVKANKSNSDNNPDPMSGGDVFIPPQPPTTPPALPLSPPPA L JR316_0006155 MDSTEAPNPILEDMFRAHDRLVRVYDRHEREIIERFKDKYTKAS TNLDRKQVVCEILPALFNHWSKNRVVSRSKEFMQEEAKKLLAWIRNNWRGERLTKAAT KGKKLKRTTVLWYNRRDEVYKEIASILGIESAGVGTPGVFENRMKAMSNIISRMSDRE KRQLDIEASNYTSVVYSDEDKRKKARKYAFKTLDEAAIQQWAEMGLMSITFVCQINET GQLSVQVHDQVASVIGVCSTLFEEQKPDEVTQMKRLISTYVRGLLNAKERATSGLQVT AKSDMFILDQDADGFPMLPQDFDASKVNRKDLESLLRLYLGQNYKLATHGRTSQTPYE AIELSQSKFIAPEYLPPRFKLKRPRFLDLEDLRTLFTHLRSRQETFPLSQVFRFRKVK KGRKGDDVEDTWYPDETRTVDTEKTKRRPPVKKSKKSKKALTRIEDMQGGSSLITFES TPESMQTSTSPNANIILDCMIDPSLRTSLAPDPDLANHLQLLLKLRSMSKINKIFVMH GRFLTCYLEPMQQGK JR316_0006156 MPSQRTSSTRKAKKKALYEDDANAADAVTYKHQTRTTRAGKVVS ELVKVSLSTSDRPRRKNPGEPEVPNVDENASQEFNGDNYGAPESPHGEDVVPKICKTQ RDYINQYVSRVDDLLGALLSREAPNIPDNSERPICSSCNNDLIAINYLEGIEEEKDKE EQIQLHQLTWKQAPVGDFLTGHAEDIDIQMDSPEDDPTHIHEGPTDDEFEEYLDKCRE NPSLLDDFHDCDDETEVGEAEDDVQDMPYYLRPHFGNKNKVTPTATPKTDGLNNAYVR AIHTNGVHHLAMVYCVCHGAQQLPQDLIASRLLPTSFHRIRTVFSAQLLDYFRLSNLE LKASAYQFYSLIRRMTNPLSPSSVVDLYNEFRRMSRLWRWMKKLKWAGFAGHNGKTAL SVGKGELGIFCPACPQPGINLPDNWREDPNRWVYKRVFVADGNFKADHVRSEKPSRDV WLSEGSGMIPDRTEYHAFLKSAIEALTGAPCENTFRAIQNSLLSKSSCDVTGIVGIAC ARHGCYAPNAIVDLFKGEQQKNVDFAFLAALASTGVHPDQGTMVIYDIVCQYIIWLLK RIKHHLPEGLKIDRAIGMFHVHAHKDECFFRYAPTFIPGAACVCGEILESLWADLNAI SPAARTATLAHRAEILDDHACDSNHKKALNLTRYLCRRYLEADETRDKYRICFANLNS AVDPEAVKLWMKQIEHVEKNRLEDPKLMDIYTAKRPGSVPVNTSTMIEEKQLDIRMRA RRLVNHDRLPDCVKLQKLRESLKTLMVQLAKLQSKAGVIATGRQDIEISDQILIDWED EEDVLAPGSGPTINEDIDLQPICLPSNGSADAMYASDELKARISQARGHLNQIRELIA ERSFQFKEVVQKGPRKGVRTRGQTAVKELKDQISLHAQAYSHCRSRIVKLGADDEILR ELRILTKDDIKSSTAILNPNLPGSTKFRLSWIWYSVHQRFGPRWALDPTATPDSDPNA LSEDADPATVLEFKRVHWLRARALYHRWNEEATLVRYEMQWTVAYFMHQSKKWVKYVE SGPTLSAGAIAYAYRKSDSWRSLAMAGDQLFKCINPLYKPL JR316_0006157 MSSNISNSKESKRTARTDDLAPPPMPVIPDNLAPPPMPVIPDNL APPPMPVISSNLAPPPMPVIRKDLAPPPMPVIPANLAPPPMPVIPANLAPPPMPVIPA NLAPPPMPVIPANLAPPPMPVIRNDTAPPPMPVIRNDTAPPPMPVIRNDTAPPPMPII PDNLAPPPMPVIPPTLAPPPMPVIPDTLDPPPMPVIRDDVAPPLMAAHVSYTSVVDKI IMDSRPAISSERKQGRYSPPPEACHLLLQNPYFRTYGRFDSMGGYPGSPPTQSDIEFK DVTDQTAYFNPPFLYNYTEQQLRATYDQIIDSSVGDNSYDSKVTTFKNLRLHQLQSEI LTYNALEEIDAGLAGIESSLEKHLYTGRDE JR316_0006158 MAASSSTTATFAQRLADWEKKFTECYRNGESAFNAELEQLYRDL VPLCQEHVRDAARFRLIDYVASPVVYSYKVIQGKDGENIYRFEVDWATLKHQVANFKA YQEGQEAQRKRREEEEQEKRREKEEQEEEERQRVEERRKREVRRKREEKKKREEEEER QREEEEERQREEERRKREEEKQKVEERRKDERRKREQERRTREQERQKAEERRKREQE QEQEQEQETDEERNKEETEKRQAEKGKGKAVEPPVEDGPVTDAHKDKGKRKAADPVES IQLAPADYRGPRTKKGEIIPHITASNMPGHPAYREKLERLAKSKQGKFRSKAIIGSHT DEDADADVDEDDEGDNKGAPPTTPTATPTRKMRTRSVKKDAEDDVPPIRKARSRSQKV RQVPEGMVDMVERCTACIKFKVPCYVKGETGTEPLVPVKHQSCESCKSRKIHCSFYPG RNTFVGQFLMTPLGSYGEALKLEEGEDVPAKGKAGEGSFPEDVGELLVQLFERQGRIM ERLDGLSASITAINARIATFAETNLAVENRMKSIEDGIQEVKAEWTMAKEQVAGSTSL SVTMFNDITKRINHVRDVVDDLLEQDEQRNPAPKQAAGPSKTEVEQESGPSWTREPTS VPQSPSAPPPPAPLPSPPPPPAAPILPSPPPPPPAPVLPSPPPPPPAPVLPAVSAPPT ALFLPGSTPEAPSPPPAGRPSLPPVPPILSLSPPPPLPAPRPRSSTSKAAPLSKGAPS SKAAPSSKAGPSSKAKPLSKAKPSSKAGPSGNGHSSELSDPSDSDEVEIVEEEDVEIV ASTLPASNIATKTRAGRKRKAETTLAEASRSPKKSKAQKK JR316_0006159 MPTNASPDADPLPSTANATTHNGPRSGQTAEGDFPPEESNIWTT KEMRVLKKHVQPYKDTSKSSKADYIQNTVIPELQATWDHRYSRRARKEDKQLHKEWKV KKHRIFNWFRNHASNRIGVKLEGLNSRITFQTVFREEKSAEIDSEVALLSGNAARGSK DWMKFYQQGRKQVEARLTQEERDRFMEILEEWNKKGVSKSMKAKTAARQGRKILRQME KLKWQRMGMRSITFEGHYDIEGKIEYSMTQTSDLALDNVRIPSFGQLFPSELAAFRRA FVQYLVHISEIEKGVANPALPDASFHEKSLKFSSNGFPIVPSPIYGSTGREVAYAQKS IIRIYMNKVYALAKDRPGSSVPWDALERRSAEMIDPEYWPSSIPVTDPSRLRLESTSA ILKLWRDRQAQGDIPFKFSKVFGNGYDIMEPLYPSNLFDGLEAHPIPPPPAAITKRRL RQKAIRLQTQSSSDSESSNLSEFDNGRGSPASAMPRTTARFEVTPETDETPTDAPSRS SEPPSLPSRSSPTIVNPSSSPTPEVEEAPPKPARKIMPRKRTKPYVGTAEMDGPNEES SVPPPVKPKPTRRIQPRKRTKPYSDPLDTVEEDGVQTGTTQDTDNTRAGRDVTCDAFV ETVTSPDTDETRARRNVTSDALALQEASLLAVAGKRQRKKTLKA JR316_0006160 MGFKKVPDRGLDEEFPNVREASWSSGAKKRKRGRPRIHKLPAES SSTNLPQTTTYSFQNHEHNILEEEIPHYPGDLTDQQVLDNLRQLDDDRTGGKSQNDYL REWLPKREVYLGTMIGGEAPDPDLNGRNHQFTPFHRVEKWTGLYFRPGALWEVGVKLY LGHNGKRCPYPTDPSHLGCDGGNNSSGSHGHGGNNSPIFEDEHGLDLDPLPASNDQES FTAQLLADPEVPHLVELDDGDDEDDDLFEEVDTTYLDQPRPKAADNNGIPFKAIVHTS GVHYLPVRTCTCRSVRLPSIDLQYLEMGLFAASFENVQTVFTVEVLEDFWMDNLECKT SAYQYYQKLRRLTSPAFPKKVLNRYRELRRLSREYRDLVLRRQYGEGHTREAVVPYYH HCHDSSPERMGINVSDPIDGGSDSPSQEVGMSTDPSLGHGGLDGPPAPHMTRTEDHPK VEDRRGKLALFCPACPQPGINLPDTWIDDADRQVLVILQGYVADGNFKADHLNQKNEG DDVWLSVGEGYMTAPGPYKEHIKEAISLAPRYKREQTCHNYHTQKAENRVSPGKRVRG IGAHACARHGCFCPSSVVDFDKGEKQMHMDWSLTQARETTNTQGITKHLEIYDINCQY CVNLARRLSESTKMHWPPSVKMIFAIGLFHVHGHKTECLYNYASTYVPGVGIIDGEIL EPLWSVLNDTSRSTRSATTAHRAEVLDDHMGDSNWKKTINMAATIAAKFKRAREQSGI TDRFYRGITDQQDSGLINTWEDEISKAEADREQGVADAVGKVMASKVKTAAGRQEIEL HLSNMELTSNGATGKAAWISSGLKLEQAQLELRDHVRKLGKHPSTAQKLDLVNKRRSM RTRVEAFCRSAMTFMGEDVLEDIQGDIAPILDYEVSDNDDPDLGNVNITRADPERQPL PFPSAVKQDFFDGLDAGTNLILKGLRKLELQIRHGHAEDCLEAVRSALIQLSWQYKYQ VWTADSVYMGTRAWDGVKLLNASWKLHRRLYNTNRQKMIYLSAGVRDEDNIRKQYPIL QVHDCKHSNAVSDPNIRGGSSDRLSWIWRSRQGLDNDNQLYVNEFFRLNWLRARAQRN RWQEELALTKKEMEWTVRFYVYMAKTWRARHDFVPDRANAQKQIAMWNDLGRAADKVF RQINPEYPLTSSLNILVVSHLVEFMHLPRLFKPPVEDEHHLLTYDERRALAKVHVHIC GARIRAGYRLFIANGDSVSSAILLRVLGYCYVNGFQVNIPFFISEILNQSVHAVLNRT PHHQAVLFESLNQSWSAWEDDEILNQTKSWGYWWRDGFAEGDEWQVAFVTVESQAREF WNKVVLPEYQQEVLRLNNQRKEAKEGASSSTSGPPRNQENNPKGKGKAAERTSVPTSG KHGSALTGKHGSAFSPPTGKPNPPTRVANTRDETSPIAISDHRPPYCPRCGQPILTGV MRELAHLRRNVADKMKNAHKAVTTSSAALGRYSVLEKMWIDSKEHPFSNGKGLSAKYK FQHPVHPSPDSWGAITAQARSFEVSKLKVASFYINDIFYSFIAVKELPFHPLWYHSPP SNTANIHLPTDTLPTPGSVSPPSNAHTSNAFLFNARSRPVFPGEEDDIDSTSDTTESS TPATFLEHLAQDFEEEADEESSAGNTTEESDASGNSEELSEDVSETPWDEES JR316_0006161 MDYAFELTQSLSNYMKAKIASRLWHAELPNQIRENFSAETMFEC NLAVEVILQAFENQEYMTWDAEEYLTHLSARCTGQNSAVEARLKDQFPPVHSTLQYQT LPGTVIDSAGNILVWYLPGILSETRVESVWNSLRDIETMIHKAAPLATSWRVNDSYFR HEPGWLQPGNLNFSPAWFQQGHETSNPLEVSLDLCNPIGQEFIRDMMTSSALLGAILS IIHPEQYRAGIKFLQRLAAEPELVHKAEILKQILTIWSSPFGVMTAISNRDTPYHRDN GSCYSWYDFLMPLGKGEHGRLELPGLGLRYKYDPMTLVAITGRLLQHGAVCEGDRAVI VYYMRRNVFKELGVQEAGWSTTYDLFANLPATNTFDFEI JR316_0006162 MCRNILEHILKAPTVRQKKWMQECLQIELDVVLTCIGNAYTGSQ VIELPLLLRSLCDTLSTAKTRSLPLDIDTSIVELLAAGTPSNALDRDVDSYSHAWWLK NSPPSDDQMLNGENLIKVMKYHKEELKKCLDKEREDLAKASQSTLSTLSVPTDVVPTQ IKPILMVLNDADSTPCNSCSRISTLADDLLHQFRGFKTMSNANVGQSNRGVDRIARRA AGFFKLYEASQGLASVKKPDTMPDTPASKWTWGPEPTVKQVRALGVATKTVHSGYVKS LRDGGDLGKQSSTKTSFLDTVPPPSQPLSSREDRKAPPSNQFDFPQYFDVGTEGSQDS AGEMATFEVGKINADAKPADPGSDLEDILDAAIELMDAEDAQEAAAGNRSDPNSDSSS IEDLNLALELYANELQETDKDDSTDLASEAAALFTNSEDESDSDHAMDIDQQESDAPP QFTSEHFAGNLELELFD JR316_0006163 MLLSAMRLAFSVLFSFLLCFGIVSARPIAAGSTFLSIPLVSPSR NVSHDAADYVHGSITQQQHINRALKRFALTTGREPPSEDELVSNIYERITRLPARHLK RYDIQKITQTMDDRYSCEHPQSFGTHPRAHERRSDDTASNTNSTLGGPSIRNIGLSIE SYDYGYMATVKMGSPGRNFNLLIDSGSADLWVGSEGCMGDDGGSCGNHTFLGARSSTT FNESKEDWAIGYVSGSVSGYLVQDDVTIGGLKLKAHTFGVAINESIDFTSDAIPFDGL LGLGKEAISQQRVPTLLQSLYQATLIPAPIVSYKLPRLADGYNDGEMTLGGMDPKHFN RKTLVTKKNINKFGFWGVNVDAVQVGTQNMNWSNRTIVLDTGTTLMVAPQHDVDAIHS KIPGARFDGSGWIVPCNMTTSIALTIGGQKFPMDPRDIAFYPVEYESPECMSGIAAGG VGPFYLDNEWLVGDVFLKSVYFSTDENQDTISIARPIA JR316_0006164 MKLSVSLSAVLAGVLCMLSVQEVDAVPLNQRAPRFVTLPLKRVQ LEGRSGVHGQIYLQQHMNRAIRRLARMTKREEPSHDDLYSRMERRVLAIEGPEGLENL ERRYNRIGVPKLAQTTKTPLKKATTKNAVAPKKATTKNAVDPPQKATTKNAVNPPQKA TTKNAVNPPQNATTKNAVDPPQKATTKNVASPKKPDTLAAELFSQKSQAHEGNTKPTS KGLHKDNGQSKGNNTIPTGTILAVAVPEVTADTGDVAFAAVNPTASDSLGLDIEAQDV GYLATVQIGTPPRDFLILMDSGSADFWVGAENCVSEGGGGCGQHNFLGPQSSSTFVSS GNTFSVTYGTGDVSGDIITDDITLASLALPGHQFGVATSESVDFSADTTPFDGLMGLA KSTLSEQGVLTPVESLHEAGLISESIVSYKISRLADDKNDGEITFGALDPAKFVAGTE VTLPNVNAQGFWEADLQTISVNGQDTGLTGRTAILDTGTTLIVAPAADAQAVHNLIQG AKSDGQGGFTVPCTLTDSVALTFGGSSFAIDPRDIAFTPVDPNDPTGDCVSGITSGDI GGADEWLVGDVFLKNAYFSTDVTKNIIILAQLA JR316_0006165 MSSTLSLPVGNPLVTLTHPKPSLWILELHHGVDNRLTQTLINKA IMPALDAVEREWRVHQRASVANKKPEEGKGALIIVGKKDQDKFFSNGLDFADSLKDPN FFQLTFNPMLTRLLTFPIPTIAAINGHCYAGGFMLSLVCDYRVMTDGSSRNAWMCMNE VHFGAPWPLSFTAILTAKIGDHRLHRKIALEGHRFSATEALQDGILDHIVKGKTADIL AKAEEVADQVSGNAATGVWGLLKLNLYRDALEAMQKDIRLVDTRMDDAAARSRL JR316_0006166 MEASVAAIKEKLQERENHIRESWVKAMEARLVREELGKCHKAEG VNHYENCKWLSEKYLTLLRTNRVKGYKVIDT JR316_0006167 MALYTQPVSASSRPQILLYTATTGFRHDSIPVAIAALKAKEDEI DIDFFATEDPAQFTDESLARFDAVLFLSTTGDVLDAAGEAAFQRYLNLGGNFVGIHSA AATLENTTCYVRELGAVFDYHPELQNFTVDVLQPSHPSASMLPAQWTVADEVYNFKSD PRALGAVVVLSANKASYHDPVGSHFDQGEPHPTAWFQEHGAGVQKGGVAGRSFYTSLG HLNETWQNELFLEHILGGISWVLQANTTRGFNVNGRVGNPMSINSKSVTTSMLAPQSS NEPNAQHSGTQGNAFSASQVVTGVATLLTLGVGQILAKKIKLI JR316_0006168 MAAAYEVLVDEEKRSIYDMHGKDGLSRGGRGGPDMDAADIFAQF FGGHPSFGFDFGPDAPGNRRGTGQDSIIPHEVTLEDLYNGKSVKMNMEKEVVCTVCKG SGARGNAKPKPCSTCDGAGSTHVKTRVCSDSAYGLSRARCTDCGGSGQRLKEKERCKK CKGEKTIHEKTRQEIFIEKGMTDRQRIVLAGAGDQEPGLPAGDVIFILKTNPHESFER SGNDLLTHVTITLSEALLGFSRIILTHLDGRGISLTSPRGKIIKPQDSIVIRGEGMPV YKHPQEKGDLYVIFDIEMPDQEWLRTVDVKSVEAILPPKRQNVDPLPEIVDEADFEES DIVDVRARSVPHSHNFFDHGFSSQFGDDEDDWEDDDDDDDDDHYGHGPDCPTQ JR316_0006169 MNFYFEAAKTLDRIDAKEGSIKGIIATLPEKDRKRTAALVIETL KFKAVLLEVIEKAKLMKEERKLTSKNLALILVHDLLLARGIQAGDGPLKQAVLRHKTR LHGEFQKIKIKRGATSNEQLAHNGDERAGLGTLEEAIQSLKSRGFELSDPFSSKKGFS KDAHVPNLLLFHPSTTFQTDPLYTSGKIILQDKASCFPALVLSPPATEESVVIDATAA PGNKTSHLSALMKNRGKLFAFERDRKRFTTLKMMLSKAGCSNALPINADFLTTDPQDP QFSNVTHIGSGIVNRLDHLIETEIENDADKEERLDKLAAFQLMMIKHAMRFPNVKKIV YSTCSIHATENERVVCNALESEEAVAGSFQLAPQTEVLPTWPRRGYPEEMTSSPDQAS SLVRCLPGEDATNGFFVSCFIKVQDTTSKRKLDADDDVEEVLTQKAHKKKKKRRKTAN KSE JR316_0006170 MWKPATRQITGTNDVPLGSRRRFGPAPVDDAPAPQLAQPSPSVY PRSHNQDESPGKRGRDESPVNGDPKVDPNAPRKRRSRWGDAKTEIPGLPTAISAAGVS QAQLDNYAIHLRLEEINRKLRLNDFIPPERERSASPPPTYDAHGRRTNTREVRYRKKL EDERIKLVDRAMKNDPNFRPPVEYHQQKRSQRPSDKVYIPVKEFPEINFFGLLVGPRG NSLKKMERESGAKISIRGKGSVKEGKARPDQYADDAEEDLHCLVLGDTEEKVAACVKM INKVIETAASTPEGQNDHKRNQLRELAALNGTLRDDENQICQNCGGVGHRKYDCPEQR NFTANIICRVCGSAGHMARDCTVNKDPNAQAVSINGPSQALSRTGFDSEYASLMAELG ENAGPGDPGKTPWDAPSMGHDITAGGSNIPPWRRPESWIQPANNQQNQGFRPPQAYAG GYTGAPGGYGGAAGGQWAGYAAGGYQQPQDYANYAPYYQGQYAQ JR316_0006171 MSSKRGRKRNDNLPPNRARDVQRAFRARRAAHLQALEQRVSELE EENGCLRQALNLPPSNRIPLGKGPTGKDKAKNYDTGSAGGSQSLGFHSSRESSSPESP RSRGSSQSPSTMTVSMSGSSRPMTVIEPNSWSDSMLLNDHQQHHQQHQHSDVAGPSDS GYHIASVSAPLPLKALQYPYNGATNSNGFQPAPRPLSSNLYTGSPSNYSHSSDRPITN NYGSQNFNVRTEIREEPRQQYNYAQSFPSHDPNMHSQTPPPPNITSQPHSHHNTNNPR DSPLPYPHRRSLTDPQGFSIGQGFPHLPNPAQLQHTSRTPDYLRQQDNIHLTAPSRSG PYGPDGRLNPVP JR316_0006172 MCLWWCAAVLALNGTRVTAGERERVNDVRRLEIDAGLTVVVDVV AVESPDDCSWGLGLRAPAAADASATGPRNLDTASPFLNLFSEKSRPRRSDGRSSSVSS SELHRDPELRASQEEKTDQHRGAPLRRERVLALKAVWDSDAP JR316_0006173 MPLTSLAWTFAMDTRKLAESVILLASLLYAASHIAGYTPPSIPP FSYPEAHVWLALELRILSAVSSLYMLWVHSTFTFTSTTTNTTPTPTTSLKSHTSALSA PSVPLRPASPRLGDASRGGSGRQAAPTSYDKSDFGYVWMSVPKNYRDCRDDGILTGLL LGPLIATSVVAYTLLQFHSVSVSPSLSPTQTQTQTPIPTSILPQGWRIEPPLYLRNSR LRLAPAQAALLSRYGLVDLSTYCAVILLVHVCASWWVEARARARVRARVAAGAGTGVG ANAGVGATGRTGGGGGGGVGVVDGERASVPRSEGLRSSWYILFALCTSVLMVSLKLAL KVYDVKLWNYLNVFEAVVASLFYQFSLYVALRLAHRGFTLGELGLTCFGATAIGLEFL NLTIARIRPITTPYIRTYRLPTPLLTFQIALIVGSLLTGFLLSPFLVLSRNNAQRPVH RLRFPQEKARNRKLYAGGFYGGAVLCVGVLVGVWTRWCLGGRDPWVWVVYYVVERTGG GAEGEGVGGDGGKAGGRRARVGMVVYWAILGLLSVAGWNRQLARSRRFRMRNTTSVGV ESMSGDSNALPASSSSLSSSMGMGMGGGTSVDVDGASNSLIAITPSSFPSSSSSSLPN GAGGAAGMMSNVASASGMMSNVASGMMSNVASGMMSNVASGMMSNVASGSGKMMSNVA TDLLDAADKRVPTLGLNARRKFFHGLAVVMFVPGIAVDPAFTHLALSVAFALFTFVEY IRYFAIYPFGAAVHLFMNEFLDHRDSGTAILSHFYLLTGSAGSLWLEGPSRLLQFTGI LTLGLGDAAASIVGRRIGVHRWSSTTSKTLEGSIAFVGSVVGAAGLLRLAGYVESFSS AGYLGVVCVSALLEAVSDQNDNLTLPLYMWSLLVVCGVA JR316_0006174 MTRSDGSLRGFVGRREGERDGEGEGQPPPAAAAAGVSQLSEEYQ PPPQHQHQHHQQLHQQQQQQRNLPRKPARPSTAPSAPRDVFSLLPSSAPLVQAQVHAS GGLGSVGTSTDSTATDFTSTSSSSYPSSDSGADSSADAVDSVHSELPLQPVMEDDATS TSTGMSMTTSMGIDDHHHHLQQQERLISGMADATQHPQQQAPQHQHQQQQHQQQQNTL TVSECDWATFIAAYAAGRWDPHRTPNKPMPCHLLLADSMRFAGYDVSSSSAKMVRGGV NEGPVLGEGGQPPTQDGEGIPLIKFDDPSASSSSAATQPLSAVSEPGSSSKPHLTMPL RLPHRFRNSFSTSTSSSSSTTSTTSSSNAAAGAPPHPSAVSDVHAAVATMRWAAARVD ISPLALPSPEHELTDPMRGVTAAIPGSHPVDGGYEYEGRVGVDCMVTPGGTRRSRLNG FWEGTTDVDGAGMGAGAGVDRLETILASPPEVDWTGVPGPDDDDAGGRQGQGRRRTSS DEANHGHARGVSLEVEGALHGDLESTSLYTSMSGLTVSGADLDLDLDLEGLVDDSVST SSMSSSSLAGVGTPTPTTSAAAVPAAAASAPTTSATTSATSTSPLTSKTSKIHIGSSA PPPSSSSYPPHTHHPRIPPASAPAIENNNAPPSSWRTDYFGDVTGLTTGWGPITETKS LPAAPDSIGIGNATANTKILPSTSGLTLTPATLSSTSMLTTTSSAATNISNYANTNVS ATAATNGNGSFTSASASTSTSTSTSTLNPSSSSSSNTTPSSSSNPDPHSHPNHDHSHN NPSQQPSQQHHHSHHHDSENTYNQPSTPTSVPALPRRLALTRQTSSPLPESVAGSGVG VGVGMGAIGGGFFVGGGGGGGAGGATAAAPGAPGAPRGAPPNTTTDNPTPEDPTSTPA TATPTAQAPTATATPTPTTGPTHPKLKLKLKLGRAAKEERMFSELGYLAPPYPPDELE RRRALYKFNIWNTGPDMNFDRIAHLAKLVFSTKGVLVSLIDGNEQGYENHQLCARAFV LWAYDFTADVTKHAIFEYFTFGICRGDEPMVILDTHKDWRFEKNPLTIGAPHIRFYAG APLRTHDGFNIGTLAVIDDQPREEFSPRQRHTLKEFAAIATREMELWSDKIQLRIRDR IQNSMEQFSRECLEIDTETHASYEHPDLLVGSSMDKVYDRAAKLVKRTLDVEGVLVMD VAHTEVLETMSAAEGTVSVALHHGEVGREMSRRQLSHEEYRRLGEFFEKYPDGRVSEG IVPQSFKPFLPTHVQYALTVPIFNIDKRPFALLCAYNTHEHAKRFLEGHELSYLRAIG VIILSAVLKRRMLLADKAKGLFISNISHELRTPLHGILAAAELLSESNLNHSQQSFLQ TVQACGTSLVETVNHVLDFTKLSGNSKAGGVENVIVPSTVDLMQLVEEAVDGCWIGHR ARTASMEENGIGSVYSPPKEDRGSPVATRRKHVETIVDIGYRPEGWTLKCEKGGIRRI LMNLFGNSLKFTSDGYVHVLLRQLPPAENDPPNKVRVELAVFDTGKTGTGLGLAIVSS IVTSENVSGKVDVWSEEGVGTEIKVTFPAEKVEGQPLHDAELFRSEEDGTLPTVSLVG FSTPHKGVQLLDETIRSYLTTWWGFEIVDEDGDIVIMNEDPSLVLDATRQRDTQHAYI ILSSSRGSPGLMSIASDHERIGGFCRILYKPGGPSRLLGVLKVSIHALRIGNHQDRMS SPMGSINGDSTQERVSSSSKSPSGSGVRRNSEETGQRSYPYMRRPTTPRSHTAHPLPT KWGSTSTKAPEPVEVVEADTPVPTISLGTGGTLLKSSIGSLDAMLRFRVLVVEDNSIL RNLLIKWLSNKGYEFAAAVNGREGVNVFREEGPFDVVLLDLSMPILDGVGATIEIRQI EADMVKVTPGMHPSRILALTGMSTLEDKRRAFDAGVDGYLVKPVAFKTLDDMFHKLGV S JR316_0006175 MCPTHNADPAAPVAKADMVEVPLVNGAAKPNGLNANGKRGTSHN PSNSRPKPTNPYAPRASDFLSNISNFNIIESTLREGEQFANAFFDTKTKIAIAKALDA FGVEYIELTSPAASEQSRADCEAICKLGLKSKILTHIRCHMDDARIAVETGVDGVDVV IGTSSFLREFSHGKDMAYITKTAIEVIEYVKSKGIEVRFSSEDSFRSDLVDLLSIYQT VDKIGVNRVGIADTVGCANPRQVYELVRTLRGVVGCDIEIHLHNDTGMAIANAYTALE AGATHIDTSVLGIGERVGITPLGGLIACLYAANPEYVKSKYNLPMLREIENLVAEAVE VNIPFMNPITGYCAFTHKAGIHAKAILNNPSTYEILKPEDFGLTRYVSIGHRLTGWNA VKSRVEQLGLQLTDAEIKDATSKIKELADVRTQSMDDVDSLLRVYHSGIQSGELAVGQ KEALDRLLKKHHDEAHGRQSSPSREREEVAA JR316_0006176 MPPKKARDQLPRQNVAIVQTLAPEVIQKRTKRHLDELERSNYAE PTLLAGVDDDEEGGNKYHKGRGRQIISDKRNLNILGTSPATKKKKSTMNVRTALLYRK NLATLIEESGIASLPSTVPTYLTASAPPSVYPPRMICSVCGYWGSYKCRRCAMPYCDL NCEGVHAETRCERRVV JR316_0006177 MAPSIDPRAPGKFPLHNLPTPEPESPLRPRKPYRFSTLCATVEN PDMKDQYGSSSVPIYQTATFKGVGEKYDYSRSGNPTRSHLEHHIAKISSAAHAFTVSS GMAALDVILRILKPGDEIIAGDDLYGGTNRLLTYIRTHVGVTIHHVDTTNPETLHPYI NSTKTAMVLLESPTNPLLKIVDLARISRDVKERAPNAIIVVDNTMMSPYLQRPLEHGA DIVYDSATKYLSGHHDLMAGVVTCNREDIAQKLAFTINSVGNALTPIDSFLLLRGIKT LALRMDRQQATTQMVAEYLYTLGFQVHYPGLPDHPGQEVHARIADGNGAVLSFETGNK ELSEKIVAGTRLWGISVSFGCVNSLISMPCVMSHASIDPATRAARGLPEDLIRLCVGI EDPHDLLDDLEHALVDAGAIVLDVAQNKYVRVPDHAALALAANKLRLEAAEQKQEWFI SAPGKIILFGEHAVVHGVTAIAASVDLRCYGLATPRKDGKLSIRLLDIGNFTHEWDVQ SLPWDAVTPIAPGDEHPEELDYRLVVALNEKALHFIGDENKAAHGACLAFLYLYMILN RNEEYPAFDFAARSTLPVGAGLGSSASYSVCAATASLLVHRRITLPRPLPPSSTEHIH VSHQGRRAIAPQTAEEVNKWAFVAEKILHGNPSGVDNSVAVFGGALAYTRPGFGRKGG MEGIQGFKHFNFLLTNSKVPRDTKKLVAGVGAKKAKEPELVNSIMEAIQTISDEARRA LADPELPRESLLDAISALMNENHQHLVTLGVSHPALESIRRTTQAHKLSTKLTGAGGG GCAVTLIPDEFEDSKQRDLINALIREGFQPYLTSVGGSGLGILSPYPEHRALGSRSRR PTTDSLGQVTPPETPTPSLLSSNEIVDGSANSTDLYPPLHDTFVSSSVAELPVWAGDL GRWLYV JR316_0006178 MSTEQFVLPDLLESCPLKDATNPYYKEAAAESRAWINGYDIFTD RKRAEFIQGQNELLCSHVYWYAGREQLRTTCDFVNLLFVVDEVSDEQNGKGARETGQV FFKAMKYPDWDDGSILAKVTKEFMARFTRLAGPRNTKRFIDLCESYTACVGEEAELRE RSELLDLASYIPLRRQNSAVLLCFALVEYILGIDLADEVYEDEMFMKAYWAACDQVCW ANDIYSYDMEQSKGLAGNNIVSILMNENGTNLQETADYIGERCGEFVSDYISAKSQIS PSLGPEALQFIDFVGYWMIGNIEWCFETPRYFGSRHLEIKETRVVHLRPKEVPEGLSS EDCIESDDE JR316_0006179 MTEVDETAKLWKVNRTIHELVKDRGFQVSDDELYMDLNRFRQLY ANNSGVVDRNQLNFYTTSNTNPTDQIFVFFSEERSVGVKTMRKLLGILEEKSIQRGII VFPGNMTPSARKVIVAMSNDYRLEEFSEADLLVNIVHHTLVPRHEVLSPEDKKLLLEK YRLKETQLPRIQLADPVARYYGLRRGQVVKITRPSETSGRYASYRICF JR316_0006180 MPPHPSSSSASHPPVTLTLHNGLMAKLKPSKIFKTAVEPAPKPP SPVGPGQHQKAAPPARSVTGICFDDRGDQLITAGEDETFKLYSCKSGKLTKTFYSKKY GVDLPRFTHKNTAILHASTKEDDTIRYHSLHDNKYLQYFRGHKARVVSLEVSPIDDGF MSGSMDNTVRLWDLRTPNCRGLLTLPNNSVVAYDSSGMVFAVAVNEYSRILLYDTANY DKAPFITILLEDPALALKSYPPRPICMTSLSFSTNGKYLLVGCSSDTHYILDAFDGFL IAKLEGHIGLERTRINAQLDIKPSKGISGEEVSWTPDSKYVIGGSLDGRIFIWDITNL PPRPDPEPTIPSRPDTILSSRAEAERKKFPVRLNPIVALDAHPGPSRCVKFNPRLAMM ASAGTELAFWLPDTSGDAEEAAKDLLKKRTA JR316_0006181 MRFGSLFGAALSLVAFATAVVSSEEENGPELVATAAFPETNAFN HVVNGEKNLLTITVENKSKNNVTLLNIGGALLNPDTNAVLKNLTTVKYTVPLINDVKI QIPFTFYSQLKPGDHRLNVWIEHSSEAGKSKTEALDTIVTVVEPELSIFDLKLLSTYA IVAALLGGLLYLAYTTFVPQPKKSKAKKAAVSAPVGTVTATGAGGYQEEWIPEHHLRK GKGSKKQGALSGTSGDELSGAETSGTEGKRRKGKK JR316_0006182 MSDRMNLNAVLAGLSSSLEFTMACSHDLTVGLFNSGAAAPQFTR GLAFPEDVPAQLAALQGNLKTFWSDDIAGTLRAMYKVMGDLLVAVQSQADVTYTGIRS PTTAFAIQEQDMVEFLQDILKDVGELLSSFEMAIARSEDIGRGFEAVNCQPVSSCSSR NGDTSSTPEPFVPPGLSPETQSFVRASISSANPDIGQSARSIISSISDTQAYLSHLRQ FCVSVSSTLQNSIHDSRYPEKMHVGAPDQTLYALVESCRTGRQDVQIAIANIARSVDA LVVASTYTCPIPNMSTMTKAEDTDRRIAEVLNNASLNEVAHAPEELNIQLLQAFARAS LAHISFGTPPVPQDAETEPRMATTYRRTAFASMRKADNTLPVGDKVVTEVAEGKSGIG SEVVVPSVLFFNVLGAILENREDETIDKGQAPTTATSAYFWLYIFSSYQQ JR316_0006183 MDLQQRQQQPDDILTEWAEIGKRQPGDCESLVEIACKSMSILEP WTHATSKHPDVMPIIERVQANASDFIAILHTSKDVAKRGYKLSQDMVRLCDHFLDKNV HTNELEEYISDMVTTATEANAESTHVVSAFRDVRQGLFGITSVIPAKVAKIEDARQGE LKKMERGIKRGERAKMLKICSTTLAAVAGGIALIACPPALIVLPIALPLISLVAEAFE NKATRKIADRQMREQNCRDALEMIEKISHDLARFGECIDRFAEFWGLIELTLEMISGR AQDLRGSRALRLRLKGIRSDWVDISQSYQTYTIKIEHLCKLMASEGVLGVQTADERKV NTDNSGKSPKVTRQTLGSDEKA JR316_0006184 MAARAQFLREYKLVVVGGGGVGKSALTIQFIQSHFVDEYDPTIE DSYRKQCVIDEEVALLDVLDTAGQEEYGAMREQYMRTGEGFLLVYSITSRDSFEEIST FHQQILRVKDQDSFPVIVVANKCDLEYERQVGMNEGRDLAKHFGCKFIETSAKQRINV DEAFSNLVREIRKYNKEQQTGRPAMTGTNSNAPGSYGTQNQHEETPGCCAGCVVL JR316_0006185 MKGADAPARGPKTVFTFNTAEDIQGFATGCDGDIGGLSTVALEL DERPEVNEPIGKTATGVFRGEMRLSVKPGMETKIRGGYAGFRNKNRPAFLYGNLTEDA SLFDYLALRVRLAGEPQTHSSYFVNIQTAGPVSTDLWQHRLYLRKHNTWEDVFVPFDN FVRTNAGEMSKKQITMYREKIKSIGISILGGNSGIEGKYELGIDSFRFVNEEDVVGTS LTTPLTPQLVFLVVLM JR316_0006186 MDALPGIGHACGHNLIGISEGVAVACAIKAAMEKHDISGKIILL GTPAEEGGGGKVILLDKGAYKEMDICLMSHLAPGPVGSVSLSGSLAIQQITAEYRGHT PFTMGRKERFRRGRPRIHQCLGTVLAAEALKPTVRVHSIFEGKDWAVNIIPDYVKYKC LVRAPTLKGLKVAVAKVLPCFKAAAWPLDAK JR316_0006187 MVRCLRQAYGYLPNTNTNLPLAPSDWHELVETFGFLGAHTKEVG LGDQQGIYQFYFACIEPTSVEMPASLSDLNSYSVTALSHILDLSQIHRPHPNFYVFEE PRAENVDWKLAVETAEIALCVCRLKLEHSWARIDTLAKMLLERGVPCRTVTGLHMSGR STTVQQQYTPRSVRPTGYTFGLDDFETYRFQCENIIKHQPHGRAALLMGGLIGRIASE YLSVDRALNGPSREILENRQGFVLSAGNTNWFYCDDQLTENELAIICGTYTLYTAQTG QTTVKSWFPPANLWKVPATQNGTQWVEWTPTNEAWYLERVEAILTRQAQPLTRVQWKT MLRGTPPSRKLQASASQRAQALINAHVSVVPSFNGAPGEEEEGLVGTWGAGAGPSALD ILQGKVVFYDCDEAIFDESSEESSSEEDSDDDARSTSMSADVPSPHPRFMGRRRAPRP PAVRGFLFPSRSSTFCAGTCTPSARPSPALAASPMPRHLLCRPHRSPDYGNVIKSSPF GAGRLGLGLGFGPLAAGSGAGGSGSAGSTRTRDKLAKRRRITMGGGSTMSSPLILPLG SAGVGASVTMPGSPSSASSGLGFSAQYQQQQAQTQAQQRQQTATATKPEEPQRAASGS ARAAVCKVDMRGRAHAAHLDAKAKYGLRVDVCRRDKIRSN JR316_0006188 MNPRVPKQPPTWAMDTLEQRKWYDCEVNLILVAEKVRLWCRIPG TPPPVPQSLGYMLKHRTEEAAYRSINASRNAFILWMGYLSYLCAQSRREVHSRHIKHD PRSPVPAWHHRLRSEHPEITEAWLDGFYNSNVYSYDAKTPRAGIVYDWTSTHPTRPPV DWFLEHSIPVYYPWRIQEEEFIVTHLNVNPHLQPPHDLLAQLLTELFESMDVSFAAAF ARKFFSHVHPTEGLTMKVLGDKYSRTLVYSILSNHFAHDPKALDRYMSRPFEEMEAAL QQKDEEQRKLAIDSANLPTLHMIELPHGNLRLLSSVHDDWDAYWAARVRERQKILATE TEEERIIRLNRETDPPINQTKVFVWKTLVSTEGSSVYLRQHIAKSAHEREETALGAHQ KVYNGVKNEWDFCKHLLPPSELAGAFVQKGHHEPHRGRYETRQPGRGRLSRPAKIIRR DPSPQPAPYDREDTPWYDTIEPDPTPMTVGTTTDSGGLTSITVDTMLVMPLSSSVSCS GPSSLHGPPVATSTHMSPITPDESKGSCKRGRPTTPPPTSLGRRRRSLDTTLPESSTR SRPRQRRVRQSPSPRREMDYGKDCEMASPAEFVQGRSADTALSMDAPVSGQHYNQYDK ATNKD JR316_0006189 MLTRHETEILTVSASTETPAGQQTEIVTFALSSQSTTSRRSRQT GNRFPVLGYTYYTMSFSSNPVMRTFIATTNSAFPDRIPQINVSEKFSNPNKVAVKSAM VSVGQHRYLLVGYFDPAMPPNLKLREITIIETETGAHHTMDPDTPAPQNLPLSSRPAF RQMPQYPLIDILTYDFADWLRNTEEHNNLENQCVGLLLYGRVLNQSSVQPSQSREDAE RANQTEPETRQRPEGTSTPQEQVEVPQVPRADEEERRAGEKERESREEVSIRRPPNTV ETDIEPKSESESDAAGPSISLALDNRRRKKSSTISSRNPRSSSAGPSRSNAHRTSSTA SPSGHSRSDSTEERLRLIKTMTSTIGTFKSKGETVQYRLITCHSPDKLSNVPSFLLPN PNPGDVITHRIPNAQSFEDAQLWLYIDNEQGWVDQDGIYGFWETPILHPLCADHCLRS KEGKPTWNTRTTWDKYRKDHNVHLLSERLASEALSAIESLAAD JR316_0006190 MAENSSYSSTRSHVFHGQSRIRKVATVNAYGMNSIFSMELSPDG KFLAIGNDDGALEIKALVGNNWTRASVYLTGAAVRTIVWHPTEHATLFSGSANGNIYK IAVKPSEAC JR316_0006191 MANVTEIGNRVASAAILPSGERIAVLNLNNEVDFYSTSYRKHIS TTPINVPGPVPHQRKFIADITFIDDETVAFGHSNGYVGFITYGMTDSVKTFTIDGDGP KGEVFRCPIQTIAYGLIGHQPHILAVIPSSLAASGVRPHYHADVHIGRISNSPVTSTR DSSEFDSVVSLHF JR316_0006192 MANVAQVTRDFISGLTSLSWDSPGQRAINALLAPALDAELALRT LFVVQPDHPVLRDPYVGLIDAFATPISARRTWPRSTGSISLGSMVVGHQTITPEGRTR TSFFPRHYMFPLPFHLRRRKGVSCIVNDLDTFKANFILFTGHAFMKMRHWNNVVVAGG SILACLGAQPDDMDNVALNTLFNSNAYKSSDVDVFLYGLTPLAVSISLRNVECSKFTT TSGLPFLIDRSAYERLTPSRSIATAWPHRPVQIILRLYASPAEILAGFDIDSSCMLYN GDRVWLNCRALASLIRQCNTVDLSRRSPSYEIRLVKYSERGMEIYIPFLRRDLIDPSF VYNKSLDRIPLGLPCLVVLEKRRDDPDYYHKIFVRKNWASKNYLRKEPHLWPEDYDPV DRLLASNYDFSWVKIPFGKNWNADRDMKMNNNCPKPETEDEEQLIESEKFVFIRGHVS FITNNPGQQLLTGSFRPETTADWTHGLYFTEDEDRNEMDATRDDEVYHRSLQQIWENS RTFEEHEDDFRPLTVKDIDELGYFDEPKPDSWLRAWEVQAVKVESDDEAEAELGAEEV EEGTHGEARVEIVLEDSEDEVECP JR316_0006193 MLLSTPPRPTVSLNSNSSTQSWLNNLYNYDGNADFSDISSSRSI SYAPSIDERRPEADNSFHHSDYAATTFEEEDYDEGPENEDEPLQGAIHERRLEADQFF HHSDYAATTFEEEDYDEGVETEDEPLKGGYDTEDEDGERNDHGYEADDEEISDLRNDV EEMKRTIQEMSDTLKTIASAIKEGQAQRISAPEVLRKQQHSTGPRRRSAQKNMLARQI RKELEKLLGDMPFARRNVMSPLELNAFVARWNASSPRRRPVCCDIAQFKVDLVGSPKS PWNQSASRVFTVHFMQVFAHMKYSATEVQDGFFVRIKSLKASLNSLAADENRKAKRRR DQRRQGTIGHNH JR316_0006194 MFLRMGYRGMSSDESDSEGNRFKVHLCPWRHPNVTQWLHAIDAL GLAMRKNANLKQGSSQREREPSTKPGDPTRFVRGLPRNSYEPTWLDNPDHIQFDVRPT DEVYNFVHDREVYSYLLAHMQRQ JR316_0006195 MGPTCVWFHVITVLTSASVLMSNATIPSSILPNDGPINGVESPW RVLRISDTPPTIQEPTVAYREIRQILVNHSTTKGMTFREADILRSSVLHHALYHIDNI LLNEERHLRHRAISRFQAFGLEALSFVRELAMVSHLETGVVPYLLVLEADLIHFLNLF SKRLDIDIHDKVKGLYDKAYMATIQDPDLTDVHPIRLITVQALANYMYHRRLGVAEAI RLTRGALASIRKALKRHPSKVQQAISNYIAPLNHDLRIWEANNGH JR316_0006196 MAQQEQLDPATELPVRAHADTLWEVEVKTAEPIRNTQETPLNKA IEAVLQVQLLMKGLLDLIICT JR316_0006197 MDEVESISPDSHHNDKREFKDNERHHPHARNHVKSKQQPLLQGQ SEEQGKQGGDSSDEEKRDQYRDLDQRNLYQQDRSQDQDRGVLASTQKLHEEEWMIQLE QDEIYPDREERISSEEMSGLDNLQVELERAERSREEVEESLKHRETYESSCQQFGQSQ AWSIVAETFGLGRNIPNFVLNWYSTDLDEKRESIMKLMKHYCSSTPEPTVLQAFVQGV TGVPGAEDDDYHALLQRLPLVTDYWCSATQMYDCLRYLVSGKVTRDGPLSDAGTTESL QVSFGDVLPLSTSTQQRLLARRRLVTASFQSKHKKIGYRVVFSHGPHHIPIAPWFLLS KPKAGDIITHRVPDGSSIHNAQL JR316_0006198 MPNQAPINWSEGSAFIQKGTIYASPNSKRVVLIPPPNQDFKEVF ARKFTSTDASLHDLELEGFKQPIRWHDSYGWMAFFPLSPSFVSLPFKFLCWSPKLFYH RDGQINPRVPKQLPTWAMDTLEQRKWHDCKVNLILVAEKVRLWCRIPGTPPPVPQSLG YMLKHRTEEAAYHSINATGLHPDDSRKDNQLWFDETSSRKSSLDASSPANLWKVPVTQ NGSQWVEWTPTNEAWYLECVEAILTRQAQPLTRGQWKTMLRGTPPSRKLQASASQRAQ AFVDAHVSVVASFNGAPGYRSHA JR316_0006199 MAFLAFLGAVASFLLLIITVLFLLICTIFYGVELVLLLLHFALA IPYHGTNILAKLLRCILPSPIVLIWHGLWGGIEDRIMAWRRFWTVSVEQRAQPLVDKH IKLARRLEKVHRRLARAIPGSQLDVDLHTKSCLLDDKAFAAEMELESVFRRYVPHNQY EEYCRRYSVTPKRV JR316_0006200 MSNSTFTPIPSSQIGGAIAVNVFAVLSTIALVSVILRVFWLAIR RQNSPIECHECVFFNTQLGQYAACLIIGMVFDAIAGVIGLSWVMEQGITEGWMCRMQA TLMQIGNFSTGYFTIAIAVHTFNCLVLKMRQSVLVCRTAITVGWVFSLLVAVGPFFVH PEEGYVYGAAGLMCGVRAIFPKALFIFHILPILLASILGAILYSLIFLVLRGTLKFNS GIKITLNPNKRWNNKEGLGENYHRFVARIARSMLWYPVAYVAFLIPYAVTRLFMLSGF VVPYEAVVFASICWFTLSFVDVLLLYNTFRVLGPAFEARSAASSRKSQSLSSSSNLEK YGVSPSPFERAALEEKIHHYRTQSENSTAPSTLSSGSARPLLPLYLNHEDYRGSAQAA TIGRNISPSFGRDSDIATPPPIVVSPSRSSSRDVATHVRNDSFTSRGLPAPPRKPVPA YNASKAIPLIPSSPESPTSVYSHDTRWLSRQQSTQTFGQRNSAALTSPSVFSDGGFSE WSTVTPPQGYGQPMLSAVGNGAFLSAQGYDQPSASATIERSISVQATSQVRGQRPLLL SRGSSPDLREAISRKS JR316_0006201 MMTSNSPTKSKLVWKGKGKATEPPQDYDDLNPVITYRHLLSNNL GVRDPLRVIALCDSDAFYAACEMNRLGVDPETPLVVLQWDSLIAVNYPARKYGISRMD KKKEALKRCPHLKVVHVATYKEGEPEPGYWDDVDTNTHKVSLDYYRRESLKIAAMFKE QLPGCELEKASIDEAFIDFTKPVREIMLQRYPHLAQVPPEGADTPLPPPPPIMWEGLG NLIPVNPSPTVDQKPGDGQPVAHESAESAQNGIEPEQKQVEVTENKEHQSTDPRGKDV EEPPHTWHDVALSIAAELMGKAREEVRVKLGYSTSAGIARNKFLAKLTASYKKLNNQS ILRNSAIPKYLRPMQFQKIRFLGGKLGDALAKEYDVSTVGDLLTISLDEMQDKFGESS LWIYEVLRGIDRTEVKDKGSTLNKSMLASKNLPKPITKASEGQHWIRVLAAELALRLN DARKISPNLWPKTLVLHARKGYEAGRSKQSPFPFHREVTVDVIAAAGDKLWKELVGNS SNLKVSSVQLAFTGIDVAEGGQRSIEGFLKPISSTKKRPRDSAAITDVQDEEELPQPA RLSASDVDNLNDESPHVPSASYTCVRCGKAFQVTGANQSSSIDEQMSRLKMEHDDFHF AQDLADESTPRSVITVTEKKTEKKPSSSNKKRKTAAGPSGIEKFFRK JR316_0006202 MSIKTIAVLDESELKDGQMKEVSFQESGKVLLSRLGDKVHATSA FCTHYGAPLAKGVLTSDGRVVCPWHGGDPNLSCFNVCTGDIEDAPAPMALHSFKTEIK DGKIYVTANPESTQKANMSRQAKLLASGVNSTGNGVVIVGGGSGGFHAVESLREHGYS GPITILSKETYSPIDRTKLSKALITDPAKIEWRTPADLKIKYGTNIRLGVEVTSVDLA QKKVELDAGKDFISYDKLILAAGGTPRRLPIEGAKLQNVFTFRGIDDSKKVDAAAKEG KKMVVIGSSFISMELVAAVSQRKLASIDVIGMEEFPFENVLGKAIGEGLMKYHESKGV KFHMSTKVDKIVAKKDDPNVAAGVIVNGTTIPCDFVVMGVGVAPATEFLKGSGIEIEK DGGIKVDEHLRVKSGPDTKDVYAIGDIAIYPQPSGGEARIEHWNVAGNHGRAVGCNIS GKEQPFVKVPVFWSAQGQQLRYCGFGAKFEDVIVKGVPSEMKFIAYYVKGGKIVAVAS MQNDPVVSKASELLRLGLMPSPEEVKAGKDLLSIDISSAGTKSKVTS JR316_0006203 MSIPGRKWKYIDDDGLVAGTMLSLSSFPLADAAAFGVSINISNP LKLRRLKRFAVKAQVDGFVKTGKPGVLVFDGPKESIKAFLELTKGLRYLDFRHVDTKP IKNERLLPNGDGSSGLQEVADMNELVNRLDRIGEKEWFREQMGMSKGTYLLVE JR316_0006204 MSFVKGPYSYKVLLLNTDTNLTLQVGYTGILPAAIQICLPYIIF MSESNKGTKIKVQVRELPKELFSNTMVEYKPYQDDSDIPWANWHSSVVDYESDTQPAD SIRVERVENMDSSSELKSITVLARRCSWNGTANLEQMAVFHFPVDTSRRNVHITEWPA TYTFSIPSLNGTWHNFMGSTGRRTVCFSQQRVNNRTEEVLMKASLPKNDSMAPIVHRL LVPEMDLPFDPHQCSRIYLEEATGRLFVTLKTGGAYLLELGPINHL JR316_0006205 MSEPNAPTSAKPDGQAPRNNEKEVTDPVTHLPLTIHDADTVELE RIPPPPTATEEKRIREERGADSQEETNARHSDMERVVDEVVHQAWWEDPIGDTRRARI QTSAVAAVAASLGAFSMLILWSVFARVLGRKQSGSGLGWMGFFLAPFVCCFLGLGVGA AGLALGIYQKPPDLPVEQQQQRNTDHEQREKTLNKDESSPESAEWLNAFLNSLWPVVN PSLFTALSDMLEDAMQATLPKMVNGVRVADIGQGSEAVRILGVRWLDAGSAAKDVDGM KAEEGDFVNMEVALAYRAKETTAKGIRSRSANAHLLMEFFVSGGIVVPVWVDLTGFLA TARIRFQLTPNPPFLSLMTTTLLGLPKVTLKCTPLAKNFLNVMDVPGLSSWLQKSINM AVEEYVSPRSLTMDLKTLLMGREKMDTEAVGVVIVTIKRAEEFKAGDGGKVFKTRDGQ KGDAYVTIGWSKWGKPLWSTRIISGEGPVWEETTALLVGPSELNAQEALQLQLWDADR FTADDLLGNVELDLKDIMTNEQSHNRMSTRCDGLTSEKGDPWPGKIHWECGYFAKTSF DQHLAHKHRDAEKIRSKIEQEAEEKLREAKARDDHEEDGEVQQQKKEDMKEKSDEIIA GSKPTAEWPSGILSIRIEQISSLEIEKIQDSGVREDAEDGDQDDLPSPYCTIIINQQR VYKTRTKMKSNNPFYDAGTEKFIRDWTTTDVIISVRDSRIHEADPVIGIVVLPLRDVF KHNSLFTDSLPLVGGIGYGRMKLSLIFRSVQLILPKRLRGWDVGTLEISSNVKPSFNL PPDYQSCRLVFHTVYGKGKMVPSRDGGWVQKHGRPVRLAVKKRFASCLLIQLRKRLVG PDGTPAFCTLWLKDIPDDEEVDLELPVRKNEKGESIMEQSRANASTDIGDQIGTLKLK VRFWLGLSGYHKYTADHDKNMADVMEVLDYAEGEQDASKHLLEDEHEHESDTSSLTSS DGEDDVGESSTAAIPGGLAKKDGIVGQFNDFRKRKGELHRKHRGLMQWKAMRNVAWVG RGVENQAGKLTDKVKSTFTHHDRETGIEKEV JR316_0006206 MAHLSQQSNAEENNWQGEMAISSEMIPNEAAHVHEPLLANWTAP SETHTLQNSNACSATASFEDANENHRKLTPSPILDTSCLMDEDASALSVSKLFQEQGI SKNPMTVQSSGDYEARMENRNNCIHREVKIERSPGKDSLPESAKIPPAFAAGGIEQMT LAQDMEVELKAEIKLEETTLEETVLEDIKPDIDVKLEEIDLIQEIEELEAKLKDKKRQ LELDVLRPKKRIKREHSPIVFFAPGEAHDVIDLT JR316_0006207 MPIIPNNLTPPPMPVIPPTLDPPPMPVIPDTLDPPPMPVIRDDA APPLMAAHVSYTSVVDKIIMDSRPAISSERKQGRYSPPPEACHLLLQNPYFRTYGRFD PMGGYPGSPPTQSDIEFENVTDQTAYFNPPFLYNYTEQQLRATYDQIIDSSVGDSSYD GKVTTFKNLRLHQLQSEILTYNALEKIDAGLAGIESSLEKHLYTGRDE JR316_0006208 MASSSSTTATFAQRLADWEKTFTECYRNGESAFNAQLEQLYRDL VPLCQEHVRDAANFRLVDYVASPVVYSYKTSQGKDGKQVARFEVDWANLHHQVANFKA YQQGQEAQRKRREEEEQEKRREKEEQEEEERQRVEERRKREVRRKREKKKKREEEEER QREEEEERQREEERRKREEEKQKVEERRKEERRKREQERKTREQERQKAEERRKREQE QEQETDEERNKEETEKRRAEKGKGKAVEPPVEDGPVTDAHKDKGKRKAADPVESIQLA PADYRGPRTRKGEIIPHITASNMPGHPAYREKLERLAKSKQGKFRSKAIIGSHTDEDA DADVDEDDEGDDQEAPPTTPTRKMLTRSAKKDANQDNIPPIRKARLRSEKARQVPEGM VDMVERCTGCTKFKVPCHVKGETGTEPLVPVKHQSCESCKSCKIHCSFYPGRFYPGRN TVAGQFNLSTPLGSYGEVLKLEEGEDVPAKGKAGEGSFPEDVGELLVQLFERQGRLME RMDGLSASMTAINARIATFAETNLAVEKRMKTVEDSFQELKAEWTMAKEQVAGNTSLS VTMFNNIKQAIQDVQYVVGVLLEQDEQRNPAPKQAAEPSKTEVEQESGPSRTREPTSA PQSPSPPPPPAPLPSPPPPPAAPILPSPPPPPPAPVLPSPPPPPPAPVFPAVSAPPTE LFLPGSTPEAPSPPPAGRPSLLPVPPVLSLSPPPPLPAPRPRSSTSKAAPLSKGAPLS KAAPSSSSKAGPSSKAKPLSKAKPSSKAGPSGNGHSSELSDPSDSDEVEIVEEDVEIV ASTLPASNIATKTRAGRKRKAETTLAEASRSPKKPKAQKK JR316_0006209 MNKVYALAKDRPGSSVPWDALERRSAEMIDPEYWPSSIPVTDPS RLRLESTSAILKLWRDRQAQGDIPFKFSKVFGNGYDIMEPLYPSNLFDGLEAHPIPPP PAAITKRRLRQKAIRLQTQSSSDSESSNLSEFDNGRGSPASAMPRTTARFEVTPETDE TPTDAPSRSSEPPSLPSRSSPTIVNPSSSPTPEVEEAPPKPARKIMPRKRTKPYVGTA EMDGPDEESSVPAPVKPKPTRRI JR316_0006210 MKNLCHVCQGLDLSKLNNRKPHTYALGQWGEVKERSANCPFCYL VIRSSPGRAVWDAPATVTWKEEGGFFTNNLVEHIAFLNEDTSTSPHGTARQLKPQIDP NLVKKWIKLCETNHRDTCEPKSGIVRTANNPSGLKIFRVIDVIDNCLVNASPGVRFIP LSYVWGNGFKPEVTLVRENVQQMYTKGFFREAGRKVPTTIRDAMTFVAKIGERYLWTD SLCLIQDDPSDLIDGISKMDLVYQCGIFTLVAASGTDANGGLPGVNSNSLVIPRIDDQ LKVEVLPGIKMTITKGVYDGFMFTAYRKRGWTMQELLLSHRTVIITKNMTFFCCRKNT WSEDTIYDKYPDVINTLMDPKSGNGVMFLSDNEPNPCVTFGSELGNYATRELTKASDA INAFTGFLNRLKVQSNSDVLQGLLTVHFDIGLLFTDVAALPVPRSATLPKYSRRQGFP TWSWAGWIGGKNTFARQCKVPDDVNRWLKNNTYIVWYVRSPDSPQLRLVWDFSSQSQF GKLDKHLIGYHPTYDNPYGHAPNSELKGLQVEPDESDKKRMNIIYKELKQRHYPLLHF FAHVVFAPRLKAPLPDLPKESRSQVHQVIGTDGERCGSISVDDRDLVDGVSGPYEIIL LSKVDQYGTFFYKTEVSTKKEMYWAMLIMWVGSDKVVAERRGLGFVFFDSIQCMVGGR KYWKEIVLA JR316_0006211 MFQLGTVLGNRAQLPTPPPPQWLEFKNLRAFVDVDGKPLSCHAV CVDRKARDVSCWIASEVPKRFTVNLMKEETPYPVRVDAILDGRPMKHRLARKHEVDMI TFDSIRTSANTKRPFVFSPLELTDDEDPEFSSHNFNHIGEIKLEVHRVTHIKRHRRRI TEPKSKNKPKPKKKKKNDDSDSDDYDCGCHAELTPSPESSEYDSEYDLEFEEDVETRE NYPDVPEVEVVHEKSREAQTVPHQIAYGEDQYKPCYRDNSEWTTYRVYTDLKELVASF TFRYRPLDVLVSNCIVRQNPVPCVTPKITSPDKPAGTPLRPMREASVQVRVEENEEDI NNALAGLSRPPVTPDLGLPITNQVGSFEEELKPGATLSYDDNDDDEICNAFDERIKTL MAKVEQLRAEKRKRREEIQDTIIPDKITFIPDKITFKDDMYAYINIERPVGTDRLPCY NTVFDERTKEVSCWVASEEYRNFTILFRNKAYPSCSIRAALYLDGQEIQHRYFEAYKD QYFKFSAQQISLYHERPFMFAPLDVTDDELGPDSFIASAANWKQVGKISLEIHRVTDV LPKSGTKPTKTALTNDYKKYRDIPEIHQFSRKHFLVDTMPHQIVYGDRRPVRHKRYPG DIERSGTILKERIVTFTFRYRPIEYIRQSVISCFPTGSSSSAGHEIEDGNQSASNSIN SSTLQGCAVVEDRNEPNANQAAVEDNERTLERLPTNLGKRKTWNVITDNTVKRARVLS PPMTFRNIPAFFES JR316_0006212 MTLFNVPDYLDWPAQFCLLITGITWIASIVTSNVSQVDRFWTFL PTIYTAYYALLPLLPNSQPFLFVPYAPKSLGWSSLKDFSPRALLMLTLITIWMFRLSY NTYRRGLFNLQDEDYRWAVLRKQLPPWLFQVTNLTFISGIQNVLLLLLGLPTYVASVL QPHTSLTTVDYQLTLIAFAILICEFTADNQQFAFHAYKHAYLAKEKGEKGVKPYNPKE QWPGARLAWTPNDAKRGFITRGLWRYSRHPNFACEQSFWWLMTIFPLMEPSANSPLPK LLEALENQDAGQLRAVLLPSLWFFMPALALSTLFFSSTLYTEQITSSKYTAYSFYQRR VGMFTPLDTLVRALKVNLLDGKREAKLVYQKVWGASQPKIE JR316_0006213 MNSVLIQAFAPFQTLTLSAPESAKISDLLPLIHEKYPAFPTSLT SSVLFSTHSGQVPPADSLVSDLKSLDASDELVTLRLSPRVLGGKGGFGSQLRAAGGRM SSQKTNNNDSCRDLTGRRLSTIKEAKKLAEYIESEPARLAAKAEAQRLKLENLERKLG IEKSASSSKSNDPNAPAEVLAGKKHRFDDTEYLEKSQELKDNVKNAVSAALLKKKKKA KLSHPPAEDSKEKGKEKEKVVAEKETAKATMLSATPLNPVPAAVALDAVGA JR316_0006214 MRPPSSWVRQYGRRASRRLLATIASEHPTTPQTIIEKVVQKYAV GLPEGKKVKAGDYVMIRPEHVMTHDNTGPVVSKFKSIGATRIHNPKQPVFTLDHDVQN RSDKNLKKYTMIEAFARTHGVDFYPAGRGIGHQVLVEEGYAFPQTLTVASDSHSNMYG GVGCVGTPIVRTDAAALWATGKTWWQVPRMIKVELKGRLAPGVTGKDVIVALCGSFNK DEVLNAALEFTGEGVAALTVDERLTIANMTTEWGALAGVFPVDETLLNWYDGVIKKLE LRTFSSSPGIPPPPTHPRINGERLQALRANILKSDPGAEYASHLVFNLSTLVPHVSGP NSVKVSTPLPVLEEKRIPIQKAYLVSCTNSRASDIAAAAAVMKGHTVAPGVEFYIAAA SSAVQLESERLGDWDTLVLAGAKTLPAGCGPCIGLGVGLLEDGETGISATNRNYKGRM GHPNAQAYLASPAVVAASAIKGYICGPDPVSTASLPPVGAPAFSVVDDATNSKATATD ASAKEPLLPEFPAYFAGPLLFAPQDNLNTDGIYPGKYTYQDDITLARQAEVVMENYDP GFAPLVASLVTDLETKPFALSPAATVDEDKKGKAGVVLVAGYNFGTGSSREQAATALK AAGVPLVIAGSFGDIFKRNAINNGLVCLESPELVRDLTEAYAKEGKRGAGGKDGELTV DKGLSIKVGMEDGKVVVVGGASGEKVYTVKPVGASVQELWLCGGLEGYILKEIKAEAA ATAA JR316_0006215 MNSSFDKVPLEISDMIIDSVAQDDDGKCTNLKNCSMACKGFLPR CRKHIFTSIEVTTRNLVRFVELVDNSPRIALCIRSLSFFVDLDAFNKIPSISLQYITN IRLLSVQVACGEEESMWTRVNPPVRSAVLRLMCLPTLSALGLCCITGIPPSCFVSCHN LECYVHAVEFGYDEYDTPVTISPSATSKSTNAIKLRRLVLVGYVDNLISTYQRGKMIG RPLFDLSGLEQVTFHLSDLEQFLNIDQLLYDSHNLETVQICMENISMLNCIESFVGIF LPSLKNLHIHISSLSLISSGVDTISLLCQQIQTIAHDNVIQYLSLNLLADHNLGLRLE EFQWDAFDQVLLAPNQWLSLEQFDLWVYVNYSAEHPVDKPAFEKLVENVRASKISNLI SNKLFKFRAFFDPDPQDHYTYFGA JR316_0006216 MNVYHKRILSNFYKLLENTPWIGHYILRLSLSVDVVSLTKLIPP ALEAFAHITRLQDLTLEIGTIDQRASWNSLSTSVQCILQRFIRLPTIDTLTLVNIYDI PAIDMTSRKRLDFLTIIGPVSFHEEHRKDTTALSSAPKLLGLAYSPRLAQPSLNIFTK GKPPFDLGELKYFCCYWAGIDEFYRLLFENSPNLQNIHICIRNGFHDQPTGLGHCLSF SLHSLVNLYINVDPYFSFLGDEESNSLFKLCLELREIARDNRIEYLSIRLLLQASSQR EVEEIPWGRLDNLLLVPNTWTTLKQCDLWVYLDQDNHYGSDINSETFLTAARNVQESQ LSRLISNKSFKFNSFFNVGSKDYFVFMGVTH JR316_0006217 MATAAAVLPSSTPSSATLHSSLSSDNESPAIHSRAAHTNTNGHT LNHTHTTTSLTDTSQALADATALLSSHAALLHRERLKQEERRKRLLELQLGARVEALQ ALPLIPVPDASGENHEEEEYPGSYDSEEEEEAYRGPDVHDDDDDDDDDDEADWAEQQR LNRPDLPPAKRARLQRYKNYVPEEETIRNDYSQRYVDSGEWPQNWVLGAEPERRFEEY PKQQRLLQLKKASVNQYSLPPTYIPLISNSDVNTSLSTLHPSKFDVILIDPPYSSSGF TWDNVQDLPIPSLAADPSFVFLWVGSGAGEGLERGREVLAKWGYRRCEDVVWVRTNKE KGEGERGPGMDPPTTSMLTRTKQHCLMGIRGTVRRSTDSWFVHCNVDTDVIVWEGDPL DPTRKPPEMYTLIENFCLGMRRLEIFGKLGSSLRRGWVTALSPGQEKALPADGRVRVP DVTGMVMNSNESRKDKEKEEDKEGGTSDHAPNDSQRDTDDAQMETDADADSASANALP GIVATRWNQDTWEEGLRSLAAAAAAAPGTIAPPASASANGQSKAVVPMSAEIDALRPK SPVRGGGGSGGGAGNGGGGGSGGVTMGMGMSGGGSGRNMGMMAQPMATPMSMSAPRFN GGSHHNINNNNGGGGGRNNVGGMNSMHAVPMSMPGAGMGMGMGNMGMGMNPALGMGVG MGGVGMGNMGMGMGMGNMGMGMNNMGMGAVPIGVANPNVHIHGNGNAALMAQPMSAAG GMSGGVPLSIAPAPSVSSFAGGMEDVVGGGGMGMGMGMGMGMGVNMGLGAGMNLGARN RSGINNIGMGGGGMGGMGMGLGNMAMNMGTGNMNMGMGNINMGMAMNPGMMQQMGMGI NHNLQQSQQQQWDPTVMSMGMGMGMGMGNMGGMNHQPWGGPGQF JR316_0006218 MSTLFRHFRVHQVFGANTNVGKTILTTALVRASAQRGKNVYYLK PVSTGPLEDADDYHVNRHTADYKKSVHAECLFRYDEPVSPHLAVKMKADLEGQDVILP PSDGTFLDSVANRIRTYAKSSLNPTHMYLETAGGVHSPALSGTSQADCYRPLFLPTVL IGDSKLGGISSTISSYESLLLRGYIIDAIVLFRDDYYQNAEYLKPYFAERGVFVHTVN APPERLSDLAANFTSTDKYYATITALDTEHGVGPVVDHLDVCHSKRLDELESMPKRAH DSIWWPFAQHGLMKGEKDVTVIDSAYSDFFSITNKSASSPTESILEPQFDGSASWWTQ TLGHAHPSLTLAAAKASGRYGHVMFPQSVHLPALKLAERLVKGGPGKGWASRAFISDN GSTGMEVALKMALRAFTSRQQTEIKEDEKKQLGILGLKGSYHGDTIGAMDACEEGVYT CEWHNAKGYWFDPPTVGIKNGEVFITVPATLSSFPISHDVRADSLANVYDVENRINSS LARAYAMYITRALEALEKRGGPKLAALVLEPLLMGAGGMIFVDPLFQRVLIDTVRGRR SGSSTSWSGLPVIFDEVFVGLHRIGMESTGPLLGVYPDISVNAKVLTGGLLPLAVTLA SNSIFEAFLSDSKADALLHGHSYTAHPIGCEVANETLAILDKVTKSDEWVAAQQSWAK PDGTASPVWSLWDPHFIIAISKLPQVKEVMTLGCVLSIKIKDDASGYTSHSAQTLFQS LTRASQEDGTLSTAPGGAAFGMHFRTLGDVAYFMTSLNTSSTVIRSIEDKIWQTLNE JR316_0006219 MDKDNRDDFTFAKLSDLKLPVTFRISQLEGIRKPRSFTELLEKP ELRFHGVQSSTLSDLYVTCQLVADNKPLTIPFRTSFKAFKNAYTWNEWITLPIRYCDL PLSAQIAFTVWDIGGPRAAIPVGGSTFRMFGKKPTLRRGKHRLFLWPGVEADGSVETT TPSKLAAQDEMGRLEKLVKKYERGDLPKSDWLDKMAFRKMEEIHAAATEKSDNLFLYI DLPRFDFPVVYSEPASGDAAATTSSATSTLATVVQPPATPSISASFISDTGLWSVVDP DIAHENPVEDKHRRLVRSHRSSPYDRELKPNANIRDELGLILSYSPSQVLTSEEKDLI WKFRFYLARDKKGLTKFLKSVAWRDSAEVKQAVEELLPQWTEIDTDDALELLGPGTVD SRVRAFAVKQLSRADDDELLLYLLQLVQALKFESVVTEQRSSRSTTTAISYEDSGLSD LLISRGVANPILGNRLYWYLMVEVSLDDRIMAKMYGRVVYRYMKQISEAENGTERRET MRRQGLMIETLAKRAKELRTSKDPRPKKIEKLRSIISDSKNNLASMEPLPLPLNANIQ VTGIIAEKSTVFKSNMYPLLLYFQCADGGEYPVIFKDGDDMRQDQLVIQLFTLMDQLL RKENLDLKLTPFDVLATGQSQGMVQFVPSKTIAAIVSEHGSLLNYLRAHHPDEGSIGT SGVEPSVIDTFVRSCAGYCVVTYLLGVGDRHLDNLLLTTDGHFFHVDFGYIFGRDPKP FPPQVKVCKEMVDGMGGAQSPHYARFKNFCFTAFTILRKSTNLILNLVTLMVDANIPD IKHRDVHEQLQEKFRLDLTEEDAIKHFEVLLNETSYITVVFDRFHDLAQYWRS JR316_0006220 MASFARSASTALRAASRRAPRALAAANTTKAASYSLLTKAAAAK AAHCANPQGARGVKTLDFAGTKETVYERSDWPLAKLQDYFKNDTLALIGYGSQGHGQG LNARDNGLNVIVGVRKDGESWRQAMEDGWVPGETLFPIEEAINRGTIIMNLLSDAAQS QTWPQLKPLITKGKTLYFSHGFSVVYKDDTHVIPPPDVDVILVAPKGSGRTVRTLFKE GRGINSSIAVWQDVTGKAKEKAIALGVAVGSGYLYETTFEKEVYSDLYGERGVLMGGI QGLFLAQYQVLRKNGHSPSEAFNETVEEATQSLYPLIGAKGMDYMFNACSTTARRGAL DWAPIFEKANVPIFEQLYESVRNGTETRKSLEFNGRATYREDLAKELEVINNQEIWRA GKTVRSLRPDYKPESE JR316_0006221 MPSGDYFSYTNPNQQYAPDSPDTFYAHVSVQPPTSDSAQTPPSP SPVKPQKSRHRHRDHTDRDRTAVSRTIAPPLAQTSSSNNHNSTPNTSYSRSAGKSQSR TGPSSAVRKERERALANTSHDSLVRALIYKEHDYKEAKHLLAGAILQVEALQERLSRE EEGRRALEEEVRVSGSKTTKAILDTQGEAMRAKEDTAIWKLKAENLEKNLRRANERVR AVEAERDELDGALVRARSTARQLKEKNVVLQAREEGRREGFEEGLRQGRIQQEAALAA AAAEEEAAAAAAELERKEKAKKGSDTSRSRKSAAPPPVPSIPLLQHEYELERTKSELA RGYEAEALRTKMQLNESERQLDKEREKNKRLERELRALREDLLRAEREKKAAEEARKR VEEEKQRVQEEKRRIEDDKRRVEAEMEGVRNKVKETEREKEELRRREEETLRRAASEK RRLTEETEREKLAILQEKERVEQEKAAAEARAREEVERERARARHLEEEMTHEHDVQA QRERERAEREREKDERAERERDAAVEAARLAGFSAAVGASSMSGSNHSASMSPAVGLK TQHDYKSQPYFPMPPPPQGGVGMPVPSVPSLSPFPGSNAAFAGTAGSASGSMPQPIVM PSVPRTGSSRHGHGRRDSLSSSASTMQFDILQFPGEGRSDRGELGVIPEDASIRSGWG APPGTSFPEPSIPNIVPQGMGGGGGIAFPSAEVPNIVDPGRQAAGAGGIAFPNPDIPQ IIPRGHGGAPTPGASFPQPEVPEIIPRGGAPTPGGGAAFPQPEIPNIVPRGMSGSVGS SAGAGGGGGFPQPEVPEIIPRGMGTHTPGGGGGGAVFPQPEIPNIIPRGMGGSMSGSV GSAAGGPEYPTPSIPNIVPRGGGGAPTPGGGAAFPQPEIPNIVPRGGPSPGGAAAFSM PEVNIPNIVPKGVAGMDTPGPGPVYPSTGTPGPGPAYPTAEVPMIIPPPRGAGTSSVG ETFNMPEPEIPNIIPKGVTSGGHAMPAPEIPDIIPKGGPSPSGGSVGAGGGMFPQPDI PNYMGGGKNGRPGSRAASTTSTSMMPTPAIPNIVPKGGRATSSASGGGMPTPVIPDIV PKGGRAGSAAGSVGFPTAEVPTFGGETPRGMGKGGRSKSRSGSAVGIPTPEIPNIVPK GGASMSGGSNSGGGFGFPTAEVPTFGPGGNAATPSWPAAEVPNFATPRGGNANLGGAS PRWPSAEVPNITSDAGGIPGWPTVNTPNSMLDPPDGNNFGSWTDPNMLSANKPRPLDR MGSNGSWTAHIHPPSRSPSLLSATVQEQDGGFYLSPNHRTSALPPQTQPKSQPRRRNG GNGDVPTQPNTPVVLNGPLPPGFVPQTLTDRNGISTPVWPGTTDLPSMGQPAPVIPPM SNSGKYGNPLRDGEGGGGGPPPMFPRSPAGSVRPLGTPGPGWASLGGATPAMSARDLP GVAGSGGGGVRPGSIYGAPPMGTPIQMPAPPVTMPVPTVPDLEDENDDGFDMNTTLNT QMNAKIGSTTTTLVTEKAKKKKKKR JR316_0006222 MFQSRAAARRVQTLRSCKRPSLSRPVLNNREITTYPRRSSAVAT AHASGSSIYTDDAQHLHPDDLHTDDLPITSQDANQVAVGWDTRTWSRFHNIWLRDHCR CPKCFHPITKQRLFNTFEIPKDLTPLHVDAKPGGLQVTWPSKEPHVSVYPWSWLQLNS YDPPLNRKQESNEKILWGSKIQQSPPTVAYEEVMNDNNHGLYKWLTNVHKFGFSFVSG VPATTEATEELALRIGFIRETQYGKFWDFTSDLAKGDTAYTTLALGAHTDNTYFTDPC GLQLFHLLSHTHGTGGATLLVDGFYAASLMRELHPSAYRLLSEVPVPAHAAGDAGSLY ATGEGYPVLTHTGQGELVQVRWNNDDRSVMRGLSADVVEEWYEAIRLWNKCLTSPDSE YWVQLQPGTAVVVDNHRVLHGRSAFTGKRRMCGAYIGVDEYKSKLAVLREQFSNSSTG QGDGLEEQGGKSSGEKERDVWHPLL JR316_0006223 MVLSLPFELIEAIIDAVADTDDHKTLSTCSLTCPLFVTLCQKHI FHTIRLEDTPIPHVLARRISRLEEISRTSPWIADYIQHVQCVSRTLTREDSSTRHWPS TESAALPTIALFGRLTKVHTVLIENIGVFTEEKWDFPDVQAALCRLIYLPTIEWLLLK FVDNFSPVNVIQCVNLKHLSLRMVSFRKSAVHTQDFSSPQTTHLDTLSLDHQSATALL QMMKDFTRSIGDTPIIDFRKIKTTTLLLDRFMFNELGFVELLQQLEWMETLRVYISPS MSNYYLPGPMLFLTFFFFPK JR316_0006224 MPAQSKPVQQLTPFGAALAGALGGCFSTAVVYPLDVAKTRIQAL PADSKGKGKDLSMTSVLLRVYKQEGIAGLYRGFGATMLNTFSMQYAYFFFYSLVRSSY IKRLTSKLPPGSKLPPLSTAAELLLGALAGALAQIFTIPVSVIATRQQVGRPEGSKKA SSDEKVDDSFMGVAREIVEEEGVTGLWLGIKPGLVLTVNPAITYGAFERVKSLVLLAK GNTSTKLGPWLTFVIGALSKTLATIVTYPYIMAKVRIQARSADIEDALEHNEKPPPAH KYHHKNGKHVGALDILARVWKREGFTGWYQGMEAQITKAVLSQALLFMSKEQFEHWAL AIMIFAARISSRRTI JR316_0006225 MVMPPSNPNFPKNLQIHPSNPRSSRYTNANQDLDEEKFGPEAQE LAIRKYGIAGRVWEAAYAMTLYIQPPGNLAFDPPMIDASRHADRVVIIELGSGAGLVA FSIAKILKPGRDHLIVTDLPEVCPLLEDNLRSIKEEVEATIPEKDAVIIRPLSWGNQD DAAFIASQFFGQPNNDGRFGALTHIICSDLTGSGYCGPSILISYKIRSLSKETAFWSA FGLWFEFYPVLVKDNDEGDWQRFGANFDDTTFLFTAHRRPESWSWVVPTTDSDLLAGV GSKGTDTKKYDDTFENLLLMGVE JR316_0006226 MEKAQKGGLESTPAPEHELPSYTTAQNEQKKQDEGAGPSNSGLG LGKEHHKYLETAKGKKWLSMFVKSRATNPTSLPVFFEGDIISGRVELDIDKAESSKGI SITIQGGTTFVGQEEEIFLKEEKSLWTGSKLKPGKQSWPFKFVLPKEVTIKDAGTFRI PPHYTERASPAYIDYKLIVTVKRGFLKVNQTLVTSFGYQPVTVPEQPSPLRRIAYSEG SPLIGPEGDPEGWRVLPTLKIKGTLFNTKEVEVDCTLAIAKPLSYALGSPIPLLITFS GDNPQALDLLANQNAIQLRLRRGMATGSDAIDDNGIRRTDNHFVEDCGGAYFWPSREG ADEPNRKVLQGELEVVKTLKPSFKFPKVTIRYSLDLLPFSASGFVMNETVPGTVLLKE TVTIATRQILGLNAHSYAPPGYEKPQNVDYNKSLGLLENGNQRFLGHHHR JR316_0006227 MDVLSPPRYRRFSSRPGPAPISGTELPPSYTRRNTLTQPINLHH RDPTEHVFELADGKARPWITLKVYSSAKSAKSLPTFFEKENITGMLELHAERGDSIQA ITATVTGRIITGSGVDDCFVFLSQTQPIWSKSPDTPRVPSPSEGASSNKLLGHCVWPL SIPLARTVTVPSGSGDLKSFRLPETFLERQTRVSVQYDLTVVVSRGKLRADHKIKTAF GYVPSSRPEPPSILRQYAYQQFLPLPGPLSDPEGWKNLRPVSVRGTLFGSLRVDAKCT LSLAKPLCYARGTVIPCFLTLENAEPRVLDMLAAPTSVVLTLRRRVRFYNKTSSSRRD VAWNESVEDMGTAVWWPSTDSRSTSAVRYLEGEIRLAKDLRPTSEMGHFSISYSVVLS PFAAVGFSCESAALLSEPIEIATMHAKGPRTNAYSPPAYDPPTRRTEDATHENPYY JR316_0006228 MSATQTVPPQSVPGFLPPDYSSPLNETTSIRLDSELPAYVPPPP ASSGSNNGHGIGAEGALGSSQPKEFHSELKKKGKTIATLTLIADSALSKGIPTYAQGQ PIKGRVKLNLEKPETIQSVVVTVRGQVITGAGPNEHLTFVDISRVPWSQSDGEPLNSS SDDGNSTPTPTASTTAPATTTSAPSVEPSTPKFTGKLQGEYTWPFKVDLPKQVVVLSG GLKGEPQTFALPQTFSERHARASVLYEVSLQLTKGKLRADYRVASTFGYIPITRPPPF STLRRLAYEEGTTLLGPTIDPEGWFSPEPAHIKGTIFNNRVTQVKCTLYLAKPLSYTR SSVLPVCLSLESADTQALDLLSSPKAVVARLRRRVRCRMNADKTMESLAWKDTIDDSQ LAIWWPSTKRPEDSQSQRFVNGELHLRADIKPTSSMAHFRIEYTVVLLPFDAPGFVSA DISPLIEYPVEIVTSYAPGPRPRMSAPPGYESDVPVVTYGITSMRSTFY JR316_0006229 MSAVHSNVDLGSLPPSYTLPIAPGESTTSDDAFPSLQPSNLPAY TPPSRRTNSAPTAQGELRQSMPKEFYYDLKRRGKVFASLTLIAEGTYSKHMPTFLEGQ PITGRVKLSFDKPDALQSVIVSIRGQVIMGANRGEQMTFIEISHTLWSPATGGEQMSI NSNHSNAPATQEQAPAASSLNSSQPSKVKGKLQGDYMWPFSIELPNQVVWGGKTFSLP QTFYERHARASITYEVSLQLSRGKLRADHRIPAACGYIPQSRPPPFPLMRALAYQEGL PLFGPTIDPDGWFSCEPVVIRGKVFNSRNSQIKSTLSYTRGSVIPLCLRLESMDEQVL DLLSSPKAIVARLERRIRYFSNAENNLESWAGKDGVDISQPAVWWPSAEESHRSGQLR FVNGELHLRADFKPTSAVAQFRIQYSVVLFPFESPGVVLMERKPLIQHPVEIVTAYAL GPKPRITAPSGYLSEAPVLTPTNTISTADIGLL JR316_0006230 MHFKVGKFTTITLTVVASTRVSVNAAILPRKDLAQLSVVFFDNV NLTGAAFSPDSLIETIRRAGVHATLSILHNGSGDEEDGDPHLTQKLQFLLSAPNTSCH LTTTFILASSSHFQFSFQYLYYISSDLNIWDKRTSWS JR316_0006231 MALPDPGTSSSSDNRPSTAIGPAQKHINVGTIALPGSQPDSEFP VNPLALSLSLGIVQRPPAPQPPPAAFPAHTSTNMGGDARASSSRSVEPLPPRRPPKPK KKSKKSSSGRSSKESTPQPRMPEFTLPEPGAPLPSNFLRNQENLLGMAGKVAGVNPST LTHTNPNPHSNAHARGSTPSNPILVDDEDDTPILGRRRPPFAPGFTGPTPKSQPYIDP ALLTAPTNQEIVSVLIGQKDIFPILEGVLKLVVGNVPGGKEAAEKQKSTGFRRSGPLP VQQQHYQTHAHIPVQSPSSSTTSTSSTPTSNQPNPAPPKKRRKLSRVPAGATDWDVPY PFEQGEGPEEYHTTWERERGKQLISQLIKLIKTAARKAATKKYLSQKEKEEKERKRRE EEENKQRKRDVYYRVDGSAVQTQSQVHGQAQSMTHGQVYDVLQDTSNGAVGHHDLSAT STANTSAYMHSINTDQPILSLEELLGPSSTSTTTLNPSMDFSFLNSYPGGSSSLGSLE AFNNLVGSTSSSTDTNQNAPTVSTASALAGTNSETTPTMDQAAFDSWMNFLVDTFPTS FDANNNGSAVPNTTSHLNLNSNENTPPTFNPNGSASQTFDILNPSLNPSNATSHVSTP GPDDFSFASLFGAQGNTTTGGNGNAVIADYDFAAMLAAIMSPPPTAATTEPTSSTPSS VSTTAKGNTTSSDATSSTLGDDMIDPTLLALSLPSTALPNVTADANKGLNLNTNMNVD TSAPLLSLPSSSSVSSSVSSSSGVSASASGSGSISGNDPATPTSAGWDMSMPEVHMGV VGDGSGAGTQEGHGQGMWRDLMWGDFTEVAHLQLMESVDWSRLCAIGNDAPAAAMNVD DGENDFGFLPDVDEGDSTRHTSVIPLADKGKGKAVDLDPTWTPVSTLTPLSTNPNTRT STPAPPNLPSTTASASTSTDAQAALRSLLSGPSYSSSSCVVNKLAPASADASFTPSAT VSALEASRLKRKARKDDIIGRAQEKKRQLQEELNKVKIKLWETTIEQAALVHLARIVD EDEKEKERRRHAEGATDAGAGGERPVEVMSVDTGA JR316_0006232 MNQKSYPSLHRPEYFTGMDILQQNIAPGAFHDSDESCDPPKCHP RTREAVLEKIMQWIRIPDVERESFFMWLYGPAGSGKSAIAHTIAEMCKEAGLLAAAFF FSRNAAGRNDKTRLVATLVYQLALSIPAMRTEMCLALETDPALFKKSLEAQLTALVVR PFQSGVAQGKIITNKTPLLVIVDGLDECEDSYSQTTILNALSTLIHRYNLPFYFLIAS RPEYELRRAFDAKTFSSCTMRIALDNDYRSHRDIKVFFEDKLKELRNDHPAGDNLPDY WPYGEHGLDLDVLVEKSSGQFIYASTVIRYVSSHRHWPPDRLETVFGVESSEDDSTPF SDLDALYTHILTAADIYRNKKIHNVLLSLVLRPFGRSTQQTISDIEKFWGYRTGEVSM LLSDLHSIINVPPKGDTWKSPKIAHASMSDFLLNRERSGKFYIDEKEGYIKLAVVAAK HLNSSSRPFETSQLVQVLYEACSVDAKAPPELFEQLHVMDPTPIFQSKSNFPELICWM AKQCHPSYTTSIVQRHKARLDQYFLEAISKLPAVPWTKKVLTAMSLPEFVPSISPIFK ILQDELPGPQGVDPEGYLIKLTETRNFIFPFSRSTWKDRFEYCAMYSEFQKNYQLPES FVVRPTDYSVLAQSVWKFIRRRHYLEHDNPESWALNNYRIPVFFWAPNSLQAKVRTLP GEYCLYGELAFDLLSALLKVCPPASDDRELVTCLRETVPMPWGSEADPLLPHKIRTNE LISNYLGDLI JR316_0006233 MVVATPSTLAYYCSGHGYGHATRVSAFTRYLLSGNPLERPIVYI VSSAPEHVFSDSIACGAKYRYAEIDPVIVQPLAYRVDRRQSVEVLKKFLSKKDNLLER ERQWLVEVNAHGVLSDAAFLGCLAGRAAGLPSILITNFSFDSVYSYLSTPLLDITQTS QSGVPSILDMLPDIPIPYDELAPLVDQIHAGYRCADLLVRLPGFIPIPSFYIEPSLPS SDWIDATSRRMHPDILETLKSSRSRTPNLLPSLPQAKKTTRTVIDAPLLVRPPSSSLS VYTPQGRSKLLSSIGIPVELHDVSKTKILVVSFGGQVFRPPPSRTSSRAHSRNASNEN IASLVSTPVKVKPGGMGRYYRHTTPSPRTPSSPRSPTPKARGFSDHPLSRLATPNHIW IPGAPPASKPAAQNAINTLEIESTPTLNTIPPTPDNDFDPYTSSFSYMRDALADNDEP EPTSPDDLNSSGNSSSDSSSLSLSTDSESDSDTDLDLHTADHEQEEQVQQQLLPDHSW IAIVCGVSKEQWGTEKEEGLPEGFYVAPRDVYMPDLTAVADVLLGKLGYGTVSECVDA CTPFVYVSRPLFIEEHGLRLLLDTEGVGVELSRQSYEAGNWAKAVAEAYVNGKPAKDA KRIIMGKDGEGADRNIRDKEGKILADRKISNVPPHQGSLGQSTKSSCISTPAIACILD VCTNITLIQHPTIVDTTRKSPWSKVGGQLNGGHVVGPDGFAPALGAFGGEDEIRKRVV EGVHLENGPLSVRSVFISLRISHGADVFQGRALDLDLNVHGAPNFRAPRHGDLNVFGA AQPRTQGLRAILSILRCRPNTPNPAHVVWFSTREEPIIYISGRPFVLRDASEPRRTLA LSDRAENLEAIENRLKNDILAEAMRYGGVVLTHNEVAADSGEGAILPTWTAVDSGNVK TCRELWGTMKDAGWNVDLLRIPISPDRPIEDNYLDAYLRVIRDTDPTKTALMFSCGMG AVRTTFAMVAASLVRRKQIMNEGGPDPYAIKPTGSSTKPLSSSPGPSTWNVIIHDVTV QGLPEQQTESRILQSLEQANAQQELNKSLLRLTYLLQKCLKDNNTQSAIELLMTQPTL LENLRKAYMGNYGVILSLLGCLDNGLQAKRLVDRVIDTADQVTNLREDILTYRLRYSL TSLDEAQGEDFLDKGMRALEKYFFMIAFASFVETSDAHFSQSFSDWLTARTEIWTQIK FLRKQYGSRLNVFAPVNDLSSLSKSSSTTRSLLPGKKNDVAISGGQILGDEYSDHVVK NRSGIILRESTLLKSDQWIGESHHVEHGVRGAINFRQVPDTDIYALGQPTIAAIDEVV ERVQTSHPGAQKIIWITLREEPIVYINGAPYCLRRENYSLRNMKDYGGISASRLEILE ERLKDDVIAELNSFGGRLLLHTETSDGTVVPIWEEVQPENVVVLKDVMASRRDGKHIS LQYNRIPITAEKPPDFSDLKDLIDVMVRASPNTPIVVNCQLGRGRSTLASIILLLIRE WLQVHRPMTPMSPRLAKRSLSMSMTLMEPVKAPNRRSYQVINNLLRVIRKGPAVKSAV DDAIDRCSAVYNLRDAIETARIRAEEATDDKQRRVHTSKGLHNLRRYFELIVFQSYLQ SIEPDTMQSFENVETFVKNRPVIKTFEKEMLEEGINALKPLERADTKAGVADPDEVTN VVVNRSGSILSASTIIKSDFFSNLQKMTLPERIDGAPNFRRVPMSLRPTSSASSGSSS PLEGTEFIIEEGGKMVCGSGMPTVGGLKRALERVDAGPGGSNMVYWTSLREEPVIYVA GRPHVLRLVNRPLENVEATGVTTAMVESMEQSFKKDVLRELRKGDGRILLHDEVEERP GVFSIIPIWEVVSEDEIMTPRDVFNLVIQNGYRIDYGRVAITDEQAPLPDALAQLLNR VRSGMSQAGDFVFNCQMGRGRTTTGMITACLISSTMQWQNYMNEVTAEDEMSPNLYDT MDGPSEEEVYLAGEYKTILQLVGVLSHGKIAKRLTDRAIDLMQDVQNLRKAIYDYKLK VDACEKGSIKEQKLRNITVNYLYRYGTLIVFANYLIEMREPRNGPETTFPEWLHEHRE ITKLLGRRSLD JR316_0006234 MYSSNPYAQAGWYNPENPMSINSRTLNAAYVPSVFGALPAVDSS SEGRLLTFNMGLNNPDILNCSICGPTGTPYIEITSDYNSAPCTFFRKRDGTVLAHIEW TTRPMVSIPGIVNKQPISQWLTLSIDRRSRMMVSRDKPFTWVPKDNQIALFANEPAFR DPLATISRIRNLVTLKLSTEAVTAGLLESCIVATVLLQSGRNID JR316_0006235 MTSPSQPLNIKSSRRVANDNDTQQSSYTPSFGTPDLRALRAQYA GTPPLPNIPLRATGTPTNKATATTSGSLVPTNDSSPRKPGPQAVGGLSATKQTATNSS DAVIPATIADLDTLPAEEKAKVLERHLVVKEQRNGNGNGSRSVVGSVHDTAVATSTGT DLDIPHSRRGSSSTTKHVDFEPFPIPYDAPGADVTHDIYKWHTEQRRQSARVRSASFA GPSQAPPPAFEHIHEPGGFRRNYLLLRANEQGSEEPQILNNFIDFLLLFGHFAGEDLE EDDEDKDDEENVTPPESADANERTALLDRPENARQRSRSRRRRNSVARQGNATVTQAV LMLLKSFVGTGVLFLGRAFYNGGLLFSIVTFIFIAFISLYSFLLLVKTKFVISGSFGD IGGALYGPWMRYVILGSIVISQIGFGAAYTIFVAENLQAFMLGISNCLKLVPVQHFIL LQTIVFLPLVLVRDLAKLSSTALVADAFILAGLLYIFGSEVKVIAERGIADVKMFNPK DFSLFIGTAVFSFEGIGLVIPITDAMREPHKFPKALSGVMVFLLFLFGGAGTLAYLAF GSDIQTVVLVNLDSRSQMVQSVQFFYALAILLSVPLQLFPAIRILENGLFTRSGKVDT RVKWYKNMFRFGMVFFCSIISWIGAADLDKFVAFVGCFACVPLCYVYPPMLHYKACAH TRKAKIADIALIIFGVVAAVYTTAQTINLMFAPNPTAGSPYGNCEA JR316_0006236 MAVASPSSSFQDLRAATVLSGHRIPRKPPPVIDQFERYPSPDPS DPFAPLWVLRNRTSSGLPGTHSPFSPGSGPYGSLESLSNLGERRYSSSYLEYSPPSSA TTQSRPHTSYNAISPPGSPLKAVSPKHKATKKAAHYTPYSQINQKGGNPVGSLQAFPR IATPCQSRTDIDLASPKSKRPWPPRNDTLGRSSQLRHDVHANDRDDNCSSESETDEDD AYIKPLFKLKSPIFLRPSTPQLYSQTKPKSNATHQRAQSVQTNGSQKLSPQTRLARIF LPRKFSFLHHDSVAPAGSRRPSTADSRTVSKSAISAPQAMVSTATLDHSRNKSHPSRG RASTISIRSEVNEMKGTDMVDIHEHHETKLSQKQTEMRNTDETRSTIINDCGGSSFKH LKRVLYPLTVTELSDKPDSDDRHRLSLSLDIDVIASSTNSKRRSSTTVCQVLNMASPQ TPTGKYSSRRDGPSDTYLPQESQIPLSPSMTVVEPFLSSASNMSNANFSISAPSFATN MLDDDHKFTDPRPAPRPPMFSVKPLLLSQKRSKGNKQQRDLTTDPTTPTVPVSSTSAK CAIPPSGILFPTEWTLPTPPQITFAASLPLTCEDGTHVTFGSLFASTRTIVVFIRHFW CPLCQDYMTSLKSLVRPEMLAEWPAGSSAEAEDLRERLVKFVVISNGAHGMIPKYRQM FGLPFQVYTDPKLAVYHALGMGKDGQDCHHTHQNHRFYQYQQHQVRQKQSLGPSPTIG ATEGDADGKEENKGGSAKTKRKSGGYVKHSTMGGIAMVVARAIKFGMPVWEKGGNVDQ LGGEFIFGPGLTCSFAHRMQTTKGHAPIEDVLTAAGIDVSRLSRGDEPPFDSTSLSSN TESIGPDHLRSDGDLPIPPRLTPLRHQQHRRRISSLRTLKESLALNRATSTASALSAK IARKASINNGNMDNEGVHSRRQTHRYSVGVNMGVNFVSNAGIMSREEEERWMVQRQIS MEKMRERKMLRRMLGRAASAQGYVADVSVASSAASSVIDVKMGRRDRLKVEDAEKVAV ANKKARDELEDMEVMGKMDEDLGLDSDTDKERETSDAPGEIGPVKVDNRLGRRRTMDS FSDTASMWSRDTAPPPEGGSYVFFHDVVL JR316_0006237 MASTTQNVSVSQNSHTSPTNTASNASGNANSTSAPGGDTTYPEQ LHAGKVGYGPNFRTSPSLGDKIQGLKEELKGKVTRNPDLVQHGKDVKSGEERRKDLLG EDEHNPFDNVKEDDEGKGKDVSQGPTTHKPSGAQSSQNPSGIKDDKNPTVAGSQSPQA YSDTNQPNKTSSQTDPFGMAPSQVSGERFANQTSTNTNMTGIVNSAGHQPQPLDQTPA HEPSNSGARMSGIVDSARHNPPSHAQSNHGFNQPILQQSTNMSAIVDSARHEPRPLSQ APEHHPTPTGSESGFGGSAPQRQNKGVNAAVHIPRHRSNPMQQEPVPASSSNFGSDYS VPQQAPKANPFGMAVNQGHGKGAMEQAATVAPEGTEDAEKQRKGNNVEFAARPVEARQ JR316_0006238 MFTNNPYAQGGWYNPENPMSINTRVSASYVPSVFGSLPVLESGT GERSLTFQLIPNKPDILNSSIMAPTGNPCIQITSNYNSAPCTYFRKCDGTVLAYIEWS NRPMVCIPGILEKVYVSRWLVLSPDRRSRTMVARDRPLIWVPKDNQIALFAIGSTFQE PLATVSKNKTLVTLKLTTEAVNAGLLEAGIVATVLLQSGRNID JR316_0006239 MTSTPSNVAKLHRKPEEERHHDIHPSVSPHTSDFDIHRLAHSSR HPSYTSHATARKDSGTEKRSCTHPTLLEKIQGIKDELQGKITRNPELVQHGKDLLHGS VYNESGHGPHDPVPGNKDVLDEQHQDRSNSTSKA JR316_0006240 MFSRNFVLAFALAVASQVVADHQFTFKNNCGSNVSPKIANVNCG YSPRCSTPGSGGVPNPAISYTGPQPNTLGPGKSQTLTINRQWNGRIFNQNGHCGASGE NCSMGEFNLDTGNQFTAQAYDISNIQGFTQSMQIAVNGCNTVTCTNVNCGCANAYPPG DLSGCGNDSPVRGCSAGDHQWTVTFCP JR316_0006241 MQTRTSSFSSLISSLALPLFLAITFVPEISASVPRHDFVPIAES PTLTSPSASASATDFAAACYASPLPASSILPPSTDNRTVPWGTPSIIFPNGTTCCASL DDVRAGIDAVDDQILALLAQRAAYVREATRFKATRDTVDVPARDQQVIDGAVASAASV HLPATIAGAVFESIISANVPFEECVVSSL JR316_0006242 MATRLQFENHSDIGVFAKLTNSYCLAAIQGSTNFYSAFESELGD VIPIVHTSIGGTRIIGRLTAGNRHGLLVPSSTTDQELQHLRNSLPDAVAIQRVEERLS ALGNVIACNDYVALVHPDVDRETEEIIADVLKVEVFRQTIADNVLVGSYCAITNQGGL VHPLTSIQDQDELSSLLQIPLVAGTVNRGSDVIGAGLIVNDWCAFTGLETTATEISVI EAAFKLQGQDSTAVIGEMRDSLIDNWA JR316_0006243 MALTRICWRLSPPVRLLRRTVKVNTRWNSTLVPNTEAGNVAPTT KHTKIEKIILDHIKTTGPISFATYMQLCLSHPTDGYYMNPEHKVFGSGGDFITSPEIS QTFGELVALWLLQEFRKSGKDVPLRLVELGPGKGTLMGDILRVVRKFEPHKQINVHLV ETSPTMRALQKNHLSDTPGVHIHWHNSINELVPSASEYTMLVAHEFFDALPVHVLQKS KDTGVWHEVLIASTEEVDRAQSQSQSHASESETPASPTSDVPAAFSTATTETPPPPSP SSSSSSSPTTESITPPPLSTPPQPPTPSSPYPLRRVLASKPSSASTVLGLSSPRFSEL SAGSLLEVSPTSYRVARKVGELLATGTSNDGTNEVESGKENPIGGCGLIIDYGGASAY GDSLRAFKNHAIVDVFHEPGQCDITANVDFAFLREAMADIVTPLGPIPQGVFLARMGL GLRLRTLLQAASTEERRQEIHSAAMRLIDPKGMGGEYKVLGITSGVSKGVEEPWPFVV GEAKEQK JR316_0006244 MKALCDICKSLDLHKLNNRKPQKYAFGTWGDVKKRSITCPFCYL VLCSSPGREYWEGQARVQWKEEGGYFTENLVEHIAFLNEDTSTSPHGTARILGPQIDV NLVRKWVKLCETNHRDTCETKLEVVRTPSNPGGLKVFRVIDVIDSCLVDARPGIRFVP LSYVWGDGFVPKIKLTRDTVKSFYTKGFFREAGRKVPTTIRDAMAFIAKVGERYLWTD SLCLIQDEPSDLGDGISKMDLVYQCGVFTLIAASGTDADAGLPGVHSDSLADPRVDEQ LKVEVLPGIKMTITKGVYDNFMFTAYRERGWTMQELLLSHRTVIITRNMTFFCCRKNT WSEDTIYDKYPNVVNTLVDPKSGNGVMFLSDNEPNPCVTYGSELANYSSRRLNKEYDA INAFTGFLNRLKIQSHSDVLQGLLTVHFDIGLLFTDVAAHSGPPSTDYVRRKGFPTWS WAGWRGEKGVFARKCKVPDDINHWLKYNTYIVWYVRSPDAPQLKLVWDFSCQSQYGKL DSHLIGYRPTFDNPYGHAPNPELKGLQIEPEESNKKRMDTIYRELRERKYPLLHFFAH VVFAAKLVPPKPDGSQVHQVLGIDGGRCGSISIDDRDLVNDVSGPYEIILLSKVDQYY DFFYKSEINTSSKEKYWAMLIMRVGSEKVVAERRGLGFVFFNTVHSMVGGRKYWKEIV LA JR316_0006245 MSLYPSSSRKIETPPVGITEFEILKASHRFLRDEDEKPSTWDEK LAEKYYSNLYREFAVCDLKHYKSGNFALRWRTEDEVLSGYGETTCGNTRCTRHFKADD NSPLTTLELPFAYAEHGETKSALVKVVLCSKCVKKLMWKRLQEKEKAQNGKGKEKEQG LEDGQETAEAERSTQEGERSVRDIKKEDDGQEKSDFTRHSDSEERRKKKRSRPHDEEG RFEENDSKRTRRHGHRSSRRESRSRSPQRPSHNRSHTERKEKSSHKTGRSSS JR316_0006246 MSAVHISQLSPLLHVVHLPTVTKLEFIAVAGIRASALIPCLHLD TLDLNKSIFENDLEPHETIKSKRLRLAGYGTIEYLTYILQNNEESVMPIFDLRGLKEL SFTTVDHRTPPQGRYLLDKTCNLEVLELCVEDMTDTDSFPLLSVTPLHSFECLKIRIG SFSRDAMPHLAAELRAIDDVAGHFIQYLNIKICLDTSASYSLEELPWKLLDQALAESG RWGALRELRLRVHTLLFLTDSAEKEFTEAWQVLFYFGALTWTGKDPLHLDDKEIYWNQ LRKAPKNSPI JR316_0006247 MTRPDYSKQGYTDVSAALRNAILTITINPANHRPKEFAGALYQN LLHVFELCGSDDRVRAVILTADHTAPAYCSGGDISDGWDGLWDPESEKEGEHAHRDAG GTLSMAIYRCHKITIAAVNGHAAGIGMTALQLPFDFRFVWKDAKLVFPFIRRGIIPEA TSTFLLPKLIHHSLATSLLLTARASTPSKSRALAALYHEILPTREAVYPAARKFALDM IKKSSAAGVGDVKVLLRHPGTSMEENYILESMVTRAAKGNAKEEGDPWGIAKRLLFRE NSDGDGNIYPWWQTIDVRHHKTKL JR316_0006248 MTLYFMYSLKLHQFIPAITTWPSQRHIVVELTTFARHKLIPWTF KSDEPQEVVVYSSNRKKGKSRGRGSNRGKRTPFTRHIVAVGDLHGDIANARRVLRFAD VIDEHNNWSGNVDFFVQTGDIIDRGDDTIVLFLWMERLRAQAAEVGGTVLSHLGNHEW MNAIGDWRYVYPSELKTFGSIAARQRMLTSGRIGRAWATNYTTASRLPLHPFIGPPNT PYPPPHSFALHMQQDDDDLHWRDGQESESEQSEEEIMSHAALSFVHGGLSPSYPELTP FPTRINELSDTLLARLQNRKQPPPHPPHPYPGFPPETSPEEIRMYSANGPLWYRGWAM QPEEVVCAQVDDVLQKTGTRRMIMGHTPDFKNIKSRCNGKIIIIDTGISHAYGGVLSA LSVHYTLTPIPNSPNTKQKQNQDNEDDHSHRWMEREVISALYADRQEIIVTDEREVVG TFRHHEFHDGDWEDEDGEMEERKGSGEVQ JR316_0006249 MPRNIAQLPPDVIITICAYLGPRDLLSFIQTCRGIHALGSTDYV WHHIKSDLPLDIEGKLLDLSGSEIKSSVIRALRLDENWNSRVSKVTRISKIHPRTPVT EMQTLGRNWLVTSSRARGSSNTINISVWRLDTITPNDKLIGSAPACVISFEPVRAFSF ETALCRGKHIALISALGSSATVPNGLWTIYTLNLKGRRSIDNKPYLEKELLIEHSGLL YYSQISGSIVAAAVAQVGLASWKHQILLLNTDTNVYLKIDSPEINKFDCGRMQFKIYP PYIIIVGSIKDKIKLQVRKLPMAIFSTIPIPPDFDEHTMPLAKWDSSIVDYETVAIRN LEMSISSEPFYTPFGLKSFRIMVNHSPLSPMENGRVDIFQFPIDTSQQNLEFTGWKPL HSFPTPPNSTLEPICIGTSGSRAVWLAHQWNNDEYHLVKGSFGEDGSYLVTDLQPPEL PLPFEARDCKALYLEETRGRLFVGVHSTAEVFILEFS JR316_0006250 MKQPLSPSYISRSSAMSELDSLSDSDWLDIASGRDSDDNDSLSD QDSDRDEISSMPRSRRSSISNGDSVSSDVEAWEGFVSDGGDEAEAITGMYTVPLPSAL GAQPLVLGFDPIVPIVDEAIAAEDRRVREALDQSFVGTLSASARAATGSAHTSIRDLR LSFPDPITSSRDELHRSYETVSSPTETTLTSTTDNDDIVPGTEPESSHMPSELPAPPP IEDPGLPSTTPAVQHHEVQPLETAEETKAQAELEIVLYGASSEVKCKFVQELIHSATT ASGYTPVDKLREGEHIQTLRFTKSSDNISKFFNAIDISDRTNNIVGVDNVDRFDCPSL AVVYLPTVKLPVLAWHDAYLPVVIPTPDSELDDAVMLQNAEDDWDLLAIPSNRVVKLG TSKSSIFNPKDLVQLSSVQILQILRGIGRDTKKITALKPLTEQVKSVNAVTLFALMSI IMGFAFNTAFRSPTPSPTPTVNTPSGGTSHASQLWGLFATQPNKSILASSMGTTPAST PGLGVGSLKDMALSVFNPGSTSLSVTPPLTSKSLSVASVASKEVSHTNGHTKAVSATK CQQCPADTSSSKAGVDKARVFTDVAVKSTATALSKVSYMTPAVSSHAQVNDASTASGS GSGTSVERLTVLPTSGSSTGAEQPLGVSMNVNLNAVSSALDATTKALVSSLSNVNAGV NPDSISDRLSSTLSAADTLLSNLRAQTDVVIRSSKGKARALSTHLVSLPERVDRRNRR ARRRAKQLREKGEKMVKGVSETVRERTERARRRARELGGAVVGVGADVWGSYERAQGD WEGVLSNARVATKRNNGQAKRTNEGADKDNKVRRWEGRDKENIRPPAETRNCWKDKLS IRRQMRRGLDYL JR316_0006251 MFSVSTRLSKTSHLALPRILPPHRNSVSSLSRSSQVLRSQRFLS TQTGTSEYPDEGKGYQEVKTEEPRHQRLDGPGGQPYVAGRNKGFTPILAGLFTVGVGL TAYGLWEVYSIMHMWPQDIRADLRAGLLAKRKGDLSIAAQYLYRAWQQTKTLPLSDFG PDPLLKTTGIGITLSGILEQAGAVAEAYDIYEDVFWTMRTAHLPKAKSTSKVKGAGPE DGEVEVEVEVEGGLPPSTRDTRPDLGVETLKGMTNADKMRAVALSYKLSELAHALKRP RAEEERWLVWSVEAILRTIIDTPPVAAVEVVHTVGQGEGAGRLGAMRGGEDAGRPEVK VLVEQLGLPVWVMRHDIAAPFEALGTFYAEEGNVPFALPLYLQAIAILIPPAPTVTPV EDKCRGAQLMGNITELLVRNLTRATATLEATSQAEAWARKGLETVMAARKASPIRHDV CEEALVALLYNVAMVRELAGDEPRARALLSESLEQARKIDMQEGIQHASEALKRLDTG ADTVKPVVVDRLVDNKDV JR316_0006252 MQPRIPVEIVGLIIDIVAQAEKKLDDQCRNIKAWSLTCRAFLPQ CRSHIFADINADSWNKLPNLCRLLKDSPWIGHYVPSLKLGLPYGFQRDLLSELPHLKN VVRLKSFFIDGHLSSWLYIPLPVQSIILHLMHLPTIDTIGFINISDMSPLHLAPCNLD SLTLDDESFDGKRCPARTIKCHRLFLKERKTITNTIKTLSKDQFDRRLLKCLHLTATT GMYQDSETLHCQLLTEMPFLEELEIRLFEDNFYYNFSSALASAPPTLKSLKICITIAS LRDVPHLVKGIQQAADTVGHIVEHLTLNVLSDTSSIFQFPLVEGVLEDPGLAADTPIS SEGNELKLRLYKFLEDRNVRFFYSITPRSFQERDYEVEEIDWQEMVWD JR316_0006253 MYPLDDLLPAEIISLIIDMVALEDTQWQVEVPYRDTRACSTTCR AFLPHCRRHIFSRIEATVWKLHKFILLLTNAPWIGHNVRILSIGLEISLNASMLSALR HITLLERLDVVAMNSPKIIWTQLQPGVRSSVLHLMHLPTITDLGFHGIWNILPSDLSP CGRLRSLTLSQATLTDDSALVKCRRLCLAGQDANIVNHMTKILGAGTGTGSLVDSHGL RGLSLEVSDISQKYGKLLNGFPNLNELEIYLSSEQAFGTFSAFLVSPSYVLRSLKISS IYFIPMTIPYLARELQRIGDIAGKTIQYLNLNFGVFRDNDFSIPIFEWDTLTKVLLDA GRWKSLQHFAIHLSIPYPRPPDGMHRFVKWNNTVRAHLYKLSSQQRRFKVYYSFHLNL VRYHDRDSQIYWQEIKEIPSSI JR316_0006254 MTSCIPKDALKSLSEQSAAAINNLVSYFSTLEQPDLSGHQLKKI AAVLALLYEEDGKLRVLLTTRSRQLRTHAGQTALPGGKWDPTDASLAETAYREANEEV GLPINSPCIHTLGLLSPFISLHKILVTPVVAFLSDNRLLSTLRAAEAEVSQIFSHQLE AILDPSLAKHGPLVPIGSEDWPYETEVYNTSDSVVPMLDNTVYRMHRFRTSASPIKGL TSDILIKIAEVAFARPTKYGRYAPSQLKNIVDIVKAVEKHDTVMTIQ JR316_0006255 MNTNRAIEYVVSQTRENISFLLSAQQISPSDAMDILDKLPKVSA APNPELDRTFPAPYTDSRNPVPPTKTPVNILAARPVPPIPSPFLFRAKALWAYNEDGR EPNDLTFAAGDIIEVTDEKNSDWWTGRLNGKGGVFPSSYVQKLPRDPPPAHPFPTGGG SQYPEKPHAHPPQNFPSGPPPPQNFNNGPSYYSSNPYPPPQNNYYTPPPQQGNSTPIY QTAPPPAAPVTTVQEPEKKQGFFKGGLGNTLAHSAAGGVGFGAGSAVGSGIINSIF JR316_0006256 MNEFTPLVKPLQSQTTIDSVAKECPSEGFNYPTFRQLAKNARLF ISKPLDPDVASSYYRLFSVANSKGWFVAVKSAESGSELVFSPLDELRLASEAAKTDDE TLFNPKRTVSIPTRATIVAFACGDTKLLVGLADGSVVVYDTSSLFTEGTNDVQPLGRN EIQTSPLRQVVPNPGTEPGLSDLVAIVSDGKVELLNMNLESQGGWVASDLMSQPISVD WSPKGKHIAIGLQTGDILTFAITNKSTPNKHIPPTTNSVLVSLNWLGPGHTFRTSYAA QDDSPAQHIVVLDTKSSTATYFAPDHPFISGDRVNQAAYVLNFPKWDGAENQYLTVVG DLASVDLEILGNSGNQWYRQSQENPLTLPLDKEMNDTILLALETDLTDPRYDSPIMYA YLSDGSLQGWYVEHTKPYLGMMNGKNLAAVDTNSQVPVQSQESAAPQATSAFGMSGFG QQPAQSTPAFGQTGFGQQPSSTPSAFTSTAPAFGQSSFGQPSAFGSALSSFAQPSTTT SAFGGSSSNSGGFAAFAGGSGAFGSGGGFGSGGFSSSPSTGAFGSNTTTNAFGQGGFG SAASNTPAGDSSSMTREASMSDSTPGFGGLSLGSKHTADDNAVNSMFGSFSTTSSSSQ QQSTSSFGGSSLVKPATGFGAFGNLQTSSAFSSPKPATTVNAFAPAPPSAQTSTTSTA SPAFGQSGFGQPAFGKPSFGAPSAFGAFGNAGSQAQKPATTTPSSGGFGAFASTPTSF GSALGSTAKPAETKPASGGFSAFASASPSAFGAAAASPKPAESKPTTGGFGAFASGGP SVFSAAAKDNASSSSSSSGGGFSAFASSAPSPFSQAANDATQKPSSGGFSAFASATPA FGVPATPKAVTDSSSTSTTPPAEPKQRTTVFGTPTGAAGSSSPSPFSASNVRSSIPKG DESPTGSPKGTSFDASPPSSPESKPAVPPVFAKRDESSPSPFGGSGSKSPSPFGQPSS PSPFGQPSSPSPSGGAFGNLQVTPSVFKPAAGFGAFGSNETPKTSAFFKKPDETPPPV SAFSQITTTPKTPVTTSATPAFGATSALGAKSVFSPAQSPSATPTKAPTTGAFSAFSG ASGGFSAFAGPKTSFTDLLKSKGDEATDPIKPAATPVFSKPESESKPVVSVFATLAAM EKDKEKEKEKEKKQEDKEAEKEKDKEEPSTSSSKDGKKTIPSEPSYGNLSLSASSSGS FVEVGANDADNGELDDGEVSEDDGNHSDFLSDNFEESSYKDGDSANEESDEEDLPEER NTPSPEPPLPPSRSPSATPQPEIPSIQVSGDGADEESPSKAVPTRERSTTPPSTPVKE SKAPTSSSPSLATPGAPSTSPFGIGIGRPSTRPVRSSPLANAVSAEDEEEDDVTKSPP KAKPTVSPKPVYGALPLDTKGKTKETDVGDEEATPKKSPTAKRPKTPPLLSTMGPPPT PSPFLSPPISKTSSGSSESSSIKSTASAPPLFGMGAKPAAASTPSLFGTPKAEVTPVG SWTSTTPSKPELPRANTAPAAPGTPTPSLFGGGFFAPPPPAAKAPTNAFSMPPFTLGG AAAGPAIPPAGTNLFGSKTAPGPATPTGPGIFGGKATPPPQPGGIFGMKPPTAATPGS PSNVFGGGIQPPKPSPFGNVQATPPAPPQPSPEAILEEGMQKECAALVNMVTEDLAQL PLHALKLGEAIAAAGAMAGGSRNLSDLGVQAKWSLGDLTQFKKLVLQYEAVLDEMEAT HEKQKQVVHDLQSSMLKCGTRKEEIARFHKAKDDKDFAKMLKSRTLGPEHIETQTHLR KNIRAIRDRVQKLESHLQESKKKLSQAATCRPSVKAPSLDTINRTFRNIELAIDQQAD EVSRLATRISKLDMAEKSSSVSRRDARLPDAAGRRVHDIIPDVAATTAAALNAERSAQ RLKNALLAVRKEPLLNNTVAQAPPALYAFQTPQKSSTSSGPSKFAFKAPASGSLFSDV PTPLSASDIMPNWDLPEDNFMPMDAANLISTRSQSKQRIHSPSAQIKKTPGSGGSTPP AEFDWGPLPNFQSPPAKHMPNRFFPVSPAAK JR316_0006257 MSPQDPQTAALLAHVVSQIEQNVEFLASQNYISQADASAILTKL PNSNQATNHSAGPIASLAGRISNLGINRTPSAARAVPPPPPPSRALPQAKALWAYSSN DAEDLSFAPGDIIEIVEETNADWWTGRVNGKQGLFPSTYVEKLPEVQLPTPNATPAPT KAYKPFGAAMHGTNMPPPPGQGVNSVGLQEKPGTEAKKDKYGQYKNTLAHSAVGGVGF GAGSAIGGGLVRAIF JR316_0006258 MPSVNLITAVPHFVTQEEHTALVASTPNSFSDIPPVIKHKEENV TVTLDPPLEGFPSSDGVQGTLYVLTSVLAFMGANGTGFSIEYPVITLHAVSRGESGPS IYCQLDESFGTDNAEAPADDDAVTDMRELTIVPQNAQSLEPIFESLSLCASLHPDPQD DEDDGLDDAFVDLNGSTFETFNGDENEELSEVGRAALAHLESIIYDPHKLQPGEEEEE VDAKQSDEKKP JR316_0006259 MQSGISASRESAAISAALNSCLMLQLLMGIYTVVYAGTMYLCLS KSARSKSRRLVIFVISGLYLSCLGISVLQWSSLHHSIVTQGETRESAYLSVIGSGPMW SYVLLNMLFYGLFVMADGLLVWRCYQVYKPSTMVLLVLLAFFFVELGLFIAGVLITGI TGPNRTIANITLTNKLRGALYFASFATTVTTTSLIAHRLHRAYHAHARLSVKLESMAP RRGGWLRHIAAVLVESAAVYSLVILVYAIGNAASARAGEGASMRNVAMYLEVVVAVTG GMAPTVLVARIAITDPDYDTGASIRISTLRFHGQHASDSNSTRADEENGPSQMDDR JR316_0006260 MSVSTSTSVSSSQSQFASGSKSSHAAAAAPKPGVATATKRKVRH VPWTEHTLRQLKLVLPGAAITYYLGTLNDFWGIIEGNGGFWARLAGFGASGLGFLTVT LFLYVLLTPWLTGEEPDYQTWRESGILSSVIPVLTGSIVVGWLLAVSTLGQWSPMGYV KGTIGVSAFYALTFGLLGLIPVPKASRKRHD JR316_0006261 MYAGYSASQPLTNNPFIADGGSNPSARFPDLSSPPVTNQQQQQQ YGGWGSAAMSPVSPQQQQQGMYQQYSQQQQQPQMTMGYAPQQQMGGGISMGGGGAGYL SPGVQLYPSHTGSGGGGAPFQPSSSFGQQLAAHVSGSSYSYLQGQQQQQQGNPNAYNP AQQQLQNNPGYVAQLDPYSSIGQLWGDSNQSQPQQQGQAQAQMGGGVGLGQSYSSSAG GVAPLPTGGYGVSASGEPHPRDYIRAHKAEIEAWDNFAWKQLLGAFETLMKAWETRKG ELAARVGEMSAQMNVGMAYGPYYVAQIQQEGARLQGLQKEAENNFDSVAASLFQLREV FAGYRQSGDLASKRRVREAMNAALQGLPTFPQPY JR316_0006262 MRFSLNVLALSALLFSSSVLAYEHNIDAIVARHELDNELEARGM RTEFETRALLVARAPPNGDCSTGLPQPNVSGPQCAQMHGYGWKFNGRCYGPRQMILQG NTVKGFCYF JR316_0006263 MRKIRPRNVLPLGLMCLCFEAILLLSGSVVEARQQKCRLQHRNQ AVLQPPSNTGIGGVANTSAPTTRTSARTTQTASGTSSSPTPTPFNYGTDTIRGVNIGG WLVLEPWITPSVFESTGNDNIIDEFTLGQLLDSKTAQNILQNHWETARMHFLYDFAQI SAAGLNHVRIPIGYWSIPLTSSDTSTSTSTAPYVTGAWPYFLKALSWAEKYNLNVIAD LHGAPGSQNGFDNSGQRTNNPVWATNPDNVTRTVDTLVFLAKEVGNQISVLELLNEAA GFTSSAWASVVKNFWTTAYDAVRDVAGDGMGIMIGDAFLGVQSWTNFLTPPQGHGVLM DYHEYQVFSDKELNRTFDEHIDFVCTNTLPTLTSYASSNLWTVSGEWSNAITDCAKWL NGRNVGARWDGTWFPGPTSQVHGSCAPFTGNYTSWSQDYITFLRKYWEVQVELGEAVQ GWIFWTWKAENADEWSYQRGLQGGWIPQDPTDRMYPNICS JR316_0006264 MPRPSQFKRIVLNSRPEGDIEPDTFRTEIVPFSSLKLGNNEVLV QCTWLSLDPAMRGYIRDVRSYLPPVQIGEVMRAMGLGVVIEVGPGSRFKVGDNVSGPW GMTEFAVIKDKFVDKLVIPRGVEPLDYLGILGSSGLTAYFGLKKIGELKAGEKLVVSG AAGSVGSIACQLGKAAGAKVYAIAGSDDKCRWLENELGVIKAFNYKSPTFYNDLKKDV GYLDVYFDNVGGEILDFLLTRLNKDARIVLCDAPKPKGLQSYLNLISQRAKIQGFIVM DYASEYPTAIEELGKDLASGAIKRKFHIVEGGIEQAPVALPMLFSGGNTGKLVVKVSD APTVANSKL JR316_0006265 MTIYGVEAKGGAYSAIAALVNIPATILGATIYELFIVDSDRPVS SGGLEFINHHANHRRTLQQNNGDRRHSVQSMVDLADTSSQEKPTIATFEHAPGNNGSM QV JR316_0006266 MSAFASPFRNTYRYLQRQAHESPVIFYSVVIGAIGPVMAVVVPP IRESMGYKRAEMIPSTYPIPNRPRRPTVGYEDP JR316_0006267 MSMEESISLEETNKIRISLGLKPLTDDKAPEDKDKQAEDNYAKL REKEAKEREAKKIQDKIAKVRNRRELNTALKGATLGDAEEGVDDTLQWIKRNKRKEKE LAKKRQQEFENRDKEIQQDYTERDLVGLKVSHDFDEMDEGEARILTLKDSRILDNEED ELQNVEMAEEERRKQRQELKIKKRDYTGYDDDEFGENHQGLMKRSILAKYDEDIEGPR STEFRLGSSTISNKVSQEEKKLQTAAALNKTLLNIDYAKNISTADYLQEGDVGFKKPK TKKKRPSRRVPADSELAKDSMDVDEPAAPMVRNLDANFVDDDELQAALARSRKAKLHK TKKISPEELAKKIAEERAKEEEEEKQLRIKNEDPDHEEEGGLTFDETSEFVQAVGNNP IVKPKPEPKEVKIPAAASQPTRVKSEPRDVSMADGDVALDELEAGEVRVKDEEDDDYD MGMLDDIENAIKLTEAEEEAAALNGTAVPEVGTSGEQSFSTGMASTLAILRQQGILAQ PTADQLEREKTQRQRDLWLADQRRRVAQRELERLQSRGANKDQAQREYENRLREQQEA RDNMEAFKNYKPDVNIVYYDEFGRALSAKEAWKALSHKFHGKGSGKMKTEKRLKKIAE EKKKEAMVSGDTPLSMNRAFQLRQEKAGQAHFVLSVGNRGAVPQASEFLDAQPLAKGK TEKTKKKKESKNAQKAADSGFMTVPAPQGNMTSNGVTPATSASASPAPRAGFSRISSA VVEAPSPGGSSVPSDRVKVAFGLGTKRKAGEEAQGSPPPKRR JR316_0006268 MSEQIPSAPGPSTPLKSSYTYHSPTPTAAGPYILGVDEAGRGPV LGPLVYGVAYCPVSYQEEMEQLGFADSKTLNSATRSGLLDILSSDPSNLGWSVRVVSP QAISSGMLRHPPVNLNKQSEEATILLIREVLAKGIVLSEVYVDALGNTTTYEKYLSSL FPEISFTVTQKADSKFKIVGAASVAAKVTRDACVEGWYFEEKVEGKEEPSWMSAGLGS GYPSDPNTQAWLKTAMDPVFGFPKIVRFSWTTVKVILDKSAHPVKWIDEGQASLVKAF AGGSEADKGRCAVTKDLHIKSVDTL JR316_0006269 MSTLPLSDQEARIWLRIIGLSEDELSRVNSSLEYHKERLQSITI QIDSVLDPVPGSEQFTTAFCGLPLRRRKRIGSKAKDDKPGRLPGALVKSEEATTKSIA NLRSLLSPIRRLPPEILTEIFEYCLPSDKFIRASPYEAPLLLTHVCSAWRNACIANPL LWRSLEIANDCFVLDNVHCRNASSLTHMWFSRAGACPLSLSVEDDNICSERVMWIISS YGHRFQHLRINIPQPVTLQFGNANGYTSLETFEIITPYGLEDFQIAALCNSVLMTAPR LREFSWNNSAHFPPSPLRMHWGNLTRLSLNTSVHVDQCLMIMSKLERITHLTFHNICL SNPTLSNLKIHLPELTSFIICGDYNISRIFEEATTPKLNELILNLRYWPGRAVTDFLR RSRCPLQSLNLYFPPLTESDLLECLQIVQGTLKEFTVQSNPGMYSVTDDILDRLTDTG VGQVLCPHLSVIALYDCISCSPGRFAKMVRSRLQPFPTSPNSVICAQKRVVPLRVIEM YDGEAELHQLKDLRPLGLVLKMYTLDGFALEMAPEDIERLRIFKEEGLVLRVYEPSTG HFGPVE JR316_0006270 MDADESNIFEDEVIADSEEETEQNELRNQEYGTFQKASSISAFT TAGYPQRGTFSAISAFSGDASVLAPNDPSTIEPGTSSRAGDKESNTSIISNADSFLDG LSATINIADRAKMRQRSQKQAVSDVIELTSDEDDELIWKPSTSKEKKAKSSTKSKSKK KEVVPQKAGTSTDTDAVSAPKTKPRPRPRPIPKQPRYGEADTSSSFVPDIPIATPSMH TAQLISSLPPSDPPQHTEPEPQYPGITTLQPSSPNSLFNEGVSIRNTDMEVDELASDV PYRNNANYFDDDYISPRKMPPPPTFFAGSSSSSMGGGKGDEPITFLVPQQDIVDLTML PPTIAPAVQKKEPKPKKPKKKKEAEHDYDYDFVSAPLPILDEDDQDEDFDPTGDGNAK KKKKGKGKEKGKEKEKPAKKSKAKSKASDDSTSPGSGGAQVEVVITSKPPKSKGKGKA AINEEDKEMRTEPPLDGGNKESFKSREFIEDSDEDDPIRLVGSVPNPPSPLVPITPAG PSRTIVKDTKSPTSLKIRLPGTSSSRTPAQDLDSDTEMKEAIPSKSKGNKKRKTVVDS DEEEDFYVEEKETPAKEATSASKKRKVDGSERKEKSKSKSKNKGKGKQVVLSDEEKDM MLAEELEKPIEQKKSKEGSPGKDTVDDEEANPFQDNHSNDSPPLKSTPEEVVKGGKEN TAPSTSRPQPQSAFVTPRPSRPIPVNRDGTTPLYARYEIAPRRSSLPMSELIRRANSM PGSPFPTPHPTSASASKLKPLIARTPTSTAYSPYLKSSRSLLSKIAPLHPNRRTPPPP PPPPPPRKKSKKEIEMEEKWEEEMIEELGGMEEWAALTDAERREMRKVKWAREQGGWD E JR316_0006271 MTHRRDRSRFWGYPSDHAFYRTHPSIIPQWPPDLYDDILGTVQS GNDDIVLQKKANPAIKIPISKRGALQRFTEMPIDILYEIFGYLHPMDVLNLSRTTKSL RSVLLARHARGVWRSALGSVRALPSCPPDMTEPEYASLAFDDWCQLCLKRNTESISWL CRVRYCKSCMKKRCISEEELMYRIPKGLSIEKPETIFPYTTLENNGYLPSDDENEHPL YFLPAALKYIKELEEIAHAHDTDAFDRWRVEKNKAQCKRIT JR316_0006272 MSSIFSLNRRKKERKEQSREQSPGPSRCAGPVGSTLTMPTPEPH QHQHTQAQGHTTTLDATAFLQQEYKQWEKAHARMKDAPPADGHDYLKHAAGLLVLLES AGVGDTLLTKAVIECFKAAVMFEQDRRENDARVTAVFLAQTDVMRILLDVDDIANRRR NRLNADVLRSDATLNDILVSIQKEIKSCGNSIDTYYNESRFVKFWKSQDWKERILAHI EKFNKFRIELQQALSIQVASGVEDLVSKMDIVLARFFTPKHDWEKALDARTQQSNNNS GNNGSTNLWAGDTSTLQSLIALTQDPASIASDNAASPMAFAADMQLFKVKRELESSLD ALCDRNMGKFEMKLSFHAQQMEQAIANSAQLVIQSLSGPSDRLANEDLKELWKEMDWI FCVDNKFFVSALFEFYLDRFSSKHAIPTGPIFKDGEPTSDAHQKGTLDWFKQMFAISV SAPKFSFLSKLGAINHPDAWTLEYIALHGEQITKTIDSDDSGFIRISEANAFAQQCPR GWSLPQWCAYSAAGWAYEARIYRRRISHLTFKLTEMQASVLPSNRGYLFLFSLLNFCT ICHAFTREPTNQNVNTFAPELRELVKEKVLHQDELYREHLHSLKWTVEDESTIHLLYG EKDPEKFCTLILEQLLALSNVCRTATVDVREWIRLSAAFRVMREITVKRVSELSDIAT YHGGIWSYVVHELDINKINTLSSDMFVPYTRQELAEALADRPILNDFPPTMTPLYLEF PTWEDYVKTLDPADVPSTIPRTWDPIDDTMFSHLMSRTEQADFPFTGWGCDRCRNIQT QERRHTCIQCPGQDFCRDCLTLEGNEYTPKHTPRHSYLLVCFIFMPEEQQRLNLEAEN ILEQLQQQYRAQQRSISGVGSKAKDSPEKEEEKPSLEGGVEENKQHEETQETVTSDEI PTKEESAVSDPAELPAVEEAKPPETLEESGEKEKSIEPNYYLCHKCQPERFEANENDH QWWHTLLCLRKDLITSPLSDPLPEVEPTKVEDEKQESEVAKEESTDENKPEDDPTAVL ARISNIEDKLAVLDNIHSCNSALEQKVDKLEAKLDSVMAALETLLGNLPVREVA JR316_0006273 MFKGILPARRVPSTDFTIITTLADPDGKENQPTLTVQTNLPATN KSRSASKGFMRSQRKKNTDSKRSKESPVAPAPVSGEAFDKLLDDLQIPSSLRPKLYGM DASVKAAMLKSSQTMAVGPSAEATATTAPRSHAVRKAHSTDSMNSPRHPTIASLSGDT SELPYPGLLAAHVSAHHSPYLSSPPRKPSHSRGISFEGTRLFSKSQVNLVPSSSSTLD LATGLKSSKEKGTVHTKNLAPTRFCSVLATVSSTQLDVEDLKKLRLLLRNESASWSEE FLKLGGYTGLLTRLNEILEVEWREEQHDDQVLHELLRCFKALSTSSIGCFALRSSCPT PFVQLVALLYSDKKPGEVATRQLIVELLLLLFDLYPPSSLPSTANKPLLSPGVSSTSS ALRPREAWESQTSVATSNLITLPAPHKNFFSLIRALLLTPAPPPSESPGAPVSPHAFI ESLHLPRIYKTYLQELSDVCRDYFWVFCHPNNTIWVLGETNENSVERPRAPGGMTGGV EFEAMGYFTTHLKLINAISKSVEALGMVKDHELSAYRFHTDLFLSGLERIILISRKAS TTYYPTLHLELARYIAFATRAGFELPYTVARLMGMPPVAHAKNPAAAAAALSGGASKS RSHAGSAPGSPARKSNTAAARQPQPQQGQVQGPGQPQTPVTPTNKRFTMGQVPGSGQP VVPALPSPRRIESMRFEM JR316_0006274 MPTNVRKSAAQDEALENEYKQWQKVHTKMKETPPADGRDYLKHA NNLLALLEGVSELHPIAKAVVVSFKTAVMFEQDRRENDARVTAVFLAQTDMMRVLLDV DDLSTRRQNRWPDAENVRTTAKLGLILANMEKEIKSCGNSIDTYYKESRFVKFWKAQD WKKRMLGHIAEFTEFRVQLQTTLQVQVAVGVEDLVLKMDVVLTHFFTPKADWEKNLDK KTRSLGPPSAWINDPAILQSLISETKDPAFSFAPAAGSSGDKGKDVDKPAEGSKVKDT EKAKIDFAIDAQLAKIKKELELSLDILCERNKDMFEVKLSFHTKQLEQSIANSAQLVI KSLSGPYDRLVHEDLRHLWKEMASLFNWIFCVENKFFVSAVFEFYLDRFSGKKHVDKP DGEQHQEDSDTEEKQIVKYGSAPKFSFLSRLGSINHPDSWTLEYIAAYGENITQAIDN DNSGFIRISEANAFSQAIPKGWNLPQWCAYCAAGWAYENRIYRTRINKILSTLTEMQA KVLGTNRGYLVDYTTFFFDTICHAFAREPVGQRTPSTTQELRELVKQKVFEQDEIYRG HLQSLKWTLEDEATIHLIYGKKEPEKYILQLCTLVLEQTLALARKCTTVTVDIREWAR LSTTFQLLRKITANHINELKARFEDTSSEAFNSYYGGMWTYFSVYVPYSFEELLDAYS ELSIHDDFPMSKITAESAYLQYPPWEEHVKTLDRHAIPPLIPRAWDPSYESLFQGGTV IDATNRRREKSDIRVSVQCVGILVCEFPILDRFSEIERKLGGFDFCDSCHILPPEQHN VKTHNYRHVAALHALQYSSIQNERFSLEAEIIFEQLAQEFSAQDRYEYSENARDSTAL NEEDDEDDLLDKAAGEFDGEPSDNGDDGDSDMEGAPTKRKYTPPVRVTLKCARCDEDI NVASEFFRCIGHSCMNMYFCRDCAMNYPREDLPEGVTHEWWHSLLCLRKDLILGHWDV PVMTDHTTEATHVSLSSEDAVHLRIGILEEKLDIIQHSNASLDQRVIGLEAKLDAVIA GLEKLIGGKLPIAAPAAGAVSTNQLPVGSPANAAVVPSRELQAPASREIGAPASRQIQ APGSRAVPNYTQEIAPTQGPGLLQQIRDHTYPGGEEEEEQSEHQREQRGYDPEDQEQD EPEHQQSYGSQTRVQQHGYDEGEGEEDEPQQYHGYGSQGRVQQQQQYGGYQQQPSYDD EPEDQNEQEQGSGYGYGYNARSQQRGGYGDDEGGDDDDDFGNAADEYARQTGGGQGGY YRDY JR316_0006275 MEEDTILQQDYRQWERTHARMKESPPQNGHDYLKHAKNLLVLLE GVAELNPVAKAVVVSFKTAVMFEQDRRENDARVTAVFLAQTDVMRVLLDVDDIASRRR YRPNVEILRSNVTLNDILSAIQKEIKACGNSIDTYYKESRFVKFWKAQDWKNRMLGHI NQFNQLRNELQQTLSVQVASGVEDIAVKMDEVLSRLYAPRYDWEKSLDMKTRGLEFMD PSWIEGPDTLRNLLLDTNDPSLPAMSPAGQAKDISTQIATDMQLSKVKREIQSSLDAL CDRNMDMFELRLNFHAQQMEQVIMNSAHLVIQSLSGPSDRLLNKDLRQLWKEMDWIFC VENKFFVSALFEFYLDQFSSHKPTHNFIFPDNQTDDTVQLEKDREETLKKLLFSSTSV PKFTFLSRLGALTHPDAWTLEYIALHGHHITRAIDNDCSGFIRISEANSFTQQIPKGW TLPQWCAYSAAGWAYEARIYRRRMSKIMTVLTDMQAKVLESNRAYLIIFSLISFHATY HAFTREPTGQSIPPVTQELRELVKHKVLAQDALYRSHLQKLRWTVEDESTLHLLYGEM APEKYILQFGTLMLEQFLSVSELCRTATIDAKDWLRLSSASRLMREVSLKRVSELQGI STFHGGIWSFVRQQLDTGALAEGMKPYTAQELTEYQDDLPILEDFPIPTDVAPYIQYS TWEQYLQTAGDEAKDLSVIPKTWNPLNDTALPHLVDRAYIKDFPMQGWNCDRCGETPA QQKRYRCIDISCPDFDLCQECYSLPQPEHKAVQHKFNHHVMLSTLWLMPEQEQKIALE ILVVLEQIRQEISALQRSQSTVVKADMSERRGTPTDGPEASKSEEGAEEPKLEEASMD SSAVTPDERNGAVLRITDTDNAHDTEETTTPLSEKNEERDSGELESAEKRVDDAGHIA TMPILEVTSTPQENATLVENDSPEQNLEITSLYPDENVHPIATVDALIGNEAETDITS DHAKPGAQSSDKDTKIEVAETIDVSSSSATNSLPLIKKFSCGRCSVELSLEMNFFRCV GHACRDYFVCSKCAFERFEEVDDAAHQWWHSLFVFRKDILFEEPSEQQKEVAGGNDQQ VVSALEVSKDQIISQTIDNRISKLEQKFSELNAAIQSGQDSLASAIQSTLETKFDRIT SLEAKVERLENKMDSMMTTLMELLGGLSEKKAFD JR316_0006276 MTVASALEALNDVLARYSHLPAPITDAVQKLYLAKSTKKKKKKK YVTDLGTIGQRVEGGQSETSKGSGLPEYDIIIVGGGTSGCVLAARLTENPNIRVLLLE AGGSGRAIPESRIPAAYSQLFYVKKHVHAFWTEAQSFANGAKKFWPRAKMLGGCSSIN AQMAQYGAPGDFDEWASIIKDESFAWKNFSRSFRKFESYQPHRDFPNVDVSARGTTGP VQVGFNNSVSIWCNKFVQACLNVGIPSTNDFNGPNGTIGAARVMTYVDNKRERSSAEG AYLTPEVLARPNLVVAINATVTRVLFERDVESTVASGVEFANSEDGPRFQARAKKQVI ISAGAVQSPHLLLLSGIGPTEHLQEHSIPVVHHLPGVGKNLVDHPIFDMNFLQKGCVQ SFAYLKPKNLIETFWALSAVARYSLGAGGPLAMNYGEAAAFVRTDDPVLFPASEYPEK LIDSTSAKDSPDLEYFSTPSAYKQHTAVILEGHTYAIHVYLVRPTSHGTIRLLSSDPF AYPRVDPNYLATREDLVKLVRGVRLGLKISRQDPLKSILDHDSLDPQFDHQLYLKTDA ELEDIVRERVETVYHPTSTCRMAPLEEDGVVDSKLNVYGIKGLKVCDASVFPSIISGH TAGACFAIAEKLADQIKAEL JR316_0006277 MVTFLQSHRHPDALYSTSGPYHKLKDEISAEAESVPLTSFDSIS RASPGERKDSHFTSTSASDSNKFVVTTGWTFSLCILLLNGIISTCWGIALFIFSLGVV PIYYNLSLLGKQHPDVTNIVIALVAAISTMHISYIVQQIPAHYSHCLLVDGFTLGHIR WMQGVQQVSIFTRFPDRKNGGRFTRFFTRKQIFWLVAYFGIAFHTSSLVAILQPEIFY KNVMFNDAIPCGLSLNNLTLERDPFLSDDQQEIVDSFSFNIGTMLINYGEQLNGNTTT TIAGRVYRKDNYAYGAIGGLENGLQQVPGVLFNASCSQDNPTEGLRLWQSVFPNRSLP TLVAPLNDSKQIFVDSPIPTHLRNVTSSVPLDIDLLQSQAAMYALVDPSGSGALYSVG LNSDPSQAGILSTLICSWKTSPKLVQVQTIEYVARTLGSSDYPKYPSSTGRATLKTLQ GMAEVARLGTSLQKSATYATTPNLIMPFGVYYNINNSISEPSQILETILADGGKASMT VYNVHFSTHPQELDEKCGSKNRTIAEHWRFHNSRNLGWVATIWTTAIGIYAIVAAIWM KGRRRMQKVSILDAPGAFSLGRDYEVGDTDVLRVQNGRVVVQEYKST JR316_0006278 MLALSVSVPKFSFLSRLGSIHDPDSWTLEYIALHGHHITQAIDN DGSGFIRISEANAFTQQIPKGWNLPQWCAYSAAGWAYEARIYRRRINRIWLMLTQLLA TVLPSNRGYLYSFMVINLITIAHAFTREPVGHNIPNITPELRQLVKEKVLAQDALFRE HLKSLKWTVEDESTLHLLYGESPPEKLCTLMMERYLEVACACRTVTVDIKEWIRMAAA FRIMREISVKRMAELGARYEDPKKAADYVSSYHGGIWSYVKHKIDLEESDDVIIPTRR QTILDDFPISWGTPGDLPFLHYKTWEEFSKTLNESDIPALVPRTWDPLDNTLFQKSID RSAYSEFPVLEWICDHCDVEPKNSTSFKCLTCPDLDFCKDCHELPESEHTVGEHTFYH PVVKLSLAYLPEQFQRLKLEVEDVFEQLQQQFAAQQRSNAKVDDSQVGTEAKENKEDD VVERQAKDGHADKDDDKPEESTNDQDVNTEIQQVTEQATPRSSPSAECVGPIPDNLMD ASGSTGLSGALHETECVSQTNSNDIVANESVESLRKEVPASQIPDNTVERKDEPTRVV KPDTASHALQFTCGRCSEIISLEAEFFRCVGSTCQKKYEAPDGEHQWWHTLLSLRKEF IVGNFQTETTVTDVVVAEEKGKTLETPESPEKNALAAPSDSVQSRISILEQKMDVLAS TLESRTNRLEYIPKIEEKLDTINNSLQAKVENLELKLDGVITALQALIGGLSTQNVVS TC JR316_0006279 MGKERQVTVDDFLDREFKQWEKAHVKMKDAPPPDGHDYLKHANN LLALLEAVGVPDINPSAKLVMTSFKAAVAFEQDRRENDARVTAVFLAQTDVMRVLLDV DGLSNKRRNRSNTEMLRSEATLNDILLAVQKEIKAFRLELQQTLSIQVASNVEDLVAK MDTVLSRFFTPKSDWEKNLDVKTRNLGSPSTWIQNTSTLQSLISDTNDPSMPLPAPAY EDSSASMSMSFGTEMQLSNIKKELQLSVDDLCDKNMDMFELKLSFHTQQMEKAIADSA ILVIQSLSGPCDRVVNEVSNCFFHIVLGLIDYIQGLAPIVEGDGKSIMAHHSIVHTNQ VQDWIFCVENKFFISALFEFYLDRFSTNRHIPAFNKKDNTDSATDSKKSGYS JR316_0006280 MFKRVEKRRRKKEEEEALGLDEEMKEVLGIHDTDSEESASESDS DDNQDSGDEEDSDEGSGIDEGEEEEAGNEEDEDGVSEGEGDDEEEVEEEEEDPNVTVS QALLDPVYVVSVLPEVKACIVCPGKLLKGAKMVQLHRAHERRLKQFTALAASADPNES AWEVLKTHAEEKPKLSLAPSTAGGTSKRAEKKKAQQARRKLKREKFKARQAKKAALAA SASASTSKPTAEPSQADPTKTRPEGKKVKKTEKDVSSTSEPTTTKTTASSPPSKKRKL ESTKSPAHKSAPSAVTSKSDESPADLPKPKRLKHRIPNYNATIANADATAPINTKATE PDTKKSDKTTTQETVKNIVKSASERAKSARSRAMSLSGKGNKGGGGAKKGRS JR316_0006281 MALPPQLSRALENFRDQAKDALWALSSCLCQQTPKVKINGRTFQ IIKVLGEGGFSFVYLAQDEDSGRQFALKKIRCPTGAQGVKEAMREVEAYRRFKHPNII RIMDSAVVQDPEGEGQIVYLFLPLYKRGNLQDAINANVVHGRHFPEQDMVRLFRGTCL AVRAMHEYRPTTPRSAAAAKNLKSTSTGKARANENDADDEDDDARFPQAEGDAEGGYS YDGGASASMPLVSRRLQDEEPDVVFDGDEEVENAQQQGNALEIVPYAHRDLKPGNVMI ADDGKTPILMDFGSTMMARVHIENRSQALLQQDIAAEQSTMAYRAPELFDVKTGQTID EKVDIWSLGCTLYALAYSHSPFENTQTTEQGGSIAMAVLNAQYKHPQSAYSQGLRDLI DSMLKVNPAERPDINQVIQMTDRVLQSLA JR316_0006282 MTDKERLCIALFNDSWGYLENIWDASPELIFPRDDRMFQFTVKV YDNSTGNMIVCYCSLVWNGEADQRYAKILICIPKEMSEKVTVNNQLIATDMPTALTDG DVIHYVDGGIIKAFIFRFTANRASLPLLEDIYEPVKNSPIEKGRHGTVTRMKQKGPPG HIMAVKTIDLSKLTHDSYELSVMELLANGDHKNVCNYECFFYNVPMFTIDIVMPCMYG DLYMLLTERIISEREAKDILYQICDAMKYIHSMDVIHYDIKPKNILVSTDTSPVIKVA DFGNAVITQKDIQPAFKDQCGTKPYMAPEIVTLPRLTNNLLPEFFDNWYLKPGSVIKL EANNRSALDFTKKLLRPDWKSKRLSLTNAFEHDWLSNEICFPWEGSANESDTESLDEG ESPCQKTSHSSDCDIYEDSESTPPDNSNTNSNAANDDLFQDNWIYSVPMFAPDRFYFG ENVGLGNLDALPNFEFDTSYSQAWQDWHGFGNGQ JR316_0006283 MELELLRKMSDTGRLNIARMRSAWGYLNNLTQPGPAVPLFENQQ RIGILVKLADCPPHTCHCIFSWNGEIKSDARRSFIRIPKELWGKVMINNLLICPDEDV TLIDGDVIHFIHNGIIHAFRLHLDGAINFPPLALLEDAYDPIVDAQSQQGAFGNVRFM KPKGLCGPVTAVKTIKYPDESYISHEVSVMEMLKTLHHDNICSFDSVFYEPQIYSIHI VMPKMDGDLATLLNQTIISEREARNIVYQICDAMKSVHAMGIIHYDLKPQNILVSFVN EAILVKVADFGIAVITNEDIQGPLHNACGTIGYMAPEIVNVEQHNNLVDSWGVGVMTF QLLTNNFFPGFYNNWDLKFDSVVELTRSTRTRLAIEFTTNLLQVDWKVKRFSLTQAFE HAWLHNADPEDMFLPPVPSQHPVRPQQQQFIPRDSANINNALVLRNGSTALIHDSAYP KKSFGRCAGPSGDSKSKLDINNLQACLSNCLPLSSLHRPATSALKSGNDDWSPPVDED TPNAMRFKFLPPESPTRFREANLGRKGVQRRTRGVREKSKPYPACVERYKASEAGQPN HVYKYDL JR316_0006284 MVHAASAVAFAVIALNAATTMAMPSYDVNDLVERDFESSGVEAR EFYEEFEERDYIDEFEARELLEALEARDFEDLDAREVEEVFQYLRSVASPATTTSTVT TTVTKTVTHTPSPTACTKKELRNKKKAEKAEKKKAAKAKKEAKKAAAKARKEAKKAAK KAKHDKHHNGAKAAATTTTSTSSTSTSSSTSTPTPTPQHGYSHHHHSHLDSEERHGYR HGHHDFEDRYEQHHFNALHRHNHHGDGHRHHHDEAKITGAPHDLAKAAAPTVTHTVTV ETTTTAAQPACTKASKGHKHHRRSFEDFAEEVFAREYAFDELD JR316_0006285 MSTPTPLSKLLAALSCVCWALSTPAAAQTTAPPGTVDVALRTGV FRGVTQVNGPDKFLGIPFAQPPVGNLRFKAPVPITEPSTEIFDASAFGDACPQPANPP GLTLGAAIGEDCLRLNVFRPANISSDAKLPVMVWLHGGAYTINAASNPQYDPSDFIQR SIAIGKPIVFVSSNYRLNTFGFLASADMPPEDLNAGLLDQRIALEFVQDNIAAFGGDP EKVTIWGQSSGAGSVEAHFLFPANRTLFRAGIADSCTGPFKNSPPPSTFDKPGKPFAR LLEATGCAAGTGAIACLQAVPFDTLLNISNAMVSATLNHQLWEPSTGPAGNIAFEPAS KRINSGDFLHLPFIGGTNVNDGTMFSTTLFGLGLSGQAEDDAFKNFIFNLVIDNATLT NNVVDAFVSMYPANDPANGAPFATGDSLFDRAAAWYTDTMFVAPRRFFFQRAANLQPM FAYLFGEFIQGNNPELGVAHQTELQMIFGADPPVSALESEFSTTFRDFYINFVNDLNP GPAWPRFSPESPKVLQLIRDNITLIPDTFNQHQVDFSNSPLVLAELQR JR316_0006286 MPGANYMGGKRNAMKARAKDTIGRQEKGHFGRQRLNILSQGLSA SKPSEKAQQAKDIMHPNSKGLSDIDLAHARKKIPIDSDADTTKWFIPKPIHISPSTPR SRRYMRDRDAHTSSSRSNGGRSKILDALDTSQPIFLRRVMDDILAMDDLAGLSGIGPS SIRAETWNQDSDHSGLIEMADSDPRSKIDIEEVPSSRMRTPARSKASYIPSSITRRLM DDSRPSFESRLLGSSKNHHRRNSSALMPSMPVGKNSSSDFFDSQCSDFFHEEDKASNA LAIRRQSSFATSEDADFIHPERASSYSPRCYSMSSHEVECEKQSQSSIETSDDPFEDI HNGLPSFIRLGPLRNRRGNTEICVYGSLFEQSDPWSTIGLILGLEGIQEDKLLVDEIL EDDWGCESLFGGACQDDMSDENILDIPWEDLLPNTKPTSPMPALPSRHSPICDKETTV QLECNTPPLGPLCDRMHANENAAIEELVENIKNGDKIVLPELREVDGRFLGPSLFDDF DESE JR316_0006287 MSVSAPPAGSKSIASSALRSAGLIDRDATMRDADNPGGRKGKLR SGRTMRMDITKDRPSSTRMHPVRLSASAGPSDPLTIRGASRPSVSSRMRRNAISGASS SSISQIPLRMKTKVVDAWREFVQKRWNPEMKYLNLDSMNEDEVVKKYNLTPPGSGGSS RDAAVIFKLASQLKPEVETLSLANNNLTGTLLMQLSRYLPKLVNLSLQNNKIRDKKEL TMIVSRKEKMLQMRELVLTGNPLRELAYKAGQGAAYRSDIARRFTALAVLDQEPIAQI SFDGPQPSTSAMPVEKPNATTFPFDMSPSFVTGVDGALVSKFLVNFFNAFDSQRESLI NAYDPAATFSFSANTSIPARARLVGFHSSKDMPNQRKLEWKTWLDSGSRNLNRIGSDP QKTLQNLHVGADQIVQAMRNMPPTAHDILGPSEKFCIDAFPVMHGQSMGLLLTVHGQF TEPGPGGVRSFDRTFMLVPAADGSRAKLNGWDIVILSDQWIIRSYSSHEAWKAGPLLV QAVVTKSQTGASASGFSLMSFPPDQQAVLAQLPEAQRNLVVEISSQTRLNAKFAIDCL QLNGWDLAKAVANFNQVKASLAADAFL JR316_0006288 MDSTYGPLDNYGLHKYALIGDDEGVRRALSKGADINALDTAGRT ALMCVVAGEHWQNIDAYDASFMSPKRLNALKIILSQPDISLFTLNAPHSSMNGVIPLC MAAWLNQPDAVQMLLEESVDSVSVDGMDAHGATALMYAARDGSLEVVRVLLSHGARPD FRDRNHRTSVQFALPYPQILWLCETVLRRHRWRESKSADRTRLCLGTERIIELACASM PSTEDLEPPPLSIFTQEAIARLTNTLVSCIRSCDVAFMHSLLFSPAVHASSPPALYPM SVPPLVNVQDANGWSLIHHCCAAEYPSIEILDTLYCAGADVSAFTAQEQQTPLHVLAR FAHSTSAHDYSDSIHSLQQFTLHLVQDLRAPLLARDKDDETCIHLAAEHGHSIELLML LIECDSTGAVRKMRNSRGLTPLEVAKKEFAHAFVSEKDNIPASALSNCNMRPSDSFAS LSSLSDLKSPYHGIISDGSSIFSSSDTFDVGETTHQLLLNLRATSTTLAHPRDPAHIQ SLEDRLDETSAQCNSIVIHFRSRIEEAARVVDDLKKSAARIGAVRDGVVLATRSKSIM RQIKPMTVTSRRRQRDSEDSQTTAVHGTGSSVVDDDAGSAPSSPSSLNRQLTDRVSTG VQTTLLDLFVVTNSPSPSDAAWTERFVRSPESGACRAHFEGIWDAECQLAQIRQQQIE RASSVTPTPTSPGSVEASKSTLRLKHIIKKKKKLEDKIMEIEADSDHLRLLKKDTMTG PSRVKAWLKRMMVTTAAAQAVEPSQQALPKLQIVLDLEDQGSCFVGHEIKRPHSHAAP KSTTKSGDALDASIDNALRTSPIVLENAHRDLISIGECLAAAEQFIDSANHSISRTQR IVKRALKKREALIADLHAAATAEALHPQTSDLLSAAADTSADTLSPGLLGYTTALSLS ARPSLASISTIYSTASSVASVAATLTENDDEDTRIIRRLLLRKIEAQTSGAWDEVDKV TGWLSIVKESVRSVKRRAYL JR316_0006289 MPPFTVPEVVLSGLKEKFDSIAVQADRIYLGSSTGNLHVFGLNE ATNAIEPVEVKKGLVRRSIEQLGFLKDVNSLIVLSEMTVTLFPLPTFSPPTPLAKAKA AFSFAVFSTVETVHIPMSPKISDDPDFKRTQPIPTIITQLLVGCRRKAVLYTWKDGEA QDVKEAPLPHSARTIIFLDKDTACFAYSPTEFAMFSIPNMTAVDITTPLPIASSGTAM NALTGLTGYMTLGLGAKAKPALASTRPSEVVIAKDGQGIFIGTDAKSCRNTTIEWPVP PEEISFVKPYLFSVFPAGTLPTQSPKSEVPSTATLPPAAFNTTSVVQIRSSLSLQVSQ TLPFPFNVSDATAAQNATIRMLTPSASGFLYAMTTPTDKTAAANEGSSLWRFNMRPWT EQIDELVLDGKYSDALALLDTLDESSISDKEQRRIQIRALDAVSQFRAAKYDAAIDAF IELDFNPAKVVALYPESVAGRLSVPQEGWIPLYGGPSASTRTDTTADAERAPSEGSHD DNASVNIGHEKTATEIFDSIVPAGGSVGGRLRRTGLGMFLPGAHKDDDTASISSKKKS TADDLPRAIETLMRYLSDRRPKLRAALEAVGITPESQSHIASPLSEASVADLFALPNA PLSALTPDQLLRFAQIVDTAMYKSFLINRPILLGSLCRIANWCEVSEVEEDLRARKKY AELRDLYNGKRMHSKALDLLKELSVNVDDMEDKLGPSIQYLQKLGPEYIDQVFTYARW IFDLDSEMAFQIFTSEDVDLPRKDVANYLEKIDPKLCLKYLEYIIEERQEESPVFHDR LAELYLSTTIAAKKRGDEKTRKEVYDKLLQFINSNDTFSIDRLYGYVSSTDLHEARAI LLGRLGRHDQALETYVYRLQDYLKAEEYCKRVYQPGSSTAGVFLTLLRIYLRPTVQTT SDLLQPALELISRHSPRLDAVETLQLLPPLVTMDEIREFLIESLRAPVFDTQVIRQIS KARDDQLARKLIGLKARRVKVTDSRICPQCHKRLGNSVIAVHAPRGEVTHYQCREQFS RRLQESRV JR316_0006290 MAIPLLLTKIFLALSCFRCALAAPAGRVDVKLKTGIIRGVTQPN GPDKFLGIPFAQPPVGNLRFKAPVAITAPSNAIHDASVFGNVCPQPPADNLGAPIAED CLHLNIFRPPNLKSNAKLPVLFWIHVGTISASSDPATDPTELIQRSMEIGKPIIFVST NYRLNTFGFLASSSVAPEDLNAGLLDQRMALEFIQDNIAAFGGDPTKVTIWGQSAGAG SVEAHFVFPAERSLFRAGIADSSTGPFKNSPDASTFDKPGKPFARLLAATGCAPGPNA VSCLQKVPFDTLTAINNPMITGTLNHQLWQPAVGPKGSFVPERASARIRSGNFLHLPF IAGTNVNEGTTFSTTLLGEGLSGAAEDKAFREFIGDLLIDNSTLTNDVLSEFLSLFPA NDPANGAPFNIGDSLFDRAEAWYTDQMFLSPRRFFFQHASALQPMFAYYFGEFIPGND VTLGVAHASELLLLFGPIPEVAKVETAFSTTYRDFYINFVNDLNPGAEWPRYTPSSPK VLQLIRNNITLIPDTWDAQKVDFSNTPKVLDEFEK JR316_0006291 MPKGAHFLTPEHKSFCKAWKSIAGIIREDIISLYEPVNDGFVSA KDAEERVNQVIQKVTDIQISALTRELGRVPTQSWSVMRWNINLAVWPVEGVPKRSFPS TRRCPDCSLTFSCSEQHWDLVKTAHRQKPCRDSWSKQSQCAMDVICAADYNMGHSMTT VAESVAPLDWSPEHIQPSWVSLRNTNWHKLLYSDLKSDMATNYGLRMSDAQLMPILRG ATENLSMSMTILWALELLNTDTSWTKKSALNIHILGVTIAELVAIDMEELLHRLPDVK LVNAIHCAPSGQADDLLKGQHFEPCDECQKRRRALHLEFQAKSYKTYFADSGSSFVKA DLVVAFDPKCYKDNIDTWQETLGVLIEQKIPTVFTSYNYDDAVANAKFLEDVGAKLHP EFRLKKNPWASQVPKIEPSKVTGFFAVNEWLAGGFK JR316_0006292 MAPREPTRAQLSSKLSYQQTTPSFLLKLQNRMNGIPDEDDAETY NQGDDDEFEYTGEGRAPIPRRPRPAIPERPADDPGSADEDEEFADEKPQVVVLKAGKH LTEFEAENIRRAEKGLPPLLSPEEKAAAEKTASEEKSGREVSSSSSKSASQGLSFSSS SKPGTAGAKPNKRKAVGQLDELKAELKSKEKAPKTSSSKKAKKQSKTLLSFGDDT JR316_0006293 MPLASTLRKIRPTEHRNGKVLRLQKALQHTNSTQGPASQLRELL KLDNNDPKHIRDIHNDIKRIGEKYLKIELCPAVQAEKLIFVRKEVYSPSIARFQQLVE KYPNTFNTQDSEIRLCLAEPANETLQKLSGLRRGKTVDRKPILQETVSFASSSKNPTN SNGYRDYIVPTRFSSEPGPSTKTDTAGGIPSSPQTLWTTPSRRSNNASRSSIGSMPCT PSSSHGGDAIYHFLDSCLPSMAHLWEDFDAYGLNDEQMLLGVSSWTPEYINSFLHRFA NKCRKPVSEMDIEMLQAHFLSYFKGD JR316_0006294 MTTDVSSTEMKMGNLPAQLRIFQQEMTAQVDEETPLLEGNGKQR PTPLPAFQFSIVLFLQLAEPLTSHVIYPFAPQFPNIYVLILCESNPKLIRDLGITNGR ESQVGYYVGLMAYAYMPIAWSTGGTLGPIIGGSLARPADRFPTLFGNNEFLKKYPYFL PCAVPATFSALAWVVTYLYLKETVSAPTPISEFLGIKKGKALDMEEADSAPSGSKNSS SVNDAEKPLPLRKLLTGRVIVAAGNYAALSLVDISFRAIQPLFLSTPIELGGLGLPPS TIGNLLSIFGILNGVFQVFFFAKINDTWGSKNVFICGIASAVPVFASFPVINYLARAQ GYSTLVWAVVGFQIVISIALSLSYGAIFIFISTASPNRASLGATNGLSQMTVSIMRAI GPAAANSLFSLSIDKNYLGGNMVYYILLSIVAISLYIASLLPQKVWTN JR316_0006295 MQLDANDERSLANDEASTADDDQYNEKPTPLPVLPLISLFLIQM AEPITAAVIYPFINQFVRETGITGGDEKKTGYYAGMIESAFFLAECLTVVQWGYLSDR YGRRPILLCAPVGLAFAMLVFGSSTTFWPLVLSRCMQGVFNGNIGVTKTSIAELTDAS NRGDAYAYQPIVWSLGVTTAPIIGGMLSNPATRWPDSLGRIRYLRTHPYFLPCFVAAL FAMGTFVFVYFGMIETLPSLVAKEKALKRLHDIDNTIECADATEESRLLGDRQSYGTE SEASTSRLGDTTSPPTGVLEVIFTRPILLTLLNHAFLTLLEMSYSALLPLVYSTPIEF NGLGLDPFRIGSILATFGFCNSILQANMLGKLIRKYGARRLYRVSFSCILGCFTMYPV MHYFAQRAGRVNGFVAVCIALQLSFQTMIYMAYGSLQVLIVEAVPEGGPMGTVNGVAQ MLGCAMRTIAPTFASSLFSISLQKKLAGGDMVYYVLIAITVVGIRCSALLPNKRARGR HRARP JR316_0006296 MVNSGSRGGGTGGGYRGGGHQSQVNRGGGVVGTGMMPMGAMPAM MGMNPMAMGGMGMGMANMANMAMGMGMGAGGYMGGRGNYQGGRGAMMGRGGMMGGRGG MMGGGGMGRGGGHFNPSFMGGGGGDANAQKRRRTDEQ JR316_0006297 MPADSYDIKHEDDDGNMATEEQVQTYVSNSEPMTGEKRQRDRDD EGNSYPNSRSNSGAGGSGHGQQGTNGTNYVQQVPAQTQAAQSVAQPMPGYDALYIGDL QWWTTDEDLRQVAHNCGVNIDHNDITFSEHKVNGKSKGIAFIECHDPQAAADLKTWFD NNDFQNRRATATLASSSQGNPFRTLPKGMNPNFIHYP JR316_0006298 MASNSDAQTYIVVLRDGVSTQTFLSALQTDIKAFSADTIVEYEH ALNGFAGKFTESHVETLKANPDVKYIEKDSVGEAFAYQNDATWGLGRLSRIAPLPIGD KPTPNKFFYHWDPAAGSGVDIYVVGNYCLGVDTTHPAFEGRASWGKTQTGTVCSHHGT HVAGTAISKQLGVAKAAKVIAVKVMEQEVKALDWVIENVKKTKRPSVVNMSLGGPTSQ AVDDAVKKLFDAKITTVAAAGNDNKDAKTVSPARSPYAITVGACNIRDKKWSGSNYGE VVNIFAPGEDVDSTWLDKKTKVMSGTSMAAPHVSGLMAYLISLQSKVPQNFWAYAHVG VLKDIRTPFHPTLLLCKSMTELNDVAAKTANLLAYNNHYDLNGQAAFEEEINEGAALV GEVAVGA JR316_0006299 MEEFFVDAELKTIHIVMPMSPRGDLLTYLIERKRVADLGIETGI TENEVRTTAHQICDAMRHVHALGLIHYDLKPEEQNILVFSAEPLWVKVADFGLADRVA PGMLTQKNYTIGSASYMAPEIVRCRPHNNKADSWGVGVIVHAM JR316_0006300 MSVSRQDDESLTILHDGNDQNDYDHDDHEKPTPLPVYPLLSLYL IQMAEPITATVIYPFINQFVRETGITGGDEKKTGYYAGIIESAFFFAESFTVVQWGYI SDKYGRRPILLCGPIGLAVAMLIFGTSTTFWPLVVSRCLQGIFNGNIGVTKSSIAELT DSTNRADAYAFIPMVWSVGYTTGPIIGGILSNPATRWPDTLGRIAYLRTHPYFLPCLV AASFAFATFIFVCFALKETLPSLVGKEKVLKHHKRTADDAISANVTTESSLLEHGDHV NYGTDASVDQSQQVSIRAAITRPILMVLVNHIFLTFLDMANFTLVPLVYSTPISYGGL GLDPFRIGVILGTFGLVNSFVQANLLGRSIKKCGARRLYKATFSCLLGCFTMYPILHF FAQRSGRVDGFVIASIVIQLGFQSMIYMAYGSLQVILVECVPEGGPMGTVNGVAQMLG SGMRSLAPTFASSLFSISLQRKLAGENMVFYILMALTLTAMRASKLLPDTSKPRRTRS PRNSRAPSTTPAQQKNMTHTGEVL JR316_0006301 MRVYNEDSEREVQSTQVNEGEADKKQTPLPILPLLSVFLIQLGE PIAATVIYPFIAQFVREMDITGGDEKKIGYYAGIIESAFFFSQGLSVVQWGYLSDRYG RRPILLCGPLGVAFSMLMFGSSTTFAGMVAARCLQGIFNGNIGKKSVIFTYIRQEFIL CLIGISKSVIAELTDSTNRGDAFAFIPLMWSIGSTTGPILGGSLSNPATRWPETLGHI SYLKTHPYFLPCFVAAFFAFISFLIVCFVLEETLPSKATRIPPKQNSTDVCSLKTTSP LLEHGEGTGYGSSTDAPGRSNSNQHQHQGVRTDNFRDAFTRPVMSILLNYAFLAFLDM CYGVLLPLMYSTSIRNGGLGLDPSRIGATLGAFGLVNSIVQLNFLGRFIRKYGPRKRA GEVDHIVIACMTFQLACQMFIFAAYGSIQVLLVESIPEGGPLGTVNGVSQMIGAAMRC IAPTFASSLFSVSLQRNLAGGNMVYYILITIALLGICCTRLLPSGSKKRMIPQSQPTA JR316_0006302 MYLPPILRLHRRAYMLKSENKCSYYTHRTGETYAGTLDEDLYHL ELWEEIPTLFNNRMTDEEFEIFVRLDGGVKFEEVERAPSDHLHPTASELDNAACYFND EDLYQHLDDYDYPHGVDDECDGYMRDVVYNSNSWTAGNELVEESDGEEVQMFREDDAQ LDVARYFYASDLCDRGYIHEPMHPMHEDLPQTVVMELCPERQDRTNSSSVPDKSDAIE ETRGTKVILRSSL JR316_0006303 MTNSGLAYSVSLVEYVSSQRIQAYLEIPAMTVLIYDFFLTLDDE VEYIWKRTKTISSYLFFVQRVLAVLSLMPSASSVISMGSYFDTGLVMCGRGGPSFNLL GNVLLFLSEFLVGVYLLLRIWALYEKSLRVMMSGVVWCICYISTLVVVIIGKSAKGGL TGGGCDTGSAPSTSHKTAVTWGLLILNETIIFVLIMYKMTEARRQSSLPNFRPLGNRR ISLEELIVRDGAMYYMVVILVSVANMSSYFVRILLL JR316_0006304 MMGNVTLVISEFLVGIYLFLRIYALYEKSRRILIMGVIASIISI TILAVILAGKHQKGGLTGGGCDTGSAPSTFFLTYFTVIETAIAWGFVILNESVIFGLI LYKIAENRRPSSLPTIRSFGSQLSLQELIDFMVVRSFISLILMFRVVIFVNVANMASY FYRYSNDNSSDAQPTPTGGIWNHVKSLPNFNSTL JR316_0006305 MMGNVTVALSEFLVSTYLFLRIYALYDKSRRLLMMGAIFMAITI AILAVILAGKDQKGAVTAGGCNIGSSPSTAVVLVNVANMASYFPLLRGSLARLSCNIS TVMITRLMLNLNKMTDFGIMSSPSQISTVHFNPTSS JR316_0006306 MTSFASLMAISASHTRESQQAAEHALQERRRKEALQRKQQEERE AKEREMERQRRLKIFEDEKRKEQLARKQEEERKARELARQRKEEEQRNALLYGPKKAA KLAGASGSSSGAGSSSGSKDARRRKTLDDDDDEPQGVVLTREELRERKQQAEMRRLFS TSKRTSTSTSSYRKAGMRLPGGAVNIVKDGSQPELPITGGSVKDRLAAQPNTLLMLNQ QKKDKRTLDEIQTEIRARRSGKTIEGVEALSFDDWFPSNNKKKTATTNSAKASPAPPA SSSTPPVAAADPPRPSSTKPLPSVASSSTQRNSSNVSRPSSTKPITASTAQKLRAGSN SRASSADMPSSSHNRIPKINKTSSSSATVGSSHRPTSSYSSQPSSRGTKRPRSPSYSP SPPPPSKKRAIDEDLSSTIWSLFGKDRSKYTSRDVFSDDEDMEADATILEREEKASAR IARREEQAALEEERRHEEEKRRRRKERERMLAASKA JR316_0006307 MISSTSTLLLAALVFNAVSVFGAPIRVMDTRSVVARAEVLSPAQ PIARAVVVEVEDHTARAEPMQRRYPRRALYNRHEKREPATSIKETTIVLTKETVHDTP ADAAAFAASSASSASSSTASPTVIAVTATIPSIPTADVSGASTVLSISQTTTIASPDA SSTADASASATTTSAPASESTSAAASDALSSLASSDAASSTVSSPASEPTATTTGDAS AASSDAATATAEPTATSTGASHEPTATSTDAPAATTTAPADGASADSSTSGAGPETTS TTGDDSSATSDDASSTDKSTTAPAACVPPVAADASACPASSASTSNADSTGASANADA SVNDKKASTESRRWVKASTSPILRRSIAMSGASWASAVRRESI JR316_0006308 MSSGNLHISTQFTEDVIAKTPSKCERPSCQAIIQVGDQRIYLAS QNPNVSGKYVCKACFDHYARKSVTLARVVHEDSASSTSIQTKQREESNAVASAKLTPV SARLINISDVQQMINEGQRKGANTPQGRVTSMPPSSSSVVGGPNIHNPSYFQNFFSHH STPSISASGYSASHALYAQEHKRWSTAAYKGSLAPGQIPVNAQTKAMVPIVAGVGYEL LLGKTEQTGIKEGISVDYGLTPQELAQAIRSCVIKPLTKLFPGFPWDFSKSRVRETAI WQDILANRDLTEPYFQGRFLKAKSGKSAAGTYTFSPPNKPVSFIVIIDRNQWIEAERM TQDSDAAYVPEPKRLIESVASDSSEKHTHQHDHAFPLTRKRKELASPHSTTDNSDIRK RREPANSHQTIDTSDAFSTLSYVEDEYSFDKKNQNNTNNTGESVQFKSLDANEVTRGL LLGGQQSVLELKQRFDAIRIESILCYPIVFTPFHDLGSNNQSSIISSKGYFAQLSFND HTDFISKGGFKTAHSALLEWTSASPSGLLGSWLTSPISIAMKRLYTQRKDPKTGAMVL KRFAYADESIKTLMEATSLAYADSILQFAYGFINNFLNKQGSNLDSDDLPFSIPKLRF VKACIAYSFGKGKQQAASTSYSAAYLLEELIPTELPFIKYIHNADAVPLLEESEPGYD TSKFLCFVQHVQFVESHGQVFLSDFQGAGDLLTDPQVMTHPKLKDGNGNPLQNLFGEG NVEEAFMKFPSQHKCNEYCTWFDLEPINTE JR316_0006309 MRKPDTEVVKLLQEEHIDRAIYGIGLTSYKAIRRRLGLLSARQQ GHTVESIKESMVALRKRFPKAGARDMGSLLFHHYGMLVPRDLIVVYFRVYEPELIRER KARRLRRRRFWAAGVNDIIAVDQHDKWKRFGLALHNGGDPFDGYIHWYKIWWTNSNPK LIASYYLNVVEKNKCIPLITQSDPGSENYGIANAQTTLRHMHDPRLIGTIQHRWMRKK KNIKPEISWSQLRSRFTPGFEDLLDYGVNNGLYDIGRPLDLLVFRYLFIPFLQVELDA WTDMVNNTQKRSDRNKILPHGIPQHIHLFPERYGCLDFKIQVSQEAIDEVRQIFAPPE DPVFQLVPPEFKHYADILYQSMESPVLTSENIWEVYSELLYRFENLDNAVDFIEECQV YIEAMELQDNEDDENSFKPQGRDLFGGIDNSKSDGTYYMGGVNNGHGLDNEHYRILDA MDENDGELEIDEVQFTDDENEEDEGEDVLI JR316_0006310 MSEIANNEVEVIDLTGLSDSSESDRGDDIEGGVTEKEESDTESS SDASEIEITLNEETRAQLQTAIATVSERRLRQLLKLLVESDVMIEATLTRELVTLRRG TQDVVPRWETCAHCDAEFDINTLREDGECVFHPGELVVSEVGSADWDTDCHGPIDTLE NRRKFPENFTWTCCQANGSEYGCLHDRHKPLVTRKRKRIED JR316_0006311 MASTATLKASCYLIPDVLREWPYKRMINPYYRAAQEQSVKWLEG FRPFSPEAQIAFDKCDFSMLSKTSFCNNPDLLRSYCDLMNTFFVLDEYTDVTSVEDTQ LLCEVSIDAIFNPDRPRADSDPLIGKIARQFWQGAMVHASHTTRERFMLSWKSYVDSI IIQASRRNNSRYICTFDEYMAARRDNIGSYPCFAFLEMSLNLDIPHEIMEHPTIVQLQ KDTTDMILLFNDIASYKKEFLADDADYNAVTVVMHHHNVNVQDAVNWICDIHQEIANN FLKLRDEVKMKVNFPDFGEEINHQIEAYVDGLGNWVRGNEEWVFDSERYFGNRGWKIK ETRTVSLTE JR316_0006312 MPPNRSPPTAAPTPSASASGSSTQPPAPPPLPLHLDPPEQEEDI GDLSPYIGFPSSSTTASTASLSSAGSVSTAGSARWKGGLGGSRETETHGRGFGYGYGY SVFGDEDGDGEEAEGYVPGDGETQTPRRATFVPPKTTTTVMKEQHSQHSQKPVQHNPS TSTPPPPPRHDEQRHPRKMHTELQALSLDVDSAAVVKMRRWILGIAIVEFDLDTGPVV DGVYPPLALLPAEAENIAFCALPDSLQFDQGSQTHSFRVRAQARNKPEDTTNPDSYPP TTLDGFIYGFSHFVQRRDASAKRGYHQRAVVILTQHAYPALFTVLVALFGPLFEKHGT PMLEAACHNIATWPPPLPGTTPELGFLGTVLPVEIPHSQDAQQVAETSSFGESPAETS QAVWWLRDLVRPIPLAGDIRPYFTMQDADHSQLVNRLPPKPGLLLGVTNPFFERSCAH WPHVLSLGRERSTSSTSPKQKSPTLGAPAGPPPGWTTKTHKRYISKDRALLKKLEGVF KRGGGGNGGGMVVGERERIEASLMLRKHFCTRSMQLITPLARYLNTLIPSPDEVHGHR AAVAAGHAHTRTQQNPASKQPQTWSAGSGLRYLASTASLPAPARGGTSSQSQSPSPSL SSTPSPANSTGSSPVPSFRSVNLTSMSSSSTSTTLNAATANARAGISASTSSASTSAS GSGSGANANGNALKAAPTPLLRLKPFNSAAFLASLKTYGGGVGGLGSGMVGKSGGAGG ALGGVGSLLPFKSASKRIEFYERWLKSPAFATWLAQQEQIVLNVLNEPAPSGTTSIPG SSRAPKANSSQP JR316_0006313 MFRYPLHNIEATQAWSSDEFHWMDLDMEDSAQSLCLSMSVVNNW EDLSPNYAENNLATQAWSSDEFHGMRVDVEDSAQSLCLPMSVVNNWEDLSPICGENDS DESTESSEEQDSDSQADLDDDEDAQWADKVTYDPGSGPIASDEVCTKGRTLLEPEPGT IWVATKSPFIKQIMSVKKKKGVEVLTSTGYYVSIIKNCGSVGKHFATLNRHVEETSWH STAIYTCSLEHTKPSGPRQFRRKDKLRDHLKKEHKKKIEDCNFGTKRQV JR316_0006314 MIDADMSAKMLAFTTEVDADYLNSPAFGRFVERSLRAEGQNVNA TECNTEDDEDTSSIASDISGEQTKGSTRNKKTEISMWHTVPSCPNPEESFCFDSQYNP LFQDEKPGTHGNHCIVFSVDIQSAKSATGMGNANVIFSDIDKPETEFRAKLYHLNNEG SNDVDHRQPTDDDITRYAFESIVVDAHTALEGKCNESGHQNSVLRKKIPEDFGGENVP RLQDSSTRCYDCVDSRSEAVSSLHKKDIRKRRATGGFFLKSPETGSFIAIG JR316_0006315 MSVATLTATSSNTYNETRALEVQLRKRTSSFSTPSTAAPPTKLQ RTNMSSTLRRSDSMSILPSLASTSSGEMPSHQYRVIHHYKDQRLKRKAQLAAAGTTTP SPIVTTPPPPPQQSSTLRRSSSSACLLTIPSAPKRRVAPPLPSSSNSKPPAKVILPSK ECPITQHLSLRLTSPLSPMPRRNVPIQLPSILARRRDKAKTNSSANSLYRTAIITHMS SSPEGQKILHMGPRLAMSIINATKELERIVALQQEREAELEKFEMSRQARMQEREKES EVVVDGFDYVMAEAPPAAAPISLSPPGNSLATPVLTTRPWVQDDWEMIDCSA JR316_0006316 MSEQVIQDFDKYFEGLLEMFPPDEDEKKPLQRAATEIPLTLADN EGFLPRKVCSVNVPSVEEARTEHSVTLKTTEASNDLTLQADPSRLPSPAKVLLTIPAK RKCEDMVEVSSTVSKKLRGTYLNSPEPGTTWGDFKIDGIDSGKMIKKINYSTGNTQNS KHFPGPQFVCTSPGCNMTTKTSGDMRKHWQTSRHSQGAKYQCHPLKGDAAKCTCSKPS RFMQVYALKRHLATTKVIASRSPAFDATPYMI JR316_0006317 MVKLPSLAVSLLCICKMAIATGRTSYAVWAADSAIARRQGNGLD ANENPIVSYEHGEFQWGLRQLYERTGNTTYFDYIKQGIDNIVFSNGTVHGSYVLTDYV LDPLRTGPTMIYLHGLTQNKTSKYKIAADTFRSQLDSHPRTTQGQFWHKLKYPNQGWL DGIYMGEIFYASYTSTFQLSNDTAWDDITNQFVLMFQNTIQNATAPNNTGLMYHGYDH SGVAPWASADRGHSPEVWDRALGWYSMALVDILDIMPQSHPGYSRILSILQLLVPRIQ KAADPTSGAWWLVMTQPGRTKNYFESSGTAMFVYALLKAVRLGHVYDEDGSILLSARK AYEYMVNNWVVVNPDGTMNWLNTVIVGSLDTTGDFDYYVSQVTDVNDLKGVAAFLLAS LEFERLPISL JR316_0006318 MHLLPREEAKLLLHQAGTLAQKRLARGLRLNQTEAVALIASQLQ ERIRDGLNSVAELMHHGKTLLGRRHVLPSVPGLLKEIQVEGTFVDGVFLVTVHDPICT ESGNLEAALYGSFFPVPSDDVFPIIDEAEYAPEKTPGAVIAKKESIIINKGRERIKLR VTNNGDRPIQIGSHYHFIETNPSLSFDRIKSYGKRLDIPAGTAVRFEPGDTKTVSLVS ISGSKIISGGNALASGVYDPSPERTSAILDEILRKGFAHVPEPGALEVNMDENEIGRE VYISMFGPTVGDRVRLGDTTLWVEVEHDETVYGDEAKFGGGKSIREGMGQATNRSSEE TLDLVVTNALIIDWSGIYKADIGVKNGLIVGIGKAGNPDVMANVHPSLIIGSSTEVIA GEKLIVTAGAIDAHVHYICPQQIEEALAAGTTTFIGGGTGPSAGTNATTCTSSPFYMR HMLAATDGLAMNVAFTGKGNDAGPTALREIIKAGACGLKLHEDWGSTPASIINCLDVA DEFDVQVNIHTDTLNESGFVESTIAAFGNRTIHTYHSEGAGGGHAPDIIVVCGLPNVL PSSTNPTRPYTNNTLDEHLDMLMVCHHLDRSIPEDLAFAESRIRAETVAAEDVLHDSG AIAMISSDSQAMGRVGEVVSRTWRTASKLREFRGPLNDLGDEEGRDNARVKRYISKYT INPAITHGISHMVGQVAVGTLADLVLWKPENFGSKPQMVLKSGVIVWAQMGDANASIP SVQPFYSKPMWGAKAGSAALNSVAFVSEVSITSGTIASYGLSKRVEAVRGCRQVTKKD MKWNDATPKMSVDPENYEVRADGELADIAPAQTLPLSRGYNLF JR316_0006319 MSNQEIATTASNEETPLLRTGSASASSNNGRTPLPKLQIAIVLL LQICEPISSQSIYPYINALISELDITGGDERKVGYYAGLIVEWESLFFATEALTVLQW SRASDHIGRKPVLLIGMLGLTISMLSFGLSRTFWALVISRCLTGLLNGNIGVMKSVMG ELTDSTNRAEGFALMPVVWGFGATMGPLLGGSLSHPHERFPNYFGASFWKEYPYFLPC LVASAYVLFASLIALIWFKETIPKRKNPQQALESCDGTKSASREDPVPLRELLVYPII LSVSNYVVLAFLNIAVCALLPLFLAMPLEIGGLNYDPPAIGYIIGSYGAGSAIFQAFF FARIVRYFGEKRIFVSAMSTFIPVFLLFPMINICALHFGQQSTAVWCLIAILLAMLAF MDMAYGTIFMYITTSSPNKRSLGATNGLSQTTVSIARAIGPALSTSLFSYSVEKRLLG GFGVYAIFSILSVFALYLATRLPNKTWDAIQSEPIDD JR316_0006320 MDGINDIHDDERTPLLNEQRSAKQKRTPLPKLQIGIVLLLQICE PICSQSIYPYINELVSKLDITGGDERRIGYYAGLIESLFFLTEAMTVFQWSRVSDRIG RKPVLIIGMMGTILSMLFFGLSRTFATLVISRCLCGLLNGNIGVMKSALGELTDTTNR ADAFALMPAVWALGATMGPLLGGTLTRPADHFPSVFTGQFWKEYPYFLPCVATSSFVL VTLFITIFFFKETAPRWRQIDDKSRASSVDSSLSNYKYHPHAEIAFRDLLTFPVVISI ANYVTLAFLNISVNALLPLFFHMPIELGGLDLDPVTIGYVMGLYGAGTGLFQILFFAK LVRRFGTRRVFIMSMLSFIPVFMTFPVVSLVAKKWSVSWGVWVLVTLILLLLFFMDTA YGCIFMYVTESAPNRRSLGATNGLAQTTVSTARAIGPALSTSLFSFSVQRNILGGYGV YAAFTLFASLAIVLAVQLPQQLWNRDEDTGNSDQ JR316_0006321 MQRTVDEETPLLAESTTKQSTPLPWPQFSILLVLQLAEPLTSNV ISPFTPQLVRDLGVTQGVESRVGYYVGLLQSLFFVAEACTVLYWSRTSDQIGRKPVIM SGLLGLSLSMYCFGLSKTFWGLVLSRSMSGALNGNIGVMKSMVVEITDSTNISKAYAF MPLAWNTGGTLGPMIGGWLVQPVKRFPKVFGGNLFLQEYPYFLPCAVSATFSLIAFVV TFFFLNETLPCPIPMRRYFGISTLQPTVDKWSTSASNNKIAHSGNKQSKFESRLPIRS LFTPRVIIAAGNYASVSLVDISFRTIQPIFFSTPRHLGGLGLPPSTIGIILACFGLLN GSAQVLFFARVSNRWGPRNVFLWGLVLAIPALATFPCISYLSRTQGYTTTLWVIVGLQ IVLSIGLCFCFGAVFIFIASASPNRASIGATNGISQMMVSVVRAIGPAAASSLFSLSL DKHYLGGFLVYYVLIALVGIALYIGSMLPRQVWTD JR316_0006322 MPASNDRDRIFQSIRDEIRAMSPSARRSLIESCISSKTIFTPLL LDDYTTEAPSVPSSQPNETPPNVNPQQQEKENGYTVRETDRRAQFATTSVLGTITNME DSERLALLDDVLLNQIDERNPLKRKLEDEQDTAVSLYLERLSKTTRSKGRIVDCVAEP DTQWKEVRCDPSDRNLLAKQKIRRIVHTKYPTLKEYVCIRCGKVAAERIHDMIKHVAV HSPGKFHCVNHGCSCGRTGAFNRESALRRHLATIAAKSS JR316_0006323 MKYATTLAGILALALQSYSLAVGAPPIIDLGYAQYQGTVVQDKV TNATHTQFLGIRYAAPPTGAARFQAPALPATTPGVQQANAQPPECFQANSGVASTTPF RIGKNAARAADAGPSEDCLFLNVFLPGNLGEKKKLPVVFWIHGGGYIEGSASGFDGND LIRASGENVIAVVIQYRLGVFGFLPGQKVKDGGALNAGLLDQQFALQWVQKHIRKFGG DPKKVTIWGESAGAGSVLQHVVANGGKTRPALFRAAMTSSTFLPSQYKFNDRIPEASI RFKKCSDCASAADALECLRQVDVNTLQNANAAINLSGFFGTFVFVPVIDGTFSTDRPT VLLKEGKVNGQIILSVTNTFEGVAFVNQATANTVQVADYASQLFPNFTPQQAQAVAAQ YAGLGTNIFQVNAIMGESIFICPTYFLLRAFGGAGFKASIQTPSLKAMGEFAIPPGSH GEDVGFYFNNGGLPSAFRNQQFVTAFSESFQNFVISLNTNTKTDSSNITPSWPLWNGS NEMLFNKTAAGAVDIREIQTSTALLSRCEYVIILFNLSCVQLINHRFLVSGRLHSEDI AMFANSSNLVITGGTYTQVANLPGQEFFTLHSHIASGALHDSGERFNPPRCHPETRRA LLSEIVDWVRQTNRETVIMWLYGSIGSGKSALAQSLAEKYQDLGLLAATFFFARLSAE RCHERRLSPTISYQLAISVPETRSHIEHVIHGDPSVFDRAFQTQYQKLVVNPLSLIET AQVRPKLVIIDGLDECLDPKAQRYILDVISQEFHRRPYLPLLYFITSRVEDHIRTGFT SGLLQRISKQVSLQDYLGSHDDIRIFIQKQFEQIKRDHPLRFLIPSTWPAEDTVETLV RKSSGQFAYAATVVQYVSSIHHRPPHRLDAILGLSDHVRDPPFAGLDSLYGHVFSLIP YQDGARRILSVVILVDLKMPPSLVEQFLSLEAGDVQLHMSGLSSIIDFREHHMPMKML NDSFGDFLLDPTRSGDMYIDSGKAHADLATACLLHLEKLEVPILIDYACYNLERHLDL AAGTVQLHEAICRFRLSEFMTRSKALCTQQGVLMTLEDIWYFIPSFLDCVQNSIIFDD AIDIYNYHLKIFDEYLESEFDKYIGDGRLQDMVTMLTICHSPVTVPDLESVPYFKDSI LDLNESLDHLIQIDESALNIRHMVRRHGQSEPYIEILCQYLEDEGRSGRHFIDGDKYA RAALRFSKRISGLLKNPRDDQDARFLIWSLECTPPLLLHANHHEELETCLKGALIDIT APPLHFSFYPTLVRMPHASQPRCGHSAHLSLVPQGLRIQCQSESQDKMVRTDREQDEL DAYLINPITALYNKEICCCSNLLTKYYFRHQLSNRNVHHEAVRSRGKASVIVHVEDPF PEIISSIGQNAGGAEVSGGGVIKIITYRIWRSLVGSQQDFFDLTQPLESR JR316_0006324 MKFQSQFSISIIAGFLFLRTAALSVGKTATLSSVVDLGYAQYQG RLVQDPVSNATHTQFLGVRYAAPPTGTGRFAPPAPPSFTAGVQQASAAAPTCLAGSQG HAPSTPFRLAVGQMQTALLRPVEERQKRAEDISLAAPSEDCLFLNVWVPGNLGEKENL PVVFFIHGGGYVEGSASALDGNDILRESGEAAIAVLIQYRLGLFGFLPGQKVKDGGAL NAGLLDQQFALQWVQQHISKFGGDPQKVTIWGQSAGAGSVLQQVIANGGKTDPPLFRA AMTSSTFLPSQYKYNDRIPETLYREVVTQTNCSSAADTLDCLRNVDVSILETANTVIN NNGFFGTFVFVPVVDGTFITDRPTQLLKQGKVNGKILFSNTNTFEGQTFVNQSTADTV QVVDYVSQLFPELGSKEVHAAAAQYAGLGTNIAQVVSIMGECMFFFSLYPFFIYILCV SEFAIPPGTHASDVVYYFGNGATPPFSNPDFDREFSESFFNFALALDVNVKWDKDNKA PFWPLWKAGNEMLFNETEAGGADIRVIKTSDALLRRCE JR316_0006325 MENFLARATLSSGLRQPGRAAASFSNLIRCPRATTTREMATLES AHVPESDLDAAVDGKELQMAGDGKELPTVEPNVIDTVPSDSVNSEKLKSDIVLDPEPS VASPSPPASARSSPGRLSIMPGLTDLSDLILHSPSQIVGTPYVTNSTRFEYPFPDTSS SPASGSPPMTSVFPSPVPSGSSFPAISASPSSSQISSQTLSYPLSSPDAPTYNSAHPK LKASANPPIPPSLAKKRTRWSLNLMGRRKSSSAGSQSSSTSATSDGSSMPTIDGLLAQ QQQTNALASLESPRKEGDQ JR316_0006326 MAPRRYTWISLWFLITAPIILWDASYVLMRPRSMEGGDLRWFWS GFDMYERIDTVYSVKGYHEKAGFAPAAAISNLIETSLNEYFCGGCSRSELNKPSEILK FWVAPNMYTGEQTTKMLKLYFWNFG JR316_0006327 MTTSAPQLSIKTFLNPFELYSDSRGHGYQGSSRNVRLGRVTPPG GGSIAKSEDGMRCAVTGKESLRILRVSEPSESNNPDHKFSVGRGGHRIDASRNFWDTS GLKIDSASTDVAWGHGQFNNKILTSARNGELILWDLNKSGVTKYERRTKDHIRSIHKM SVSHVVHHYCITGSADGHMRVWDIRDLSKSIMRVHHPTSVRSLVFSPSLWQPLQAIAG LDNGSIYRWDLRMGQRGLLDKLPVAHTASVTALDWCSAAGAGPQGTSAVGITGQGDPG STGLGWLVSGGLDRCVKVWDLTSPGASTHIPHKPTYTLHPSFAVRRLAWRPGYECEIA VVSTTEFATSSVDSSNAGSSGLLTRTGSGHGLDHIMRGALPESIYPSKDKMGVQTDVK ASSSIPSVGDAVEIWDARRGWLAKWSVRGSAVEGGVTDITFGDSHAIWAQHTSGSFSQ IDLRDATKPIDAIPRVATTWEATGSMAFVVDKADRWEVPYDDIHPERKNVVDLPKPST KALGDPTFKQSTQTIGTFSDFTDTDLETFTTLARRYLFEGRTRKDICAYNAGVAFGAG HEAAAQIWLLLGASLAEYIPAHPPTPPPSPPTIPTDPPAPTTQLSPSISTNLTGYSFP TSSTNKSAELSPSIPQRISPARRSTSGSLSRVSSHTPSSSTSRRLTPTSSTSSSPRHI PLSLPPVTPRRASFFGRRESIDSSSVPHPSMLRRPSIPPSLAITASSPGDRSTPSLRH VGEGVLDDSDSSGSEEDPEGEETAAANSSDGEGVNTSRSEQTQTAPRNIPTPSPLSRI AGHQAWTEDEDDGGTDHEDDAYSPSPQSSTDSDSHGSSSPDTRSKSVKATRRGSNHYK SRSRSSTVASLAAPPPRPLSRQNSRSSIRTVTGPDAPARDQEDSNSVHRQGPPVNPAQ DPKTGHHRHKSTTVSEMIANSTKPSTPAVRVVDIPAQTDVDIQMTERRIEIIKLDDNR FKATTLKALERALEQFAEEGDVQMCAMLALVAPEELHIPRSRVRRFLDSYIAKHRTEI LTRLRLHTCAAYLRKYCDHEDIRKPTLMGTTIYTSCGKCRKPLLVPAGSFSGRVINQG AFSFCLGCKSSVVMCSICRLPVRALLFQCSVCHHGGHQACYRQYYLQQPMVDLPSSFV PASDSSRGRASVRIASTSVEDDAGSTADTSGIPSPEQPHHPKSLAGHPCAAGCGHFCW AANKEWEELP JR316_0006328 MAIVNLNLIDSSKFTHRFTSCEIVDLPHNSQLTIVRALGHSPSP RVFLATLTTTCTSPSNTLDLVVKLATNAAGMLDLHMEEWAYGKLKEMQGVSIPLCYGL FEGMIHGEDVKFLLLEYCVPDRFLITELDILEMQYQTMLALIKIHRKDLCYGKLLDSS HVIVQNGSSRILSLSKVRPYGPSCKDISRFYDDDGVKNAERQCWSRELVDTALHYELT ERKRNRGYNFLHI JR316_0006329 MFSFNKILNLAFIALNGALIAAAAPASQTLSCFPPAAGNYSFES VAFPGELVGVGQGFVPVIEKAPPQGNLGVWTLTTADQGGYHIMNVELMETVTTVHLVE SNLFLPTVSSAPATTYAIECAGANGPQYVIKAVDDDLLWETIPGTRDGDAQQGVANVE MHPADGSDEQRFLLHAL JR316_0006330 MLLAEHGIDKSGTYQGKDPLQLQRAGVYFEEIRGGSSTRFVPRS VQVDLEAGVCNRLRGGPMGKLFRPDTFITAEPGAGNNWAKGCAELVDSILVHIFLQFF ETPKTLTLLIKDVVRVQAESCDALQGFQILHSLGGGTGAGLGSLMLSKLREEYPDRMM ATFSIIPSPKVSETVVEVSIFYVRDTSPLRPLPAL JR316_0006331 MLSVHQLVDNSDLTICIDNEALYDICVRTLKVPSPAFPDLNNLI AQVMCGVSTSLRFPGQLNGDLRKLGMNLIPFPRLHFLMPSYAPFYDPKAKHFEKNSVS ELTKARYLTAATIFRGDISSREAEVSVHDLQKKNSQHFVEFADEATRWIPDNVSVSLV RVPPVGQTQSATCLSNSTAIQELFKRTLESFSAMFKRRAFLHWYTGEGMDVMEFSEAE NNTLDLVAEYQQYQEATNDTEELDAGQLKRRSFLYPALPRQLLTERTEQLVFYYQLQT KMSLPARFIDLKVQIASSYPDFEKNAIRTWSEILHEMNNVTKTIIEEGTNYVPQVDFA DLNNLSSEEVEKIKRKGSVVIRNVVPDEQAIKWKEELKEFVTVNSTVEGLPEGDKQFF QLYWTKPQVQARSHPNVLAATVWLNNLYTVSSQERASTLEGVDLSVPLTYADRFRIRK PGVSWDLHPPHVDGGTIERWEDTSFRRCFEPIFKGDWKSHDPFALEGRLDARSSLYGR PNQSSIFRSFQGWLAMSETAPTQGTLKVFPDVLLSNAYTLLRPFFTPLVPVDSTDIYD GKNWKFDLSTPDFPGIIPRDGGYAGPRPTPELYPNMRLDETMTSVPKVYPGDMVFWHC DVVHSVEREHTGTGDSAVMYIPAVPLTPQNRAYVERQKETFLAGQRPPDFPKGPGEAE YIGTGTIADVISQVGQRAMGLVA JR316_0006332 MQQQQPLQAYNDVGMYDSQGRPQSRVQRKPPPVFLASPNGTPLG TATSGNFPVPTHPSSTNGAGGGAYPHMRTGNPSLQKYNPEPFVYTPRTVDQHTENVYR PPGRWAQRDRPLSIPSTAGISSITHLGSGGSDYHRQQLQYQQGQEPSANPSQSPLLPP PQQQHQSQKQPSQHQHQQSTSSSRDVHTHPADLTGESEYTNAYGGIEDDQNVTSNRDQ RLHQPLPPPPPEHTDSSGALSYLTTPALSAHKVPPTQ JR316_0006333 MDNSIHQHVFDPALNHDHNGVPEEQRNQDDPSTSTAVLNPDGTP IKRRPGRPKGSTKKNLLAGGPLPPKPKRPVGRPRKDGFPAGSVGPRVKRERTVGVPQV VQYPGVAYPPMPYGYSISAPIPPAVAAAAAAPMFQIDPSLAGDNEWADLARTNPNAFL STLLAALAAPNPVSSAGPTVEEAFKSHLVSLAPNPSQMQPIPSLYSILKTFWLPSSPA YFSLTASASTARTPSEHRFLYWDPQPLVFNGIACPSCSAPLINKGRISSGPVKIYDIE RPFFIVGCEYVCRSPTCIAATGSPEGRKYASTDSSILRSLPLLLKDEFPAKLLNGDAD AGSGPNVWNWKAMGVSSGLWHLVMGALRSGLKKDVILRLIWSVQTKATDTPAESIAIA PQSKPVVLPPPPPPPPAPIQDGQVQENDQEGDKMEDGEDEDHEMSNAEDDGVNGQDQA DSSQTQTLSTNAFSDAYGDAWKENTATVETQAKNPTVNGASTTPISAQPQPSPASGSA TSSGGPTPGPSVAAAPSTAPAPTQPTPTPSAAAPPTPQGVTVMSPQPPQMLQAIPNYN PYAYPFTPYAYMPHHIVNGTLMQAGPSGMVPSSGMPQQPQQQPQQPQQPPQLQQQQMG AVNGLPGATQMVTVQHNKRSPRHCCKCGSQECKGKGGRSFCTNGCQDCGKMDCKGRNS RRPDKKCTEGWT JR316_0006334 MSSATPTVATNNSPYADLPAISSVLFEAKAKKGLTFDQIAKQIG RDEVWLAAAFYGQAKFTTEELKKVAEVLDISSASALSEIGDHWWPNRGLGPMPPQDPV IYRLYEGVLVYGHAIKAIIHEKVWLFEEFVMTTIHLHKQFGDGIMSMIDCKIDIEKKP DPKGDRVVLSFE JR316_0006335 MRSTLIRLAESVSKAPLNLTDASAMLYPPIPLYRRLLRSHRNLP SDMRSLGDDYVKSEFRRHKEVTNPVHIMGFLSQWKMYLDELPTKPNEDYKGKRLDPTV FEKMSPEQLGQLYELMHAAKDVWKPVTPEDGTEKP JR316_0006336 MDPNYNQYAAQALMDQGYCDARKSIHDAMPVVDFQLEDHRVVYV EQPRSWRITRTNSTEEQNFYVYGAICRNELPPIKLSDATPSMKKKAIYLRQGVRITGL RSNGFNDDAVSIKHVHEMMKTYLKKEDIEVKPWNLSMYEGHWAVDASTRYFTPRKHAP TEAGLAFDMGVDPDGVLAHMRGDDLIHTMDNKVDYLREVKNDNGTR JR316_0006337 MVFALVASGVLLSIFDALPASSSIIYSIPKSLYRFNHIMVSINS AYAPASPKPTYADVVAGDRSPSAEYKHRPAKGIRNVIIHVKPDNKEDSFSVATDSDDG PLDSVASRIAAAKVVKPGNKTVAKRDIEDAALVCSDEELPARISPPKKSRTSVSANVD ATVNHVSYSSDSSVEVIRVVDNSANARYQKGISDAILVDDSSADEMVSVHPTSGAKPN KQKGKKPQKTMPQTVRIKQEPGIVIKQEASARPTSVLPSEVMVKKESVDVHIPLAPDN VASTPTKKDKGKSSVSKKGSVGIRRSARRSEAAIERVPLSVSPLKIGSEISGSAVLSP PITLQGDADSDINPFLPSPEVILGTKIPGKGKSIRIVLPSGPIDLHEDEAMMFAHAVR ESRMHQTTYPSSNALPSGPSSSKLLKALDDAYEKYSEADALKTEHSTLDPPVQFKASV ISAKSIDAHSDVVMASLSEQPKTRQVQFQSSLTAVPINAAVEAPPTPVTPVRVPRKFK DVVPAGPVNKTPSLGLNGPALMEDTMRFSVKHLPKKCEVTKTDLQDSLLESTYVDLPN LQHGILKQWNSPNVMAPVDCVSFSLCGENFPEMNFENAYDAVTFVRNKNFINPSRVSP LDVSILYMGNDRKRATLQCNSVPAICLSAILTRDSYLLEPISKGLCNKFLSGHMMHQE WERFAGFACMAFGHQVMVASIRDKAITFGTMGTLTQIAERESISPSKPPRTPGILSGQ KDAKLQGGSRKALKSSTRFKTMMDSTDTIPIYDSRNHAFNFNTDLDRLDELLPRWRRG EIPPNSFVMVAYTMTQYEKERSFHLCTNIQYAVVFGTEHDTSDIEAEQESFAGFDED JR316_0006338 MAPNPFLDLEANVDHGDSEDGSAESDEFECFIDDGTQSHEDCDG SSSVAMDKPIPVTKRDRLALVIQQIEERTRGRSHSLPASDMYRGVEPLYSNADNPTVL SPHGNTDSGFENLPTDYPTWRIGCKVGYEEIAVASLLKNSRREHHIRSAFSRSSVHGY IYLECLMDQPMIDLIKRSPGIIVKTTDVLLSPIDKNEAQQLLYMGGDITNLSVGKWLK VKRGVYKGDVGFVVSKGSWGVSMLMIPRYEYVSTKTKSSRKRKTYTAVPAPKLFDPTG LRNSHLYIESGRASVYRVGDLIFEHGLARVDYDPRHVASCREGLSYVTYTSFCMSGHP ALHHAPIPKPKEWIMRTMEWVVMRSTGWLGVIAQADDDNMVDVDIYNKGCDHDETGQH YNETEIHRVDTTTTQLLTTIRTVWSDILKHFEIGDYVGVDAGVNAGRSGWVVDIKGDE IQLIDKQGTTKDQQINTADSMLEAKPTRYDPSNPFKKIVLNRDDVLEYSTFEPLSLSK TYDSNAVHQSRNFANPSIIITTGTESADSGRHTPLPDTIEDTSPAWDPSDHSEDLTSI SIGTVVVNSSDGTNVASLTTPCNLLLKRELIGVPLTVEEATVDGHVSPLFVIVQEDVD GQLCMMRFTPNSKRTLRIESTHIKPKHPTIKHDFGLLAIIEGEHAGKCVRRVHSRKDT NGVVLVVKQVLPTDRGADQIVDGELLTPTENCCVAYETQKRKNANRNQMRHEHDIYIS THLP JR316_0006339 MATYYYECAVQGLPRQYSAITESTAQGQTTPCIMGLAALVSLLA INVPVITGPPSNKGRRTRLPVAGQDVIQLNRLQPTTAAGHDVIQHNRLQPMTDAVNHE TLANIAEAVDRNASKIYSDKDSGKDIQFRAPNNSVESLFDD JR316_0006340 MFHRTFTAIAITVLLSFTAPVLATNHMSGLAVSNSPGGTTTYGC RSQAQVCKCILLNSMPVSNQVIQWNQLANDAKSQGFKIIRIIGFDCNALDLASSAAAS AGLQIMAGIYAQSVNEFNDVQTFRAAYTKYGAGRYVGLTVGNEVQDSVGNIMAKVYDV RGYLGSVGVTTPVSTVHTWVDIRNNPALCGADFVGANAHAFYDGGVNSGQAGSFLYNT VKPALQAACPGKKIYITETGWPSRGSNNGNAAPSVPDEHNAISSINCAARDTSMTIFA FEYDDQLWKTGGAVEQSFGIFGKILPGDALNAC JR316_0006341 MPESNGYLAPHPPSLFPSSEKQAFSGSTNSFSSSSSSASASYFD RHSHGSSTSAYATDITPPITPTYSNFDIELKPGTVASAGPFRDERSLQDHLTAEHLNN SILRPIVTLEDDTVYKSDSEDDSGVFDAVASFRTRLLNSKQTVTSQRALRIMDSRTSS AEGMATKDKDFVEAPPSVSSASKMEGGSEEEEFVLPHDWENIYDQGMAAIWKEFKESV YGFNPQDPEPQNLPLPYANRSEPNSRSNDFPIFDRDSDVGHQLGRDNIPRGMVFERLP PTPQAPAKPKIRPVESEWDVSSLCLGTPSYVSGSSFPMSLIPFVAAQHASDVTPSPTT NNDASCLSLCDGPSFESSASISTSLLSPAQQDTSPILSPAIFNESYAQGNVPVVSSPA PVSRLMFATRREHRSFPVALTGENLDMAAIIRLEIATNTDPRPQHLPQPNHGHYVAHG LPVTNAQQQQTIPPMDINSYPSFYDHSFNDSTMPESHSYQQFMIIASNCYDELTPEEE NQYEYPNAIYQFLTRRPDFLQVKNVAKSPSPSTLSPLVGLGLGSLTDGAVRPSSSITR LEDEPKGVAGDSKKPAVGIRQAEHSSPHVDMSAGQCTAEIEPMGVLSCLQAMQSRMSV SLRGRREQQKVLEGPNGDHNVPHRQSSESGQSSSSSVDMAYIADDESVVMPVAATSEL HLRSQNRTESCSSGPNLRASFMANPLEAGAGNVVSEPHGAPSGSTTSLERPSLDLVSS RRQLLLNSSKRLSRFFRSSPSGSSAFMSSAPVSSSAAASTLDPTDLASTIANTSAVTH PITSTIAALSLNHPSDSLSHGFETATEPFSGNVSRDTTSDLLGTLPKPSGHEPPLMDT VLSSPEHPSFLSRVSPLHQLARSLRSTHHLARPRANDGSPASSYSYNAQNSDVSNLGL TAFVLTPENDPRSPCSLSAEYFHSDSYVLGCESALPPTDHSARISSSASTDRVVAIPS SSSGGLAPSKSMDLEALGIGRPSGMLVTSVSTMSTAEECQNLAELASAPSPAADDLQN SGSDDTDLVIVSPQPSGSPTTPRLFFKVPRFAKRRLYDIPEQRTPSPTSSAHRIRTRT PTPHSKLLLARQPSESRKWSGGSVLRRLRSSSRVSPGPLFTNRKGSNEDGNGSNEDPT GDIVPDTRDNSGTSSQGDNVPALPAAKSTNRLRRYFNKITRKAVSVFPKRSRSSTAPP SQLLSPGFIENFDVPQPSFGVFRNTSDGRTGPSSRKLQVLF JR316_0006342 MDKKRVFKASEPRYSKGIVATQRPPVSSQNLTAKESKPIERWIQ DVESKDRRVISRSVTDEGIFHSKADNKRNKRYSKAERELIDTFARCQQEKSLQELQVA SLKKVLSILSNSIADSKARLDQLHVLLADRDTDPELYRSLQCERWMEERRQLSAVEVS KVLEERLAIVMSSLPAPAEASCSSEPSASRANSNLAKFLESPRRRVPTRNRTRRRVYV PPGPQDVLSRLPSTSVMQTESNPTISTALSTPATPQASPSLLQTALINSTQKLSTVDE NSGCITIWRDSPRSKEEILADLTVDMPDYVGDLLSGLDSTTYSPASLQIPEEVHSPHL KHSKAREIQSPCSTHSRTSFVPETPRSHRSSVQVHMSPSRKRISTLFSLPEALSSKRT INIDSERAKNSSTPPEPKGVDATTSRPFSVSFSGLPSSDSGASHDPTTDKKTPSRLRH RLSFFRRH JR316_0006343 MNTTAFSQNWNGTVNPKLIQGDYHPYSLNNPWVSNGGNPLKTEQ SLNANPFFRQYAEDAFLPNYTSPAPDYSYNFQDLFNTNYAQDSSSLLQPFQPNASLSQ IPTQAINAHDQIDVAPFEFPPFTQFDPTMNLPPVQSIFDESFVGTLDATSTPPVPSLL DREHVPLAGLSEGTALPDAEPFQSGKRMSIAIKIEDCSSALPSTEASPPSSRTAPTSA AISFQCFTSADFTNSSHASALVPTRRRSKFIKEDPNCGYFVEYDALGKKFYQCKTCPN MGTYHRGDMCRHQMSSKHKAPSFCCTLCDKKFTRPDALKRHMSRENAHIPKPRRKYMG PVNAEVTEGRPLKWARIGGFTGSDLLESQAHF JR316_0006344 MPKQVSEQSRKSSGTRRMNSQGPSVPSLQRDFSFEFSNDEKQNN PVFHTIIKPALEGKSVRRYDSPSESEQELNYVFNKEEKGGLVNGLSRWASVSSLNTES SFFLEGNLHPEVTRELSIDDPIEEEDEDIYTGSVSGRSETTERIFEDYDSRTEIGSAS RYGTPASVYSGTSGRRITSSTEAEEFTAIDFNYEDDREKTPTSTAPINEPQFGYHSGT PNVIVTHSVSAPITPRRYPTRSGQVPPEKTKFRSPQKPRPKRA JR316_0006345 MPLTASGTSAAGSFSTKPIEKDPYKYQVGFGNLFASEAIPGTLP DAQNSPQKNKYDLYTEGMTGASFVAPRSENVTAWLYRIRPSVAHKGFKRLPDNPDLEA CFLPLNPKVHISPTQLAWHPFDLPPDSAKVDFVDGLKTIAGNGDPTLHEGLAIHMYLA NTSMEKKAFCNNDGDMLILPQQGRLDIQTEFGKMMVRSGELCVIQRGMKFKVILPDGP SRGYIQEIYGQHYSLPELGPLGGHGLANARDFETPVASFDIDQSHWEIVYKVGGQLHL CSQEHTPFDVVAWHGKYVPYKYALEKFVNVGSISKDHIDPSIFCVLTAKSKQPGVPLA DFLIFSPRWNVATGTFRPPYYHRNSATEFMGLLYGEYGGRSDGFKPGGASYETGFCPH GVSYDEFKGASEASLVPERIHEGTIGKLRNAKIREAARVLEHEPAMWDNLKAQFMNHI DEVNADLKAAGLSELGSELKQ JR316_0006346 MAEFPIDLKQFKKLSLDPHNPTLTAEQKADLQANIQLLRDAIVV FTATGAARGGAYDTVPEVCILLALFEHSKDFYPVVFDEAGHRVATQYILATLEGSLPA EHLLHYREANSKLPGHPELGLTPGVKFSSGRLGHMWPLVNGVALANRDKTVFCLGSDG SQQEGNDAEAARLAVAQGLNVKLLIDDNDVTIAGHPSQYLPGYDVTNTLAGHGLKVVT VQGEDLDELWGGISAIVTHNGPAAVIAKRVMAPGIPDIEGSSHGHDVIPIKAAVKYLE KRGLSNFSSIYDNIKPVPNPYIYSGSTKEVGANRVIFGEAVNMVLDTLSKEEAAKKVM VIDSDLEGSTGLKVIHQKHPEVFVPSGIMERGNFSAAAGFGFAPDKFGVFSTFSAFLE MVVSEITMARLNNCNVLSHFSHSGGVNNMYADNGLSDVQSFLYFPADPAQMVAIVKRV FFERGLRFIFSTRSKVPWILKPDGKTRFFEDDYEFVPGKDDLILEGKAGYVVSFGEML YRSLDAVLRCREQGLDVGLVNKPCLNVVDEQMTRKIGTSPFVLVVESFNQKTGLGSKY GTWLLERQLTPKYGYMGTTKEGCGGLWEQIPYQNLDPQSIIVKIKQLSQ JR316_0006347 MENATTSRNLGNIVEIVNEAYSDRARQGAPVDYANKVAEAFGYT EEQLRSVPENAHMGLSCGNPVAAATIKEGETVVDLGSGGGIDVLLAADKVGPTGKAIG LDMSQDMISLARKNAAKKGLKPPQVAFVQALLTEPLPIEPDSVDCILSNCVINLLPFD GKAHLLKEVHRILKPGGRIVLDDIIAKKELPGYIKDDLASYVGCISGALLLEQYKSLL NDADVLFVDNKSDLSVYYQQSDSNSGCSTTTTGCCTPAPRGSKAQRPSYDVNEWAASY QIYAKKEGGPVPNPPTALLRWWDAYPTVKSSPASMTAEEVASLIRGEGDAGEFAVIDV RRNDHAGGHVRGSHNHHAQTFFDELPAFYERFKDTKKVIFYCQSSNGRGPRCAGWYQD YLNSKANHDNKSTAYVLKGGIKNWLSKFEGQEDLVDAD JR316_0006348 MYGQRQPEWQGLLQDSGSKPIASQPSLEEYSSLCRRFVTPANDG SQSLPSSRSETSDTYFFPADMDIMANRIDDSSFDSLALSDTDSCASQLPTPVDDDFFT PKDSVESLAVQGWTDDFDDGDSFLISGVVWDQASGQHLLTAPRQVSRKSFYDYYYPPL QPNHWQEYYREQERLNVKSDNRFSGKASVAAPSDSEPGPIPRVDLSAAYISSDGVEQS NEVEDLNPDPECVYTFGPLYGKARDRAYVFVFFSATKNTARAQDISTVRNEKIRRVED YIVYQSELNNWKYKCKDLQTKIDQLEQIYQGTSRIMNQHHKFQKEEYKRLQQKIEVLE DDLDDEEEETMLVIELLISIFEKVIQAFYQFKPSVNIG JR316_0006351 MLLSAVLVALASYAYRDTLLALASPSAILDASQAADIVDTGYAR YLGNRSFPNTVAFLGVPYAEPPLGERRFRAPLPLDKARIAREARGKVVDVTKNPAFCI QGTTGGYVFGNPVNWPFDHWINQSPNVVIVSVYYRLSSFGFLASPAMRDPAVGDLNAG FLDQIQALKWVKENIASFGGNPDMVTINGESAGGSSTELHLVANEGEKLFNAAIAQSV YRTPLPTPEQQEPLFNFYANHAGCGTGSAADQIACLRKASVSALAMAQDAAVTSAFTA SGYNTFHPVIDGKTLTDFPTKSILAGNFAKVPLIVGATTNETLSGGSSVSGALKGFFP SITDSDVNALLDAYPLSEFSSSLQFQTITGDAELRCARSILGTEFGKAQKSWTYRYNQ PTPGANAVFHAAENFMMFKGTSTGFNGTTTFNALTPTQNAFAQELIAYWLSFVRTHDP NTFKLSRSPTWQPFTTKNSRIVLQEGPGDTTTVSGSFLETQGTEETDRCNLIASQVLS QQN JR316_0006352 MVQLTISAASKPPALARGLPLTIDVAPEATVLDVKRAIVAKYPK FTPSRQRITVKGDRKGLFDEIKLADVLSGKDGWEVELKDLGAQISWQTVFLVEYLGPM LIHPVFYHFPRLWYGVDVEHSALQRYIYAFVMLHFAKRELETLYVHRFSNDTMPWFNI FKNSTHYWILSGVIFAADIYRPAFSATSPYIVNTIRDNEHFLWIGAGLWAFAELSNLH THLTVSALRPPGTRKRGIPYGYGFNLVSFPNYFFETLGWVVITGMSGSIGVAFFTVVS FYTMAIWAIKKHKNYKKEFGKEYPRNRKAIIPFIL JR316_0006354 MPTHPIYALDLRNHGTSPHATPMTYEAMAGDVHAFIQERGMKDV SLLGHSMGGKVAMSYALSLEKWNVSPGTLGKLIIADIAPSIGNLSPEFIRYIGAMQKI EALPFGKIKTRTDADHILQAYESDVSVRQFLLTNLRLPHAHEPSKAKFIVPLSILSKS MEALGSFPYEFNAADNIVPVTFDGPTLAIKGTKSPYINHKNIPALRAFFPNVQLEELD ANHWVHAEKPHEFKKLVVDFLNDN JR316_0006355 MLKIFIALTAVAFVQNALATPLPDVVGNREATDGDFNRTNTYHW HRYHRVDEPVVQPAVTTVAVERPSLLDSIPIVGPLLSGLPVVGPLVNGILGGSGGVLG GGGGGLLGSL JR316_0006356 MVSGVLAVLGRLWIVFLACLRGAEITTTQPTILPLATKSPAGPR LQNRQKRPNERAVDTDPLAAWQESKQQFLRHPSLRRSTNRQPMPKPDKGKKRERYSRF VPPTFMIQDWTYTQKPPRRPPRPPSGPVPAVIVTPCTPTMSLHHDFSDTPTPAPRVSP LVYSTGNEDIPMKAVAREKPTKPSKIAESAELNIDLEEDSLFNSPRNSAPIRGPRRSL NIPDSVKTIGFGPSHVLSVASDTVCDEPSWTSDISVTERLVARVASYITSTPAKRRLD TLIEESEHSGSPQINIISSPYNPVLTDAEKDTLPYPDVFDIDMYYGAESHRISIPRRV QNPSSKNRRSLQVRNRGSTSSNSSPDVSIVEQSPRSVKRFGYIPDSQTGNKSISPIHE VKRYRDHYRPPAYTFPPSQVSPNSSGESSAHMGKPLPLPPKPSKTSRVLTPSPLGNIT NASSPTTTKPGSFSSHSTAGRFPYNEPSPSPIKEPTHMYPPPPIVVISHHRFSSYSLT PDESRLTGSEGSQELRDRLREACDNFAKSVWTEDSYSMEAVALN JR316_0006357 MLLVTRTKDNTDSPLQENEDLGRLLNFKHRLGFASHDDITKLGN MESSRSSGTIASTEAVATATRKPSVVFALAQTTQQGEAELPPATRTRSLTSPSLPSEE QPTVPSVDRSLMTSKKRSRTLRLKGSHQPLTIDIHGANLGPSSVSVDANKVPNNIDTK PSPELTEQQQRTLRRRKHLNRPHDPTPDPNIDNNAPD JR316_0006358 MKLFRRGCDTPLALTTSSWVTTGGTADSLNLNPLPEDVPDMAAS AANAIRSSVSPLIEKPMLPLGPFDLAWDKDCEYVCLGAFVCKFKMGAGVGGRIGMGSS SSLSTSSMTEKAEGTPGGDEDRGLTNMADMERWREAVVLLRADVVEGGVYDCGLEDPV VEGPLEESGREVIPFLRIAE JR316_0006359 MLAIAFLALTAVLPRVSGHSGEHGFLSRTMSLIAKLPTAFWHPS MFGFNVTDKTFPYDNRPVTPIKNMNFTEWWFHNHLDYPPNPGDIFELPAGKPATAQIA CNKGATDFFASSEGGDIRDPNNMDDPCPHSPTTAYHTNGFDDLEGCALAIAYKNDARA VQPEDFTVFSVNHTCVWTRHTDFQVPARMPPCPEGGCICAFFWIHSPLSGGEENYMNG FRCNVTGSTSNAPVAQSKLPRRCGIDLPNKKLQDVPANCTYGAKQPFYWFNNERNNMF EGTYSPPVYNDLYNFIDGAQEDIFVDSYTHIPDPAPNAALPNLAHVAANVPLPTINIT VPQVAPFGADGESYVGKPNMGESHVTANIRLRRRMNRNRMHRRVKRSNMW JR316_0006360 MIFGGGLSLVYTAMLAALAYASPAMDSADLQTRQAVDNIVYVTN AQAFCMIVPRNPHTNIGDSEVPGGMKTYCSPAGRYSPDQGQLPPDFWSNVEFKTGTSS RGARFAQLTGCIRPEKLSRLNPNDPGGQYDSSGGAGGLGNPQGSKCLGQVYNHYVELI EPADRRACIKCCDNFDDCPLHLDTAGCPRVIPGNYFDCN JR316_0006361 MAKSDTSHEDEKAIEPDVKLVTKRRGLFGHKAVNSDAVLEKDKS SVNTVGDDEKKPEAPPISFFQLFRYSTKLEIFLNCIGIVAAVAAGAAQPLMTLLFGRL TEDFVSFTQVVNQANNHVPGAAERIPEVAAHFRQAAAKNASYLVYIGVGMFVCTHIFM HIWIYTGEANARRIRELYLKAVLRQDIAFFDHVGPGEVATRIQTDTHLVQQGISEKVA LVANFAGAFVAGFALAFAKSWRLALAVSSILPCIAVAGTVLTVFAVRYKQNSLKHVAQ GGSFAEEVISTVRTAQAFGTQNILAALYSVDIQKALKVDMKASVANGGSLGAFFFILY AAYGLAFSFGTTLVNQGHITGGAAINVFMSILIGSISLALLSPELQAVNNGRGAAAKL FETIDRIPSIDSANPGGLKPERVEGEITLEDVRFSYPSRPTIEVAKGLSITFRAGKTS ALVGASGSGKSTIVSLVERFYDPTSGSVKLDGNDLKTLNVKWLRSQIGLVSQEPTLFG TTVKENVAHGLIGTKFEHASDEEKDVLIKEACIKANADGFISQLPLGYDTFVGERGLL LSGGQKQRVAIARAIVSDPRVLLLDEATSALDTQSEGVVQDALDKASAGRTTITIAHR LSTVKDADTIFVMGDGLVLESGNHEELLQKGGAYMRLVEAQKLREGEEKADSEQDDDK SEKAMHKEIPLARKNTNHSLASDILGQRKMVAKEDDEDDGRGLMFIFRRMAILGQDQW FNYCLGAIAAIMTGMVNPAFGLVFAKGIDAFSLTDNAARRHEGDRTALWLFIIAILSC MSITAQNLYFGSAAASLTARLRNLSFKAILRQDIAFFDKDENSTGSLVGQLSENPQKV EGLAGVTLGAFVQTFSTLITGSLLGLIFVWKIGLVGIACTPALVTVGYIRLRVVVLKD QVNKRAHEDSAQLACEAAGAIRTVASLTREDDCLRIYSESLEEPMRKSNRSAIWSSAL YGFTQALSFFVIALVFWYGSRLVSTLEVSLFHFFVGLMSTTFSAIQSGNLFSFVPDVS SARSAGLDILKLLDTVPDIDAESDSGTHVDSKAIEGHIRFEDVHFRYPTRPGVRVLRG LSLDVKPGTYIALVGASGSGKSTTIQLMERFYDPLAGEVYLDGQKISELNVQDYRKHL ALVSQEPTLYSGTIRFNILLGAIKPQSEVTQEELENACRDANILDFIQSLPQGFDTEV GGKGSQLSGGQKQRIAIARALLRNPKVLLLDEATSALDSTSEKVVQAALDQAAKGRTT IAIAHRLSTIQNADKIYFIKEGRVSESGTHDELIAQRGDYYEFVLLQGLNSFLRLVFN FKSPHRRPKMSARQQFLPGSGTHFTPDPENPLHASSQAAAEPTKKESFLGSGGMLNGN SDDIFSRQRSGTNGLSGLTKKRSHPSNTMDSAPKNAHLRPGTADPYSKAYQNLNSNFS RARVPLVAPTPQVNYPSSLLFRSSSSNAFKVPTFVDNGNNSTKSVDNDAHISASDGST ANDASMKAETSSMLDRELVDDGSMSFSDIKFIPGSHQAGPRRIPIDDRTNNVTISLTG HEINSDGRIRAVNDRKRSRDEADIDDGEYAYENPLKRFRPLQNERNTNENRSVANASP RTKRPSSGLSRSGERRNLDMEAHRSPISQRVAYSASQSRHFSGSPPQPNTHAFHSSHL EDHQPEEMKPFTKLLGQDPDLYLTQHMKVYDDLVNKWTNCTMEEWVAGANEVASKYYK ILDYVSQGACQLRLYGCLHETVNKHNLVLKDRDNVLVAAKKKLVEESGSVLGR JR316_0006362 MQTFIGRYDGMLLMILFGALQVIFILGGHVCVGFHQSAFTIKLM ELTVDKLPLFRGVSPNHIGFGVANYLGNHPSSNQSF JR316_0006363 MVAGTYAGGMVAAEIVDYSMMSTAEVEAIQNSSGEKGGEASALG ARAFDDLTDLENEEFIVSNGLIFHL JR316_0006364 MKHNVAEKDPSYSLDAIVDEIPLDNFNKGAQDEALGILSLDSTK RHYSAQEDARLLRKIDRHIMPIIFFIYFLQLVDKQILSFSSVFGLSRDADLVGDDYSL LGSIVYIAQLVMQPLSSYLLVKFRLSIYVPLIVTCWGATLLCMTAAKNFVGLLIARFF LGAFEASVQASFILVIQFWYRRKEQGFRLAAMYSNLGWVNVFGSLIMFGIAHIKTGVL FTYQIVFLLLGAITFIVGLISFRVFPDNPVKSDFLSAEEKVMAVEYPKGAKSKGSAFG QTSKV JR316_0006365 MLDYSNVDDLVFTINSTRIVSYINAGCIAILFYDCFDCFEKEVN TIWKKKWTMTKFMYILTRYSAVLEGGIVMYQLSIPGDWYDDCSFAFKLNAWMFVFGLG VGEVIMTIRTWAVWQKNRFLMFALPIFYVVVWAAGFATTALFLQSLEFKPNPLTPYVG CYATYTDPIIFISWVLLLFYDAGAQLVIMLQLPSYRKIF JR316_0006366 MSDLYRSAISARASKLPQSPPLQHSANTKHVGEECKEDDDIIGD LPGSGLGPPAMQRVPNPDFAPISASGFFQEALQVDIPDRKLDCRLYYTPPKFKDGTVM VCHHGAGYSGLSFACFAKEVTEMTKGECGILSLDARRHGKTTSTNEDGGDLSIEVLVA DFCAIMQTVFKLPEFAPTLLLIGHSMGGSVVVRSCPKLLDAKYRIAGVAVLDVVEGTK ILLNARPDGFDSMEEAIEWHVSTNTILNVLSARVSIPSIIQFKEGAIPPYQWRTTLRS TAPYWLSWFKGLSTNFLSTRAARLLVLAGTDRLDKELMIGQMQGKFQQTVVPGVGHML HEDDPTRIAEILVEFWKRNERVLVGVKKVGEL JR316_0006367 MTSSNKLHALSKGIYLLNPAKTAIANEPKIILLFSWMGGRLTHL AKYSNTYNELYPGATQIIVRSEPSFFWSSQASRKRYLEPAVEALEALGGLVPVSKTAK SALSMDPSPSILVHAFSNGGASQLTTLGEIISSRGIPSSSLPVSALVLDSCPGDGGAE GTILAFSSSVSNPLVRGIIKFLIRFLYFYVSLQRKIFRSSSETVLDKMKARLNEQRVL PWFDKSTPRLYLYSTADKLIPYREIEAHAQRGKQSGFTVTLERFDNSPHVAHAKMHPE RYWAAIQRLWDSAAESRSQTADHP JR316_0006368 MVSPAIRALSAFAVLAVLVNAQEPTFKGQLLIEPALTSAKCITA ASNTDGAAVTIQTCTGSPSQQWTFSGGTVRVFDNKCLDVTNGTTVDGTKMQIWTCTSN NANQQFVYTTWDNSLAWANKGKCIDLPGGSTADGTRIQLWSCSYGNINQNWKVGYLAS NLPTTSQEKQSGVNNCGTGSSPSSSCQTIWINSASDFCLWAPPSLGAIGDTERDEVAW CTKSGRGARTIPDGTLQGVHFVQTPEYVQVTGVGDFTKMNIPRGDAGGELDNRGADGR GNPIGGLVYGNTFGTGLQYHEWTSFISDSEFCFRACVGPRATVLCNHIYDVMGCSWNM PANYDSHVYEDCDGDSDLPMGVYGTSTWHQGTSPTPTAHPAASSSNCKPLPTVTVSPL MRRDNTRDKRRLPVFPHRRPT JR316_0006369 MPAKYSHVKKRSASGLLKKEKNSPNGHHIIKDTHHSRTPNPHTD RAITQAMSAVSQLERAIARILLIRYEQDIARLFSTRLYSIALVHLPTLVMRCVTLGGP GWLAFNHDSEDIKDFFRAAISMDMRQAGERVATKSVLWSYTALRHFALSNNVSVCSKL GLHSLRLLPYYPALKAHLDALEAMPPSTFTKPPHLGSLQEGQSALEDACELKFGWVNK LAPGNTEWEGDWKPKIQYGYEKLSQTLWRVAREFDVRGYGNILREKLTTKWCDCGCST DHLGEVCERTVREDEEESGVRLGKQREWDGRGSGIYGWETEEEEDIWEIDLDFGGLDP EECAGGVDAEMTIGEMMEWRYLRAEKEKEKGNAAYRKGDYEEAVKCYETAHGIESELP HYQLNLAAAYLKLNNWMEAENACTKALQQHRSVKGLYRRAKARIMLGRSEEAIPDLRS ALRLQPTNEDAARELKALLFPLGEGDQGTSNSHPPSMDDSNPSSSKQPSSFPKAKITE DLRRLGVPEPKSTKQPPFPRTKADDRKLKITLLSGQTENGTGTGKLRKMGTSIAADLN RPQTSRPGKEKAGTTRSWKTKEMDRMRAECVTYPSWDRYLVKRVD JR316_0006370 MATAANSVPYPTLISVDPFLPADQQKGIHNRWHPDIPAFATVKP GETFKIECVDWTGAQIGNNDTSDDVRDVDLSKVHNLSGPIAVEGAEPGDCLVVDILDV QPFEKMPWGYTGIFELENGGGLFAREFKSRAAKAIWDLKGIYATSRHIPGVRFAGVTH PGLIGTAPSPELLATWNKREQELIDAHPNAVPAVALPPEPKGAYVGQELEDSVREKIY KEGARTIPGREHGGNCDIKNLSRGSRCYFPVFVKGANLSVGDLHFSQGDGEMSFCGAI EMAGIITFSTSIIKGGVEKFALKQPIFLPSPVDPMYSQKLVFEGLGVDIHGDGKQYNM DASVAYKQAALNAIAYLMKIGYTREQSYLLLSAAPVESHVGAIVDSPNACVTLGIPTG IFEHDILPNPDGFAKKDFGQCAIRSDGLV JR316_0006371 MARIATTALLLSVVVAPAVYGSSFSSDNDRAVLARRQASDELVS RRLHIHLPKVHLPHIKLPHISGKQFLNGLKKFGPKIGKTVFKIAKLALREDIEETHLV ARDIDGAEALYELLARSPRISLKNMMKSVKKMKKYVKTGANLAHSVGLLRRGMGDDWE AEIVERALDELDVLDARDLYAHLTLLERAIVAVDNEKREWNMGERGWAREDQEVHARD DTSDTHFARHYSDYGLEDLD JR316_0006372 MTVLLLPLHSPSMPSESPHNQHESSIPEAKGVARLTVNIPSTYR GKNAGGETEPLWLTKEFKFYYLVALFAIPAMIWVPVSVSQPSHPNYPHYAPKLSAGWL YGRLVDNSDAQYRSFRDNIPILCKAAFGYLIIKNLAKQVLKTSINLIPLNSFLSLAMI IALHGSSSLKIILIMSINYLIAKKCRGSQLSPFLTWSFNGTVLFMNEIYRGYSFQSLS SSLSGLDSFSGIYPRWYVMFNLTMLRLVSFNMDYYWSFRQSRTDFKGPPEKQRVVVSH SDYDYSLLNYIAYVLYPPLYIAGPIMTFNDFVSQHKSPTHISSRFKLNYLFRFVCCYL TMEFILHFMYVVAIKDRKAWGGDSPAELAMISFWNLIIVWLKLLIPWRFFRLWALLDG VDPPENMVRCMANNYSVFKFWRSWHRSYNLWLIRYIYVPLGGSKHVFINTLLIFSFVA LWHDLSFRLLAWGWLVSLFVVPEMIASYLLPAKTYGKYPWYRHVCAIGAVFNIFLMVA ANLVGFVVGTDGVQFFFSQLLGTMEGIRFMVICLFVLFVGAQFMFEYREEELRQGIVR NC JR316_0006373 MAPPPPLKFGQRELFNDSFLSFLRRPNKSQIVAPPGVPNSPDSQ DPIPMPIAHTQSNGRYRDEDAGEKKAMWLDGHQLLDRTKDLLEMGSRTLGKDTTKRFR KEAARLTKNAPSKSKLPKKSLDDIAAFQQAAKTLYQEVENILHELGQPHHPDAYPGEP QLHPQHVEQPRSRSESPPPLPRKPPSPKVTFNHEMKHESNVDLSRKVSTRHGPEPQQH MMEIARQESTKHSSSSRKEADLARSTSQRLPDQDRSRKYDKEDDRYKYRDEPKRSDSR RYASDDYRDRDKNRERDRDREYYSSRDKEYRSSHSRQYSDPRDKEYYESRSRRYDDRR YDDRDRDRDRERYKRDDRDDRRGESSRNDAPLRVVHEDAPLSAAHGGAGGVPFAPTYV PYPQEESDRRRRERDKERERERSERYRDKDRERPRDSDRERERDRERDRDRDRDYDRD RRRERDRRDDEYYSSRDKYKSSSRRYDDEYKSSKRGYDSRDYPEYRDKYRERDRDRER DRDRDRPREKDRDRDRDRDRDRDRDRDRERNRDRDRDREREKDRERDRDKDRDRGDHD KREHVEAPHSHHEAHHEEEDPSQSKFQEVFSPPEHATGGLPPSEGHAHD JR316_0006374 MATYYYECAVQGLPRQYSAITESTAQGQTTPCIMGLAALVSLLA INVPVITGPPSNKGRRTRLPVAGQDVIQLNRLQPTTAAGHDVIQHNRLQPMTDAVNHE TLANIAEAVDRNASKIYSDKDSGKDIQFRAPNNSVESLFDD JR316_0006375 MHNRNQNVLHKRASRERQRQAGAESANSDVDMLNGDAFVSTSDS DHTSNDHVFPPQPPSKLLIEEIINGFCADSSASLLEEAGCMVCGLLQPLHNLTHLSMF DHPLDILAVEGATRVERLHPDDPVKDIPGPVIDPACNHLQDLSFAERMMIARIRHNRC LVRVSSGRAKMTANVIMYSNPTLKVYHELPPSKPELDEVLAFIFTGPTQPTEEEFKRS PMLVRRNKVADALEWLKLNHKDYIDLEISKKNLQSYPLIGVPVAVDYRQRNGTNRMES TMSLHDEGEDEGTVDGPCPFTVHGLSGTDYGNMSIQALKAKALQHIENEGKMLAIGHD PKPQSMYDNPQAYPQMFPWLFPYGYGGIGQHCHKRKLSESEHKRILLMHHDKRFQRDL YFPIIAFNHEQLKGGVTGSFLVAKRRNFDAISKRLLSIDKTVLQDISSRLVNGDHVSP ETDSEKDCYKLLDDLDHVGGYVKGSITSKKYMRNEIWLPNMVYNLVTCR JR316_0006376 MVSINSAYAPASPKPTYADVVAGDRSPSAEYKHRPAKGIRNVII HVKPDNKEDSFSVATDSDDGPLDSVASRIAAAKVVKPGNKTVAKRDIEDAALVCSDEE LPARISPPKKSRTSVSANVDATVNHVSYSSDSSVEVIRVVDNSANARYQKGISDAILV DDSSADEMVSVHPTSGAKPNKQKGKKPQKTMPQTVRIKQEPGIVIKQEASARPTSVLP SEVMVKKESVDVHIPLAPDNVASTPTKKDKGKSSVSKKGSVGIRRSARRSEAAIERVP LSVSPLKIGSEISGSAVLSPPITLQGDADSDINPFLPSPEVILGTKIPGKGKSIRIVL PSGPIDLHEDEAMMFAHAVRESRMHQTTYPSSNALPSGPSSSKLLKALDDAYEKYSEA DALKTEHSTLDPPVQFKASVISAKSIDAHSDVVMASLSEQPKTRQVQFQSSLTAVPIN AAVEAPPTPVTPVRVPRKFKDVVPAGPVNKTPSLGLNGPALMEDTMRFSVKHLPKKCE VTKTDLQDSLLESTYVDLPNLQHGILKQWNSPNVMAPVDCVSFSLCGENFPEMNFENA YDAVTFVRNKNFINPSRVSPLDVSILYMGNDRKRATLQCNSVPAICLSAILTRDSYLL EPISKGLCNKFLSGHMMHQEWERFAGFACMAFGHQVMVASIRDKAITFGTMGTLTQIA ERESISPSKPPRTPGILSGQKDAKLQGGSRKALKSSTRFKTMMDSTDTIPIYDSRNHA FNFNTDLDRLDELLPRWRRGEIPPNSFVMVAYTMTQYEKERSFHLCTNIQYAVVFGTE HDTSDIEAEQESFAGFDED JR316_0006377 MDPNYNQYAAQALMDQGYCDARKSIHDAMPVVDFQLEDHRVVYV EQPRSWRITRTNSTEEQNFYVYGAICRNELPPIKLSDATPSMKKKAIYLRQGVRITGL RSNGFNDDAVSIKHVHEMMKTYLKKEDIEVKPWNLSMYEGHWAVDASTRYFTPRKHAP TEAGLAFDMGVDPDGVLAHMRGDDLIHTMDNKVDYLREVKNDNGTSTSRVVPSVFKVG DIVRATIAFIGVMHKDKAVAMTTVLRALTLIHDVQDVRNGPAEFIENDAEIAKIPVMK RRRVYDEDREVRQKMEDMTIHRE JR316_0006378 MPNLPNFLKALKLQFKPVDDAKILIQAIDLCIEAIDTIETDREL AKEKMQQFSILKSNVIKHKNKLLSRIKKTDVENYTDMYTTLMTDYKDPALFMRRSLET GRFNGAPVNEGAQDAIADSLSFSEEGSPFIHIGPAISETSSD JR316_0006379 MHLRVPTSQAEPQTIETINESTSAVAREDEYVRITRYISFTRDV RHYVVLILAPPRRLDGQDNDLMWESDVKMFRQPYTATMLCENLAVLNDAVKLDNGQKV VLKKVSTDSQEIPLATLLSSKTWKEDPRNCSVPILDVIMIPGDDAHALLVMPQLLAFH LLPFRFFGEFSEFALQILQWNYH JR316_0006380 MSTRQRIPAFLKRLISGQPFDDVSDLIRTIDMCIATIRLVEKTP KLADRKISEFKRLQGFIIANTDKLFTKVKPHEVQTYTEVYNSLLQDYSNKTITNKQVI INTIARLWFGPGKLIVSDSGENSTPIYVADQQSSDQQSSDNEELSTDSGVMSSPVDEA AQDEVGELIDSALASKGTWEDADLIMRKSLELARKFADGRRYRIVTPIPSSAFLDSVF QALGMLHPLPFHTSEVNPHKKAT JR316_0006381 MSSLSDEFFLIREWEQFWVDIQPFLLQRGYRLRPRYDPNWKPSW LQVKPGKDPNPLLYEDSLFSKGDILIDAIRMEDGQKVVLKKVETATQEIPFATTFSSK EWKKDPRNCCVPILDVIMIPCDDDHAFIGLEFLHDGNIAHGDICWGNIMMDPSKVIPK GTHFVLWNSHTGKPFKKFEWKTRWSVKPVQYYIIDFGISMKCLDKDALGLGQWGQDKT VPEMLKNEWCNLLMVDIYQLGNVFKQCLSTYQGLYAFAELADMMTKENPNERPTAAES VRMCKKIIADATGTDNMTNRVWKIKRLLDGKLGSGLMLTTLERMQVRCGWNPTV JR316_0006382 MSTEPDHMFMIYEHEKFWVTMQPFLLHRGYRLRPRYDPNWVPSW RQLAVLNDAVKLDNGQKVVLKKVSTDSQEIPLATLLSSKTWKEDPRNCSVPILDVIMI PGDDAHALLVMPQLLAFHLLPFRFFGEFSEFALQILQGLEFLHEHNVAHRDMCWGNIM MDISKVIPKGSHFSRWDSHTGIPFKKFHWKPRWTVQPVQYYIIDFGISVRCQSKDAKG RGRWGQDRTVPEMSKPEWCDIFMVDIYQLGNVFKECIEKYKGLDAFSELADAMTKTNP NDRPNAAGSVDICVINTIPNPLDIILGAFKLIVEESRASGLGGPKRKKAFEMRRNGDL DEEEHVRPVGFAMLECREVGVKRWAG JR316_0006383 MSNSIEALYQIHSDEIFWVNIQPFLLQRGYRLRPRYDPNWTPSW LQGKSGKKHPSPIVFEDSRSDIVLDATRLENGQKVVLKKVETNSQEIPFAVTFSSKEW KKDVRNCCVPILDVIMIPCDDDHALLVMPQLLGFHHLPFRFLGEFCEFTLQILQGLEF LHEYNIVHRDICWGNILMDTSKLIPKGNHFVRWNSHSGKLFEKFEWKTRWSVMPIQYY IIDFGISLQCLSKDARGLGQWGQDRTVPEMLKNEWCNLFMVDIYQLGNRYQGLNAFVE LADAMTREYPKERPTATESVRMCKKIIADITEAGKMAKRVWRLDQPLDGRKTSALMLS TLDRIKVRCGWNPRL JR316_0006384 MVPHNRDLSRSFVRVFGRLLHKADSSSEAREDTFMDTCDLSAQG CLVDHCSSEDLPPYSPLSSAREKHDAQGTQGRQTEGIPLKRKSHFSDFYAPPKYARSL ESPHNSSFDVETFHETLCYDVSGSTPQFTDTLAQNKDDVSILLKTFRGLFPDLHLQDK LANVDPITVQAIADVLCEHGYINERSLKVLCYTSVERIILAPSMSDENGLNLARDGIY SGISSSKLVVQRRNNVLVFRHTDGFQTLTELSFAGIRINDSDIQHIQRLPRLSILHLN EVGIGNEGVFLLVSLKHTLTKLFLTANHEVNNDAVPALLCLNNLVFLSILDTGIDMVG LRRLAGHMHKEDHVMDIEIPFSCEAYVDNIASQYLLHPIPPLITNPHICSHLSSAALK RNLEAHAARNSSIVASGTRLEMIERLTKILETRLLDLLVVEMLDGGC JR316_0006385 MHAFASLSEQCNRIRDHLNAREREWERVHCILRKKDARRLHVRP SGQKSATPLFREQASKTIKHLYLPEERDDPLRHMRDPRHFSHLQKIGAGAFGSICLVE NRITGKKMAMKSLRRKDNTSEEINLEIRALLRAQESGWFPKLLSTFMDPVNFYILMPF YPLGDLYTVMTSSGGCLSRELCRFYLAELILALQCLHKIGIIHRDIKPDNILFEETGH LVVADLGVAHVFIEDEEDATFMADEYPLWEEMKFINDDGFPLLTPSIDNPHTIKGVAG TPFYAAPEVLEGRQYSYGVDYYSLAIVYHEMVTGYVPIQCGPCLPGQSEPTITLDLGR KDVHFQPLSVSDYDFLSQMLDEDCYARPSVKQMKNHPVLAGIDWDKLSRREGPIPQPK VLRKRVPISPGFERCLTIRF JR316_0006386 MLLGDSSFRWPIYAMYLCFITSAPFAYGLSRQHLFSSSDKSEFA NDVIFESGAVHSPDNLIFNTVSSLLQKWPNTRYRNGHTVVAAEVPVGTLLYHGTSKNE VPQSPEWVATDPEHSFSFCRSLSTDSGCWHLTLMTTRPLKLLYFDGSSAAKMSEGVMD SQDLLIWDQVMPNKTWEEGERIGRLCEWGQQYALDGFLSEIMLCDFSRGVKISSFLNI IAADNARHKSFFPDNPKNPQIYPLRLSTAYRLLESGTWHNHYPGETRIKLDYSRLISF YDTSLFPNLHSSRAGKDRRAHRLDKITTQEVNRFKARLDALLTQGSSDPGNGLDWNSL QNVVVTRYAERLELLHYILSSANGNTDYNATLKQAHRQVSSMIAPYLLWSSLSNMPTT LGTNHDWALPVYKQCSDAHTRDLEQFNLAISEQLIVKSINGVSKQICTALVGIWAEGM VMGLDGPDIELPSQQLTGTDFKTLVIWKNRVKGLMEWLDWSYWVRCNPACGYEEMCYI PMWPFFLTEEEKTNPQPKCIRRVESFEFKT JR316_0006387 MALIIEASEHSIKSVTVFKSSKAEVVRCFSLQLQTGQNKIKIRG LSSCIDTQSIRVSGLGDARLFDVTCTHADSNAALYLPGSAAEIKRTLLVKKAALESEK AVREQESKLLWQYAQTLNGEHVTPTQMGLFLESYVERGHKIVSAITELNEKIVAITRQ IDLHDSESASKKGTALGQVDIVIVADSDTQVELKLTYIVSNVKWTPTYELHATTVNGK PSSSVSLHYRARVTQSTGEDWNNATLTLSTIASDTVVKRIPELYPVKIRPKVVLFQKG LVGFSNVQQQTSDTDFGPGKSLFGQPPQHPKFTGKFGTGRGGLFGSSTARVPSAFGST MVGQQAAGTETLQDMTLHVDDSSSLYNEFEEVASPGAILEPTTVVSETPVAVSFCVHG ESTIPSDGVEHQVSVALLSFEATISYICIPRIDPRVFLQCVVKNSSEYRLLPGPVSVI FDDSFVSKTSINDINTGDNFECTLGDDASTKVTYSRTAKTVKSDGGHFSEVTNTTTYN TKISIHNKHQFEITDLVVRDVIPTSDDKGASIVLRKPVGLADAKDGKYVDLNKDGLRV GWEPLVDGKGGEKEGKYEWRWKVAGGAKVNLETEWEIKVPGENAWVEARDRPEQQQ JR316_0006388 MVRFKNRWLLVEFIPVLQGHAQLGKSTFGNGSLLDGKAIWASLK QSVLTNFGDTGWGAVGLSLTVKYFSPTTNICIIRVARDQHNVAWGALTLLSIIDGVRY IPNVVHVSGTIKHAQLSAISHNREVIARYRALAKNSDYDDIYDTYLDNSEREIESLQD JR316_0006389 MESRSALAGKLQAALSSRDERWIRRRLPDPNTSSSNSPLIDFNS NDYLSLSASSKLRSHFLNKLAESPDVLGSGGSRLLVNGRAHAALEARLAEFFRSETAL LFNSGFDANVGFFSCIPQAGDVVVYDEYIHASVHDGVRSSRARDAQYSFNHNSMTDLR QVLYRLREEKAALRTAKSSLFLAVESLYSMDGTFAPLKEIADILDEVFPLKNGYLVVD EAHCTGIYGPQGRGRVAMLGLEGRVLARLHTFGKALAATGAVVITNTLIRDYLLNYAR SLIYTTSLSYANIVAADCSFDMLIDGTAQHLSNHLFELSTYFTDTLRPLLISKNIPAA LVSLPSHLHLPPASSPQPPSPIIPVLTPHPRPLSAYLLALGMNARPITWPTVPKGKDR VRVCLHAGNTKQDVDALIKGIVAWALETMKGDSSDKAILSTRKVALHGLLESKL JR316_0006390 MSIFYYEPFYDFEQFLDNALTTRSKPEGAIQLRSKEGDNSITTL RPRMDLHEDSKQNIVTATFELPGLKKEDVDIQVNNNRLTISGESKISTEKEENGYVAR ERRFGKFSRTLQLPHGVEDKQIKAGLDNGVLTVTFPKSVAPKAQESKKITIN JR316_0006391 MSSDTEEFYLIREWEQFWVDIQPFLLQRGYRLRPRYNPNWKPSW LQGKAGKHPVLCEDGILARGETVIDAIRLENGQKVVLKKVETASQEISFATAFSSKEW KKDPRNCCVPILDVVMIPCDDDHALIVMPQLLAFHLLPFRFLGEFCEFALQVLQGLEF LHERTIAHRRVLDICWGNIMMDPSKVIPKGNHFIRWDSHTGKPFKKFEWKTRWSVKPV QYYIIDFGISVKCLNKDARGLGQWGQDKTVPEMLKKEWCNLLMVDIYQLGNVFKQCLS NYQGLDVFAELANAMTKENPNERPTAVESVRMCKKIIDDATGTGTMTSRVWQLKRLIG GRLGSGLMLSTIERLRVRCGCQNPLL JR316_0006392 MSNSIEALYQIHSHEIFWINIQPFLLQRGYRLRPRYDPNWTPSW LQGKSGKKHPSPILFEDSVCIVEWFILVFKHIQTTIHAPKSRDILIDATRLENGEKVV LKKVETNSQEIPFAVTFSSKEWKKDVRNCCVPILDVIMIPCDDDHALLVMPQLLGFHF LPFRFLGEFCQFTLQVLQGLEFLHEYNIVHRDICWGNILMDTSKLIPKGNHFVRWDSH TGKLFEKFEWKTRWSVMPIQYYIIDFGISLQCLSKDARGLGQWGQDRTVPEMLKNEWC NLFMVDIYQLGNVFKRCITRYQGLNAFIELADAMTKENPNERPTATESVRMCKKIIAD ITEAGKMAKRVWRLDQTLDGRQTSALMLSTLDRIQVRLGWNPTL JR316_0006393 MSHTKPIPTLIKKLTSKKSVKDASSLLRTIDLCITAINAVESNR ELADQKILEFQMLQKSITEHINTLSKKIRPAQVKDYANKYNRLLTNYGERTVTKKDII LTAIGHLWFGPGQLILPDSSTPSVDESAREEVEDMVVDALLRSEPCDNSSEGSGEVGQ LVSNATYLHAICWYGVSSPPFFQEADAIIRQSLESVERFSNGLRHRIVSLEPSSPIFL EHLNNALAALGYESEESGTHDNIEISSAISENSSAVAREECDGAPARDGAQNAIADSQ SISEEGSIFLHIGSSISETSSLG JR316_0006394 MEDGQKVVLKKVETATQEIPFATTFSSKEWKKDPRNCCVPILDV IMIPCDDDHAFIVMPQLLAFHLLPFRFLGEFCEFALQVLQGLEFLHDHNIAHGDICWG NIMMDPSKVIPKGTHFVLWQSHTGKPFKKFEWKTRWSVKPVQYYIIDFGISMKCLDKD AQGLGQWGQDKTVPEMLKNEWCNLLMVDIYQLGNVFKQCLSTYQGLDAFAELADAMTK QNPNERPTAAESVRMCKKIIADTTGTDNMTNRVWKIKRLVDGKLGSGLMLTKLERMRV RCGWNPTI JR316_0006395 MHYVKTNLLLLAGLIDLVLSRPGQTVFSSSGTEIMTESQWNFDD LPSVNSTSHLVFGTVDSLLQHWPNTRYRNGMYHGHTIIPATIPVGTLLYHGTSREIVP DVPEWVATDPEHSFLFCRTLSKSSGCWQLTMVTSRPLKVLHFDGSSAAKMLGGPMDTQ DLTLWGKVRPEWTFREKERIVELCKWGQPYGLDGFLRMEMNFEIMLCDFSSGVQTVSF LNLAPTRGGPPKWNPPPKEDLRAQLSPSHPPPSSPMFYATVYRVMESGSWHNHFPGDT RIELDYSRLISLYDTATFPSLQSSRFNKKRLEHRLDGISGDDANMLQARIKKALTGNA QGSGVNWKVLMRVITNRYAERLEVLQYILNSTDPAIRDANSTVQVAHRHVRSILTPYT LYSATPPTNNNDLPKGGYPWASPVFELCATTHTTYMEGAEFLSLLTPSEVLILDSIKG VTKEICRVLVGIWAEGMEYGLADPTDKKPPTAFEHSAEIIGRWSKKVENLMAWLDWSH WLRCRPACSYEEMCYLPTWPFLYSKRPFPKADYSQDIDGEGPDESNWADPQPMCIRRV EPFVDEEIMQ JR316_0006396 MISELVSEKDNKDASEWVERFGSTEKRIPKELVELSFSRSSGPG GQNVNKVNTKATVRCSINDYWIPRWAIPALKKNPHYVESTKSLLVTSTVHRSQLQNVE ECLRKLHSVILSAASSAIQKGPSEAQVKKVEGLVKAEKARRKMDKMKRSSVKQSRSGR GGFDF JR316_0006397 MKLLLTLAAAAASANAYWLMGIEDFITTERIDPVVNPGKVSGHA HSVLGGSNFRFSTTTAQLRESDCTSIPIPQDKSAYWFPELCGQWSILTSAYRWNNGSF TSLNGGAVIDTPGTTTAFPDDFRMISGDPTLRTYDPTSFAQQAVTFLCLDFNGVSTKH NELPSGPCPSGIRAQINFPSCWDGKNLDSPDHKSHVAFLSNGPDSGTCSDPKFPVVLP RIFMEVYWGSQDFDSVRSQARNTSQPFVYAHGDPTGYGYHADFINGWDKGVLQKAVDN CHCNEFGDATCCAQQGIFDLNQGKNCRITKAIDEQTTGTLLKLPGNNPVQPYGKKATI FTDNVTPPLLSPIFAYTGDSPTATGTVVTPGQTQAQQVSSVAATSAAATSAAISSAAV SSAAAATSVNAPVPPASSSSAVAANPGGVSSAVSSAHVPTTTPVASSQVPATSPRSAT SSVAVSHQTTATVKATSIVPPATRPSALPSQGNGLYGGPGSASGSGSNSGSTSGSHPG SGSSNAPGNSSNNHSGSGMSSGSHSGPGSSGHGTCSSKKKRRAMEQHRRRTFDSQAPH VRHLDYVYTN JR316_0006398 MSTAVERPMATTNNAEASGSRSRSDSIEIIDVDSLDPGGGQPQL PPRNLRRGTSRTDSIWIESDDEGDIEILSTMSLSGHNADRAQSHRQYISPPPPRSSNH RIPPVPAINPRLATRHQRAQLNTLRSLPVFPSAPPPRIETGPIAGPSNAPNAPSPPLE AAPISRHIPALGLGGALISSNNRTQNRAQHMRQYARVAAAARRRNAIDDDDFFANYME SNIQMFFHELAHTSPTDRPEYLWRQRHHFVKQPREKEQYHQHYTHPSKPEPGFTFDFA PADDDENTRTRLFPPTSAEQPIIIDDDDEDTPSSSGPSTSGQSDSSGSGTLNLVLVCA KCLDPLVLNAALAPEEGQFKRVWGLRCGHLIDEKCLNELGRPHEEQVGDKPAPKDRKG KGKAKAVVSPTYGSGDAPTDPQAQAPAEEDATNSIRSRLRSRQNAPASSSTAPLVSPP PPVSSAASFASASYAALSRLTEYIPTPKRRRGPAKKPKIEGEFEWKCPVAECGRVHLS VKIDGVWGPEVDRDFKNVKGIKDEARGAVAVFA JR316_0006399 MSSLTVHSAAQKSAYNPQTYFDFQFGLTARLFIDWHPDQLPLLR SLLAEDPKLVNSVDADGRTPLHWAASSGSAELVQFLIDQKAEVDKVDGSGWSPLHIAG KLHASFSFRLPLICMCHILVSAGNLGVVQELIGAGADVNRKNDNGLTPLHYAASKSRI DIGRLLISRGADGWKYFLHLFRHRAATTGSVGFIRLLIESSTPSNKLRLNTADRIGNT PLHLAMDSAHAEAAVVLINAGADRTRENLDEETPEAMEGVGGSEQRKARQYVIDSCGK P JR316_0006400 MTSNPDVPPFTGYVETTVNALRLIHAARQGVIPRITRRLNDSER RSMIKSGAVFVFSVEESGIKRWTDGLLWSPSRIVGNFLVYREINERASTRGNHKKPYP SEDSPTRSLVRKSSPDQNCIGLRGHGSDQGTFKAGGLIKKTITVTIDGSDLHLISYYT SEDIRSGKLKRPSARSDIMGLYMPPQLFRLTNFRVPPKVEMGPDHKMRLVEVDELDQV DCKVEDQGYHIPSSPTWSSHTGSPTSPIDNSFGGSSMYSMASGNGQNIYNRSHPNNRW PGQGDVVVGTNHRQDLGQWSPSASTLTSAHAISRRRDTIMSDNWSSIHAQSNGRWQSH NDDSTNPGAYPDRPRVRTQHSYDDSQTIHRRENDNTQHHQAYSVRYLGHPAATREGAL QRLHWHTRDTPDANRDARRNSQTGSAYPSSSPPIPFSPQSYSTTTTYTSTWTPSDANM LTTPTLHPVTNPAPINYDGTYNSSTAISSPDDYTGVDDFQES JR316_0006401 MLEASISKRRPQFEHQRQIWPYEIAETNNQHRVGPVSSVKELVI SNARAVAFALFASHMINKTTTTNDQMQWCNGSDNTCHRQG JR316_0006402 MPSPPSAQPLKVPATPSKSSEADKDKTPVATKQQAPSKLGTIAP NDISSPHELTAFVETLLEQLDTKFDDMSTQILDRMNQMSTRVDALEASIQDIINGDIS VPQSPSPSTPGGIRRSDSGI JR316_0006403 MIGISRFHAIVSARPLHGNGPPVIHEDTYRSASYEPWGNGRHQV NGKRAREPADTSAGPSTTKKAAKKASEDDAGAQREYRDRDGLLAALRERFRAGPNVDF HGTYEMQDTGMTHKERIQTVAHEIWKTTGYRFTVKDHPQYTNGHKTRFWCSQDEAHRS KSSRAARKAQGSCYKPRVTSAGEAMAKERFACRSRLLISSRDTHQPGTRVITIRMHHH ISHEPYIDASMSQETIQHIWRTFSYGHQPTQQPQHQQQPHQHHHQQHHQHHAQPASTS TSNINHNNKNENDVTMDQVPLTMPIDEEEEEEEESSVDADRGARMDTGYHRPSTDDTL RHTTFAPTNHVPQSQPQPLQQSHTHAQTQALPPHPQPLALPPRPHPQTQILPPLSQPH PQHQPQPQPQPQSRPQPQQPTLHPPSEEYHSKMLAHIKNLREFCEGLEYQLQFNDYRM LDVLEREGGSFLGLVEDCLRKEGRLVSVDHPSISQQQPQPLPQPQQQPQPQHHHQAPS ATNHSLHSIRMDMNGRHAVQGRQTYDGNPGINPRALNY JR316_0006404 MIVSSSFSVLAVLIINFSKVNGHPYQPTPTTDLREFNARKELAN APPNCFPAIGFTMPQSVPSSLTNWWCDHSTEYAFVGFSYEVTACQSLSTLTKEFKDIR NTFNGRYVRIYSACDREGFYNDVINAAWSAGLGVHALIWTLKMQFGWDDPNIWKTRRD ALLGILHSNAKAKYVVRALQFGSEPLYDYALDAGTLAAQVTAAKANLSSLHIPVTISE MAYGYQEVAYLEFSKNLGS JR316_0006405 MATAASSTRALNAILPQISTAPYEAHQKARTFASRYVKSNQYDT AIDVLFQSARELIKAGQPGSGTDLTTFMLDVYETKAEPVNDESRGRLTQLIALTGPDG GWRKTMIDKSIAWSAKHGTCPAGDPDLQHYVGELLYKEGSFEAAETHFLASGKRDSAR LLADMFLQWAAETSSYGVFSVRGTIPYLQNGNIMAAKTFIKHYTSGLPASIRIESDAV INVGEKDEVIMIKDSLVNFAQMAVLTCQRAQGDQNKVMRESWVRLCGTYQGKIGLLAT PEMRASLNEIATLYFAIPPPRSQAGNPFGEMMSSLFGGPSQSQPTRRTLTPANTSSPG LD JR316_0006406 MPTVFFPSRRKSPIVNFDEHELATLIYGTFPSAVAEMARDFILE LKVSGAQFMQIEDEKLHILANDNVDALQALRNLRQSPPECILALLSAARPSPSIPLNF RQMAPFARQKGFVIADANDEEAHHDDSPTSALDDLGADWDDYETFGLKFDHQPPSIKP TSDITPQSVDEPPASADWNSASEVLPPSDASESPISRHSTSTITCHSTASVISANETS STARNTSEAASPIRNKILTDGQIIYHRLDVLQPSRGDEHVSDLLNADVVSSSPSLVKP TFNFRDIHNVVSTTRMMSSLAPADGGKDIEERSATHMLVLSDQNNQHYNKPMDRCSKV TSPTNHGSHGSFSETTTLCLGDRGDFSNLRSPTADQDSLQQTSISPQKRISFLNDGDS SYGLDMDKDLDPGVAEEIHPSSIVHRDSNSPNNLLIEDTNLWPGRSYSRNFAPHTVSI AGDLSATNVLGAVSVSSCTHPVSTRSELLVQPPFDAPPLILPITNEPPIIRYADADTP IKRALQCDITTNPTNDDQHTPTHLSLSTSGVWMTSSDDDDYAYLHTSLPLSDPPPSFV SACPPVESSQTLENLANINDQMASLLDEGKSHAFFAGVVNGYLTTLALLPLGQPTNSY LAHPNSRDEAPAECFTDSDQSVISDMSVSSAQSVIIHDEGKLILTPASRPESPSPQTI CSLDDLGNLFTARPSRGSSPACHQSSVFLPDDNSGYLETNIDSHINGTPPGSMAENTQ TSYQDTCPGLEALDGGAKQARYSQTQLDVGTVPELRLAFNLDVRPKHIETMEAQDSNA DPATDRDIQDDVQASSKFEDWKTHPEINELFLPRIPLSPSFSQEMSSSLESLSVDRPA HSLHDTAEAILRLPKPLQFPRRALPATPRTLWPISDDLYGKPRISAVSYGGRRPPIKR RLKTLSSPSLANAQDGKAKNTPCLTYCDAATQTELECQRKLLKIQADDQRRELPISTS TPYLPNAPSPSFLGGIHKVLAGILPSKEAPKPELCSTTNPRLRVHITSRGFLPSSQGP MSALSEVSDV JR316_0006407 MIKISYCTIALLLSTLALAAPAAIDPLASASSSALASSASGLVS SAGASGVSPALKTKPASTSSQTKVASSTSSAAESTATVPFIKLDPNGPLWNSSVEGSP QAIRGSLGATILGPTDDPTVKENPDLLAPPTTDHGSVDNAKWPFSLSHNRLQTGGWAR QENMGIRSEGKLSQGNTQVTSVDQNGKNFIATLSPGDLWYFPPGVPHSLQATADDPDG SEFILVFPNGAFSEDSTFLLTDWLAHVPAEVLAKNFQTDISAFAHIPSQELYIFPSTP PTDSGNLPTSPQGLVDNPFTFSFSKMEPTKLSGGSVKIVDSRTFKVSTAIAAAEVTVE PGAMRELHWHPTQDEWSFFLEGEGRVTIFAAQSNARTFNYQAGDIGYVPATMGHYVEN TGNSTLRFLEIFNTDMFEDISLSNWLALTPPELVKAHLGFDDATIAKLQKTKPTVIGP A JR316_0006408 MATSCPHISVALGEEASNSDVGKKFMSVISWNTYRSQPTRPAKR RKVSTFFSHMQCVDAKTGSLFCKECDDFVYDSSIESLHLSAMVSAQEKRTKYQSSKHP REAYKPWTPSAKELEALGNAEKIPCHGRRGLLNLGQTCYMNVVLQCFIHNPLLRNYFL SDKHNCKQCKVENCTCCEMDKLFTEIYSDDPVPYGPISFLATTWKASAEISGYAQQDA HEFFMANLNHIHSTSRGCTNVSCNCIIHSTFSGSLQSDVTCDRCGNVTTTIDPVLDIS LELKGKAGEASSGDTLGACLRRHVHSEKLGREYSCAKCVKASPEATKRFSIQKLPPVL AFQFKVGLLFIQSTVSDDFIFTASNTQRFEHKTNDRSSARKIDTPIRFPASINMEPYT THFLKEAGKENIGSLSSIPGRETMCEYDLFAVINHEGQINNGHYTNFARCKDEWYRFD DDKVTPSSLGACLNSNAYMCFYVKRHLDYKPNMTPTYVLTRETEALREKELEKEKAAR MREVEDDLLSML JR316_0006409 MANSKYAYVRNFELPDPLLPGTFMLFRLDGHSFHRFSDQHEFAK PNDIRALELMDHAAKDVMTEYPDIVLAFGESDEFSFLLRKSTTLYNRRESKIVSTLTS LFTSSYVLNWPKYFPNTPLKYPPSFDGRIVLYPEEKHIRDYFAWRQADTHINNLYNTT FWALIQQGGQTATEAHATLRGTFSKEKHEILFSRFGINYNQLDARYRKGSVLFREEIP SDDLVATGTTVTESEEVLEDPEVGGSITSTQGEKRKGKSKKSKQKQTRIVLDHCDIIK DEFWDTRRWILSE JR316_0006410 MSNSSGIKPIDKTSIHRITSGQVVVDLQTAVKELLENSIDAGST NVEIRFKNYGLTSVEVIDNGSGIKEEDHDSIALKHHTSKLETFSDLTTVRTFGFRGEA LSSLCALSEEMTVCTATSATAPRGVTLTMDTGGRVKAKSKVARTQGTAISMTNLFKPL PVRRKEFERNVKREFGKALSLLNAYALGPCAEAPGVRLTVSNQPDKGSKSVQIRTLGE SSRRASVTALWGPRALENIADLNLKFEVDRERANVKRLHSQALDAEPISVRVEGLISK FALGCGRTGTDRQFFYINGRPCNLNKIQKAFNEVYRSFNATQNPFILANFLIPTDSYD VNVSPDKRTILLHSEGSLITALKTALEECYSSGRSTYAVGGGATQGPSTKSIQTLLTT QPTRQEKILRERFKRKDLSDELDDSQNSSRPVSPAQEDDLVEMASNTQKSVAEDNDDL VSGDSKDTPDDTMDVDDEPVIIDSSQTKWGRQMCISTTRSNTLSPPRPRPDPTDLDPL HSISPSTGVDRRLTSSPRILTLPKAQHEEPTTDSDDGVGSGSIRARKKRKSDRGPITS VRAESLYDEEKDERRADDEDNPNASGDDDHRAGSSTANKGSRGGYKAGSKIKISGSAR KPGVSASQTLLSQLAGFARTGSRISSSASQATAASISEEVDEDEGRQEDEDEVEDVDE NNDESSKLEDEVDELENDHHSGQEAVSHTTIRVNKTGPILKEKISSNRDAAVNQLVDL TIDKEDAEQADDDVDEEENSVSVLTQVLQSTSTTPSTISTKDMPSHPEVIKTDNYGRD IFMKINVDKIKRVWSHKLGQDQPQGSSSNDVGSEAVPTSVPADAGIANADDDAKAADA LARVIEKQDFATMDIIGQFNLGFIIVRRQKQPTMEGGSQPLQIAD JR316_0006411 MLDDLFIVDQHAADEKFNFEDLQSTTKIQSQKLLRPRPLELTAS DEMLALDNIEVLRQNGFEVDVEEDNPMGQVSRLKLVAQPVSKSTVFDMKDLEEVIHLM RDRPTGQMVRCSKARAMFASRACRKSVMVGMPLNHHQMTSVIRHMGTMDQPWNCPHGR PTMRHLSDIRKPGSKSRECIDWSSISY JR316_0006412 MADRRAPTNTAGIPLPKPPANPPSLSDITDAREYIEKLAHSKAS ESTNHATSDELSAAEAYKASIMFSHSVDGDVSLGVIDQMKEFMVEIRSGIRSINATLA DNKTHLVNMINALDVKVNTLDAKVNMLDEEVNTLDAKVNMLDEKVNMLDAKVNMLDEK VNTLDEKVNTLDEKVNTLNEKIDGHTIQLTEINQRLARLPVIISNAQSGLKGLIRNPF LIAADGSAPTLQPPNPTTYQELLGFTEQQCVASAADLGLPLLPPNATIDARRRQISAA LGLLID JR316_0006413 MPSHPEVIKTDNFGRDILMKINVDKIKRVWSHKLRLDQPQGSSS NDVGSEAVPTSVPADAGIANADDDAKAADALALVIEKQDFATMDIIGQFNLGFIIVRR QKQATMESGSQPSQIADQAETILDDLFIVDQHAADEKFNFEDLQSTTKIQSQKLLSER PIWKIVIQLNLIGSIKTILEALREEYEPNALATIPNYISPNSPLRTLRRMSLGLSPLL FIETNLLKILSPESSDSRDMSVRAGNGWKALLKSRRDPFAPGDRHSTRRQSQALLGQE NDPLSVLLGQRDDIISL JR316_0006414 MSTAANSYYFRRRTMGRAASPPTWACYEQIHNDDDYSDSDSDSC SEDDDDYKESGVRGQHHGSDSDENEPGVAAVETSRNDDDGHDIDMDMDVDSPEGASKD AAADKQKNAKGKQRAIEPEPESPRRQRKKRQHVYTLRPILTIQKSQGFVWNQDLFVPP YIKDRYIASTSPPNSRGFISSSVSSNNSAMTDYEVEVVEIRVKEGDLEGIIP JR316_0006415 MAYHERVVGYAYDELPRLYSQDPNISDSFDRVKHEPGIPQYDIS FAIGNEQSGTFASSYHMLPSTTDHYPQQYADAPREGFVKQEEDEYEDYLFQDDYPHDE NQHVDATSERSWSGSPGAADSDNVEDPHFVTDAVYSSVGLPSHAETENYLRQTLGIPL QVPVTLYALADDPRGPNKPSVTTMARLAIWGSPHKKLTLNQIFEAVEQRYPSLGALVD KPHRRSIRHNLSLKGIFRREKRPQHAPGQGDYWFLDVRYGEANKRRRHKTERNIPEGT HGTILPYHPPEPSSTPSRQAPSNLFLHAPVPYRKNYSTPNLLMDPLSNRHHSQEMLES LRDSEIDPHTPPVRPSYGSTIPYSEYAQVPEQLPPYYTQDRFIAASQINDFITPRDTH I JR316_0006416 MSRWIMIDNTSPYIAYEGAWTAYQGSQFDGMGCYGAPFNNTLHG TASNSSVSFKFHEIGSAVIVYGTQQYNSTDLSTPVTNPSWECFIDGLSGPIVAQPGSA VFGNNIPLCAVSGLQDGSEHTITTRARVEGDRTFWFDYVRYLPSASVPLDNATLWIDA GDSQIQYSTGWESASALTNNENGFPKVVNTAGPALEFSFVGNMISWYAASLNPNLNRK GSASFSIDNGTLTTFGVFDHPTISNAILPSNILLFHASIPSSPTQKSHKLQVAYDSTS KDTQVVQPLSLDHLIVQNGTGVGPFGPQVPDGSTSPPSNNAVASHKLLVSQLGIILGN LLGGLVLIGTVLSLVWYYHHLRQQRCDMNTTIHGTFERGEDDGDAATGINLSKRSTLV RPYTLSRVSIDGTDRRPRRPHLKTDEAGVTPTPRVLGDPPRERRISCPPSLSPPSNIL GNSVRDEDSGIRLRNGVDPLHNNVNWGGIVVLPPEYTPS JR316_0006417 MFLIPRYNNAPPLPFDVLQEIAQYCDKSTLEAYCSASHHLREAI APCLFKASKIRFGVSVARRITEDVSDTHNYQAWASKLTEQFEQLSASRYAKYIRTLSY TGVTSFSRYPEGCNLDDEGIRRWQAAHHKVTWPVLTRTLGRFAQLKELSLSYVEIDDR FVIVLGTLHNLQDLTLDSVIFSCSMDLAMHHNVRPSRDITYWPIFDPDGLDSKNADIR AMFFAGACSKIKSLQIEVYGKDAMQDELYRILSTCPLTEELSVVVMEDGSEKTAMADR PTAGLDPAALPMLWSYYGPDFYTPAIIKGRPVHKIHLYPSPSDLDETNITDWFKDTFS GTTVPVKSFSVKRFPDMLVDDLLRVIPTTLPSLEELVVDVAGSFDDEELDCLVDDLST GVCAIPASLKSLSLQWNYEDADITYQELLEGVQKILAMPEKLKNLESLNIASFKRIDT LRSSLPVAASDIEKLICKK JR316_0006418 MSQPSQQFPPWLSPSPVIVTDAAGVPIATNTVIEYIPPTYLGPS IPLGSLYVFGGSTEPATIILPGAATATATGTGTTTAAIPPATTTNASITTVTDTTATP SSSSVSAITSSVSVSVSVTLSSSTSTSAATPASSTSASSTSILSSASSVTSLTSSSSS SSSSSSSSSSSSSTPPLTTTDTFSTPSAPTTTISAPPAPLGSSHLSRGQLVGIIVASI LGLIFLFVLALFLFLCLKGRRNRRRNGTSPGGGGGRGGFMELGQDDYFVVPSGGGSGS GGGGGGRVPGEGSPRHSGEEADPFLTRSSRGSGGRASASRGRSPTSPRSPSSPLANTP WTAAAVGSSSSSSAAAGAGAGAAAGGVMTQRPSAPVSTSRTHSNSHSNRVPPPPVPAA LAPAPALAGTNNSNSSGSTNSNASGFGELLDRPSLGYLPIAMGIGMGVEAAHDNTGTT STHGGGGGGGGGRTRLTNEDMDRIGRESVLPEGADQFVVAGSGPARGSAPSPVQGEGE GYTYEGPYAYSPDPTGDDMDVDAAVNAPPRLVGSAGSRGAYTPVGIAHTSPHVSGIPG LKTKGSNKSSLGPDHEHEHEQEAEAAMLLTARRVKVEDLGPRSVSISQGQYQSPSAAA AAVRDEEYGQGYTYSYADEKDEGVGEGEGEGEGGVRARPSSGQRFLGALGLGGLGGIG ARWFNRSFDSGRPSASAGSPSAASPPSPPATGYLDVDPAAPAAGSGSGSHRRRSFLHP IHGEKEQDFRVEPFLGREGLHPPSSYPYPHARQREVDSFGARPVSAASAVSGLSVGGK SVGEKSVGGKSGTGTATSTGASVYHDALSSVPATPLISPGTMISSGGVMEPPPVYSDP YAPSGYVASAQEGYGQGQGQGQGYGQGQRRAQTPPNMDPSSTGPSTDVDVDVLDLPVP ASTFSLSHSVKDGYAPTPTGSTFSDGSKLPSPMFPPPGLGLGLGLQGMGKGFDESVST IRAVPSSSSVSVSAAVGAHALDHTYSSPSLYPYPSSYPYSASRSRSTSYGGDSSPNVD ILEDEPPSAEVGWRSIAQGQGQGQGGSGSGRSTERAEVGRRGTFGLLVPPPGNAPFHA SEHGSLHSMRSHFTSSTHGSGSASSKRSASGSSPASLSSASASASGSSRVSFVSRLSG ISAPGSGAAAVQSGLVRTSSISEDEQRRGSGGRGMGLRQQIGQPIGGGVGYGHVLRYG AGAGGSSGALSGSGIALGQAHAQAQALGHARELSSSDSSSAPPSVSMFPSPPASASTS AGAGAAAGAAVTIGTPPSAYMRLSPPGLKGANVPLKAMGSGSETERQRERESVLTMDT NLDAPWVGGLASDWQAAV JR316_0006419 MSLIPRCNNAPPLPFDVLQEIAQYCDKSTLKAYCSASHHLREAV APCLFKASKIGFGVSVARRVTENVSDTHNYQAWASKLTDQFAQLSASRYAQYIRTLSY TGVTSFSRYSEGCNLDDEGIRRWQAAHHKATWPVLTRTLGRFAQLKELSLGYVEIDDR FIIVMGTLHNLQDLSLCKRIDTLRKIA JR316_0006420 MSSDADHHYNTPTLSPDIHQEIALHCDKETLQAYCSASYLLRQV VAPFFFRASHIKLGIQLNFESSAAYHMASQQNYEAWASNCIKKFEQLSASGYAKHVRE LSYRGFKTLEGPKDGVETWKTQYHETAWAIFKKSLSRYTQLISLRLEDVDIDDEAIIV MGTLQTLHHLYLTDNPGFKCTEELAMHHNVRPVQSLTYEPTFDTDDVEIDGELGGMFV AAACSNITSLYIKTVIGDGMQHNLYRILSNCPLLETLNIGLGTQDDPNMDETAPDGLE RTAMPRLRDYSGPAYYAPLVKGRPVVKIHVYPLPYDLDDTNVADWVHSTFSGTTAAVE SFTTLFFPGDAINTFLGAISITLPSLTELEMEIDGDFDNEVLECLVQDLHERVCVIPA SLQTLRLFWLNESELELNDIVDKVVEILRMPERLGKLEFLTIGKTDHDYVSNTIAHSL JR316_0006421 MENYFQQNYTTQDYIPTEQSQLFSQDPIFSGQYDGFFLNDAPHS TEFNFYIPSAEGESYAYTSSLDNHLCLNAPTIRTYFGEERPDIFQPGIPTYEIDNPSF VCDKQQDCSDSGGVSPQLSEPSPSAHGRAIIPDIHHSRYTTVGLPFDDETEDYLRGIF GIPPDMPLNLDSLPNIPKNAWKRPKELPTVALASLAIWAAPNHRAKVTDIYKAVLKRF PILDLINHGAFRTSIRHTLSLFGMFRKDPESAHHGGYWLIDVRYELKKKRATKRSKGE SRKGKKAKSDLVIP JR316_0006422 MYATQRTSKQPFSVPLILLFAACALLACIPGHRNPTKVFLLVTL SAIAFYVISQTNTGDPIIDLGLGSAILIQVANALDSLYLTDPDTLVNFEQPESGGRIT QKPLKERIRWAFYLYTNIRGIGWAHEPAFLHPAPSRSTPIRAFVIRRLFYALLCAAVE FISYVLLASNPALNTPGKVAFSAAPFHWRVMGTLAFGGASSSRIFCVSCVVSAVVVGL GFSTPERWPSLFGSPFQAWSVRQFWSMASDAEKGTSKPTSSPSSNSPLQTLSKLLLVP MAFVFSGILHIGGEWMLLGYPGYGTLTFFCLQGLGVSIEVIAEKVWMRVYVGGAKDSQ KSKVDKRADPVRNGSARNGKAINGVNGNGNGKEHTNGFKDHPAQGDPAPALWLRVIGF LWTLTVFSYSVPYMIDPLLAFDMFVDPRFDLGMLVRGR JR316_0006423 MSLSHFSGGSIHRIRFRLLQWISWFLSSKGNEYFCEVDEDFILD RFNLTGLNNEVANYAQALDLITDSLDDDIQDELRGSLDVQARLLYGLIHARWIVTARG LTKMLDKYKRADFGRCPRVLCSSHPLLPVGLTDIPYEKSVKLFCGRCEDLYSPKSSRH GSIDGAYFGTTFPHLLFLVYPTLIPSKDGDAAGGAGGAGGVGGTVGADGRSRRRLREE PDAVAVSAAVATEGGGEGIRTANAAMKAERYRPRIYGFQLNETAKLQRWQEAIRDRQI ARLEALEDKAP JR316_0006424 MPTSFHSKVAQHIVELELEKLRKRNPSLGYHQDKDKVSWEMLID RGAHPRKRVPVPDSESLAQVSQAAHGVDPLFPDELPSSLPRSPVTTPETGLPGHKSPA DLPRLPEVGITEPVAIIGAGVAGLRIAMMLKHLGIPYRVMEASERYGGRVFTYHFKKQ DGEAESTQNYFDVGAMRFPDNAVNARTFELFEELDIKAGGGTGGKLVPYHLGTDDNIM LFNGRRGPAAFFEWVFNILLFLDIKKTVGEMSKNPLEDHFHDGVRNGGTVPDDYINRT YVKPNGDKLYGIDALLGAAWEPYKFLLAKDYKLGWEILMQHDSRSSRAHLLDIMRYPP EVVQWMETRDSGTNAYDDAFTESIIDSLEFDYPTGYDGQGEPGEVNWWCIEGGTEVLT KAMYKSLGIQPFVQTSQRVTAVYKNKPTTPDEKTTMGVIIQGLAELVPTKYSHVVSTI PLSCLRGVDLSRCELDYAQKTALRSLKYGTGVKVGIKFKTRWWQGQNFKKQGGSSKTD RQSRVVVYPSYGVDGPADTPGVLIATYTWNQDAFRFGSLIDPKDWGNSDDLHSASLDP NRQPSPSEAILLQHIYEDLAEIHGETAGWYREQTLDYYAYDWYHNQYSMGGYAFFNAG QFSDLYLHITKPASDGYLHFGGEAASSHHAWVAGALDSAWRCVWEILAKDGTPEQKKK FRKKYGASKEFDNIETAALQYYRGIYANSLEKADGNHGLFHFPGE JR316_0006425 MITIAEYILTRLRQLGVQHMFGVPGELNLAFSDIIEDHTEYNIK WVGGCNELNSAYAADGYARVKQSLGVLLTIFGPGELSAMNGYAGAASEDVPLLHIVGV PSTIQQKNQTLVDHTLGDGRYDDFSRAARQMAYPQAIIDKKEEAASKIDRILIECISN SRPGYLALPTDLVTQEISSDSLQFPLSRYVAQNDPAAEKFVVDRISHLFGQSMAQGEE AVVLVDLGAIRHDVVKEVYDLVNRTGFPVFCTPMAKTAIDETYERYGGVYWGSILSRP EVKEKVENANIVLSIGSFISDFNMGNFNYNIQTSRLIELQHDRTNIQGTMFPGVGMKQ LIPKLANQLHHICNEISKTAVAKYVNIVPQESDDAITNAWLWPRFGEFFKENDIILAE TGSPQYGIIDIPLPKGSVLLSQMRWASIGWTVGATLGACLAASESTTPRRSILLVGDG SLQMTVQELSSIIRAGLKPIIFVFNNHGYTTERMLHRESTQKKYPDLALWDYAGLLKV LGDLDGTRSRSYKAHTKQELSALLNDEKFGKGDTYIELVEIFLDKLDAPYALTNWPKA GTAPARRSRA JR316_0006426 MTALNITFDDALNSTSFLFQPSECNGSGWTVHKGEGYLGTYSSC TSSEGPSAGISFQGVAVYYRSAYINGSSVRFKLDGALSEDIDLSPPVGKAVNKNATNI FWSRTGLNNTSHLLEIVPGSKNSTTLSVDAFTVTQLVGNNGTSTNPGGSFASSLAGGA AAALAPKTTPSQTRFALGFGITFAIVSFSVFLFICVSLGRRRRLMKASYGRSKPVPPI RPTSTMFSQHATVRSQVDGGVGTHHDLNSPAHSPKIEDLDEAYAMDSIPSLAHGSVVA NDDDDAATERRWGSPTPSNRNLLSHGTSYGQVDHSQPLSRKTTTSTARLEPLRQGSPA LSRNTTTSTTRLEPLRNTVDYPSSLSRNTTTSTTRFEPVRKALKTAKLQVTFMLGLAL ISAPDVRESSGGE JR316_0006427 MSKRRGNKSTKVPGVKLRPAEKGAYSRPVEESCWAQDSQDGSED TSFYDSCPRPLKGMSICATGVPDKPTLFKQAVELGATCTPAFTDRVTHLVAIDHGSAK YMCALERKIPIVTPSWITENYKIWLKGDDVDVVKSVEQHRLPIFSGVVICPSGITDIT RRTQISKLVQAHQGEYLKNLERPVRVTHLLCSGDEETEKMKYAEKFNSRGEAKIHLVW EEWFWDSIDFGGRFDEAKYQVSLPRPERKALQRSSPPPPTSFDVPSQHDEIPSSSVAS RQPQVKNMEEAEDEPAFVNVLPAVTLQLWGSLLERRGYEITDGEVILSPSKGLGKRKA SEELQPPSPVKFGAARSVISSFRRANSFAPAVPNAKAGPSRQLPFRRTSTAMAIMGDN NASTSALPDPTTPQASQIFLGMKLRILGEARGSKVREAIEKLGGTISVDEEEDVDFII VRLVTGSKLYRDEEDESLRAKFRTECWLEQCIYEDRICGPHEHISSVPIATQIPIPGA EKIRIALSGFDQSEACGLARFFKATGITFVPQFNKTSTHLLCPAGKGHKFDKAIERNI PVIGLEWLRAFASTGVAPGPNEYLIAGPPQPAAEDGQPMDIDVLPMDVKGKGKAKVDK QAASDAMDVEVENIMMMQDITNESPPEHNGPTSKKKTKPLERIATVVISTPEPPARMS FGQPNESLGGPAPVSHPSSIPTPGETILSPLAQGLLQEQGSETSLPHLSRRPSSNLNV NHDTDSNPNSHPQRVLQLQKTISVLDVTDKVGRVPSSTSPSPMKIPRNQGSRSSLSPV KIDHQATRALQESITSLLGKRASPDGEGAGGTAAVGPEMVGAAGPRSGKRQRPQRSKP QSRQPSGDAEPQPAAPAPATRVRTRSSRHSQASAFGDTHSPFESYPDSIDLNTRGESV RVMYEDPGQKEEQKRLMSLLKNQPAAAEDDSISNISISASNISVSSRRGTRRSTKIGV EFV JR316_0006428 MKFSSSLKFNAVSDWWDEYIAYDALKKYIYQLEKQQSGRDLSLS RDLESNERSALLNEADATETDGMFIPLLDRELRKIVAFYEQQSKELLDDLEDLEKDVI LQDEIGLQGGEHYDDYEEYDEDDNDNDSISASRSPDGRRTSTSRPRKLSAAGRLRVPQ AGPSGSPEIQRRQSLSSFEDMEDSYLSSRHGKGPKGTVAKLANKLKDSFVSSSSTTDP TIWTARTDYAYDTRLLYKRRITNLYISFTNLKSYVEVNYSGFRKIIKKYDKVTFSELK DRYLHTVVEISEPFTQASKDKINDALNRLLELYTKCVARGDKATARQQLRLHQRENIA WERDTVWRQMIGRERRGEGAADDAAGATLLHEPEKAIVDIPTPVGMFKITKRRILKLL AVAVLVIMLNVQVVDGLEANRCLAILSFCTVLWATEAIPLFVTSMFVPLLLVLLRVIR DADGVQLSPPEATKYVFSIMFSPTIMLLIGGFTISSALSKTNIDRVLITRVLSLAGTR PSTVLLTFMGVSCFASMWISNVAAPTLCFTLIRLAIALAANVGGQSSPISSPQNLIAL DAMDPKVDWATWFAVALPVSAVSILLIWWLLLVSYKPARSPDGDGYIEIKVIRPTREP FTWKQYWVTFVCLFTIALWCVAHKIEELVGDMGVIAIIPVVAFFSTGVLKKDDFDNFM WTIVFLAMGGIALGKGVMSSGLLEIMDVIIRDLVDGLSLYKVVLILSPIVLIISTFIS HTIASVLLVPIAKTVGKNLPGDQSNLLIFITGLICSTGMGMPVSGFPNQTAATQEDEL GDLYLTNVDFLKNGVPASIIATFRNVHTRSRARHRPA JR316_0006429 MTTGMLQEPVQTSPSGSGPRFRPYASPNHHVTKGRYITSNDSRG YIPVYEYPLNGQWIMMDIDDGYILWTGIWKALGNSKADIVKMIDSQPDLAPLIRRVRG GYLKIQGTWMPYEVALKLSRRVAWAIRDDLIPLFGPTFPSTCLSPDQPGYGQVVPTGQ GRRRTRRSTQNSVMQMPRAGGSWTVVTPDNNMPTSPHDGLPLHPANHRSNEYHLASPY SSHMRGPPSDGPYSPIQSTADSMHSPRYGDSYHHPPTSYRKLSAPTKSTGLSLDICNL SMRERSSEPSLKVDIKLPPIHGHAGSDPGDSPYALPPISAMEDVRGSVTNDSAAVLRR LKMDDDEYPKAGRSDNDQAWARRHSLSVHPSMSSKPAETSPRFQPYTAPRSYQDHSPY RTHSSASSHGHPSNYASKAESRGVYSDLSHEGDSTSNPSPTSPNTPSSLSENEDRASS HNQYNGSMIRGKPFPGFAGRLGQQPWPPAHLHTDGHGRISESENFSVRRNSYEAQSDG DSNHPYRPW JR316_0006430 MSQLEILPAVNPIDFSISSSDSYAQFHESSLPWTANALVNDAHT PSSTLRVTPDPSHEPEVIFPSLPPLHSGHPEIHLRNGIMNASRLSAAHEPDAEKAFFV GDLSVVYEQHQRWKACLPEIQPFYAVKCNPDPYVIRLLASLGAGFDCASNNEIAQILD VGGIDPSRIIFANPCKAVSFIRNAAKRGVDKMTFDNADELYKIARAHPRAQCIVRILT DDSKSLCAFGIKFGAPLVTVPGLLAKAKELNLDVVGVSFHVGSGCYDPSVYMDAIMRA RAVFDMGKEAGYTFTLLDVGGGFEDARFEHAASVLTQAIDRYFPDRKDIKIIAEPGRF YVSKAFRLAAHIIARRAPLSKETSQETADTTSDQPAVMYYINDGVYGAFNCILFDHQV VHPYVLSMNGSFHISDSEPKHVSSVWGPTCDSIDCVSASTVLPSSLQVGDWLGFDNMG AYTVCAASQFNGFEVSNVIYTTGSSGSAEVRAALAAFAAEGHGL JR316_0006431 MKSVLLDLRKIPLDEVAGLQSTPSLKIRTDDDVEYWKTTQSYLD YGIFLRRLNESVVGYTLPWEPVNRSTSITKILELLDELAHWVDDIPALQTPQRFGNIA FRTWGQRLEERCDNVLQKLLAPDFLAVLPYVKPYLLISFGSFTRMDYGSGHETSFALF LLCLTLVRFFEPEPAEERDLVLSVFLRYLKLCWKLQDTYKLEPAGSHGVWGLDDYCFL PYIFGSGQLRDQTEIPVSAVLQPPLPPTNLYFMSIMRIHDVKYGPFHEHSSQLHSIAA GVPNWGKVNTGLFKMFEAEVLGKRVVVQHIPLGGLLEWENPENKANSIPVQSAVTSQH TIRSHPSVSTPAPWASANNTVGLQHHIPGQTMLPPSLSQHNMPKTAIPSNRQSRGSEA GSHQSGPRIPQ JR316_0006432 MAPYDDWNTLEEEDEDELQDPSMFETKRDVILFCIDCSESMLEP YDDHKYEDTVKTCHLYTALEAAMQIQKKKIIIGPNDSVGIMLFNTTRKSDANKNQGSE IKKGTYLLQPISPLSAPKVQELMKLLNAAREDLDELRKEFPPLTTGRVPMGDVFTSCN WVIRDGAPKTASKRVFLITDEDDPHPSVGNKQLAISARTTLVDLTQAGVTVEPFFIDT GEKGFNPSKFYSSVLLPTDLEDDEGLQKDDSLLPDSISISRIEDLLSQMRLHEVPKRA QFSIPFHLAKGFTIGIKGYGLVTEQKKGSYKYFADVDNELKPAISRTIYTAEGSQEEV DKARIMYGADVGTGTAIAEGGAANDDDEDNDEDIAGGFGARVVKAGQRPVYTAEEIRA FRTLGLEPGIKLLGFKDRAELHLEDNVKHSQFIYPDEMSYSGSKRTFSALLKSMIKKD KIALALCLTRRNASPIFCALLPQEEKGDTFDLDDPAGFHLIQLPFADDIRAATVEHGT RASEEMKNSALAWIDKLTMKKGTYDPDSFPNPALAYHNEQLQATAFEEDYDPDSFEDL TVPKFEAMHKRAGKLMKNWKLTLAQDPSTEVVIAATGSKRKADVAVSEEELRSLYDNG YLAKLRVDQLKDFLKSKGLSASGRKADLTDRVAEWFGSH JR316_0006433 MSSSNLVEVTSASHFQELLSADLNRVSVINFWAPWAEPCKQMNE VVAELSKKYPVVLFLQVEADGEQQADIAESFEVEAVPSLIILRGHTLLDRISGADAAA LTQSVAKHASTPQYNPLSRTDNAPAKAPTVVPSALEDKTETEEELNTRLKHLMNQSKV VLFMKGTPEAPRCGFSRKISALLNDNKIAYTHFDILTDESVRQGLKVLNNWPTFPQLI VNGELVGGLDIVQEMVETGELKEVIG JR316_0006434 MSRNEMMMRRLGQLAREHATDEGRSLEELKKTKEILKGAESQRL RRFCTSERMVPGRDLDELGKAILMGDFDYVSLDFSRRIAEQMMTLGREKAFSAAAEQY YNLRWGPTETPIYNLLGLAMQLVPSQKEAHFQLARLFIKAKVPVDGTDLSGTTALSHC FSTKPSFELEYAQLLYDAGGDVNHRNRYGGIVAEEIIKIYDHHDREVVRKATAALEWF LNHGGNVDIADGDGYTPRDMCKRLAGRVPALLSLVEKEDEKRKAKGNSCCSLCGRDEE KLLTCGKCKKAKYCAPSSRACQKLDWPKHKKECKASGIVILCDFQASFHI JR316_0006435 MAVLSVTRRLLAVSCITSVKKKWRIGKIYAVGLADSSAAVYNLN EELVFEQLSDYPARKREAVLRFDEAKIQRINGINDIPS JR316_0006436 MKGLEPDIVPSTFEENLDFYQFPDPHEYPVATATHKAVEVYEKL VREDPDNAPDLVIAADTVVLTHPQPVTSDISYSMLPPTPQELLEKPTSKEDNMRMLLD LNGNVSYPILTAPGYNIKSIDERTLVHFVDSPKHVIEAYVDSGEGIDRAGGFAIQGLG GVLVRKIEGDYNNVVGFPAASFFTLLDLLVDEDPDFLEV JR316_0006437 MRFRASITNVQTFFRIVQAIEKLQKRCLIKFTEEHMCIICNNDA NEGGIQVWSKIKVEALFENYRIQSNANNEITMALAGEALLGALKSASSSVPSTSAGSG AGGGLGGALDAEEIVMKLAKKNDQALLSFEIKGMSRTGQQVRVVHDVKIEVMKPADVD KMQEPLCPKADLLILLPSLLKVRTIVERMKQMSDVMAFQANNNKRLVLSLRTDSVTIE TEWKDCQNPAVAEPESEDDDDDEDEGRRNPPDPDKMFTVLISTRSFLKFLNSHVVSTT TIASVCQHHCVILYVYIGDVADAGGVLTFYIPAIIDDEGR JR316_0006438 MDVANLLNASTHAELSRISIHSPRPSTSFSFESTDVIKTDSKSS VTQYPTLPSARWLIKEVEHRIYLNAQLGLRTRCACSCSSHIGITRMPWLSRPPKLKLK HNYRFNPISPKSHCSKASFSNNGEGPRCTHPPSSEYPYSQLYPLSDSPSSSLDYHDYD SFLSPSLSLTTSPEPLPYPQPPSSSTSSLHSNSRLRPPQQSQSQASSQVPLDHHITTT PETEQRQDRYHSEHLLFTWTKVSEDRTPPLSPTQPQSQSPNVTPPPRTPCPRSSDPRT APLAKQEQYHIQGLANEDTMLVTEEDENSITGTAFGPAPMSTPLTMHMSVTKHLHIPA RQYRKYVRRRSMFNCFVAS JR316_0006439 MASFMTCRTVARASARYNVSRMLTTASQAPIASSSSSTSSSPSQ SSPSSSQESSSTEAGAPITHFKITLRRSAISLGDKIKGTLKALGIHRRFQTVYFPHSP EVAGKILRVKELVEVENVPTHLVKTKQQQRQERKAPRGYKVVGSKRDSFMKV JR316_0006440 MASSQALPEPMNSSPHHSKVKVTITLADPTFVAGTHVSGKLEME CRADKGLGIGIMMIELFAVQELSSRDHSATSTFLHSRRLFQGPGLPPSNAVQAHPMPG DPPLPQDYHQARRGRSTFLFRIPIPTSSPSSISFGSGLAKVSYELRASVGVFWKNEKR LVIDKRPIDVVEAYLYDDVLMGKVPESIVIGENGKLWMQGKIVGNVILAGESACLELQ VKNHSNKKASLTLTLTRTLYLQSSATGPRQAPVQISDTLTTVPFRGPEYIIPPGAEGV ANLVFDIPKHARGVRGGTLDGEEAEGGTGPRHTESLFEIKCKVEVKLAMGMGSKDLLL EIPIDIVHPSAMPAQLHLPQVTGPYVQPYSPPREAALAPAPYAEYNPYYPIHPMSPGP HIPYVDPVHNQVWMPPPPPPLIPLSQSPIGYPPYLQSHSPISQEAYQQPMHPYALPVA PQPYYPLDVIPPQPHLLSPVDDFGGYIPRPSSVGPVASASQTAPPLIPGLPPPPAATS LLPLHDLQHPHTHPHALDPPSQPYKPEVGKGDRALRVSRHLRMSSRNRSVSPQSHRYP LPVHLVPITEASGGGRDTSSVGATAGLSPATSNNGKKQPRMLPPPPLLLHAQNMPLVS PTHSADGVVHSPRPQLTPKHSFTRDPVNGDTVKSERVETLEKMADEVGRKSQDLSCDL PKGISDILAAKAKENEEQEEKRDGLPAQSGSNDADVNKTLPGPPVPSGKNLMQPLPSR ARADVYFAEQQQEQQLLIPETSPLPSDQTPPTPALVAVLPSRQIRSQENSALQTESGL DALERRLLAEVGTRKLDTRRAGKDKRPGVRDVLVGAATPSSADTEAEVESIASPPSRA GGVAIPAKSPETLHDSAISSLTLAGGLGGDESDGEFDGRTHKAGRSRAGSSDGREGGV AGPSTGLHQHMRERAQVSTPTKTLNLDRVRSRPLEQENLNELDNLNLNGSGKSRETKS SGKKKERASNKSAAKGRVAAWLGGIDPDVPPQEQIIPPSPSVTRKTPSPFQMGDDEPA PVEIPSLPDDEPQQVSASPNPRSSGFVPISTLKKEPLQSRPLIAGDATVVVEAKRVQD IWSSQDTPVLSAKQIPSYFNNASQQQQQQQPPSGPLKTDRKVTPPSIKPAPPDPRSKP LSYSAAARNVWKLPETVKTPAAQHAPPIPPKPQVLPPPKKPVGRIPAVFPQPKPVDPE VKYDIRSARGGRGGKVTAVANLWSSGAINRNSGKGVVKPVDDVGKVSVPPPVSSPMRT EKKLFSDAVMTPAPSPKRSPAAPARVANTIKPTDAQKRPDSRNAFPESRPISHPMPKK VPSSAALKPSAVHAPLGGMHVGKGNKSSEALVQKRPATAMSSPYSLHAQHGKAPPTSH STPNSSDPKLHNLVAKGVKPAFKATSDPAVVISSHAVPTLSSTASLARPHSSAAQNDS SRPVKVPPVLATSVPTLSSDLPSGAPRPVSPSKPVDLAFGQARIRDLIKKYQGGQGQK T JR316_0006441 MQAPNSVRGYSDFFASGFRAVATRIPSSPGSRNSLESITNAPAR SRRSFADITHRRPRPTSMFVSNTSSTPSETREKSSRGRRSSFVTFSSRLFERITSVYG DENSTISSSSRRSSKSFRFFGSDHESVPDSGMWISTGGPHTPSAPNGRYVRHSLSLPL PVAIPCTVVTTPNKSDSHTFLCLSLPPYSSALHQPIDPFTSSPDARSMFIDLSSDSSP SGTPKRESFLSLTGSSSNRSSLIFSRRERPTSIHTMPLPSRSRRSSLQYRAPSQEKSD FFLILEEEPGLAAEPILEDERDDWDAPAKIDWRQFHIDILTDDSH JR316_0006442 MGSWFNWFKGPEEEAAPTREGRQKCWDARDEYFACLDKAKVIKA GDEGSACSKEKKRYEGSCAKSWIEYFNQRRVIAEAQKDRLARANEQAQAAKK JR316_0006443 MDASSTSRPKSRAEVSIVRHPDFYFSDGSVVIIVEKTAFRVHQS LLARHSDVFKGMWEVPQPQRADTYDGCPSVILQDSVNDFVDVMKVLYDTFHFDKINPD TSLSHLITFIAGILRISTKYNMLQLRNKCIDIIQEKFPSSLKGCDEVLTRGIKYVPSE IVRIIPLARETTVPKVLPWAFYLCAHISVDEILANNVLSWRDKALCLAGKERLWELQK KETHFFLLDFKQAPTCTTGCQTRVMVPRQLKLSDIESLRINPHPLEEYTDWKSLMICI KCQAMVESQHKEGREKVWQKLPTLFHLGTWDDINKDQSC JR316_0006444 MNGPPTGMGGGMPAGISHEAPPTPKQAQAILIWTIGAFCIYGWE CLVCLPKEYKLIWRRPMNLSSVLYVANRYFGLVQFALVVTLATDVWTPSACSHIFLFE PIGALISVVLSQIVLGGRVYAVFSQSKSLALILGTILVVECVVGGIAVSNIRHPPEIP ISAPGRPPNFEPPPCGALEGPFKWQVTYWTLPLFYDTLTFLLTAWKAHSFWKEELDTR LFDIIWRDGLLYFLAILAMNVVNVVIFLTAPNGIRTVNLP JR316_0006445 MLIALTQALSLAGAVFASAHIPGDLRARQIDSGQLSAAYDYIVV GGGQSGLVIANRLSEDPTKTVLVVEYGYFDDSPAQLEPSSATQYLSKNLFNASSVAQP GLGNRQGIVYAASVVGGGSTVNGMLFDRGSADDYNNWEKLGNPGWGFSGLLPYFKKSA TFTPPRADLAAEFNITWDIPSAWGDGPIQATFPDWQWPTIKAQWAAWTDLGVPINAEG AGGDAFGAYWVPSNTDQNYRRSYARNAYFDPAKNRPNLKLLIGYRVNEVLFTANKRAD SIKIQARGTANGAPTITVKAAQEIILCAGWMHTPQILQRSGIGPSALLTQAGIPVLVD LPGVGSNLQDHPAIGINYRYQTDILPNQASLYTNATFQAWAAQQWAQRKGPSSMGVGN ALATVPFPLLSPTYQTTIDKAKAQNAASYLPRTYTTENINGFIAQRALILDSFGRKDN GVVEIPFSGGGGTSLVLEKPLSRGTVLLNTADRYAEPIIDYNCNINPVDSDVLVATVK FARRWYQAPSQQRLTPVEQSPGTSISSDAQIASWAANGMTPSTAHGCGTAAMAPREQA GVVSANLTVYGVTGLSVGDISIIPIIPSTHPCATVYAIAEKAADLIKSRYDSSIPPAG SNPISTTTVISSTASSTTTSVSPTCTPVSKYGQCDGQNYSGCKICASGSTCQYSNPWY SQCL JR316_0006446 MDNGPAVSKLYSNTLMASLNSRMNFASEGVANEEAKLSRPLEFF NTVSQAYMGTSSFTIEGLETNERTNGSTGLRIE JR316_0006447 MPSIPHASLPESYHLVRMNTMPNGLTGGPPEGPLNMEHTSPKQG QAILIWAAGAFCIYGWEFLVCLPKERSLIWSRPKNWFSILYIANRYFGLIQFALVVSM STDVWSTSACRRIYLLEPIGGLVSIVLSQMILGARVYALFSQSKLLALILVSILVGEI VIGAIATSGINPPPTIPLGIPGLPPDFQPPPCGALSGQFKWQVTYWTVPLFYDTLAFV LTAWKAHGLWREQLNTRLFDIIWHDGLLYFFAILTMNIVNVIIFLAAPTGIRDVNLAP TIMLEIILSCRLVLNLRDTHNQSQYTSRVPKRRTTLISPVKSVRWESSAITGSQNSNQ LETPEINLEVYGKVPTSKEIVFNIDATHSV JR316_0006448 MTYSDGRLIQMTVVSLVVLETLFVALSIHSLNHYLIINYFNPLA LLKNVWSLDISYGIIASNVLIVHLSYAYRIYHVSGRKALIPIAVVIVALIHFSLGWVL VAVLFRLSSVEQIPGLPETLGKAILSSAAAIDITIASTLSYYLHKKRTGFKQTDKLIN RLIKYTINNGILTR JR316_0006449 MSLVSQIVNLNDTFGVLMIGTVVTAVLLGGVYLQAYYYFVTYTD GILLRITVLSLVALETLYIALNVHAVNHYLVVNYFNPFALENSVWSSSLSAISVRSFN FIVDFRVPDLQKITGLPEKIGKAILSSAAAIDITIALTLSYYLHKKRTGSRRTDKMIN RLIKYTINNGILTSAVDLITLALIIARPRNFLFFTFTQILSGLYCNSLLATFNSRRQA KKIQRSNIISSSFSPSTHTTNPVFYVSRSAASGGEIDVFASRSHDIELGKMNVNSHDY Q JR316_0006450 MSTPTTQKQTPVHLHPLSPEEVPSKGRRIRGQQTEVRPGSTYHS LKAQLEQDQSESPNWDGSVRGYGQGKTEGRNIPEGSWRKTDKLPPLFVVGSPHDTHSP EVVITTESELQGVDSAISNHILATKWHTYSDEAIQATISKLSAADSPADASNHPYHTT LRVLSSAVYNLSRARLELEEARRTLQDKEIAKRKRADELLKELKPSEQEVARRVVQLL FTDDDESEHRVHRKQSVMSLSESLAEAVADEVSMSRSPPEAPHPLTPMSEETPAMLEA SVVEERVEPESSREDDTSGEPTLVPQKLRQERPSIGDWMGTWWGKKGERPPGDKDPPL EVPPKKQTRRRSARSVFGTLGISMLNPPPLSSSKKQPTLIVNDTSDAASIHSNKSAKS SHTNIGSSVSAAASAISSPIQTSFAPSPAAPLISTVFESLISTQTLSKDPSLQSNDSP AILSQGSSLRAIANATRVMSSDPNSILADQGRETSPLIARLAMELVRNARDEGITFRE RPKDRKEFKSDQATTDGAERSGAVGTMSPNLGSTDATMSLNRTLAGTHSDTKKTKPSR TASLIPATTPFASPLFGSFMGQQSKKPSNLHDKGYSNEQSSSPGQRIGSNVLPSNAAP IRKKPASVPLESIIPATSKPPTQYLSRTYTPLTARDFRFTIPLPQSASRYTVYHDDKN KRPLTDQYGFMYDVSQYDLLLLIRARECGNTAPACLTGVKIADREEDNSWPDDEEDDQ GEAKDTIDIVKGSCPCNGELDVPNSSKSDRLSQATPGDSNSVSIKSRSSSKSRRRSSV VPSGAAISNMGTAMASVLSVNPDTPRHACANTMRHLLNQLTEIHDQKQAAQRKEWDAF VKQRSKVKHLKANNNAALSSSVAAGAGAAAAILGLGTAIEEDELVHSEGLIGFAQLGL SSNKDERKEFDRLVRTGIPLVYRSKVWMECSGALEMREPGLFQDLLAEADGPDSVVGE IEKDVGRTMPLNIFFGGDGAGVDKLRRVLIAYSRRNPSVGYCQGMNLITSTLLLVHAD EEEAFWMLTAIVERILPEDFFSPSLLPSRACPLVLLDYVQEYTPKLHAHLNDLGVDLA AICFSWFLSLFTDCLPVETLFRVWDVFLVDGPDVLFRVALGILRNNEGELLRCESIPA VYVALENLPTRMWEADKLLQLEYDLRPSLLHAQILSKREAHVAALKKLIS JR316_0006451 MAKSKFFSKIVTNASRTSSMLWLGGEVVCDICIAVCMFHLLRRA KVEKTGSTRNHAQRIIKLTVETGGMTATVALLDVLLILIHRGAKYDYQPTTAAVSKLY SNTLLLSLNSRVNPSPQPLLLGPRSYSTPVSFCETSCHHTTTDNLFGSRSANTNSTLQ SRR JR316_0006452 MVASRNKMRLYLGYWWRQATLENPGKYHVGLFLTPKNPKNDKRT ATIYHAVNRITDGGIWKFECIPASCRTPRRAGCMLLGKVPPKFGPKDIEEILRRVRVP SQEEAEEEKWRCRHWVCDALTLLVVEGVIPELPVSPHDLWDTGRIFVESRTSGIPNYG KPLYTCNTMGEEIPSEISALDTH JR316_0006453 MSTRVLGLGPALRKAAFLPNAARSQVMLRNVVAKRSIQIQSLTP SAGQEILNKQRVVRPSSPHFTIYQPQLTWVASIFNRVTGVALSVLLYGFSIAYLVAPG TFSSENVIQVVAGLPEGVKYAGKAVLAAPFAFHSLNGIRHLSWDSIKFLSLKGAYVSG YIVLGASAISTVALTLMK JR316_0006454 MAILIAQFFRMFWNLLCFPFNTAHNDDSMVGDGVERGVDYTGTL PEIILASIFIQARPSSGLNLRIQAVHSLTPNSRRRSSTDLRPWTILASNICYWKRIDI ELDRQTVFDFLELPLTNASMLENLSITVVVRDAQELPTKILDYLQTVMESPTFTLQRL TWECIPVESMTTHSLWGSLREIHLNMGLTMDQGIEFLRSCLRAENIALACITTDCVAY KEHELQLEAVYRELMSGGLGTLPPPQRRMLPNLRRLSVGMGGVVGDCIPILQFFILPA LTHLEIQCFDTRKQSWELLKDFLRRSAQSAEEQWNIQKLSIVDHNMAQWLVEKVLSAP GVPKISCYTRRAIDVQKVLEKLGWSMELIVLKDSWGGTCIEYSSAVIRQ JR316_0006455 MLFNAAGLGVLSVQLCIYYLSFPQDSVRFKTLVYTVYMAELAQT VLLCNSLFRSFVYGFFEHSILDRIGDLWFSIPVLGGIVSFLVQVFYAYRIVALGSIRR NAGVKIVATIVTMFAIIQLGGGLALGILLKEAVTFSRIKNTKVRVSAAAWYAGEAIGD ILIAIFMTLILLRTGSEGTKSTRKLTRKLIRLTIETGTLTATMAIVTIILVMFGGSYY QVTAGVVSKLYSNTLLASLNGRTEAAIGLQDDCYDAGPNSMSISFELPTIYQSAVGNG IDMDCDDNRAPTRSAAPKLKRKVK JR316_0006456 MPRSQSPNSYPRSSSDTSWPLLRDSETEGYGSLADESVQSRAEN HPKRQIGVVSAVFIIFNRIIGTGIFATPSTILGFSGSVGLSLVMWIIGAVIAAAGMQV YIIWGTALPQNGGEKNYLEHLFPKPRRLITSIYAANAVLLAYAAGNCLVFAEYAVASI SPAAPASTSVFSPVRVVAFLCLTGVLLLHGLHIPSGLRLQNLLGFFKIGILLVVVMAG CFALGGNLQEGVVRPGNFDSWAKIWEGSRGGRNVLCACLYNVIWSYIGFSNANYALAE MRDPARTLRIAGPLAIVTVTVFYLFCNIAYFAAASKEEITGSGRLVAALLFRNVWGPS TERILNIFIAMSALGNVLSVSFSQGRVNQTLGAEGILPFSKFWASSWPAKAPLAGLSL HWAVCNLVIFNMPPGDAYNFVLNLCSYPLSVINTIISFGLLYLSLRRTVTIDKQHHDT DDHTTKSTIVFKPTPSLILPTLLFGIANVFLFVVPLTKPPPGVEPYEKLPYWLHGAGG WCIFLVGGVWWLYYYHRRPE JR316_0006457 MYFQDPPENAEQKKLQAQAKDFISIVLKGRASVEHTLKEYDREV RNKSKDIKLKHPDLYFRRQKELYIYKILRDLHKDMYQKEFRSFIDRDGDYIMPYVYEL ERYQMLMRNKGVY JR316_0006458 MSVSSSTSNLGELSAARYGKTKVRVFRIVREGKWHHIVEYNVQA LLEGEIAVSYSEADNSVVVATDSIKNITYYLAKISPHILNAEKFALHLATFFVSKYAH ISKAFVEIEQLRWTRIHVAGEESPEGHPHSFFRDGDDKRIVKVEVDGSAGKDKLVGKV TAGINDLLVLKSTGSAFENFYRDEYTTLVEVNDRIFSTSVDLSYTFTNIPIAAPTDEK KLDFVIPVQKGETGYAGSVWDEDVPARARAATLETFAVDESASVQATLYKMAQRIVAE NDSVHSVTYTLPNKHYIPVDMRYLDVDNLTPSKAEVFVPIAAPSGLISATITRK JR316_0006459 MTMHNSKKRKTCESGLCPNPERKYEKQDNEESGISWDESEKRVE GIRAEGATSLEDMPTEILSQVEPIDLVHLAWTSKDFRSILRCKQSRAIWRESRSMITD VPGLPECPKDISELRYAVMMFKKHCDICLVESTEEKPKKLLTWFPGRMRSCVDCLSDK KIFSTDITFRNYGFWITWRPQAEILLRHLPHVSALRNGRTITHWHIPSLIRWMSDFSQ TADRKTWVTNKIEVGNNANRTAAPYQIFFDKWRLSEKKKLVVKYIESLGWKDEISLIT NPAHRIQNWDILTSYYKYDITPTALRGLGNFFNNSMGRIRRIRQERERYYRVIRAIPF LTNILEQYTLELPPNAYVPRLVDFIHYPVVQSTIHAYGLNEILTPVHFERVRVALPKI TARTLRKREEQLISMITDACAKDNYPVDPATVLRLATTTFHCHDCEPSKGLIQYPRVL VHHHAYTNSHTPPHTPSADSFSNYKKHRQDGAHPDAIALQLACGQTRWNAHNQIHFDT RSMSLLASALTKFGFDPKIITREEMDAQLPIVSCITCSHFYGWQHVMRWSWIPQHKAH KHIRSVATVLAVTPVPKEREQAARQAIMSAPRCSDAPLLNPAKDGPMMCTYCRKLGTK GSLRLHVKEVHGVDNPSDDDMVMRLDAEGPQDALLKSFDRIKSHECIVHERDPTIVER LVIGAVALA JR316_0006460 MENSVFKFSHLVPTKEILCGFTTCSSEPLPSNVQLISLDDTELG VHKISSSTTHEIVRPPKTDNENKPPTFAWEALYPKNSINPSGPIPGGFGFYLSGPSAF AERLQFAKEAIFSYRMMLQGEWEWVKGGKFPGVTWLTDAQAGGRKSAVTVSIFVRCGG MVPKNSEQATTITPHRAKSIGELYAYLPLTQSNDSQLSRVPPLSIPNADYGFSVGRGA YTFDRAVSRWMSIAFRVKLNDVGENNGEFELWIDGQSVMLADGLSFREREEGKIKGMH FQTFFGGHTKEWATPKDQRAWFSDVTGVIVQ JR316_0006461 MDDDTSHSDTVDEVKRVYESLWNKYSSWSKLYNQSKLSGLSRAQ ARRKLSLQKKPNLPQAQSPDISSSSSSRSSTTTHTCTIIDFDDDGEPQEHTITQIQPT PVDTDMFSPYPPYHSCTPASHNIMVGDDSHYMEFIPFSDDPTYDYSVDLELYHYTSWQ KSYIDPEEQHILLETIKRLVSENGMSYQTIDATNVLPIPCHDIVNLIRKRTRRTVIPG AGVTKSVYEDGKAAAAHAQKKHAGRRSAPASELTSSVTRKYAIHVDQEEHGNGLCRNV GIQKGVFKATEVRESEWGLGLFLSEPAEEKELISEYVGEMIRPLTVESRNFHAEHRNR SYVFDLNPTYSLDGAYAGNQTRYMNHSVKAANCHTRVMMVNGEHRIGVYAARRIEEGE ELLIDYGIHFWPSESI JR316_0006462 MRESNFAFPAQNKACVCITSQLYDRRALDTTSPLPLFNSLTHLT YLTSTSPRIREIMTMDGGLERLVRILHEFCICPPPPENPAILYGLTPPNARLAKLSPA LNPHSFDKHAAYRFSLAFQCIVNIGVRGSEPIRSRVVQAGTLEVVGCILEAWLANKGF AVGPSSSATGIPRETREQRQQRRIAQMEHRQREDAAHLQRALQRQLMVEQMQHRTANE TQRQRVRQGRPSDEQDDLMDYSPAEGSMSHILLLSESHSQPSNSNSDTDVSTDNSMAA TPQGSGTPTGSVVIPSRDRSGTIIARPIWDNQTAGPATAPTATATTAPPATAMALAAS TTVRGRRTRHRELPPESPSASTDISRAETETEDDIDVDNDVDMDIDRHRIDSDVASAS ASPSPERRPRGVVVPVPRHNMGRRAVGIVSDEPNAGPQTLQVGGLGLDTDAHIIINEA GGVVGDGGVGVGVEVGVEDGIVSLEPNDDFAMGAPPGAPGAIIGAEGATPRVLNLNAM DGGAGGNAGERRRRGNTVDAPDVTPRAALVGLPMMAGAATIPAGTTRATQGGAHAHAH HRTATIRGRPAPTAAEATAGTGTTTAATGAGTGAGTTATVPTAAQTAAANLAAALGGV GLGGGVGGGQRAGSSSHHHRDADSGPYRDEDVLLSLQLLAYLSKYPHVRQAFYKPRVT FHPASVNLPGARFGVGVPAGSGASTRERRERERVVAGAPSSSSASVTTAGASSSTSTS PTASTSQNGFFRAFTNAASSNSRGKTSSSTPSTSTPSSSTSAAAMASSSSASNVNSQQ TPVVSSTAASTGAPSSAKQTNVFSLVERFTFKPSSTETDLPNPPPRLPPEIQYWAGVI MRNACRKDDSRGGIRQCANMMCGKWETYPREFAKCRRCRKAKYCGKECQSTAWSEGHR FWCSAKDVDEDNLGEHAHAHGSSATSTTVAPTASQSVGVGVGNDAAGGTVRIAIEHGT EGNGNVPIINGNTPRVERRQRERERERERIHGTGGDGDGTTTTAAVTRGYRPGGVSAS TSPSAPYVTPTTSTTTAAMGVAGPSRVAATTSQQTVGMSVSPRAEASGMARDRTVQPN APHVRPRPVPTMRPPLTQAQLQQQAQDPTNTSYLTFHIQGAGPSNHQHHVQAQPQDQN VRRRAETITGATASSHHQQHRTAVEVAPNVVIPPPRSYVHASVSPTTTDWPMGGSSPA PPDVSMRADAGPSRRHRLVNIASPRSPTDDGQDDMVIG JR316_0006463 MSTIMNISSIATATVLQSMHTVGRTISLMLIGKKTGIDDLPDEL IREIMFTIMEPSTRSEKVDDKLSIISPIVSENNPITPFPLTRVCRRWRRIACTTKSLW KSIAIIEPSFKHVHRVKLWLTYGDGYKLDIMLRQSYSVGDEERRATAEILAMLVQRVQ KWSNVEFHFTENELPSLIAHHIHMIRHPNPSMLQTAIFSHQSRHMDIRERARDVWGSL QETTLKHLKWISPAPAMAFSSANIQTLNTSCFTFQVLLDTLRLCPNLSSIDVELLNVP PMDADHLEYRVEYPNLRSVAMKGHKTDIATFLRLHCLPSLTSLELDPIDVTSFIHLRG LILRSECTLRQLALEVRNVQALDVAGWLEQDFFQELETLSISGAEINDHVLFMLTCPP LRGNRPTYFEKLKFLFLGQLMSNVDDGTFLRMLGSRSRCTAQDRVGEGCHEYEVMINS CAESGLCIDFA JR316_0006464 MSTIINISSIATATVLQSMHTVDSEFRRSGRTISLMLIGKKTGI DDLPDELIREIMFTIMEPSTRSEKVDDKLSIISPIVSENNPITPFPLTRVCRRWRRIA CTTKSLWKSIAIIEPSFKHVHRVKLWLTYGDGYKLDIMLRQSYSVGDEERRATAEILT MLVQRIQKWSNVEFHFTENELPSLIAHHMRHPNPSVLQTAIISHQSRHMDIKERARDV WGSLQETPLKHLKWISPAPAMAFSSANIQTLNTSCFTFQVLLDTLRLCPNLSSIDVEL LNVPPMDADHLEYRVEYPNLRSVAMKGHKTDIATFLRLHCLPSLTSLELDPIDVTSFI HLRGLILRSECTLRQLALEVRNVQALDVAGWLEQDFFQELETLSISGAEINDHVLLML TCPPLRGNRPTYFEKLKFLFLGQLMSNVDDGTFLRMLGSRFWTPQGAPPKTELEKAGF LVATKTNPMAMYEVMINSCAERMAESKDKNWRHWQNPFY JR316_0006465 MQSVPVLLLGFVAIYIIQKLVRYWSALRSIQNLPGYRILFRDVS AASFLGSIPGVAPGFNANFQDKHSIALSTADADVAKEITSSRYRFPKPIDQYEALSVY GLNIVASEGDDWKRYRKIAAPAFSDRNNKLVWEETVKIMDGLFDEVWKNQDVVTVDHC LDITLPIALFVIGVAGFGKSMTWHDEDQGIPAGHSLSFKDSLHTASVDITIKLLFPDI LLKYGTPRLRRVRKAYQELGVYMAEMVQERTASEKAERHDLFTNLLEANNDDSDGVRL TSDELIGNIFIFLLAGHETTAHTLCFTFALLAMHEDEQEKLFQHIKTTLPDGRMPTYD DMPRLTYSMAVFYETLRLFPPAPLVPKISSEDTVLTVGNIHGQKRVVPVAKGTRVIVH IPGLHYNPRYWDDPESFRPSRFLSPDWNRDAFLPFSAGARACLGRKFFETEGIAALTM LVSRYKIKVKYEPEFAAESFEQRYNRILKAYTRLTLTPERVPLTFLRRS JR316_0006466 MDNFDNLRAPLYTGTTDILGSQSERTGRRSHKMMSVARRKEPVW PPALEEALLEALRLYRPVSKSGRPLRRFTKRNCFISRHILKKTGKNRTPKQVGSRLQQ ISESSPDAELKRLITSREFHRDTHSPQLNAPSSHPSPLLVANPSEYTASTASDIGSPE AVVMSTHYEPSREIRRLDRTPSSPHSYPPTDYSEDSVACYNSTSPSDSVSLLVELISA NIGNRASAQLGLDADISDHGVDFILSLVNNENSGNISSFYNARKLSNIPPQILGSQAP QITILSQFLSPEVAYLCTFRVYMDNSAQVHTENTPLLASVAPAEHPSESHVWRAQLLP EYWERLSSSPALSRYTIMLEISELSTINRPDYPHGMPSRPKFSVAFNFKPSSRDDSPT TFYPNTTYDEPALSSVFPHSTVPLPINGGLSASSSISNISSQEPDSTRTYTWFDPSGA YLPYPLFGDGTANLGNNDQDMSSPYDFPQF JR316_0006467 MFSEGSVFEKDTFMSHLARSDTITKAISAAQEEALAVPLREGEN DDDTIRGDPKIDTSIFNGFTDFELDLSCLSPPASPSASTSELPPSTPSPPSSPNLSRT ISYASQLTITTKKSKKKASTPQLSSTSTAPAGSRGSWPIIKYAGRGTPIDRNRRLEWG GFSGDEVAEDGLLFSAVRSTSLDSAIRPSHRSLSPEWNHRSPLSSANSHSTHSDSHRT ASTYEQPPALAPIQFEGLGPDKSEDWDSIMKTVLSSTEESPEPAEAAPEAQVKSESEG VTETTHEADLTAMSQTMSPEQMEQLNTVLEMDLDLNAALDLGLGQKGGMNWFDLGLLP TSTRGRESPSVYSSQAQSPEHSAPPSVHASEHRSTTSTKAESTLPPTTKSEPRRWWTK IMVRLRQVRTIVTIQKNRF JR316_0006468 MFRASPMLRRLVIQDDIATLLRHVNQPSSKPTIELPNLKHLEVQ VHRVRDERADVAGLIGIFDFPSLETLIIRNIWQEEWSAITYRYQLPEDPLDFTSPSSS NVWRRNRRSLMHPHAKSFPFLTSLNVVFATSPKKVGAASRRHIRLEADIVLFPSFIVS LGAQ JR316_0006469 MGKGKGKRTQEICSNRSSSTSRSATPVSRDPSPEIGNFMEVDYI DNFLGASSEMEDDPPPKASKYSYPYVKEDYNGAGRAFGTGLTFMDQLKQDQFEPQRAE NLYYPFASKDEWELSLFLLRSDMSVGMLNDFLKLELIKKLNLSYKSAKDLRNQAEILP SGPQWKSQTIIPEIPSKNQLTLFYRDGLECIKALLISPLLQDSMHFSPFKLFDKCNEM MRVYTEWFSGDIAHFMQYNKVPKDQLPKGATLVPPIISTDKTNISNMTGGRVAYPGLI SIANIMMNFLHRSKAVNGMMAARLYHQCMDIALELVKQTARVGTTMADALGNNRFCFT PLAALIVDTPESALAACVAGSTSSVTLAQYETFGDSFRHPSRTADHTINTIMAINNVK PPNHLEPYLKESKKHRLNGVHLPFWRDWPLSDPSAFLTPEPLHHWHKMFWDHDAKWCI AAVGGSELDFRFSILQHRTGFRHFKEGISSLKQVTGREHRDVQRYIVALIADTVSTPF ILAIRSLMDFRYLAQSQTISEAMCLRIEQALQDFHANKQAILDAGARRGKKNNPIDNF YIPKLEFLQSVVHTIRLNGCAIQWSADTTEHAHIEVVKAPSSSSNNQRYEPQVCRYLD RRDKLRNFDLFTAIREMRIDFWAIHSATITDEEEQEEGDEGEENGEVVMDTTSELLST IMLMTTFQSAKSNRIVDYFYKASLYERGVLEGPVPYRTFSCSKNIVAHLSRDASSKRL HIDEVASIFKIPDLRPAIADYVSLINKESNPRQTNSRGYHIKGITGRRVSPPGCPLPY SKLEVWHKVRIQSTAYQYPHEILEAVTLNAYPPSNKHPFGYFDSAIINVDESEEWPRS GLQGHSVVDIRIIFRIVGETPSTVSPNITGRFLAYVQRFENQVVLAHLPLTTAQTPKD SSQSASSSKQKFASSLVDQAVSTLSEIWHPQDIPSVFLPPAKVGGSSFPPTHSRPTSK QISSDLQSIASHTHPIHPHNSHPSVSGHNPSPTLLLASGTKSDQILPLKSFVYKVLRH SRTSKNVLQIALCYLESIRPKVPQILQEENIGIRSYAQPKSSIQKATPEELAMDAELT ALENSGKINIINNFIDNSMQTFCVADSGSQDLAESCIYPQDSLSSVDVQVSTAPLSTT LSLPSPLLCPRRAFLASLILASKFSQEKCYSNRAWARLSGLPPREIGRCECALAQALQ WRLWVGKCAFGENAATAT JR316_0006470 MQIISYEEILRIKAEVLAPTFPDLIHPTTFLEAASLASQRQQYD LEMAQLVEQTQKMVLLTENRLILAILALFNEINWTTLDPRLLSLAKAKITEGDQWLKA RAEETLRDADSGSPEHILTQGMSIIANGQIHIRTVEDLIRECQDH JR316_0006471 MHTSLLQAFFDLPGHIVAALQDPGFDFRAPRIHTPIASTTYAST PLERPLTEGQQKRVVKRNKAANNARAKKRQKESKAADAYVRARAHSKLIDKLAPAHCD LQTENIPVSSTGYIALNQGPTSDRVYTLEDLVGEQSEYISKSGFLEHQNGL JR316_0006472 MALTTKASTYDFYRALEKSTNNTGINLPPSRYPALFRMILQWRH LQMLKWAGRGHSPTGAAGTGKGELAIQCPSCPHPSINLPVGWENAPDEMKFLYMVMIC MDANFRLKNQMVSNWSQDPGLGIGWAYMIPLKGYEEYVKSRLSDKDISTCVGFQALAK ANTKFSIGLRYTGLGLTVCGRSEMIMRVGNLHKGERYANMDYIFASILRTLAVQFVLA SYDIACQWFINLLRRIETQWPDEIKPRSNITLMPAIPKLHEPMHKQQNHELYSYNYMP GVGLSDGECPERVWAPHNVLANATKTQGPAGFTT JR316_0006473 MGTTLLRKYKNAVAQRNIQTEGHRGLTDSLEDPRLVPAWEKMCV KWEKDPFPKTTAKNPYYVKETGASEAEVKKALHDEEAEFLSKGGTLPHKTTPSVFIGM GLDLEEAQRRLKRLATNTSADATICQEGTLTEQRNILTTRIRAWEQLVPIYMPGLLQY QTDNPPTEQSTHAEDIVLWLPSMVPAECRETICVAGLADVEQKLRMAHMTDSLNAIRQ ILKIKSRMIEFKNKNIRGQRGGTRSTSVIDRVHERARFAAGKYRAARKAYFELAGPGN WEQNYRVLADGDIRAYQDPARLGPRKGRRGTLDDEQVAAGMDVENQPEEGDLFLFNEE RTKRQGSGQTRRTLSWIWTVCTNAADTTSEEEKDDLLRVEWARSRARVMRAKEEVSML KEEMRRTLVFLDWKAAWWRERKNAISNASSDRLEAISAFAIVQADLQDSLAEHFQNLW RSPLQEATLRAAGSSIAATEPTSVSSTDPASTLTLLGDGDEGDDNGDDDDEYNEEEMF EESGVEELDITANSVAALV JR316_0006474 MLHPRIIKQKKKAPKSTRSFATQRSQRLKAFTAEDLNSLANKIK KYFKWSHMPRDFQLEAIKAQLQNCDVLIHAGTGSGKTFIAAGPHAHEKTEGMVTIMVS PLIALQDEQILTNSYRQKISQRNTDSLQSQSIALMEGAQWKL JR316_0006475 MFYESLKCETVFYQWWLMRPMLCRIGVLAFEKNTEHWEFSALYC QKTFQWLLCQLPFLREFVTTPVLLVEKLAYECDLTKIGSSVISTVKAKKTKNIREATI YPKATDKDYAINHGSRRGAHGAHNTTLDSNCDVPVDLHAIDKEGAVDPELRKVILEWR KSLWHRDFGDSMFGPSGILSNNAVNKLASYGAILRLTKLNEILGGNWPWFGKYGDELL ALFRTTVIAPAKPKPSRAQTTAKRTLDGIMGVRENFPAMARIVDNPTDAVPPDYTLPA FQAVRNAHKAAVPGVTDEQAVVALKAIWHAMNEVQKAALSYPNPDWR JR316_0006476 MDKQDDYPHVCFWDKEEWIDYKTRAANHNQSVDKLYFLCNKDGK MVSQARREEMTETPKVAFNELYYWRLDPHTWGKGNQQAWDYFSTIMRGKFPEFRWCSN NWKIREFATIRYPNWASHIHKTGDLLRARPSIGKRGTNYNNLTASKPKKRRPNTSLHS HTGEQHIIDVDAVPLPKEVPVLGPSILPNPEFASDLPHRQESPTVEEISSATAASILT RTSVELVTTLTSNSTNLCTLEDSEVPIVNAEKHTDRLASSTTSDLSGTSERAAFQRVE DVELTVPNRVQQNAISTCRTRLNPLAGLTIPNPASEVPGQSALENTSNSKSSMVPVGV HLSLLNMAAALTGGSSAIKIGNIELLVGASNYNAWRRGMTMFLRTNNLWGYIEGNLRA PNPRHHNAQQLAPHVPLQGATNAQEDAYNAWSFNDMKARSLIEHRISPVSLSLLPYEK YKTAREVWAAIKLLYARQDTASQFLIKDRLHSLKLKDHNNLDNYISEFQRG JR316_0006477 MLTPTQIEKALTAIDTNKELECPELADTLKGARWIHQSIDPFMN VKSVIQEGLEFLANHITDGMTRQQILDLQPKLWSFYEMQDLMPDLMDIIKKCEDNSAL IGKVIDLVSSAAAHSRSDNLFKAHKLILELIPFDLRVPLDLQAYKLACARVNRGYKHP AYAALLIPRVKFAELADLNAREILVRCESLEADTKNGDALDWPACLYDPDIPFDIDDE VQGLFRPPLGPQFVQRMLVGEANRLGGRASKGQIHKLVIAISGKTWPEAKSILKADRL YASILTLLSGLSDDDTWAKETVAWWQENIILPSEPVDHDKKSSPLEGSARDRRLRDPV ERRKAQMAAAAQAPAPARAPARAPARAPAPAPPRAPTPEPARVPTPAPASPQARAPSS HPAPDNGSAPALAKAFAAVSLAEPVPQQVSRRRMALNAIRIPSESPPDLPSEDSSSLS SENERSPSPPAPIKIKLPAKRKAAPRRPGPKRKDAPPSDPFETGDEALPTPNADAVPA PNSDATAADGEEPPAKKARTQAKGTRQPIKRKAKKF JR316_0006478 MPPTTPSFDWSQGSVFLQDGIIYYSPNCNRPVRIKAPERNHPHP FPERAEPDPTSVKHPVWWTDTFGWMSFIPLNPSFISDPFDTFTWQPELDVTPSYNTPP GPTLYQLEIHTIKHWRFKEQCLLEAAHKMKLWYHVPASQPPPPSIFKYDEPYTSKEEA YRQIKLARDWFAVWMGFFAYFAACAKYDKYCAGKMVREQGELLPRWYTRLLEEVPMLQ RSWLDGLLTSPACVFSPDTQRAGIVIPWYEYDNRRPEIQFFLDQQIPVFFPWCAIAEQ AIINNPTLRYLEPPANLVRDALEKFLNRFPSVPLAGLILSTSLVTKYMYEKFASQTDK VKAAMEENQVEATVAELREIVSRAMDMDLAEAERAIANLPTHDWMDKGDYHRRGELYD HVSIFLEKRERNQRFIIATETEDAKIKRLQREEALPGYNTSVYRWKSVTTPGGEGIIH ASSPPSIKARETVRKVSNEWDIFDEVDLPRKYLQFVDPPPRKDGYIYDYPIQAARINP QSIIPDNDVPRVESVPVIPEPCVDSSSGGATSPHEPQYETFPMDTEEFQEGPSQPPVH RPEPGPSTMDTDTDEASKIHDYDWDTADLISNLRYSYGFVASVVPKKEDKTPEGWKHA CQHFGFRKDGAEQFVSNTDHQLICQFHDGLMGSTDRPLPQDIHDLHPQNYLSLQVLGN LSLIHRPIPNLFVFAHHDIRAPQEESDRMSADWSIGVETPEAALYVLRVFQSHPGHTV VSVAHRLLSKGVQFRTLVGRKNVVQVHQPYKEVTFYRKVSYKFTNDDYESSMLACRQI LDQQRGRAALLMGGIVGRIAKEYLSTESVLQGPSVELLRNGRGYVANPEAELLAYCDD GLTEHDIAIIIGSYSLMTDFKNQVGVKSWFPPPAVWNEIDRNGIGWLEWTERNEYWYQ TRLELIRNGKAQPLTLQDWKSLLKNKPVRVLRESVRARSAAFVHEHIPVTRNPRR JR316_0006479 MSKVLKGFQENFSRQNQNSNVASGSSHQATLNNEPGPMDVDVHH EYLDVDMVPPPMPPAPPPKSPTPPPHPPTPPPPIERPRGLPPRVIRLPQRFQDEVPPE PPLIIPPVVEEEPPQPQEPPSVESLYRTPMNGYGIFREYTYGPPSITPDEHFTLSSVS DSPNIAKDPADSLRKASEGTPMALPSDWSLDSEPKDDKSLLFKNRSTQLIMSWFYNGH GTKSYADTDKLIHQVVLDPDFDPKDFDSSFSTAREAARLDEISTQKNSTDPTLSEVCR PEAGWIKGSFSIPVPCDGFIFDSEEEAPQFVVENVMYRKPLEVIKQAFAECTSETYTT IPYREFWRPSPDEPPERLFSESYVADIFNEEYEKIKSEPRTGPHRHLEPFVVGIGIFS DSTHLTSFGDASIWPILMYILNQSKYTRGKPKEFAAHHIAYIPKLTDTFQDWHQRQFG KAATSEMLTHMRREVNTGVWGLLLDEDVKRAYAEGEAVELADRVCRAMYLRFIFSSND YPEKMLQSCCKCRGTCLCPRCLIQTMNVPKVGSKMDMRNRLKLARVDSETRQFDIETA RKALFLGKKVNSKAVNGLLQQTSAFPTRNAFSKALFEYGFNFYRMFTVDFMNEVELGV WKALFSHLLRILYTSSNQNAIATLNERYRQVSPFGLTTIRRFARNASDMKKLAARDFE DLLQCSIPVFEGLLPEPAHNKIIQNLLFEMATWHALAKLRLHTDTTLDELGNSCTRLC DLLRQFQKEVCSQYATRELPSETAARGRRQAAKAKKAASAGLPVPPTQPNEPKTRTFN MQTYKLHSLPDYVDSIRQFGTTDGTSTQMGESEHKRAKLFYKRVKKGDHIRGIAKHIY RERVVHRTNRVEIRKLLREDRELLEPTPPDLHYHISSDVRRKLDILPWMSQNQNDPAT RDFMLRLKTHLYARLSGVNEFSDSIGTHEQLQITILGDRIYEHQVLRINYTSYDMRRI QDTLKPNSSRCDIMVLASNKGADQQVHPYWYARIIGIYHANVVISTPDDYYRASKHKV DFLHVRWLGVCEDCHYGWKYRRLPQLAFGDINDSASFGFVDPSLVLRATHLIPRFILG KIPTLGPSVAYRSKENNEGEEWERNYVNFFVDRDMVMLYRGGGVGHASTRAATDSLRQ DQRADDIASRKKRREAHEAPDPDLEPDGASDQEQDAQDQAEVAPENSADSDDNEDDSD QHTDSEEEEEEEEEEEEEESDEDEDRGDLDELGFAEY JR316_0006480 MAARASFQLPPPPPPPPPPPNPPQRASKSPQLSNGITRVNGRRQ MDEQGVQFGLAIDRDGLRLNVAVDGRNGHAKIGVNVDTDNKVEIVVSVEEPATGRVDT EPVVSTHGEIREREEQVVAVENRIEDSGTVEDSDVEMRDGEGDDQDVEMME JR316_0006481 MLPESHPLRRMVDFLRRYEDFTFLNYDVSSSSMRAAIKYRQSLA ALQNNIVETPCVTSATWEQAGSLFAAYRLLDLVALWPAAFGPSLPVTVPLNEIQASTG TKEIFSRFKLVDDLKDWPAMLCSARKRILRADSKSHRNINTPSISDTPSSAFTQGGPS ESTLLLCLARNHFKGVVGKIIGNVYCASLHYQILSGMRGMKDNEHILPDIPTDTATLA NLYASGHPDAALFLSEVTPSHLKIPLHVALFISPILLFVNKSWYSKKCDREQLLKASK ALGNCRPRVLREVEMEIWKIIAAQNCDIQSALYKLVISDCWMECERVIAQDPAFHFFI SSTSSAEVTANSNLARHSTEPHAQLQTVSHHGEKTTCEGSDADAEGDDDHEVDTTEMV SMGQRENGDNTVPTSNIQPPEDTSSVAGKDVSMGQQENGDNTVPTSDIQPPEDTSSVA GKDVPTDKQPGLPRSDDIGSGLLQTENTGPSTAGDCEMNVDPEQADVDHQMEDGPHTN GPENLGDGGAGQGAEGSSDEANRMSEVHEGEKQGQDGERPDEENRMSEDGEEERPDDS SSDNETEKQGQGDVPPVQEDRMSVDGEGVGPEQNNDSSDMERNTLEDSQGEKEGENAP PAPLILRIPPVSQINRSKNTTADSSQKGKGKGRQGLSKRPPKRKPTQATDTFDLDDDL CIDVDLYDSNTTLDVVSTPEKVYGTKVWSIYNGRGQLKSFCVVAHSQADVDRVERVLE LVESDYVDGVPLHIARPEESCFAVFDRSTTKSMYLMESFSERNVVLMSPSQEESISTC SDEFYSQVRRHMGDMTSTRSIQDMSTMSSNSIERIKRGSLSQVMEAARMKGKRGKILN ALDIPLPHAGAHSFDLSTEAAALRATSGSWKYSTPVPFGDMSWGLVATEGAFSDIHID ANGFCSFIQPLHGLKLWIIMYPRRLDVDLSSDRRVFLGDKLDYGETHHQDWIYEAIVL DNQSELFMRPNTLHMAYSISSVVCRGGHFYSSTSFEETLTGIIHCFTAGYRATNTNHS TSRFFLQQTIHWFYKVLVEGDSDPEDFYEMYHVPFYSTQSGYSSLIALCVYMILANAL DYETYRNPDQMFSTKTLAQLDAWMRWDTNSLSDEERKACIFARGEALAILEWLCHRTK SIHYMIETDKNKDAQNIMATEKFHEMILCRYARMILAYDAEAKHNNIGGAPCCTDTSL LFVQLQGVCSGELNKVICRSIDQLPRSSVPKMLKLPVITLIDCDACADSSTQLIGKIE FSKEGFYERFLVQPQFACSAIVFKVDTEKTSDRAEVLDAKSFPYLDLEMTEEFAAVGG TQTIIDVHCEKAVNSLSVPDAIEEEDSVILF JR316_0006482 MTNGKKNNQVGNTLFPERFFRDGKPTLKNRSGNSDINPFIDIEA AVSDDDESSEELDYEGGQLLNDNDEYSEDEERVAHSRLYHAMQNTDNADEWSDLLPML LPSRMKIRPDNDIEPSSSRELIQKYGNPQPGGLGDNNYMPSATDIMYEIGCKVGREEA VAFKIMQMSTNPTFSIILARSVFAQSSIPGRIYVEAPSMQHAHTLACLVRELNPTHLV RLSSERCMEILSHPPPSRPEDQSWVKVAGKRKAWTTYANATGLVFTFQGRKSVVLIPR PPDNIKKSHLDRIFQDGFIITDFDAIDLKYLSNVLPTSSELEQFRECPFVTTETLAQA SKAISMTRLKRYDRVKIIGGEYLGLFGTVKSVSDAEVEVHIPSQGITQAVALHDLRAA FQIGDSIEVVEGDHKDLHGWVSDFDGRSVCIIAPEHEREVIVPIHTVIFYVPPAHATL RPRKRHSSKLGERDHNDVYIGLSVIVVGNNTFKGYYGIVKSTTPDGFADVELEARNQR VERIKISHLIIHNREHINSAQDPGPSGGATPMPSTVASFLSPAWNPYSAIPVHSAVEI AELPSTVAHWLDTKYDKLKGLRLKVLDKSKGDHQVAMELLSLTDNTAHLALLGRTLTL PKSVLFPIHPVKKDDFVTPLEGDSMGIIFRIRSIDKDICVVHKYPVTRMKRGDTFPTF PTTSLIQIFPPSRGVKVVNM JR316_0006483 MPPKGSKKKKAVVNATVEGQEVEQGPSSVAENEPPQAPEADPNG RPIRSTRGLGGVNARQEKTSNIIFIDFEKAGKRKSRAANVDTSAMPENEMAPPLKKPC NGVTVVLHVGPPIQMQPRPTPSVAPWDVQQVQPSPRQSQGAPPPVQIQRAAQRNQEHS ELDHAVQRPTAPIQRPAAPVQQPAHPVPRPVQHAAHPVPRPVQHAANPVQRYAAAAAE NSVQYYNAPVQQPVHPVTQHAPVQQPVHPAAYNAHQEASISHQDEEEQQDYEGIEQEE DEEEEEGDSDGERQSEEASGDKCMQGIDEENIGDEEALQYVDVVDEVSDDEEERRARA LLRQPSPHVVEVEDVLQEHRRRNRANKPSRPEALRKAAVSQGAVSQGLTRENNEASDD DEVLGEAHIAHKKSSTSSAREVSKHSVASFTGYWKDVLKIARKLMCLYVVEEVPFPTR ENHLLVADGCVKMAVTVFERMNTDKVLPDKKKTLLDRNTAVTAFVYASTFRGRLKTMI RPLVKNAYGLEVPSEVIAANPNMFENQMGEIEYIKDRVAYWLLNGKYHRGVAKTRYHN VPFGHPFVKKICLDFFYHPTKGVAVPIKGLETKTDFFKTSLPHKAFALVASCIHNCLE EWRDGIDPARGGPCSGIEFKGEEYSLRYDACMLVAAEAEKDTLNQGPRLARLCREVAE EGCAIMRPTKNPPNPYRMTLHSIPQEELDYGPEG JR316_0006484 MSRWYQATGTSVCVTQMGPLLWALQNYVSLRIITYLAPVLLMYK FRLIKHTGPQSRIRLRSYSESTPIVDASNHVIGVIANHPNDPYWQDLQRQASAAIEAR RDRCCIPIEDETHRQGAFISLNCGVSHGGGRKMPGNVKNSKPNAEVLAELNAMEPFQR FSSFASSVMYTWANQLYQYYATTLSKLHSKHPKLRRIFPDSIFSAVSYNFGPWTTCFQ HKDFANLAFGWCAVTALGDFDYTAGGHLILWELKIVIEFPPGCTILFPSALITHSNVP IKETERRYSFAQYTAGGIFRWVENNFMTRERYLAKLT JR316_0006485 MARQRASKAIKTVNREMYLRRRHAYYEANCKREQRKSQERYQRR KSLPDNELALSRQKHREAQARYRERNRFTLKVKAKIYYRKKQNPPNEEEEDPDEEEEW IRLSALAHGITEEEWISLHGRNSYRGGSPVH JR316_0006486 MTVLVGCVFNQNFLPRSINFDVQVSLILQTMPNPGAFKGARKDF LMTQKEEYAKAVEANHVGETLMNINRRFFKRFPLTMPADYEPTEESLRAVDDDAPEPD VPEPNRETMSEEEFSKVVAEVKARNSRREAISGQIKQWFVYQYNKEHHPKKLSESTLL SLLQKLAGKDTSRPRMKSDVNTWRKSQRNAIDDRYEAEVARALARGEPMKKSNKAADR DRIAREMFAELSKTEQEAWHRKSEEDHAEAIAAYKASWSPSKSPADRQRAINSLVKVM EPILDIASETTGWKLMLVAGGPEPAKGGDLSVITMHSGETPGDIKMNLGQTERHKFKN YMIPLFGTFLHKCYTPEECRSRALPKDDMDGLVPAAALLQNENESTSVHGIAFRVPPT GVSAETENLRAPEVLPEHESASTSTRINDNTRQDDAENPFPFDPLPESPQASPCVSRA VSPVFIRSPARIPTASSSVSVLDVLDVIRNAEQPSNQAGPIVIMQTVPVTTSAAAPPA TIPHAQSPSPSVAPTSLQSGAPLQVAPAENSIDTSEPSTTSPNNPPVAKKSGKKKAKG AKKSTTSAPPVERPKRKAQPQPSTTPAPPKKRVKPSWHYEDEQENPIDKYGRRIDAQG KVIPDVTDSAASLGNETAGVASASST JR316_0006487 MLRCVLFTLIGGLKQMHLQAKMKKKPADNYLDNILNRLGREAKW MAHIQTVCTIQRNTLQKEIIQSITPGPKFTSVQEFAAGLIVKYRLPTSESGANSNTAY LSKHVLLRRFFWDHSSTFSAFELEKDDNNSEEENNDQDFGTPSTDNSSDSGHSATKKR KLQKTNIPAGKTRGRVADGEDYWSKVDQWLKRLDDELGDNTAELKQSEFSLVFSMSYA KLVNRFIAECLEMDSLRTNKGLDFSSHPSERSFTSPSDVTSDPQPTTIQSFPSNSSSR LPEPHLNFGNAIAQLFSGPSTGRPF JR316_0006488 MLRVFTENSIHRINLFATEHWHRDTSFTVAAGMRVITEGSHGPR GDFFNFIHFLDAVRGMASSRHAMRELDLIVSVFDRDLVTFQSALERGSADRVPQCAQR DAIAAVYTIMNGEQRERFDITSWYIEQIEKAIYGEDDSIYVSSDSGTDDMPLLEGENE ARDEGEPEQDVTEVIPDSGLQWGIRSGRRV JR316_0006489 MPSKNHSSAPKFDGTAGSLPMFLDDIEQLATSCELTPKQTIDWT IRYAPGSDEHELWSGLDTSSGDDWIAFKRELLAQYPGSTGDRKYSVANLEMLTEKQAA GQITNSEQFGTYYRAFSKISRFLKSKNKLNDREISNLFMRGLDYAFRVQVRAQLRAEN PKHHSDDPYTLNQICEAALFILSCNHDDTEIVAPALEASPSVKREHFDISSIAPALQQ TSNFNMSALALELIKQMNLQHAPSNVYQNAQNQGYPTLQTQGAPRPRNNDCSFCSDPS HYQHSCAKAAEYIKKGLCVRNSENFLVLPDGTRVTPRTAPGRNIMERVDNWHKSKSAN SPTVSSNMVSAGSEFKWEIPTTSAFAAAMDFAHNEVAPPTEREIEDLQIMESLVASTQ KKIDDTRKKYFGTKGTGGPATRSKTKAADTISHDPTKTLAPAVPTIPASHSANSTQFR YSTPIEEVKIVKKVLDTALDATVTLSNRELLAVAPEVRRQFKDLITARRIPTEQTGSS MVVGMPQSEEYSAYLASLLPNKEGMVVADHVQELRCLDFTIGDMKVEGIMDEGSQIVG IRKDIWEKLAVPIRSDHVMVMESANASKDKTLGLIQNLKISIGGYDFYVQVQVVQDAP YELLVGLPFMCLTRLVTRHFEDGSSEVTMIDPNSGAEITVPTRKRDRSSTTAATVSVD F JR316_0006490 MSTNTLDNCLPSRLLSPKTPSPKKSFRKHNQDEDDEAPKKYLST LNADHSSEVPRNKTTIGLNRAYPRSRRDLEAEITVRREIAIEATREAAAYKDQLRTAW LDLAAARQEAQSTKKAVKNLVRSVKNSKRRSAYLTLVVEGLEEQLRTYVRQEHNTAIM LVEERHWSQQLKAALTSHGINVPVYIPPQPLQSDNPIAIV JR316_0006491 MPSVHDIWTPSIHDASKNWSTDDTWMQFTNNTSTPTALIHDVST PSTRESTTSIHDVSTPSTRESTTSAHNVSTPSTRESMLSVHNASTPSTHESTTSAHNA STPSTRESTTSAHNASTPSTRESMLSAHDASTPSVDNMSTPPIVPSQSSTKLSLLLPQ RDPSVQTASLKRPYDGLSNSHRSRKYQRTLQYNKHGHAPKPSLLSMLPSCQPEALNVK LDALPATQGAYGAKPTKPHKSHLYSLDAIKALGFRIIPWDGCTPVPFVSEDGRIFMVL AGRPKDPAYERATEEAFDLLREAGHTTAFTHEDYSENRGHYPALNIGVTHSVGTHSPL NRVQRHPKITAKLLQSESIQRMASFASSAFATWSPKVYNHYKLYMDKIFANDSTLVRL FRRSIFPAATFNLGSVVCTIPHFDIKNCPYGWCAIQSLGKFNAKKGGHFVVWGLKVAI EFPAGSTILMPSAVLEHSNTSIEHGEERASFTQYASGGLFRWVDYGYRTKKELKRTNP KLYQEQMELRPTRWKRGLGMLCTLQDLISKAAFEVSGQV JR316_0006492 MSDPSPIDFASAQICQATLDFLNSNDESDGSDDGGGSPPLSESS DEQANSDTEQVDNESLPRKRMRGIHTDPAEARKWYPWIDKILDLFLWLLRINGVNNVP SVKAMQGINKALQNLCGIETKEYKGKLGNVYFVNSLSQILAQEMSNPQVRPFLHFYPE DTGKSISETYQASGWLREINPEDGTPMIRLNNNDFFIFEPTMLIDGRCCIPIRWFLQE GVFYAKAWLMEDTPSGWVVSKDREIKITQSQLLKNFIQLSKDHLLYNLSHPSRIFGVR SNSGPGLSKWTRTDPTIGNRWRVLAKGHRVYSLPLWMYCDDTSGNQSKKWNKHNSYLF ILAGLSREKSSQEYNIHFLCTSNIAPPLEMLDGVVDQLQLAQKHGIWVWDCIHKEAVM IFPPVFALLASLLVILECKGNIFAGFAGPKESTVKNAHTKIFHMTVVLDPTLLPFQSI VMLILKIPSHGLLTNGGGTRSLWSQCFEGLIGKPRRKKETMATLDSFLEQAKMIGTKS KLRAAKTETGIKDVFQDFFIEKLFKSYKGKVSTQAKEEALKAAVDKLPGDIKSPVWKL GLDPHQDTPVEILHVVLLGFVKYFWRDLVQNQVTPAKKQTLIIRLNSLSVAGLGVPTL NGSTLVNYAGSLTGRDFRIIAQVAPFVIYDMVSQEVYDAWVSLSTLVPVIWQPAISNI DEYLPRLEADIKYFLLKTATWTCAWFNKTKFHIILHLPEHVRRFGPAILFATESFESF NAIIRAKSIHSNHQAPSHDIARGFAQGNRIRHLLSGGFFLPQELYQSWKKDPTNVANS EWRTAGPGGLHLIDAPDSTPASYLGLQKPAASKAGSCKSNGTDPQPFHRTLCGQKLPN IVLNTAASQQLYVTNSQVYLRNEDLCTIGQFVIAQIHPSQPPLIGCVREILQQVGSPN HLQNRPDGILIQTALHQPPSHILPAGQLQPVFMPRLILQQEWSFIPWSCLLCTVNTQH DCQRHGCQANGLQYIYQERIQTDQTKATILHQNSLDDMLLLNMCQMRDAAHLQSFRLH SAPLNEDAIIQRSVAQAIVQRKAGEASQSSTSKPTKTPTAALRQHAQSPLGTPTLAAT PSGGVSLPQHASAGTSSGGVSSPRRSRQASVVYPGQITLDFR JR316_0006493 MRKPKLSLQTDSNPFLDPDTSNKVVVVKKRTGTLYQLSESARLL ARKIAATGLFSTNYDNVNMRMHNTEQIIGRHDSQENGTCATLIPLFDAKIEDLNLQKF QLSFLEAPILQIQEILLNSDEQKSLKANLVHTILRIIINHGSPGFQRFTKELAKSQPQ TPDIIPLHKTNLHPLPTWPIDESTITGNADVVTAIMQELDLVDSQGKPKNPDASNQVR FLGGDQLSLARLRALEYICAGQESGLEGYF JR316_0006494 MILEKYANSLIVEELRDQRNEEMDMSDEENKKPTQGDMVFENAS LFLRDALITPIRDIVLKNWVLNPLGEPNQFVEMDLVQEHLNFRIKVLYKAKGSNASWE WLEIISSCVVALSDLQKMLNDTLGSDQGTKHAPPDLTNDIHSLMESLSDHKVYTIKKG RSLNDNEMVKDVISVGLQNLTTGSKNPLSDYNNSFKKLQKQRKMNPVSADETDNERDI PAHPTSIKSEPLDIQIVPNAVSTSSVYSNHASASDSMGESSMQGFGDDMEVEESAEEA DNSEEVEETLPCATERDVDLDMDLEEIEEEYNVIEDSEDSELEGEGDR JR316_0006495 MSYVGFGYAIQQEINVPTVLQYLAKSLGPVTSEESLPLAFNATF IKILELRYAPTSTTHKKSVSSYKKLWWTGTERSGVAGDIIDDNCIEECLEYHRKQQQH NMQQQERLRLDNTPSPMAPSPKPSPKTSLPKTPSPKTPSLTNMPNVLGPCAARYRPKE WKPTMISDWSVSTEDTPSPDKNRGPFPPIQNTSPTLGPRLHNQESVDTCTPLTGSLYD NVAALYNNVAPSNDEEAEETSPDPHLSPYNEISSLYGQLDDPYNEIASLYGELDAPYN EIPSTDGELDAEDVGDEEAGETLPEPHLSPYNEVANLYNQLDAPYNEIASLYVELDAT YNEIPSTDGEPDAEDVGDEEAGETLPEPHLSPYNEVANLYNQLGAPYNEIASLYGELD ATYNEITNIHGKSDAADVGDELADIFANISPPTRAGANVAPPPMTAPPRTRSIVKEVE VLFRGFKIPSPKSIAQQRPPRPDNYDTITLTLPNIPEPFFYPHLYDD JR316_0006496 MAKRSKKTRIVEGEVDAARYVTVQKRRTVDEQGQTHVQRIVEAA QDPRTHFNRRDRVNGTPAGLEDAWDYDNDVRGEGENVVEGPRKKTQKDYIFQFVQRVD EFLGALLAREARPVDKVTCSACQTGSLAVWRCKDCSLTRTMCRKCMRHAHREDPFHRI EWWNGKYFQSAALCDVGAVIFVPHLTGIRICNNLKLQQECIEENECMKDVAEQQDLRR HMARDAITNPTMGEPEPVEAIQNKDPPTAQNRNEAEEDRHFFEYLDRLRDHVDAEELE EPGDDAEVGDEDDNEVEEDGEYNAPFQRYLPSAVGTATFRSSGTIRVIHTNGIHNIPL VVCSCQGADHIPSDLIATHLWPTSFKRIRTLFTAHVLDQFWLCNLEMNASAYQFYHLL RRVTNPQNPAGVVDLYNEFRRMTRLWRWTKKLKWAGYAGHNGKKVAEVEKGELANYCP ACPQPGINLPNGWENDPNKFVYRRVLMADRNFKADHVQPKKPSEDVWLSEGSGMVPKR EEYHAFLKTAIEKLTGAPCENTFRAITGAMQASKSCDVTGVVGVACARHGCYAPNSLV DLFKGEQQKNVDFALLAALKSTGVDSRQGLMFLYDVMCQFFVHLKTRIGHLLPEGMDV DRAIGLFHVHAHKDECLFHFSPSFIPGVGRVIGEILEHLWGKMNGVSPTARTATLAHR AEMLDNHATDSNHKKALDMPNTLCRRYIEAVDNSNSTEKYFSEVSQVVSPDLLDVWTR QIVDAETRRLATPKVMDIYAAKGRGDVSGEIDKSDTVTSDDPIQAYLQFALIVEEKEI EIRICVRQLTKSPRHADPNKIEGLRDKLRALLGELERLQGIAGIVEENPTRADVGVDL LDWADEQTGEESIAEPSPTSPYAEAIENHKISQANSHLYKLRNMIAEKSFQYSDVIRK APRKGMRTRARAKIDEMNHTITFYSQLYTECRARLIALGANNSILKRFQVLKKDDVKT STAILDPNTPGSTRIKLSWIWHNAVHRFGPNVIAEDGQLRLADADLSDDFDLEETDPE TLTEFKRVHWLRARAQYNWWKEEATILHYEMTWTVRYFMHKAEWWHHSAIAVFSGADV ACDAIQQGRATYANRQGDLWEHLARCADQMFSMTNSNYKKNFPK JR316_0006497 MSVLPTNPSDRNARAYNKEERALLDAYKEEYLKTSTPKERGDIV RTRMLKALTTHWDKHGIKYDPQKAQTDLLKWAQNLWRIPTVKGTASSSKVLTVRKFDV VARTMKDKVEAEIDTILGPENAHIPAKRFGVYTTAVSQVIKNLTPEASRKLDADVSNI SAQGYPEEIRRQLVEKYYEKRLKDSAEANWKEMGLHAVTFVAFEDSTGRIVAEIHDDI AELLGLKTGLKVKSFEQTYPKQAREMQRLMIEYVRSLIDKSQGKETTSPQPNTLGISD DGFPLISASYDKDNLHKSEASKLYREYLSAHYKLATNNRTEQCPYDSLVKDTSSFIKP EYLPDGFVFRDPHNTQLESIKEFFDHIVERERSLPPAQVFRFHNVTTARINGTIVPSS YPDDVKDSIGEEIDLTTKPKRQKKRATKKAQKQSLVTAEEDAHSDSGPRINVACDTDV IPRLQASGELHSRLQNDGESHTDSASRLLVNGESHAKAVPCLHVNGESNTNSPPRVQV NGELHAAGPRLQVNGESHTEAVHRLQLNGETHTNIAPRLQANSKLHTEVVPYNEISDQ HYSKDNVNASAVTDLDRWLQMNGEYHSTPGPSSQVGLDEGFSAFTLDNDNMFQYLYNN GAFSFPDFTTGTPIASGSGSSENNFASFPEVSFTQMLMDDQPIPNVSLPVSNPFGNTN AKDIAEHESEMPSLARINGSHTGITNAASTNGNFIRNSNNTDPERLGGEALPRNRHSH GQSDTDPSLPTEKTFIWRPVTISDANQPSSTERVTGKNSIPNDSSSSNVETAMKTRTE EATIPISNVEMPLKTRAVPKPKGQPTKRKDNISQSSPVHIFNKVLNDIPMTPTKSASI TKSNLTSSMQVTTASRETPQGNSTQAAQTVIDRSLKRKGHTHKVIDNEKDSRPTKRYL SADELAAHEANKMKVGGKRVPKKRVLG JR316_0006498 MNVSNEIKFFNLTNPLEESQGISSKRSQDGNAGKDKSEHLKQDV DGEAEATQSPPQASHAHELVLYQPLFPSTLNVPCPPTHRTLQHPDCHSTLIIGPDESN EDKDDLVEY JR316_0006499 MLPESHPLRRMVDFLRRYEDFTFLNYDVSSSSMRAAIKYRQSLA ALQNNIVETPCVTSATWEQAGSLFAAYRLLDLVALWPAAFGPSLPVTVPLNEIQASTG TKEIFSRFKLVDDLKDWPAMLCSARKRILRADSKSHRNINTPSISDTPSSAFTQGGPS ESTLLLCLARNHFKGVVGKIIGNVYCASLHYQILSGMRGMKDNEHILPDIPTDTATLA NLYASGHPDAALFLSEVTPSHLKIPLHVALFISPILLFVNKSWYSKKCDREQLLKASK ALGNCRPRVLREVEMEIWKIIAAQNCDIQSALYKLVISDCWMECERVIAQDPAFHFFI SSTSSAKVTANSNLARHSTEPHAQLQTVSHHGEKTTCEGSDADAEGDDDHEVDTTEMV SMGQRENGDNTVPTSNIQPPEDTSSVAGKDVSMGQQENGDNTVPTSDIQPPEDTSSVA GKDVPTDKQPGLPRSDDIGSGLLQTENTGPSTAGDCEMNVDPEQADVDHQMEDGPHTN GPENLGDGGAGQGAEGSSDEANHMSEVHEGEKQGQDGERPDEENRMSEDGEEERPDDS SSDNETEKQGQGDVPPVQEDRMSVDGEGVGPEQNNDSSDMERNTLEDSQGEKEGENAP PAPLILRIPPVSQINRSKNTTADSSQKGKGKGRQGLSKRPPKRKPTQATDTFDSDDDL CIDVDLYDSNTTLDVVSTPEKVYGTKVWSIYNGRGQLKSFCVVAHSQADVDRVERVLE LVESDYVDGVPLHIARPEESCFAVFDRSTTKSMYLMESFSERNVVLMSPSQEESISTC SDEFYSQVRRHMGDMTSTRSIQDMSTMSSNPIERIKRGSLSQVMEAARMKGKRGKILN ALDIPLPHAGAHSFDLSTEAAALRATSGSWKYSTPVPFGDMSWGLVATEGAFSDIHID ANGFCSFIQPLHGLKLWIIMYPRRLDVDLSSDRRVFLGDKLDYGETHHQDWIYEAIVL DNQSELFMRPNTLHMAYSISSVVCRGGHFYSSTSFEETLTGIIHCFTAGYRATNTNHS TSRFFLQQTIHWFYKVLVEGDSDPEDFYEMYHVPFYSTQSGYSSLIALCVCMILANAL DYETYRNPDQMFSTKTSAQLDAWMRWDTNSLSDEERKACIFARGEALAILEWLCHRTK SIHYMIETDKNKDAQNIMATEKFHEMILCRYARMILAYDAEAKHNNIGGAPCCTDTSL LFVQLQGVCSGELNKVICRSIDQLPRSSVPKMLKLPVITLIDCDACADSSTQLRTPKE ILELGTSVRDKLYLDGMKVKLESTNPIVCCSYRQTNTQILAGMKASGFTVTASNRSSS TSRSATPVSRDPSPEIGNFMEVDYIDNFLGASSEMEDDPPPKASKYSYPYVKEDYNGA GRAFGTGLTFMDQLKQDQFEPQRAENLYYPFASKDEWELSLFLLRSDMSVGMLNDFLK LELIKKLNLSYKSAKDLRNRAEILPSGPQWKSQTIIPEIPSKNQLTLFYRDGLECIKA LLISPLLQDSMHFSPFKLFDKCNEMMRVYTEWFSGDIAHFMQYNKVPKDQLPKGATLV PPIISTDKTNISNMTGGRVAYPGLISIANIMMNFLHRSKAVNGMMAARLYHQCMDIAL ELVKQTARVGTTIADALGNNRFCFTPLAALIVDTPESALAACVAGSTSSVTLAQYETF GDSFRHPSRTADHTINTIMAINNVKPPNHLEPYLKESKKHRLNGVHLPFWRDWPLSDP SAFLTPEPLHHWHKMFWDHDAKWCIAAVGGSELDFRFSILQHRTGFRHFKEGISSLKQ VTGREHRDVQRYIVALIADTVSTPFILAIRSLMDFRYLAQSQTISEAMCLRIEQALQD FHANKQAILDAGARRGKKNNPIDNFYIPKLEFLQSVVHAIRLNGCAIQWSADTTEHAH IEVVKAPSSSSNNQRYEPQVCRYLDRRDKLRNFDLFTAIREMRIDFRAIHSATITDEE EQEEGDEGEENGEVVMDTTSELLSTIMPMTTFQSAKSNRIVDYFYKASLYERGVLEGP VPYRTFSCSKNVVAHLSRDASSKRLHIDEVASIFKIPDLRPAIADYVSLINKESNPRH TNSRGYHIKGITGRRVSPPGCPLPYSKLEVWHKVRIQSTAYQYPHEILEAVTLNAYPP SNKHPFGYFDSAIINTPSTVSPDITGRFLAYVQRFEVLNQPQSLGSAIRGPYPEPITG MYKLKRSQRTDNTIMGGILPLNQIRSLVDLVPQMGEKARRSLTTHNNQAVSTLSEIWH PQDIPSVFLPPAKVGGSSFPPTHSRPTSKQISSDLQSIASHTHPIHPHNSHPSVSGHN PSPTLLLASGTKSDQILPLKSFVYKVLRHSRTSKNVLQIALCYLESIRPKVPQILQEE NIGIRSYAQPKSSIQKATPEELAMDAELTALENSGKINIINNFIDNSMQTFRVADSGS QDLAESCIYPQDSLSSVDVQVSTAPLSTTLSLPSPLLCPRRAFLASLILASKFSQEKC YSNRAWARLSGLPPREIGRCERALAQALQCLNSNYDKVSVSHTMQIISYEEILRIEAE VLAPTFPDLIHPTTFPEAASLASQRQQYDLEMAQLVEQTQKMVLLTENRLILAILALF NEINWTTLDPRLLSLAKAKITEGDQWLKARAEETLRDADSGSPEHILTQGMSIIANGQ IHIRTVEDLIRECQDH JR316_0006500 MTNGKKNNQVGNTLFPERFFRDGKPTLKNRSGNSDINPFIDIEA AVSDDDESSEELDYEGGQLLNDNDEYSEDEERVAHSRLYHAMQNTDNADEWSDLLPML LPSRMKIRPDNDIEPSSSRELIQKYGNPQPGGLGDNNYMPSATDIMYEIGCKVGREEA VAFKIMQMSTNPTFSIILARSVFAQSSIPGRIYVEAPSMQHAHTLACLVRELNPTHLV RLSSERCMEILSHPPPSRPEDQSWVKVAGKRKAWTTYANATGLVFTFQGRKSVVLIPR PPDNIKKSHLDRIFQDGFIITDFDAIDLKYLSNVLPTSSELEQFRECPFVTTETLAQA SKAISMTRLKRYDRVKIIGGEYLGLFGTVKSVSDAEVEVHIPSQGITQAVALHDLRAA FQIGDSIEVVEGDHKDLHGWVSDFDGRSVCIIAPEHEREVIVPIHTVIFYVPPAHATL RPRKRHSSKLGERDHNDVYIGLSVIVVGNNTFKGYYGIVKSTTPDGFADVELEARNQR VERIKISHLIIHNREHINSAQDPGPSGGATPMPSTVASFLSPAWNPYSAIPVHSAVEI AELPSTIAHWLDTKYDKLKGLRLKVVDKSKGDHQVAMELLSLTDDTAHLALLGRTLTL PKSVLFPIHPVKKDDFVTPLEGDSMGIIFRIRSIDKDICVVHKYPVTRMKRGDTFPTF PTTSLIQIFPPSRGVKVVNM JR316_0006501 MPPKGSKKKKAVVNATVEGQEVEQGPSSVAENEPPQAPEADHNG RPIRSTRGLGGVNARQEKTSNIIFIDFEKAGKRKSRAANVDTSAMPENEMAPPLKKPR NGVTVVSHVGPPIQMQPRPTPSVAPWDVQQVQPSPRQSQGAPPPVQIQRAAQRNQEHS ELDHAVQRPTAPIQRPAAPVQQPAHPVPRPVQHAAHPVPRPVQHAANPVQRYAAPAAE NSVQYYDAPVQQPVHPVTQHAPVQQPVHPAAYNAHQEASISHQDEEEQQDYEGIEQEE DEEEEEGDSDGERQSEEASGDECMQGIDEENIGDEEALQYVDVVDEVSDDEEERRARA LLRQPSPHVVEVEDVLQEHRRRNRANKPSRPEALRKAAVSQGAVSQGLTRENNEASDD DEVLGEAHIAHKKSSTSSAREVSKHSVASFTGYWKDVLKIARKLMCLYVVEEVPFPTR ENHLLVADGCVKMAVTVFERMNTDKVLPDKKKTLLDRNTAVTAFVYASTFRGRLKTMI RPLVKNAYGLEVPSEVIAANPNMFENQMGEIEYIKDRVAYWLLNGKYHRGVAKTRYHD VPFGHPFVKKICLDFFYHPTKGVAVPIKGLETKTDFFKTSLPHKAFALVASCIHNCLE EWRDGIDPARGGPCSGIEFKGEEYSLRYDACMLVAAEAEKDTLNQGPRLARLCREVAE EGCAIMRPTKNPPNPYRMTLHSIPQEELDYGPEG JR316_0006502 MGEGFMGEGFMGEGFMGEGAMGEGAMGDGLMDELGEGFIDELGD VSMGEGFMGDGFVDELGEGAMGVGFMGNGFVDELGEGFIDELVFKKKSGEASIGWWCS IGEGVLAGISIVGDILSMSSARREIVDGSGPRRDQWVQQMSLRTMID JR316_0006503 MSDFNPKPQTVESNVPSGYTVIEGPGGKKYLVPLFVVPSLEYSM SAYHKKAEMKTEIANADGLDPYLERLQTYNTIAGTLHAPPDPPLTDKELLRQHAEVQA LVEKLGISYRDAAHRLYMAEMEKLKVADMQRKSFQIFEKRATNTLKGIAGRHNYKSNY FMMYKKMKSEISKQKEQ JR316_0006504 MASQFNNSNTLRLALEAADLELRNAVKGGNLEGFMKLNNRKLKQ FILLRKSKTFPDAFVKFGPESFFWTVTIGYKAALKKGTLNIKEFTAQHALILEEAAKV HVNSVPNPSGDTRAHSSDAKDYTNQGASPPHSGANSHFFGAPHPPQFLICAPCFRSTG TPDPSCASDAVQSHASESRVLGALPYTPVLGGPPTSLVSSGAAPQSRMSDAAPQSRVL GAAPQSRVSDAAPQTRVLGAAPQTRVSGAAPQTRMSDAAPQSRVSDAAPQSRISDAAP QTRVSGAAPQTRMAGAAPQSRVSGAAPQTRVSGEAPQSRMSGVPAASLASSGAATNSR SLGMTVNYRSLGAPATATLTSVKHTHTVEHEPQSSASGSSKPQLATKATKASKLKSKE TKAAEPSNPPTRKIIDIHHDLALASFEMPDSPHHSFKKSQGGQSQKVKMLTEEYNRAV KASSSGASGAVEASGSEYEGSESEEEEEEDRSSGRTGSKRKRHETPPLPVVDELPEDD TPRIPHMTPCDRCRDMKITCHIGTKAACFECSHSKKGCFRNSVSVTGRKARNTNLPKP SRQVGKSKRTTPAVVLSDLEPLKKKPRAVAPASGSARSSATKSNELTTLQNTPFSNVI ELSDDEKANASIPVRVVSHPASTVPSLPSRIAAPAVSSLPPRVLAPAASPAHVPAPSL PPRVPAPAASPAHVPAPALPAHIPTPAVSSLPALVSAPAVQTPTSVVPLPSAHVPTTT PAVASLALLIQDLTSRVSRMEVNPATTAPPPDLSGLSSHPLIAHLIERLTVMEDRYAH TRQELMEVSRAHEDLKVEYQSLSLRVGSNQKLVNTSENLLHNARMKISTMSRSIKELD NSYAILLERLVDMERAVDEFQQQEIEVSRDNFEEESEYISPSASHSPTRSGSPSASFS SPSPAASPAAPLASPTASRTASPDLVGSPAPTSPTPAASPAPAASPAPAASPAPAASP APAASPAPAASPAPTASPAPPTSPASPPAPRPAAVVPPTVSPTHPASPSSARDGLATS ATAYPRLKRLTGTKDGIVHHYKSDMDIDSDSPDDNENGGDKKSGVNNSLDVASPSV JR316_0006505 MSEIDEQDVLDVFQTHDRRKRVYNSLERKEIDPFKEEYFAATSN LERKQVVCKILPKLFNIWVTTGSRRLSATQEFAQKEAKRLLGWIRNNWRAPQPTGAEL KGEKHKRTTVLWRTRPDDVYKEIASILGLEHADSTTPLAFETRSRAMGNIISRMTPQE KRALDREAETLNTVEYTDEQKLKRGNKYAFKRLDEAATVHWAEMGLMNITFVARLNES GQLSVRVHDQVASTLGVVSTLFEDQKPDEVTQMKRMVATYVRGLLNARDRIRNGTRNE NTTEISILDQDPDGFPLLPSNFDASKYNKEQLEELYRMYMGQNYFLATNGRSKHAPFN ALHEYQSTFISPEYLPPKVRLNAPRSVSLDDLRRIMDHIYARQEIFPPSQVFRFRKVK KFRKGDETTPTNYPDEDFQSDAEKRRVPPKKPKKAAKKKNTAAPTKPAPLESAPLRIE DMQGASQLVSFDSFQPVEAPSKAPPPPPHTKINMQDIDPVFILEPGRHTNKNKSSRPK PRPRKKPTTPDEIAQAEESRRLLEEARQIEASLHIPAHADKQAESSGVRRKHREVDED LILSGKRVRRQRQRSH JR316_0006506 MPSQRTKSSRRSKKGTLYEDDADAADAVTYENRTRTTRTGRTVP DLVKDNQDTSEGYEGDYDIHNTDDHRDDGRPVDSRPRKQLLEELEERKDFEEQALLRV AHPTSESPSYPSRYDNDIFMDDTKEDSEHTQNPDGITDNQFEEYLNQLREDPSNLEGF EDVDDEAEVGDADSDVRFMPAYLSPPTVGNRDPNSTFTGNAPFADGLNNSYVRVVHTN GLHHLAMVSCVCHGSGQLPLDLMACRLLPTSFQQIRTLFSAQLLDYFRLSNLELKSSA YQFYSLLRRVTNPTAPHTVVNLYNEFRRMSRLWRWMKKLKWAGFGGHNGKSALSVGRG ELANFCPACPQPGVNLSANWKDDPNRWVYKRVFVADGNFKADHVRSKKPSRDIWLSEG GGMTPDRMEYGEFLKSAIEALTGAPCENTFRAIQNSLLSSKSCDVTGIVGVACARHGC YAPNSLVDLFKGEQQKNVDFALLSALKSTGVDPDQGLAVIYDIICQYIIYLLKRIGHL LPKGLKVDRAIGMFHVHAHKDECFFRYAPTFIPGAACVCGEILESLWADLNHISPAAR TATLAHRAELLDDHASDSNHKKALGITKYLCRRHDEAEEKQEQYRVAFVNLTQGADPD AVKLWKQQIEEAESKRLVDPKVMDIYAAKRPGTSTVTPDAVPHRPLNPIESWIQFAVV VEERQLDIRMRARRLINHDRLAERNKLIKLRETLKALMAQLSILQNDAGVLPTASKGN EIPEQLFIDWEDEEDILAPGSGSAVYEPIDLQTIFLPSNGNVDIIHAPSEIHARISQA RGHLNQIRELIAERSFQYSDVIRKGPRKGVRTRGQAAAKELRERISHHAQAYSRCRDC LVQLGADNNILREFRVLTKDDVRSSTAVLNPNIPGSTNFRLSWIWYSVNQRLGPRWVL DPDAPDNADPNSLGDNADPATLKEYIG JR316_0006507 MSDSESFFDQPLITIRPFNPFDIQVGSQKAEQVLAQGIIYCGAS RLREALIHIIDASLDNHGWMQRCLQEELHYIMAVVGQAYAVGWVLDIPYILRLLSESL FACLPEEFPMDFHPDLLPSLAADDNDQGFEKGVKKYLYDWWTRNTPPSPERMLNHLTI GKIMKFHGEQYAKSFPKAKDSTKPLPPAEPSGIRHGQLSTLDPPPDAPGQTNFIYPTQ IVPDSKPGDIHCGCCAKFRNLSDDLLVQFRGVKNFSTCLADVASNQVACISRRAMGFF KLYELSQELDDAAIEGAATYGRDNIKTRKPEIPADLMNSKQTPPSDKWTWGKSLTIKD VLSISEVSNPKEHTGYAKNLKEGQKVKYSTEDSLSQVGTFDSAAISAVQTLPSATFTQ PDYFKYGTEGSPPSSVGDFAEVADQVPLSSVEDFTEAADPVSSNGNSSDSESDNDIIN QAANDNLFGSESDDSLDAALGLFDDDSSTNAGSDDNLGGTLDEETGRQSDIAEDEGSG SEFQSESGIIHNDVHVETTPLLAVSELEQSGLVFTPAYFDKFLDVNMFKFE JR316_0006508 MSIPTITNLNDFRAGLAKLNEKIRFGLENGNLTEIIDRHWDLVT AIATSRATNCVAEKQKSSNKFLFDFLLAYGKARQKNKHASPEFLNEMKNLLQKTENAS QQSEAKAKETRARRSSERRSATSQNHTEVSHPVEQEAEASTIRSLKRHRVDDVTPSCE RSPKRFHDIEAASDEDDEFIPSHNPSPSEAESDKNTPDPKRPYPKPKRAKPRARTLVS NLREKSEKPTREKSEKPTREKSEKPTHDDRPPAKATKWIHVQGCEMGCKGRSEAIERC SDVDDNESITSELEEDRKPDAKSRRGQTLKLVKVETSTPDLELSKPIADSNRREAKGK GKMLAVDPSTYSQKGEDAEMDTQSDDTKKIVRKAEVPGPTNNQPDGRAGHFSSVSIQT EDMSVKTWMGWMQDDFVRTQNESVSLPLPQRPTYIRNWDGDEEGSDSRPKQDRTTEER LKTLECQTDKILERMKKIDDQLQSNDNGFDYMAGKLAFFISMVQMLDKKSDMVNNFHG EVIHRMTLLRREMNAMAHDIYISHGSEDEHHMYHDTGVQAGDIPDGNHTFKKYSDITV LGLHPALASIEVRGLPRASLTGYAHQLETRALDSFRPAAAARGTAHQLKTCASARFPT RRCRARRLHAMRTNWKRAHRTVSDPPLPRAALTRYAHQLETRALDSFRPAAATRGAAH QLKTCASARFPTRRCRARRLHAMRTNWKRAHRTVSDPPLPCAARRTN JR316_0006509 MSIELKECLFIAGKFVEGNGERIDVINPATKQKIASVHVAGQKE VDAAVDAAEKAWPAWADGDPSIRSRAMLKLADLVEENANILGQAQSLEMGKPIKDSII EAYSLAYVYRWFAGAADKIHGKTSLNVPGFFGLEIRQPYGVTAGIIPWNAPLSMLALK TAPALATGNASIIKTSEKSPLSALLFAELVVKAGLPDGILSILSGARETGQLLASHMR IRKIAFTGSAIAGKAVAEAAARSNLKSCTLELGGKSPVIVFEDCDMEDTVTRVLGGFN TNSGQICIAGTRVYVQDIIFDKFAEKLAAAAESYKVGDPTDQSCSSGPQVDILQHKRV LQYIETGKAEGAKVLTGGTAGDDEGFYVKPTIFIDVKDDAIINKEEIFGPVAVLHKFT SEEEVLKRANDTEYGLAAYVFTKDVSRAIRFIKGLEAGQVGVNTTGNAHPDLAFGGWK GSGIGRELGDHAIHAYTEVKTIFIR JR316_0006510 MSLYTIPHLWGALALAAAIASAIMKFSRRSSAKQPPGPRDIVYY KGLGNSILVLNSLESMQDLLVKKGNVYCSRPYFVVASELMDLVNSTAFTPFGPRWRLH RKFARAALSPSAVKSYESTLAEVASRLNASFLENPDDFCDHVRLAAGGVIMGTIYGIT VRSAEDPYIKIAESAMNIISKAVIPGAFIVDLVPALKYLPAWIPFATFHQVGRRGRTM VAELICKPFEYVKSEIPKQEAGTAQPSFTADVLTHEELKMEWGNDAEYEEALKWASAS MYAVLNMIMAMATNPEKLKLAQGELDRIVGPNRKPNIKDRDNLPYIHAIIKETLRWHP PLPMDIARSSIDDDYYRGFFIPKNTTVIPNVWAIAHAPNKIYPPETFAPERFLEDNPA LDPSAYCFGFGRRYLAMSIKSMPTDCI JR316_0006511 MSTPSDADLNSLYIQLLKDSKSTSYVGALTVAIVDQIMTLPQEI DLVWKEKWSLSKALYLWSRYFNLANISFYMYFNVHKISSDHLWVIQHTLNNLISTLLS LNSCQIVILVESLGTNLIMLTADVVLALRVWVLYHKSRKMLAFLILLLLGEMISMYVA QETLI JR316_0006512 MAASATSTAPMNSYPRIPPSPSPSQSPLSSPTVPRSSAYRRSSS ISSTTSTTSSSPFPHSTPTTSQYSPSKPKPPPLHNAHPYAIKTTSTALLTRSTSSTTM SDAAVNHYIPPSSPSPSPSPNSPGGSGFAYGFGYGSGSEGDERDGSPTAKRRGHRYSR SLTSDLPMPLPPPPGSAAVKGLSAIGPSYSTPGFESPYRQSRQPRSPSPSPSGSRGRN QPRHALSEGEAEQEDDDDTPRRRLYYQQQQDQFSRGMGLAAPAFPEVHNHNGALPDDP KAWTPAQLAQYLLTTSSADLHGNDTIAKFVKEKQISGRAFLRLADADLDSLSSATRAA LLNTSRTLRQSLVRARILSSTSDSNPPKHQLPPSTNVYSSSGSPLDGEHKPGSSTTSS VGAMNSDNDGFNPDFQFGGASSGTTSTSPLIPIGTLSRSRGVRPRRRDGVNGSRGSVD SMSGASDSGSSISSSSSHSHTRTRTLSAARDISASAKKRVRERVDELEERISISAGSG VEEEGSASSSSSGVGRERGGSPVKRVQAVPVSADGTKAKAKALPQRGGVVNLFEEGQR RGHDEPIKQRQPLDKEEEDKDSTIVGLARKNVNGREPRLLPFPPAPPPSHVHHHPHQH IQAQQTHTPISSAGVEDYEFGFRRTYGAMHGDAQVTDHGAHSNGAMADPFGSVYVVRH SPRPASVAHQPTDAGSPLSAGGSPARAASAAASSMAGSGGRPPRLLPFPPVVGHAVLH HPVPKRAVGVGVESATSDTGNEVWESALEDQEWAREVVQHEIGTLEDAKGILDQKIEK KIEKRKEEKEELDERIKLTKGAFKETQTGTDEADEEEEMSVQQLLLRGDPGVPKISGV EAWEMELGETVKRIGANTSVSANGKDVEIGRKAGGGSKRHNHTGKSEMKTKGRAELAG SQAQRGGLMGLFADDSEGAGVTPASTSSIPEKPTEEVDAENKARAERAEADNKQREEK TAELNAREMALDEREREVRDRLASVEERERKVTIREEEVGKREVDIGKREDSVGKSEE ALVQREVSIQTLEAGVKTREGELERLEKEARERETRVGVREVEADSVWRDFEKREQDL KEYESNVQEKLAEVEKREQELKELEGVLHRGKEDLERREREVTQREIDAFEREEKEKK RPTTMEKTIQAVQESSDKCVETVPKAQQIHSAACPKPYLVSSWMLKRDYFLGRFIGAF ADLGDNYTSERGGGMLANIRGGGGALVLMGIGVCAVVLRVLGRRIAGVAGVRT JR316_0006513 MLRREASSLSFSSVLPATNFQDLSALLSLLASDAVEQKLGSKQS YDWERMSSVWSTFGMIGVLRFYLKFAAGLANAERAGVTLSGTEVYTDQRTDTALCYWG VNRPNERITWWASAHESLALMQSYTSESAWLRPIFIAVGSTKCPWSWRSVRCIMEVIA PVLWAFISLAITTIPTIILFTSLHELTDYEIGTLCLQASVSIFSGTIMPILIDRLNGS GIDDLGELYHDRLQNKTAPLIRDGDRVMAQYNIKKEVHIMWQTPRIEGQRQSGDLWWI RVLCAFNCVIVLGGYLGNYVALGNADQNRQYIWLGSQVFILAFRYVLWSRRPFPSPHR PLSLLYIATGSIVDPLPATPPPERVPASSPGKLTLEVVSYAMAAVHSKFANQGSPSNR IRGELLTRMANTVPSDIISVEYVLLDDYFYGKQEYSKMTVLRLSWAFVEECYMAQGVI LGPNPWAFGGLFLGAVFLDNDFVGLTTIHPSQLHFDSCADKQCASRHDDYRQGGFTRD GYYVSDLVGGTVVKFVAPSENNVCAWHDSFRDNITRCRSTAKANGPSYIEVHAATSSL RNRNGRTDVSRVEPRLNYDFIQASSLQARTKAHDSCPKNVCEIYTFGDLYLRKGQNKD GRFREFMKVMKPLTRT JR316_0006514 MPPAHIFVITSRFDDAAGPASQNIRDIIVFDVLYGVGLVLLILL LFTALFSPRHIKRGPTWFLMLVGWIITSMGNLIIVGQQTGPPPSQAICLFQAMLVYAS PVLTSSTAFVFVFQVYMSFRMAANSSNQPMLSRKQVAWMNFIPWIGFVAVLVEILIIG IKNPNEVRRNEPGTYCSLSGSIGYGVTAGLTVLAMLAVLVMEFLTGRILRRVWKNSET VQLIRNNELFSIETMARLGIFCFCPMIALAVSCLQYFPGHSNLGASLQLAIAILPCCA ILIFGSQKDIVRAWTCQKS JR316_0006515 MSSIGGAAAVALGTLTPEQILFLQSVPKAELHAHLNGSIPIPTL QELASEYLEARASSNKSSDAEISDELVTQGIEKLMQGPVLDEIHDFFRLFPAIYTLTS TPEALGRATRAVLSFFLDGTLPQCNYLELRSTPRATKWMDREKYLLTVLDEMERYDVD KVGLIVSLDRRMGGDVVAECLKIACKLRQEGKRVVGIDLCGDPTAGDMEMFSPYFTEA KRFGLGLTLHIAETLQNTEEETLKLLSYDPDRLGHATFLNEEAISVVLEKKMCIEICL SSNLLCKTVTTLESHHILQYLKAGHPISICTDDILPFRTSLLAEYALLLAQPPYGLGL SQEQILRIGEMSLQARFQPPHNAIVKKKVAP JR316_0006516 MLRSRLATNPRLNRYASSKFRLAPTAVLQSHLRSLTTNSSPPED LHDFGVKHVTKGLSRITEGIMMKGEGSWVTYDDGRKYLDFTCGIGVTNLGHAHPKVSK AAADQCMELVHSQCSISLHEPYLRLIERLLPIMPDPSLDSFFFWNSGSEAVEAAIKMA RWFTKRQNIICMQGAYHGRTFGAMAVTKSKTIYSAGTHPLMPGVFSIPYPYWHQSNMP VNTPTAVLSDNALYQLDLLLAQQTAPADTAAIIVEPVLGEGGYVPAPPEFLQGLRKVC NKHGIMLIVDEVQSGFGRTGNWFAVTESGVKPDILVIAKGLGNGFPISGVVSRRELTD KLKAGSMGGTYAGNAVSCAAAVAVNKVMVEENILENVQLRSNELFSALNALKYDPALN PHILDVRGRGLMVGVEFGSSSVSSHSHAHEPFTTHSAASLPPDSPPHSQHSAHPSGAK HPQNMASRVAKKCIEKGMLILTTSVYEVIRFIPPLNVTKDDMKTGCQIFAESVREVVR EG JR316_0006517 MSQARKRTKVDNESVSQFQQVSNLEEHRIKDMPLSEIYYVPDFI SKEDSDEWYKALLALDTWYRPTLKIFGREVVQSRKIAAYSTDPNLTLKYSGQIVNMKY EYPKVLREIQDKVEERLGVKFNHVMLNLYEDGKVYIGNHRDNLENKVIASVSVGAPRT FIMTRDKKVTSKHPEGSSSSKITSEEAGQARVNNKRPFFDISESSLQVTEPVDRKSWI LDSGSLVVMQGDTQRYWKHEIPKWIFQKAINIAKQPSRLTPLKHPPTEASANNQQKAV HSRTHKFKTPTGLSDPLVRWFEQRRPPPKTSRSRTHSTTATIPSNNSPLLMSARAVPS PRRRQPYSPRCPSPLGLPPLGYPPAQPQPPLAPHMAFQSPSYPLTPERHIQPKGVSES RVPYPPEARRPLAGTQSQSRPRSQPVPPPLNLSSEHHPPTQAPPQPKYPGTAQPVAKQ EMTMVVSPPSPTPSDTSSLSLYSAESITKAFPVEVAQQQQVQKMQQEEDEKPPSLFCG CFNFKAWFGGSKKAREKKILGPPIPPVPQPAPQTVQVRRRPSPLNL JR316_0006518 MGMYSTRVQGRQILLENPARESRAKKALEEKRIRRNRQRERKKL GIIGKREAKEKGVWKFEEAQAKFNLFLPLHRLWMGYMSELLVLPPKPSQPPSFDAASK SMPQSSGMHPKLLKADYHGSIMTVCQSKNPCLIGLSGIVIHETENAFKVVTKTNKLKV LPKQGTVFSFAVPLYSILPPTHTVNTPLPLPPPASTENVTALETVLDQPHIQFELYGN QFRFRAADRAGRKFKHKETIEL JR316_0006519 MSTETTQGYLPDSSNILQGQQFRRRRLRGACDACRTKKTKCDSA LKPGKVCTNCIMSSTICTHDMPRRQKEKQLKEMTAAYVEILETRIKKLETYIQKMHPG EDIDRIIEAGRNDKCPELRMPSNTSQVAVPRTTRFLYSTNLRFVEPGIDDASTTDDDS VESDTEDLALAALTENMKKFTTSTAAAIDERFYGQGSMFMFAKQVTDVRNEVTGEMHG IHPGHTNQFRRRIYWDLEPWESAYISTPEPPYTYPEVDLLNNLVSLYFDRCNILLPVL HRPTFVKSLSIGQHLWDPLFGMTVLLVCALGAKYSQDLRVMMFNDSSGTGISAGWHYF SQVQVYRKRMLHKSTTYDLQYYALAALYLSSTSLPQASWTLLAIGLRHAFEKGVHRRR GPQQKPSVEYELQKRAFWALVCLDSAESSFVGRACSIPLEAYDLDYPIECDDEYWDTG DRETCFRQPEGKPCSISAFVALIKLCEILGFVLRTLYSNKKTRILSGFLGSRWEGRMV AELDSTMNKWKESLPSHLHWDSGERNEVFFHQSVILHATYSYVQIQIHRPYLLAKGSP LTAPSFAICSNAARTCSGILEAAVIRDVGVLPITIIAAYTSGMVITLGIWMTQSAGTT DGFRAEKDNLEKCVQYLRKCEKRWHSGGRLGDILSEASAEMHQNRPSINHLQTSSQIS PSDIRKDAACSSGSAAIDSLQLQTHFVPGTQSTFSPDDWGFQNLLLTEMGLSMELPSD RQHSIMSASWAEQAGRETYIPEHTISGIGVNDITQFTSQIGDLIGSGYDILSTPVPPV FEEWDNYYRGYQP JR316_0006520 MLVQRTITNLLKCLNYHPPKVDSERKEYLEEALRSEFSMHSNDK AWFDIVCAQSAAMAYGFQRGMLGGTVTDKIPIFKHFRNHLVDMYELWDETPANCINTS AMEYINGCSLEETPSIRKMKLKTNARAWPTYLRTKTGVAAAYGFMIFPRNLHSDISVY IQAIGDICTFIDLTNDVLSFYKETLAGEKTNYIHNRAFISGISVEDTLLEVAQDVLDA HTRIVSTLSTEDAIRCWKNFVNGYLAFHVTQSRYRLQDLGF JR316_0006521 MAVHFLYRTAHESVGATNATTTTTPTTAPSTGSGASSDGAAGPS TPAVAVRRSARLLLPHNPTPAPPVTSMYLPPPPPPIRAKSRARPKPVPTTTTTATPSS STIPPPQAKPDAKGKAKADPETVQESEESPSGPIPSTSQLPPVHAVAPTPTILAPVPA YNTRKRSRTTEHELIAAISPLPPQSPLPLAFPLAATTKAQAAAVKILNQHASAGEAGI EGKEDAEESGAPRRSKRSRVDKGDVGDKGKDKVKGKESTHEDGEVHEHGSAVINEASR SSDTAIAAPSRSTNLRSRTPALITKLTLRSRTRTAVATAAAVSATAVPAGVSESPLMG ASASSDGSAETSVPVKVSLEDSVASGSAGPALSSPSTLTATSNDASNSDAAIVLSKAV DTPAIVDIVAEVPAPAPQAPIPVYATRSSKRKAGADEKVEVDKCPRVVKKMKVNEKVV VAVVEQEEEGENVVVRKAAGGMRGKEKKEKEEKAPKVAKRATRRGSGVGVKRRLDATS IITTRSAKRNITVPEQAVANEPPKTKTSAKQAKGKPVQTAPATKPATTTTGRGRGRPR KVVEAPVEAEEQKQADEKEERALDVPLVVDALPALEAPKVVSLKPRPRARTRAALAAA DAEAAAAAATSALTTPTTATENDTKESEKEETPTQSAASSAEAGTSRESDAPKDNEKP VNEGAKADEDTHVTSALTDDTAAAGPTSASSLTSTTSSSALTTLPVEDKPLAVSPPTS PATSPTKTPSSPPTPTLSLRPSLPSPLPSFPHPVLPPLHPHPAVHSPLTPLSNSNAAA TSSSSPSSSTSSPSASIPSTPITSSPSTSTIVGEATTSASTSAAAAAATAHTTVSVSA PTTPISTTPPSSPPTFAHQFHGSHQRTSPPLDAVDIGPLDGLGLPAPLLSMSGVSSSS SSIGGGGSSSISAKDKGKGKAVAHIPVTGASATRNVSPVPSPMSSPPLSPVMGLGVTP FMALSGGAGSSSVASGSGSSSSSSSSSGLSSSSSSGSLQQQQAAQPLPPSPTTAMFST PISLPTLPTTFLSPFVGSSASQTQQHQQQQPEERETEQQQHTRHFIQPSPPSPSSPTF GPLGGLEIAQQHHHQQHHQHQIDPSLTALSAGSSSGSSSMGDMQQQQQGMNYGMSMNM SMSMGMGMNMGMYNMPMNMGVPLSPMNVMGAMVGGDGVGNTPITMGPGMSLSLPMSMS MSTMTDIPMDVDTDPASNGVMNGPGDASGMINGGGGGAGAGGNGNGTLVSESDLGFGF HGRADGGAFGYEGVGVVGEPLGASGSSSGVADAGQQQQQQQQMYASHEQMYQSQPSAS SSSSQVQHMDQDQQLQMQQQQQQQQQQQQHQDQQQQQDQPQTPVSPRQQRKLEFFPPH PLELQPRLDRDGSMWKTACKLRVWEITRRYTPDTIRSVVAQEAHDYYSAKGSFPVGYK KGSAAQMRRRRRQRPVSSTESGGSSSSSSNDASADDDDFMNEKIYSFELLDDDAAEEG LANGYEWDEDAYDDDEWDDDDDEDDDDDEDEDDDETEDEAEQVKYAMSLARAGSPKAS TSGTSGSAEDSGAVSGDEDAEGEMEVDIEAFSDPSFNAELSSPTSPTTPAGPLSSGGS FVASFYIPNVNTNASDNGQVGGFFGGSDDGRDDVQPQAGPSNSTQEEAQLPQTPPHHI TISIPAAEDDPEHQQHLQQLQQQSQSHHAQANSSFFTPPAPSPILPLPPPRLDSIRVG YLRQSELGIKMTGRGTPADRARAAQWSKGWNSGMQQSLPVAPPPPAPIAGPSGVKRSA SEVADDEEDEGEMRGRPRLRLALEGEDNDDAQIPSSAEVEAQGSMAMYNTADNVQQQQ QQQQQQQLDPTMHLANPSDATSSSNASLAANTSAEARPSGFVEDQTHWETFLADMADR TSGSESATAPASTSPMMADGNDHQQLLQQHQQQLQIQQQHVHHLQHPATASAGSSSHV LHPHPIATAHTGDLDFSSNAAVHQNPGQNMTFAMFDMSLANWFNADSATTNTSVVDAV TNSSPSADEAESLSSVDGAIMNTHNDESASSSSSSSPVPGVLGSGTSTLSFALG JR316_0006522 MSPDSSILPTDNLSPLSSAYRSSTSSPDETSSQPISEHAAHRVL LLLDIQVAMLSPPPIGVPASATVHAHLTQILAYARAASPPPLIVHVRNSGDVGDADEP NAPGWQLIFPPLPGEVVLDKRKNSAFAGTNLSSIIAPDAEIVVAGFQTDYSIRATCSS ALKRGNEVLLIRGAHATYDRIEVLHGGGITPASRIEGEVEAELEEAGVHILDMKDVPG IFMDR JR316_0006523 MAQSGLPVVKIAGPLFLSLLFNFAGFGVLTVQVYLYFLAFPNDP LRSRSIVYSVYTLELVQTVLIARTLYDEFVFGFLDVDSLDRIGEIWFAVPIAGGIVTF IVQSFYVHRIVQLGHFLGRRRVIAIACGVILVSFAQMAAGFTLGVQMFQVSLYSKVMV PEIQTSAGIWYAGGAICDIVIAVCMTSILLRSSDGITPRTRKIVRRLIRLTVEAGSLT AAMGIITIAFVLMPSPETYYQTSAAILSKLYSNTMMVVLNSRMELSSRETDTQMSLMS GVKFATRMPVRGQDGVHRSSRDPGTETHRITESDTALN JR316_0006524 MTTTTAEESSLLDKLDQVAPLAKLDAFPKVPTTYKARSESRGFM TLIVAFMAFMLVLNDIGEYVWGWPDYEFSVDKNEAPDLTINLDMTVAMPCGFLSIDLR DAMGDRLFLSGGLRRDGVLFDVGQATSLKEHAAALSAQQAVTQSRKSRGLFAWLFMKN KDPGFKPSPKYNHVGDASACRITGQLIVKRVTANLHITTLGHGYASYEHVDHKQMNLS HVISEFSFGPFFPEIVQPLDNSYEATEKNFIAYQYFLHVVPTTYIAPRSPPLHTHQYS VTHYTREIDHDRGTPGIFFKFDLDPLAITLHQRTTTFLQLLIRCVGVLGGVFVCMGYA IRITYRAVEVVSGADQTAGIVAAESSGVKIGLRSKWGGGELRSRPKSGKLVPQGSGWT MEGNGNGSPYASYNGTPVAGAFSPGVHSPYLNSTPGSPMPGTPASVGLGYPSGTFGPS GPPPTPGLRSSSSLGPPRTPSYAPQAQRSSSNGTPYSPMPQSAAPDVTSFGNVSVPGT PASYATFPASPHPANGNGFQVGPPPKKVAKKDD JR316_0006525 MKHFSPTGMGGGITSATPQDAPPAPDGRNSILIWAVGAFCIYGW EFLVCLPQEYKLIWRKKINVGFALHTLVTIETHRHYLAVEHFIRRFSIIVTLVAGVWA PSACNQCIVVGIAIAAPTTTTSEQSPNSENGPCGARSGPFVWDVVYWTVPLFYDALTV VFWRDEMTNQMLDIIWRDGFLYFLAIFSMNFVNVIIFLGASAEIRVVNLPATIMLEII LSCRLVLNLRDTHDQSMRTADQQKTSGTIVEPVKTPKWSPSTISTPIQSRTPDVFRND QHSEYVSARYSEPANRV JR316_0006526 MSSTNSDRSTKVRTGSCLCKAVKYEVAGDPITFRICHCQNCKKA TGSAFMTNVFFTEDKLRVTEGQEKLKVYHDHETASGNVLSRYFCSQCGSNVFLQSSDK RAVAGKIRIIAAGTLDEEFDWVPKTQLWPELKQHFIHGITTTAKL JR316_0006527 MDDLLSLASISTAFHRPLATRLTNGESIELTLNYLDMPWKALQK SQDLSRILCLLPEAASCIHSLVVINSPMFVLNEVEACSTSQEDDSDLDDGDLLPSQKL QRDIRKLKILYPSYSYHDPNLGRIMFHSYNIKKLVIDGRRHLFDIRLFDQMYNGAIQR ALTSSVVTNLRLRGVVGISAKFLQVCSNLRRVFLSQVGFADYIKDGISVNETATRNVV KIGHGYEKKQPTEEQGSASSPIRVQKIQLQTIQEGIYDHWKNSDGKFGYVDSEDEEYD DSQGPLTLNICDVIDGGEVLRQSITHSPPLTNVFRLKVLNMNLRSAVDIQIMGDLLNV CANSLAIVKLSTKDISRFPEKIPFGTSLRSIDISILPSLTMLKIHLNFKTPLQGEGCE IEWFLNGMGSISQPNCLRTLGIIVDLPGGYGDGKDENDLVEEYDGYGHWEDVLLAENL AGLEKVDITWILPSDDNRKLEYILLRMPRLKADKRFSFHEDWYFYPRRPLALRTNSSF TELPAGGHRSN JR316_0006528 MVASKRFLTSVLIAVTYSSVASAFQAKEDAFSHSTHRVRHISRE LTVETYHPESSYETFTEGLAPRDYELDARAPRDLNSTALAFVQDRLGVDASSVGFKSG YTDRREKFAWVKQYHRGIPFVNAVANVAWKDDKVVAFGNSFVKPKTIAASRPTIPASS IIAKVEAAFDGKYNNWPISLNYLARPDGSAALVHAVQVQNEEVNSWFEVYVDAHSGEI LSVTDFVAEATYKVLPLHKRAITEGLETVVDPQDTLASPSGWHSTGTTSFTTTEGNNV VSYKSSVSSTTSQSSSDLVFNYTYSPADAPSATANLNAARVNAFYIINAVHDLAYRYG FTETAFNFQQNNFGKGGKANDRVRISVQDSSGTNNANFATPADGQSGQCRMYIWTYTT PNRDGSLENDIIVHEMTHGITNRMTGGGTGSCLQTTEAGGLGEGWSDALASWTEQKSG TITDFVLGDYVTNNPKGIRTNPYSTSKTTNPLTYGSIKSLTAVHRIGEVWANTLHNVY AALVAEHGWSATAKTNPDGTEGNIVYLHLFLDALRLQPCNPTFLTARTAWIQADANRY GGANKCLLWKAFASRGLGVNAANKVDNFDVPAGC JR316_0006529 MHSKYPSLLSLAVIASQSFAAPGPYESRATVDSVSATLDGVTYI NKGLVGFGLIPSNFTESTGDTLGGFGSAIAIKRGTFRQRNGVFSGTLLARPDRGFNVD GTIDYQARQHEIDFLLTPYYGSTNLTFQAAQETLKITYRNTVLQFDRLHKKTSGLDPT AVRNAQFGSNIIPFLDPAMPIVSKDDNRLVVDVEGLVANADGTFWVSDEYGPYIYRFS ATGQLIQTIQPPDAFLPRDASGALNFTSEVNPITGRSPNQGFEGLTFDDSTNTLYAML QSATIQDGGSSKPTARNTRLLAYDVGNPAAKPKLIGEWVVPLPGTASKGNVLACSEIK FVSKGIFLALSRDGDGHGGGDNKSSYKHVDLFSISQATDIHGTKFDNPANPVSPGGVL DSSIVPAQYVSFVDLIDPVQLGRFGLHNGKPADPTLIDAKWESLALAPVNDPQFPDDY FLFTVADNDFLTTQGISLGEPYNAGIDVDTQFMVFRLTMPSIVKGSVQRSIGIL JR316_0006530 MSASIEDYSYEKKLSEKSGSEIVHTQSVDDNITFHDDDSIPERR QIGLFSAVFIIFNRIIGTGVFATPSSILTLSGSVGLSLFMWVIGAIIAAAGMQVYIVW GSAVPKNGGEKNYLEYLFRKPKFLITSIFSANALLLAWAAGNSLVFGEYILLAANVEP TRWTLRLVGFACITFSVLLHGTALKWGLRLQNVLGIFKILVLVFIIITGFVALGGHMK IEKPDNFTNAFEGTTASASSFCLSLYNVIWSYIGFSNVNYALAEVKNPKRVVRIAGPL AIGVVTVLYLLANIAYFAGATKEEITSSGRLVAALLFKNVYGEKAQRALSVFVALSAL GNVLSVSFSQGRVNQELGREGILPFSKVWGSNKPFNAPLAGLALHWVICLIVIFALPP GDAYNFVLNVISYPLSVINAAISFGLIYLAFRPYPDWPLSSIPSLIAAFFFGAVNVFL FIVPLLRPPPIAEPYKSLPYWTHAVAGWAVFGIGALYYLVWAKIVPAIGGYKLIRVEQ TGKDGLKRHVFTTIQKPKSA JR316_0006531 MSPRRNPKRNAREAFNKDTDVEKSIVSGMNEGHGKAQKPDESEQ ENIVPPSRATKKRRVKEVGSVDMQAESSSAIITANDTAPEKPCDIELPRAQLGSMPDE LLCEIFSYTLPLDLLQLSHTCKSFRSFLMHTSARFIWKSARLNVPVPGLPDCPEDLNE AQYAALVFAPNCQFCFKKPVNRNFGEYVTSNIMTDFYARIKSCIPCLRNKKIFFPWKR GQGPWWNGPYPRTMAPYLPFVAAPKGTYNARITYKILHLPTNDAWAEEYRNAKSKAKW VEDKTRARKLAYVHSERCKVFMVEWNKHLVNERKAVVAKYVESLGWEEEFLKNWNPKL LIQNDPELQIIFQVDISQEWLDNTRDWFNLRMSKLKIERLDQERENRVIKAYIVLDDV LKNFALDLPPNALVPQVPDIIGYPAIQDIIHENQFNDNLSAKDFEPLRSVFPSIVAQS LQEREEKLLAIIAKELGEGMFDPKTILHLATTTFHCEICHEDILQYPRVLVHRHAYEC RHPLQRKFGTDHIDEVILQNSVYRIFSWGKSGSITFRKEDVNTMSETLKMLGYDPKTT TRAEMDAADPILECEGCITTCLRSSNVGYQRMMRWSAVATHGDPRSTYHCRFSSARKN PMKLAKVDEETAKTVRSKLLQAINKFDNPHNALTYGYLMCSRCRKWGDMVTLSTHLKD VHMIDSPTEDDIVLRLDGDAPQICNMTVDLSD JR316_0006532 MSPAICDRILATGRINVSIAEISSPGAAEQEGRVLPPHPEQPHL SRRVISVSNPVFRVTAAIHVRKCRCTFVKFHRQTAPAGPGHNTVRPNGSVSSTGLVSM PSASTSRLPIFPPQPEDDFILGPPPTGASAGGVHTMAETLYNSNSFAFPALYPTDPQP SLGGADPVDYASKYRAQAQAELFGPGRSGLGTGLTPLYDPRGGASASTGAAGWLGWDS APQQQQGDGYHGHHQQQHHQEHGRHTDMIPASSGASAMHDKHLLQQQQQQQPPPPHYM PMAIPYPGARSDSGVGPSASGTSTSGGAGASVGVGVGGDADAGRRRSIDFTSDFGGTQ SSSSVAGGGGAGGMGEYEYDYDYERFSGGSVSDGDGERDGSASVGARRSVQSSAASSS VHLPLGVGVGVGVGVGGVGGMGGLLQHQQQQQQQHGLGQSQIQQHQQHQQRHHQHQHQ NEQRQHQQQQQQQRQHQHQQALFDAGYNLGLIPDRPSTASTSSSSSSHQPHHSRGGSF GDGGGSSTGGYAPSSHDERQEFSSAFGLMSLDDPNVIAGLAVDGQPFFSDPEHAHNQQ AQAQAQARLLGQDMDTPMPMKQESSAGGLLQLPLDTKLQTPSRDTDTRELREFWKQYM RTPLSGPGPVLGMGDVQGGTSSKGTTTPYRRQRVASMPSVKTPVMERDHFYSEKDHQQ QQQQQTGANVNAGESERDRKMGPTSSMRTTLHGDHEDLRSYEAAVLARKAPTNLNLQI RKPFRGRGNAPNNYGARPRSAVDPPTTSISSSLANAFGNSSNNTAASGSTGQQQQHVP PSAPPGRVSFAVKKEDSTSPSIAQSRGSSVAVEDSDGGSSNDQDSGRPSFKRLPSQTL GPANSKRAFLGFTEGNVDGAKDRQLIGWGTPNAGESTHLPAPKNQLSTMSHPDRVVAS LSERRRRRMSAPGASAPLHLPMPDANANPIPIVENKGPERPYAGNTEGGATYAPAAQG GGRN JR316_0006533 MIKATISVILSSALVCYVSAHGYVQEVVSGSTQYTGYLPLSDPY YKPPPNRIIRKIPGNGPVTNLSLIDVQCNGYTDGGSPGSAPAPIYAASVPAGSQLSLN WTTWPSSHIGPMITYMARAPSDITNWSPGDAAVWFKVAESGRTVDGKWASTDLLTATN SIYTFTIPPRLKAGQYIVRHEIIAIYAAYTYPGVQIYPSCIQIEVTGPGDAFPTSFVS FPGAYTPTTPGIVFDAYHNTSSPYPIPGPPVWTGGN JR316_0006534 MTRNPQEMTTVTPFVDKQEDHNDRESDRTRTPSPVPLDYTPLGT AGGWLNSCIIVLTVTTAMIINTGNSTSVSIALPTIERDLALEPAELQWIMSAYPLSSY GRAASSSSSAELQIWCGFTTNVVTLDVLRALQGCGAAATIPSSLGILAHAFPPSRARS LAFATFAAGAPVGAVFGTAVGGVLTEFTEQTWRSSFFLFSGLTFLAFFGGLISIDPDV PSDEPDKRIDWIGSLLVSGGLILVVFVLSQGEVAPQQWRTPYIIALLVIGVLLIVIFL FWQRHLESVQNDPNAPYSWLTPPPLMKLSIWTRANGRFAAMMAIAFTNWCAFMSWTYW VQNYKGYSAMQTVVRLLPMFVSGIACNVFVGLMAAHVTVVWLVAIGAGATAAACLLFA IINPETTYWAYAFNASYLSVMGADFVFSAGTLFIAKFSLPHEQSVSGALFNTMTQLGT AVGVTVSTVVFNSVNSRLDSNEDNISTFRAAQWTGLAFGIIGKFTFHTYVQFQKLNST SCLSICTATTLGIVFFYGVGVVGHRGPASDSVSHSEKGSVTPFTASPTESDTDGRTMT VSSRGNLLTEAGSSVPSQFFAYGGGGMSNSGLTAASSPELGAERRKNKGSPEIISGGE RAG JR316_0006535 MMNPRRYYPKRKDDEAFDQDNLRDEYDSDRENNPPKKAQKAAEI KSGSGTVTQTDEKRRAKNGEKAETGSAPILMAHDTTAAQAHDTHLHTTPLNSMPDELL CEIFGQAMPLDLLRLAQTSKKFRSILMHTSARRIWERARLGLPVPGLPDCPPDLNEVQ YATLIFAPYCQLCLKEQANSGDPKIITDVYARIKACMSCLRNKKLFLAWKRRKGLWWN GPYPQKMAQYLPYVSAAKGTYNPKVPYNLFYHPANDAWAREYEDAESKEKWVEDKTRI RRLAQEHSVWCKVFIVEWNKHLVKERKAIIADYVKSLGWEEEFSKNSDEKLLIQNDPS LQKDLEFGITQQWLDNTRDWFNYRMNEIKIERLDRARRRRVNKAYPILRDVLENFALD LPPNALVPRVPDIIGYPVIQEIIHGNEVNENLTAKDFEPLRSLFPEIVAQSIKEREEK LLTLIAEELGENSFDPKMVLHLATTIFHCESCDASCGEGLLRYPRVLIHKHAYECPNP GRKRFGDEHSDKMILTKDFNHFSWGKTGNISFRKEDVNTLSDALTTLGYDPKITTTAE IEAADPIVKCEGCVVTGWYHEMYQCVMRWSWVALHGERSSKRYTPAFCNRNSKSQDPV ILQKVDEKTAQTARSKMLEATNKYSPAHEVNTNGFFMCSRCRVWGDMESLSIHLRDVH NVDCPTEGDIVVRLDADVPQTCILPIEFEESLKICPVELAKMWINNL JR316_0006536 MAAQATFKSIKSLVPLLDRVLVQRFKPETKTATGIFLPTSATSN PLPEATVIAVGPGAPNKDGVIVPTTVKAGDRVLLPGWGGNSIKVGEDEYFLFKDSEIL AKIKE JR316_0006537 MDNSGCRLVCIRLRDIEQPTRECHKDDLFLIPLLPAHKPSFPAV ARAPGAMTMKINYARETGIYSVSAAIVFAALYAPFLVRFAYMSYRQPVHVHFAVALFC IFSIIGFSIRAAMAASEELGSRLSLLITQEIMFTVGYLILLYSSHSLVMNLTHICLPE DLRKLNRRALRLTRSHHLFHFLLSAAIILALSAAITNNGTGRERVSDILDVVSSTLFM VLTVLQAVHSGLFARLALKKEHRERHRAQNHSFGERNAVFLLLLISFLYLISETFSMV TVQNDEQANNERLLYPLGFLPELLAVGVFAIPGLVPSTCVRKFPWSRQRVLQVQYY JR316_0006538 MARVVSKFLAIVQLLAVANAFADLDGLKSRQIDSDQLYDAYDYV IVGGGQSGLVIANRLSEDPKKTVLVVEYGYFDDTPGQLEPSSGSVYASRNLFNVTTVP QRGIGNREGIVYAASVVGGGSTVNGMLFDRGSADDYNNWEKLGNPGWGWRGLLPYFKK SSSFTPPRADLAAEYNITWDIPRAYGNGPIQSTFPDWQWPTIKSQWKAWTDLGVPINE EGAAGDAFGAYWVPSNVDQTYRRSYARSGYFEPVKNRRNLHLLIGHRVNEVLFTSKKR AEAVTIQARGTANGSPTKTVKAAQEIVLCAGWLHTPQILQRSGVGPKSLLTQAGIPVV ADLPGVGFNLQDHPALLLIYQYQTDLFPNPNSLTNNATFQAWATEQWASRKGPYSIGV GNALATVPFPILSPTYQTTINKAKGQNAADYLPSTYGPQNVKGFLKQRAVILDSFGRR DNGVVEIPFLGGSTLSLVLEKPLSRGTVLLNTTDRYAEPVIDYNTNVNPVDTDIFIAV IKFARRWFQTPSQQHLTPVEVYPGSSVGTDEEIAAHSVNAIWPSTAHGCGTSAMAPRD QAGVVSPSLTVYGVTGLSVGDVSIIPIIPATHTCATVYAIAEKAADLIKSRYDPRIRP AGPHP JR316_0006539 MGLYTSDPSCFAQVDFNGLISENYRCGTDQPLRLSSGDRDARKV AVLRPSTPATDFSVAEGARSNYSALPEQNYHSASSMETTWPPVLRFQPAIPIPESIPK SSRLMGIKPSPSHHKGPAFSRVIARPTVGSLQLPTRPVEIISPKPYKCYQTATFLREE SYGNTPYQSSRSDNDDVFDYSEERERELLSTSLLLSMNEACTEDPLRPALGKPLPLRP LWPSVTPSFLETAVEDYSSCTSAYHTFLSPLEPKPKTPEVDSITARLELLNSLVNDCD TPSTPMSMPALASPAGSDSDLSNSGTGSASIDSSPSSISSFNTSLASLDDATSVKEDL QGYTERMVAQIFDVCKLEEIVASTWFLAMQAKFLACQDHAASQDRATEREFDMRAFDC FELPIPAPVVLRDPRVFRMPSAWGTRVADVCTICAILFSVSIIKGSPFARKNLEFLLW IRDDLEEEVEDYFAPFFDYDVYMDSLSESQYMLVEREGEKGDGYTHNILAEKKQHFTE DAEDFDVVDVADSSRVAQADSDDAELLEWARRLKALLDCRRQARMGATSFLGENVTRT HEDEEVISKQGY JR316_0006540 MRPAVPASLLRHSLARQQRSVATKRYASTDSSQAQKKAQETLAS VQKNASKFFENAQKFLGPVGEKVGNLLGSYKQPVLYNLAVTKEVFKQIYIKEGLQPPS IEAVKTAYTSLWSQVRNPALVGNLVKSGEIGRVGVYGLQAYGIYKDENVCDVAPSPIL QKSCTLASLFFLALYRTLSLNIAKIFVLEVILARFKLN JR316_0006541 MIKRRNVEKTSSVSKGTKNYGTPYIHFVLSLSLLWVCNALAVGP TLSSLTVENRVEPLGIDVNPRFSWIISSSMDNDAQKSYRLRVSSGTTPTDSELWDTGT ISSTRSYLVEYGGPALASDTQYSWTVEVTTASGSTSASSLFSTGLLTNNDWGSSLWIG KQAQADSPPADLVSSFLNSSWIWTSEANPPNAPPGDRAFRRTYVPPPGRRAVSAEILI TADNQFTIYADGKFVGPSHTALNWQNAQYFTIPLSSSSPSFAVRATNLADPGTGGDGP AGLLVSIKVHLDNGNADFVTTDSSWLSDKSIPDDWNAINASTTGWDPASILSPYGQGP WSNHVVTPASITAPVLTFAQSNWIWSSEVDPLLAPPGTRAFRKTFTAPSGKTLQSATI LITVDNDFKLYVNGGLIGVSPNDTDWTSAQKFIVNLTGSSVVFAVLANNQPDKTSGGP NPAGLLSAIQIAFTDTSTQAIVSDNSWRVDATVPSGFELPSTDDSSWPSATSIGLYGI GPWGTGIKVSESLTEHPAPLLRKEFTVSKPISFARLYYAAGGYASITINGVPASDHVL TPGFTKYDTELQYVALNVSSLLKGGTNAIGTELGRGHYGVTQGSVWNWDSAPWHGEPR VRMVLSIGFTDGTLSRVVTDDTWQVIEGPTRLDDIFGGEIFDASYIQEGYDLPGFEAK GWGQAAIMTAPFGVLINQNQPPTRVVQSLTPIDITQPQPGIFVAHYERVVSGWVRFTA SGPTKTLIILHFGEKLNTDGTVVYQDTQQYYANNFQTDRFWLAGKGTPETFEPKFSYK GYQYVQIEGWPSGTPPPAPENVIGRVVHDDLTPRGGFQSSSDLLNKMHTAAVFTLLNN VHSIPTDCPTFEKNGWTGDAMLGTEMFLLNLDSQDLLSKYVRDIDESRSKGSGAPAVV VPDSGWGANNQAPTWHSAFILIPWWIYQYRGDQRILANHYDSMKNYVNFELGRSSNNI AQTTLGDWDTPETSPLGGNPPEDSRVSATAFLYKMLTVMSEIASVLKKDSDSSTFSNQ AQDVKTAFNNAFLNPNTGYYTGVGDSGYRQTHNILALAFGLAPNASIQKVADSISQNI SSIGTHLNTGALGTKFLLPVLTDFGHGDTAFAVSQQTTFPSWGYWIQNGATTMWEHWL LTARSRDHLFLGTFEDWLYKHVAGIQAIAWTVTPFGNLSVEWSKDSGRATVNVVVPVG VTAVVNIPGVNNATVGSGTHTFVSSKLQASSSLEIE JR316_0006542 MGARRVCWYVYMKNDENHELMLMIVPTLSALTVENRAEPLGIDV IPRFSWIISSSVDNDAQSSYRLRVSAGTTPADGEIWDTGIISSKRSYLIEYGGPTLAS DTQYSWTVEVTTASGSTSASSKFSTGLLTNSDWGSSLWIGKPAPADGPSADLISSFQN SSWIWTPETNPPNAPAGDRVFRRTYVPPPGRRVVSADILITADDQFTMYADGTLVGSS PTTADIWKDAQFFSIPLSSNTPAFAVRATNLPDVGTGGDGPAGLLASIKIHLDNGNAE FVPTDSSWLSDKSIPSDWSSVNASTTGWLSSNVLGRYGQGPWSNQVVIPTSTSKSVLS FAQSNWIWSSESNPLLAPPGSRAFRKTFTAPSGKTLQSATILITVDDGFDLYVNGDSV GVSPNETDIWKSAQKFTVNVSGSSALFAVLAKNTPDPTSGGGNPAGVLSAVQITFTDN STQTFVSDTTWRVNSAVPDGFELPSTDDSSWPFATSIGLYGINPWGTQVTISESLTEH PAPLLRKEFTVSKPISFARLYYAAGGYASITINGAPASDHVLTPGFTKYDTELQYVAL DVHSLLQSGTNAIGAELGRSHYGVTQGSVWNWNSAPWHGEPRVRMVLSIGFTDGTLSR VVTDETWQFIDGPTRLDDVFGGENFDASYIQHGFDSPGFNASAWGQAAIMSAPLGTLV NQNQPPTRVVQSLLPVNITQPQTGIFVAHYERVVAGWVKLTASGPAKTLITIHFGEKL NPDGTVIYQDTQHYYANNFQTDRFWLAGTGSAEVFEPKFSYKGYQYVQIEGWPTNSPP PTAADVIGRVVHDDLTYRGGFQSSSDLLNKMHKAAVYTLLNNVHSIPTDCPTFEKNGW TGDAMLGTEMFLVNLDSQDLLAKYVRDVDESRPNGSGPPAVIAPDSGWGANNQAPTWH SAFIFIPWWIYQYRGDERILANHYDSMKNYVNFELGRSPNNIAQTSLGDWDTPETSPL GGNPPEDSRVSATAFLYQMLTVMGEIATVLNKSSDASTFSTQAQNVKGAFNNAFLNPT TGYYTGVGDSGYRQTHNILALAFGLAPNTSIQKVADSISQDISSRGTHLNTGALGTKF LLPVLTDFGHGDTAFAVSQQTTFPSWGYWIENGATTMWEHWLLTARSHDHLFLGTFED WLYKHVAGIQATAPAFEIVKISPLLSSPLNAASAWTMTPFGNLSVAWTKDSTRTTVNV VVPVGVTAVISIPGANNVTVGSGTHTFLSSN JR316_0006543 MTGQKEPTSPQMELAESLKELSLVGVDLENYKKGLDIDTLTVEE RLQQSHRLSSFGKLYLEKYRKSKQQEDIDLSIKSYEKAIRLVADDSGLLAYDYDYAIA LFERYSLLQRPDDLESAISRVERVVEGTPEESSDFTHRSGFLSSLYYIRYQSSRTISD LSKAIGAIRKSVHANEGNEKLVSNLNNLSVFLYQRFRLSPNHDLVDISEAILYQNRLV ELTTEDDDNSPFWLEKLGGLLEARFKYTGEIEDLSAAISALDKAIGISPAQDNNRIRR LGKPGTLYRHRSQYKGNAEDIFRAVEDHEEALQISPDDYSNFYELYENVGNSYLAMYH QTEDIQHVSEAVTALKNAVDLIPEDNTDAASYWSNLGTAYLRLFECKGDPQDLSDAIS AQERSLQFASTDSVQLSSFYDHLGDSYQIKFEIYGNTLDISKAISAHQQAIQLMPENH ILRADRLNNLGTALQCRAERTGDISDIWEAISAHREAVKLCHPDNSNLPLLLSNLGNA IFAQFKLKNDPKAIDESISLYQQALSMTGNKKTDAAVATYYDNLSIAQRLRFDLCKVE NDIDGSISSAEIALNLTREGRHLDTIGRLNNLATALCKRYEHSKIPADISKAIQSLEK GIQMLPSMAVRLHI JR316_0006544 MANDDAAQIFKSAALLKSAPLTQRLKASQRWAKTAKSNGKNDSD YLDAYEMAISLVSQVTGMEKTIGLRYRNLFDETISDLPNEAAAAAFAASNIDSAFEFL EQGRCIVWRQIHNLRTPFDKLSSVDQDLADDLSQIAKELESAGLRNEAVVTGLDPIVM KEKMGIQEEATNSAQLAQRWDALLAKARNIEGFDDFLRPHRYQDIIRRVPSTGYVVVI NIHAERCDAIALGGPKKEALHIPLNLFTYNDAVRLVRLQDTVLESRGSRTTEHEIEDT VGTRGSRPYLRSSNPMQEILGELWTSVVNPILQALEIKGPMSDLKRIWWSPTGPLCFL PIHAAGIYNTRHNVCLYDYAVSSYIPTVNTLIDLLGQASESQYAKKINVVVVGQGHAP GLPPLPGAQKEINMLKDKFDSAKIEFSCVEGSVATMERAMKDMETFNYIHFACHAIQD TTHPLKSGFYLSDGRLELWNMLKVHNRDAELAFLSACQTARGNSWLWEEAAHLGAGML AVGYRGTVATMWSIMDRYGAKVAEDFYSDLFNIDETVDNLGRRFGSAGSLHSAISRLR AEIGDSDAAMLAWVPYLHMGV JR316_0006545 MPAERTKGLKRSASAGNTYVWTSQPEPTNGSEVSFTSAMTSWSF SDTPPPLVDAPSEFVMFPPEGDAAAPRRQPHSKKKPENHIPRPPNAFILFRSSFIKSQ HVSTAVETNHSTLSKIIGLTWQSLPEDQRQIWHQKAKEALDEHKRKFPKYAFRPVQTK AKGGPVEKRKVREVEPKDIKRCTKIAQLLVEGKKGSELNAAVEEFDKYHVPEIVTRFE APITAHAFRRSSSAPVPDTDNSRPQSFLQHVASSPRKPRSSSTRPTRCSTPDNSTTPN LSPANGNVAAVPEPLIEGPLKEEPAFNFGTFSFDNIVSPLPTYDCDPLSASLNNGSFH ASLTIDTSFMQQWDASPSPGTPSTPDYMSNSSPLLSSPPTPSYSSTYDAFDHQLSNAF DDFSVNYPTFDQSCGVPQQNICGSGIDSLSFSSPQAHANYAAYGDHAHQEISAPLAHL DLDFSAFMTSIPQYAM JR316_0006546 MSTPEVPLPVSLQQRLISANVGSSMLFNFLMALFVTETALSGLL GPDASDAQAALGNNISSALVFVSLGTTVSTTFLIGYRVHTASRLNVLHRRQAFNYVIN MIVESAAAYTVVLLLWAIIIIIPSTSFIQSPFSEADLYIQVVLGIVAGMAPTVLVARI ALKNSGGGGPSHVASAQISALDFYSSHGTRQETDASERITYETSDATEELKNNYNVTP MLG JR316_0006547 MKSSIVFSLLLIAASANALYIPAAADTSMERRDDILRRGGGDKG PKDRPVAPTAEEKRKAANDKFQEMKSHVIHGKDAKGKDEAPDKGRHTLTAYCKANPKA TGQCNTKTNLCHVPDANKSLWDDRPGKHTLQQIENHCTEAILNHTKNPTNRAQVVKTD AGHHICIKYISGNNNGGPGTCYHAGVNALGPKAMAGDTCDHTGNEADNKEDKADFKCS AL JR316_0006548 MRSAVTQAQATADIIDTSIAIYTAYRKGETLHTIFIPPTINHTN RQSPIHSRGHTMPTTQLSASFIEHNSVPTHYVHPYIFTHESDETGDVYVWSSNDNSLY ATLEEPMADPKAHHLLPNDYLLSLTTATENHALSEILIHKLPDGVLVDRMTLGPLDSS GSDGNSKFLCVYEGNIAVWRNKDASDGSGFVEIYTLSADGKLVLSETLLPRQDCPSFE SDALPGKYFPPSALFTINNEIITVNASKRSFPNCIDVIRFTSSTNASRQKSLTFDFLT TELPTPNADMQSSLSGHVYLTTHKAVILAHNEFPFESSGTNPVTAVRCLDVDTLELKW STSLPCDTCKLRYIPQLDVIVSLGNAITSLKDEDGTLLGSKSHLSIVALDPATGDIRA SHILGGPERPCGNVHWEEMAGVCCDVTPAGDSITVIHGDGQMAVIPVKELLGLAPAEF LKNDKKLKTIAAPDIEPSTPSTDEQRKNLKNGRWRWVMKGFVGDNTVFVHLMRHKGFV ALTLE JR316_0006549 MAAQRPGKKNVASPANIKSKVFLDLREYIAADTKYLSEVIYSAI PDGPHKDEPHKDLLYAFAPVPEASKKLSKDYSYAFVTKSNNKFVPGVNVLDGCDGVSQ DLRVVNRSMGADPFGGLRTIQFKLNLPGLDMPGFLVYTADPITKEPFSSDDILKDICY SVKAYITAIKDQYAADKMPYLVQYENTPFYLDTKLINRYWWDFRTIDLSTLYLLGALK DAESKSFVLILGISR JR316_0006550 MKLSLLLAVTSSIYAASAAALFTPAVTVKLVIDDLVKFSTQFTK ITADVNNFPQTGAQGASANEVAFHANTMVNNNDAAREGLVLAHDYAPGPGPCHPFPGA VAEDCLSLISENLNNDTVVPCINGLATLTQGECSIVTTCNSAIAGKANAPKNGIMRYL AVRRALTTIGSCALSDYGSISGYYVADKGVKTCYLYPGR JR316_0006551 MKSSIVFSILLIAASANALYIPAGADASMDRRDEIIRRGKDGKG PTPTAEEKRKAANDKFQEMKSHVLHGKDAKGKDEAPDKGRHALTAYCKANPKATGQCN TKTNLCHVPDANKSLWDDRPGKHTLQQIENHCTDAILNHTKNPTNKAHVVKTDAGHHI CVKYISGENNGGTGTCYHAGVNVPEGGLAGDTCDHTGNTAIDKADRADFKCSAL JR316_0006552 MSNIEKSVTPESVAAESAAPALLNQFAKPEAVAGNTRTMDFYAA NTKVDITLLLMFEPPAAGKLYSDLFPTAWKVITFSGTGINSATVTYTAATGFFAPQLH QTLSLVRHTGQRCTLAPNSNGLMNHITDAKTGTAGVMQCTNVTPQPANIGIGFFNKAG NKMEPAILWQNVAKNATLSVQLTPRLKIYATTDYKSDVESPLLFYQNLIGLAAHTEWN VIVDEGTGEIKIVPVSS JR316_0006553 MAVSFRTPTNIPTLKTGQRCTLAPNSNGQMNHITDAKTGTAGVM QCTNVTPQPANIGIGFFNKAGNKMEPAILWQNVAKNATLSVQLTPRLKIYATTDYKSD VESPLLFYQNLIGLAAHTEWNVIVDEGTGEIKIVPVSS JR316_0006554 MSINAISATFIQHDSEPTHYVHPYLFTHESDETGEVYVWSSNDN SLYATLEEPMADPKAHHLLPNDYLLSLTTATENHALSEILIHKIPDGVLVDRMTLGPL DSSGSDGNSKFLCVNQGNIAVWRNKGASDGSGFVEIYTLSTEGKLVLSETLLPPQGCP SFESDALPGKYFPPSALVTTNNEIITVNATKRSFPSCIDVIRFTSNTNTARQKHITFD FLTEELPSPNADMQSSLSGHVYLPTHNAVILAHNEFPFESSGTNPVTAIRCLDVDTLE LRWSTSLPCDTCKLRYISEFDVVLSLGNAITSLKDEDGTLLGAKSHLSIIALDPATGD IRASHILGGPERPCGNVHWEEMAGVCCDVTPKGDSITVIHGDGQLAVIPVKELLGLAP VEFLNNDKLKTVAAPDIEPSIPSTDEERKDVEDGRWRWVRKGFVGDNAVLVHLLRNRG FVGLTWE JR316_0006555 MEVLKWPMKDEPCVAPVHTGHLERKKRFTRAYQEAYFVLTPAGF LHEFASSDVSASGRGAGVGGVGTGAGAGGRGGLTPTFSLFLPSCTLGPPSSPRARAHK FLIEGRKDGLGTSRAKSGSLKSLLSSSSSTSSDAKAWSFRARSRDDMMEWWNDIRLLC ARYLVASESMESGALGVGGRRGGPVEEAVRSVGYVSDEVYEEDEEGVSDEEEEEGVDG EVGVGVGVDGERVGIEGGRERERGRYRRVEVHDRQVDMPAPVHVQYEGHVKGGGGGGG AGGGGGTGGAGEGVDVDALGPVLSQSQSQSQSQTRGRDRGRARQAQGREADEDGDEEG GSSVEEEEDADDELQHRYAPGPVPVSPMGRPSSTSVGFGKAYEAAHERAQGEEGDGEE GEGEGEGAPPVYTHPTGDTLVAGAGSLKGYEKGGYGGNGGNGERGGMEGYEKGGGHLD DFYFLLSILFYSIHLRFALCDPIFSLGSSSPPAFLLSLPPSFFASIPIKIASSSSSSS FSTAPPSWSFLCWVMRCDAMWWAGVVGGWGGRVRWGWLRLVWSGGLVRRSGGLVKSGG LVKNGGERELDERSVGGEGEEMNGQRDTSKEKDTFEIRIRFSEICLLSIVNQREKYPA QANELHNPNANVNTNALYKSNALNNANENANANANANANANININEQDVDENIKYRQP LFAHAAPEYVVPPRDADEVRSLHASVNGIVVGGVEGYDTGYVRGVDGGGGGGVDRDGG RDEPAHGDDGEGEGYGDSDGAGTGVGVGTGVGDGGEGGRKVQRRLSQRQMEKRREVSG SGAGEATPPPPIRMPEHVHPTANANASGNGNGNAGERQRTSQPASRASSRPVSEDALS RPVSGAYSQPQSQPQPQPQSQPPSRSSRPSSGIGIGIMGMGWLAAMNPIPSVPASEPT KPPARRVVSTDSSALPGTSKDKDARAPSRHRSPPPPQRGSLGPDEDNENEDEVERGGG GGETHVDDTHTHTQSEEEEDDPEKAKLREEPDHSTASEGAGAGGTVLSAGAGGEPMRG TGTGPVGGDVSAGGAGAAAAAGGGGKKKKKSKKGKGGKGASAPAPTTSASAADQAPAS AATPSPAPDSKEKEREKEKEKKGSGGGGGGGVGWMGGIAQSVGNVIKGTTGTGGSGTK TPQGKVAA JR316_0006556 MNNLDGPQNGTHIEPRASSLSRSASRASARSRTSSLSRTQSLIK KNIELQDLRPADVLIERFVAWKAIVKQLTAYFEGIADIENNTARELTKLAGVIQVPFR AGNQFLGGGGLQDVYYDIRDKTRVIADHHADLGRTIDSSIVQHLQKLRAEIKAHIKNV QNDTGKLAAGVARERELSTKLVGELANSISTFKNTPMNIHAKNDPYIANQLVSRQLSR QLLEENLLQKSLIITQQNSAHFEEGIVKSLQSAWATYDEWQSRAASSTQTQLRSLSTA MGALAPDREWISFAARSDHLLDPETPLRDMEVLKWPMKDEPCVAPVHTGHLERKKRFT RAYQEAYFVLTPAGSLFHPNSTALPIHSHGRSGPG JR316_0006557 MSAGVQNLVISLGAMQLARKIPFDDPETLNYVRIGYVASQLVVL GVYYYISAVIKKKNDQTVLKYVEPANPMSQDAPQLVTTTVRDYDLSETSKLLRSTYMS LAMMAVMHFYFHFTQPLFVQGLMGIKNLYDAKPVKIHLFGAEAKDELKRPFKVASMFG AASGPQTDAAAIAEAEKRIGKKDD JR316_0006558 MNPIPTVPAPTSTSTSTSKPASASKPTKLPARRVVSTDSSALTG TGTSKDARAPAPSRHRSPPPPQRGSFGPDEENEDEGEGGGETHSHTHSEEDRDDEEGE GEEDPEKAKLREEPDHSTASEGAGAGGTVLSAGAGREPLRGTGTGPVGADVSAGGAGA GAASGGGGKKKKKSKKGKGGKGASASASAPAPTASAADQAPASVATPSPAPESKEKDK EKEKKGSGGGGGGGVGWMGGIAQSVGNAIKGTTGTGGSGAKTPQGKVAA JR316_0006559 MSSIPDDHPIHKWIDSHLAVTDEYHAFSLFGPPALDPQNVELWE LNTDIPIGPAILKLRGTINFQNGTCDFTVSIKVPIFPEVKLGSIKGNLNDGITLKIGS SKLISGLLTLHLIPYNGKKWLAIDIDVNILGKHYTKKDVRIVPLPYVYFTWTGLWLSV CTFNTNH JR316_0006560 MALLPPNPLLDHLRNSLERSSSSLSPSGSQELLSPLVVGSPTAS PMGSFSIKNFTPLSTSQAPRIFIPPSPPKTNGKGKAPDLSIYAWQRLALQTRKNMDVV DKKRRISTNGGRAIASMSDGPSPMKKRARKRLSSEYRLPRTDKDLANRFKEEAFLQVI RNHIKVLNASTLQMPWHIWLAMNPESDAAAIWLERKFDVPDSGTWQNENVLSIPISSA NGSANGYPGVIVFECTPLGDVTDNLERRITYRKYRVLDDYARMREIIKALPPKRHFIP SLLVICWTEGEQTPEESDFFDMVLSTASANSIFCRNSFVAVP JR316_0006561 MTTSIPEQQMAPHEAIRPTRTANVGNEEVIARGAPRKFPNVTLR QHHAAADVEHIRPDESYLQTEEEEEEHYEEEEEAEEDEIYEDEVEQLVKAREIERKKA IAEGKMDNLLVPKRLEDAISIVGTCMHTCPRFERYRRERENNLFERETIPGTKRVNHN DAVKMYERAAGDNLLSTPSTLSQGQSDTHTSTAQSLTLYPQCTLDYLFHNLLPRGGFS ATFNFIRDGSRAVRNNFTMEHITGPLAIECHDCCARFHILALHFERDRPGFSLPLEEQ QLMNKFYQDQRDRYDSPTELEMRVYHRLIHIRDQKERHEDIPEYILSHPV JR316_0006562 MGEPNTKTNDDNMDVVCDGDTVADQRSGDATSSTAARVDGLRGD SAQNREADDHDVVMHNGEDCDIEMEGNERDDADAEMRNGERNDIDVQMN JR316_0006563 MFGRERNQAGVLIELKPAYAIDPTNEDDLVAARNALWPIVEEAN KVAPAFSRIFKEMILIASPNKPLPRAGKGMVMRKAALEVYAQEIDDIYAEVDDAAESD SAVLPMSWDVDGVIEWLKEQIEDIQSSDSEDTPFSVSEDFFAQGMDSLSATILRRRIV GAMFVASSSGSHFDNDSEAKTLKAAQLITQTTIYAHPSIEKLAAFVAGVVQDPERFVA VASRADAVDAMVGKYTVGLGETATHKAGSSLGSAPVDKGNMVVLLTGSTGNLGAQILE SLLRDGRVQKVYALDRPASVSLSQRQAERFEDKLLDVTLLSSSKLVPLECDAAQRNLG AAQEVYDELRSSVTTIIHNAWKLDFNQSLSSFEPNVRATRNLVALARSSAHTASLRFV FTSSISSAASWDQSLGAYPEEIVLDSRYAVGNGYGESKYVAERILAQSGLNATSLRIG QITGGAPNGAWATSDW JR316_0006564 MNSNNYVQPHLPLINARIYFEVPSPVILARTAFYPRRPSDSPSE ESSLPPSRDCSETPGEGDEPQSLAGVKRCIPSDAAIVESQVISRDQSVESNVPSMNLR SQAMAERSISSRDVSVDSSCASEEGDTSVAFEDQSPTVSRAPSAVPISSSRSSERLMR RRQLKNQTPPFQALSPEVIPKPAGEPSRRTNGYPLNKTLAKCGWSHSDILAFREQVKV VTKATIDVNKNYKSQDKDELKKICEEDQNQAKATKSDAKRILEAALENLEKDDK JR316_0006565 MPDNTLPISRGSASSNVEVPCIVGYTDVIYEDFVYPPSPPENSA RGMKSNGSSPLTLFSTTSSKRSREQEINCSDAVGVYDSDSAYHERSKCRISVPASYEE KIIKLTQERDRLLLRLEERQNHGDDTEKDGLNKSTLRNDIGSQDSSFDLNSLKAYYEG RLDAMATDLQQARQELSDALRASVQVLLDHSSEMASRSQAQRVEVEKLQDIIRDNEAK IESLERKLVEATNELQRSQGRCDILKEAVNQAREDSIQEERMVEALKEELKRTNMILD SGYKGKQKEGTKPISMRGQTASPNLIGIRPRISTFDLDSPRPQPRFSLPAVERAYGNS AAVTPKHGMMTSEDIRKAILEGLHMVQSNITNHVQHIVQGSSTSRRRSSTTRYVDRAR MVRDVREFQQKLLGILRDEDITEAVREHAHFVTKEESESLARNADNISIDPLRPFWDN ISCEYNCLLADRFTDIFTSSNTMYEDYREEVSAHFTQRLNNLRRMLLKSHVLQVDTNI JR316_0006566 MPTRSISVISISSSEDEAAPLTEGKQKSERKQKAIDHPASASSF PPPTSNTGHSIGPTKSRAVPSTGHLQPVKPVIPTPPIASMAPPLAQPSRLSARRNRSP RRYMVASNCYPRLKTHRIAPMAPPLAQPSRFSERRNKSPPRHMVASNSSRSTSSTART LPPTAPAEPSTMPHINIRARQRAERPLRPTQRQAGIEEVLPSDPRPYTVAEVAPPAGA ERLVAPVPAAAPSAVVTQARQLEPPPLVRAPRPTMQVEVVISSRPPVLTRRGRRTGLS APVRQVVASRAPSSIEDEDGTIDS JR316_0006567 MQSPIIDSDTRSGASTPTGHPPSSISTDMYPQPRMVKRRRDHET ESQSENVSNDGDAGSNEVQPYNVQPYNTRSKVRVVAPSYGDYLQIQVLADKNMRLKQE LLRIQIDQIKGSKGLHSDDEISLTGDEETFYDAKMDSSSEDLQHQFEKLAETKEHPRR ERQDAVKSLQAAQTTYEEELAQLKLKIHELSERNEELEREIQETEKLENVNDDLETEM YVKGVYVLGEAPRPSNVHVLERNLQEKSAQVNSTEDELKRLRSQVDEMEKANLLLGEE KKTSIDIMESKIAELNSNIHEFAKTTELLQMKEAVISNQEAELAELKAQINDLMKINQ LLEEEKVAYVKSMEIELTKVRSQLESLQKDNDSLQLANEGILMQQVSYEDKLQLVLDS HNDEVHSLVENHRQVVSGFDAERILLQRELDLANETIKSFEFKENTDSRVPQVAGAEH LDNSNDNHTSSLLSARDAELNDLRCLLEAAERKQLADIEEVGSMKSSYVSRIEDLQTQ LESEQNRNTELDKNASSLQQSNIRLLAIISGKEEELLELKGLYDEEKKVRQMELSAYR TKVEELASQLAEKDNDIVSARVAHEQEIQRLSFEHREKVAKLEDDCNKLAEDLRASRH RYQQDMIQQQRRFDAERSVFMKSNETVRELKGKLEEKNRTLQSGIRELEEEVRTLRRT NEQEKLQSIEVYANQVNSLTHQHKLEASKYQEDIRTMRTDLENLRETVAEKDESITSL NRARAALELQLADQSAQIASLASKVQIGDVNELTVLRKECDRLWKLIEEKDDDLEKEE AMALRVEMERMKAEAPLAANKANQTMTDMTTGTVQPGTSRGKQRQISPSMLSSAFPSR NVRFGSVEEVEDHVSQYASSSRVGGQGTSNYMTPSSSSSKSAKIDTSHFGRDRTPPVP PPRTYGKGRGAASSRSSRRAASVDRAEPATPSHSRLDDIDEDYSDDIPPEVSRPQTSS SDPDVAQALLAVAAKIGQMSENLTALPTLLSTRLGLSGGTSD JR316_0006568 MYTTEFQQIEKEYELYKAKRRREKSLLIRTEKAPGGLQAASSSV SSFDASRDGLSSSSVGLSSLADRQELDQIKAELREATKVRLETSVNNIFNMRAIRKLQ ENLKMRESLEEVQRTKDNFQFEIVEKHRALMRAQDIGLI JR316_0006569 MDLTPALEPVNTPVSSSSNRSKRPRRKKLNNSGNLECNIPRPSN PFILFRDDFLRQGWMLKLEGINHCSQSALIGKIWSRLGEDKRAYWKKKSAQEKLEYWA NNPQVRYTKKSLIKPKAARSKQSNNHGSFAFRHAFHDGVEEDKCLWQMAESYTPGLTY HHSIVDEQPVYEDPLVSESPTERPSQSLPPFQASSQQEEYREGLLHLPYFVLDPLLHD VNSDPHQQVSFENIICQAEDQDEYSQYPIPVANIPGQWDHAGFAFNLDGHNSAANDMW STNALSNYVSVHLLMAYAQGILMSWKQYSDNFFGSYNNHQLDGTFNIPSALYTEPDVS MSIETCMSVFGNQESGFGYATGFDDSVPSSTLAENVIRIKFNILAPFYDSIDEGKRQV ELVPVPSAAAQKSSTAASISFNVVA JR316_0006570 MSSQYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSVDQVIDCVFFDYRAALREFLLNLASWCDKRETVKASLERLESA VSAGNTPNRLKVKAPEFQLTKEFADAGSAEALRVSTTFSTARDVFQKTINDGAIQAKK DELAFWDDKCALNSCYEAAALIVKATYDDRKSSYKLPVFSTDNKGVRRIAEWVVSPQK KAECSALQTILPAIFSHIKQIVNMRHRALAIKIEKKRTTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSVGKKASFRNPSPIILDTNYYSNYPDFVWPEFVQGSSTSGQ KSWPFETQVVVDAFAEEASNQGFQQGRQQEEREGESSRQEQRSKGKGKGKSLDARSTV ASFTSEGMNKPFDPDYGVSSKKKEKPPMLPQFMELGLSMGRRYVIKTIASIPEEALKE MRKHAFSPKRDKIQKFLIDNNYVITMTDKNLGLAVSERDWILRNELNLLEDERNYEEL EFEVAQEVMKSKMIQMQTLARTVEDEHLFLFELGLSRFFLSNVPEDGSSFKYPQFHGI PKIHKKPTGFRPIIPCHSVVFNPAAKFVSKELKPIIKSTPSIIHGTKDLFTRLSQLRI DSKRQWYFVTGDVVAFYPNIPLDLCIEIVCSMYEEWLLNTSEENTTLAHIKPNSLENN LVKLGIFKRAIEIGNTQLITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKIL DHPNVAFYGRYIDDCFAIVYAESKALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFI FKESGKLHWKPFVKTGNNRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAI RDLNALYLMRGYPENLVMSWCKKNIQERWEKRFALRVAEHDESILVLKTRFDQVWNWF SAAELGKTVTEYWSAWYEHAEKGLYSADSSRPLIKPDPNYVHDLDDVRPELFTQILVD GETEFVPDLRKIGLLGSSWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPET QNVNETYHSALVEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0006571 MGVADSPDLANLFGAHFEIKSKILDHPNVAFYGRYIDDCFAIVY AESEALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFIFKESGKLHWKPFVKTGNNRE RVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAIRDLNALYLMRGYPENLVISW CKKNIQERWEKRFALRVAEHDESILVWNWFSAAELGKTVTEYWSAWYEHAEKGLYSAD SSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVPDLRKIGLLGSRWIVSRKRNTN LFDLANVWKKTVFRKLDEDIAEKGGVVPETQNVNETYHSALVEAAEQISIESDNEIIL HRRSISQEREHPEFGRISKSYNR JR316_0006572 MGVADSPDLANLFGAHFEIKSKILDHPNVAFYGRYIDDCFAIVY AESEALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFIFKESGKLHWKPFVKTGNNRE RVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAIRDLNALYLMRGYPENLVMSW CKKNIQERWEKRFALRIAEHDESILVWNWFSAAELGKTVTEYWSAWYEHAEKGLYSVD SSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVPDLRKIGLLGSRWIVSRKRNTN LFDLANVWKKTVFRKLDEDIAEKGGVVPETQNVNETYHSALVEAAEQISIESDNEIIL HRRSISQEREHPEFGRISKSYNR JR316_0006573 MSSQYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSVDQVIDCVFFDYRAALREFLLNLASWCDKRETVKASLERLESA VSAGNTPNRLKVKAPEFQLTKEFADAGSAEALRVSTTFSSARDAFQKAINDGAVQAKK DELAFWDDKCALNSCYEAAALIVKATYDDRKSSYKLPVFSTDNKGVRRIAEWVVSPQK KAECSALQTILPAIFSHIKQIVNMRHRALAIKIEKKRTTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQARKAGPSKPKSSSTPSQRKPQTKASN KVDNKKKGKGRAPVKNNDPKGKGKARA JR316_0006574 MSSQYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSVDQVIDCVFFDYRAALREFLLNLASWCDKRETVKASLERLESA VSAGNTPNRLKVKAPEFQLTKEFADAGSAEALRVSTTFSSARDAFQKAINDGAVQAKK DELAFWDDKCALNSCYEAAALIVKATYDDRKSSYKLPVFSTDNKGVRRIAEWVVSPQK KAECSALQTILPAIFSHIKQIVNMRHRALAIKIEKKRTTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQARKAGPSKPKSSSTPSQRKPQTKASN KVDNKKKGKGRAPVKNNDPKGKGKARA JR316_0006575 MSSQYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSVDQVIDCVFFDYRAALREFLLNLASWCDKRETVKASLERLESA VSAGNTPNRLKVKAPEFQLTKEFADAGSAEALRVSTTFSSARDAFQKAINDGAVQAKK DELAFWDDKCALNSCYEAAALIVKATYDDRKSSYKLPVFSTDNKGVRRIAEWVVSPQK KAECSALQTILPAIFSHIKQIVNMRHRALAIKIEKKRTTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQARKAGPSKPKSSSTPSQRKPQTKASN KVDNKKKGKGRAPVKNNDPKGKGKARA JR316_0006576 MSSQYSAAFSRAMSPSLDALTQAVVNNTVFGVNDEAGRQNALID ELATVVIKKIAECRSVDQIIDCVFFDYRAALREFLLNLASWCDKRETVRASLERLELA VSAGSTPNRLKVKAPEFQLTKEFADAGSAEALRVSTTFSTARDVFQKAINDGAIQAKK DELAFWEDKCALASCYEAAAVIVKTTYEDRKSSYKLPVFSTDNKGVRRIAEWVTSPQK KAECSALQTILPAIFSHIKQIVKLRHRALAIKIEKKRSTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGYTSSGQSSSKAPQPQAKKTGPSKPKSSSTPSQRKPQTKASN KVDNKKKGKGRAPVKNNNPKGKGKARA JR316_0006577 MSKNKSVGSTFDRLPCATSTRGTLFIRDLSNLTINIDRFVIVEA LKEMRKHAFSPKRDKIQKFLIDNNYVITMTDKNLGLAVSERDWILRNELNLLEDERNY EELEFEVAQEVMKSKMIQMQTLARTVEDEHLFLFELGLSRFFLSNVPEDGSSFKYPQF HGIPKIHKKPTGFRPIIPCHSVVFNPAAKFVSKELKPIIKSTPSIIHGTKDLFTRLSQ LRIDSKRQWYFVTGDVVAFYPNIPLDLCIEIVCSMYEEWLLNTSEENTTLAHIKPNSL ENNLVKLGIFKRAIEIGNTQLITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKS KILDHPNVAFYGRYIDDCFAIVYAESKALALNLIKETIKFDGCVIEWAVSSSGCQFLD AFIFKESGKLHWKPFVKTGNNRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYI GAIRDLNALYLMRGYPENLVMSWCKKNIQERWEKRFALRVAEHDESILVLKTRFDQVW NWFSAAELGKTVTEYWSAWYEHAEKGLYSADSSRPLIKPDPNYVHDLDDVRPELFTQI LVDGETEFVPDLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVV PETQNVNETYHSALVEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0006578 MRILAIVIKTSAIYSIVLIVVALGLVVPLLSNVASPLVALETYA TVAENVLRLVPTAMVLRLVLASHHATMSSHASLPQVSAIVSDGQ JR316_0006579 MKEHNVELDMDAKHSDDLSTAHNDDSSALCLSHNPATTLTRLHP LSAFCGYLSPVTLSTPLDPNLPPHSTQPLYPRSRAHPATHSLPFTFPLIYAAKHLTFF LNIATRRTVCGLPQAAMV JR316_0006580 MAIITALPSSFPSLEAPVYIALYYVQAVAVIIAGLAPTILVARI VLTNPAAHTTASLNPVSHLTAIQFGVHQHPDSQVAAWNDVASVRPNGGTPFQPAEAEQ RVLDSERLPKSGEIVWNKEL JR316_0006581 MSNDAVEKETEQVHEWLRQHGVSDDLHEFSGDPVSKLQVWDLQV EVPVDFIWIGLRGSIDLAVANCCIDITVGIRGWPNGSGGQIGTISGNLLPGITLAVGY DVASMQGTIRTYLAEGPNPKWLELDVDLHVFNRAVKEKGVQVIRVPYVRTSFVDFLNM VSNTFDTQRLHRD JR316_0006582 MSESQEVPIATDSEANTYIIVLRDGVSTSSFLRSQEEQVNAFSA SSVHVFEHVLNGFTGSFSESHIESIKAHSDVKYVEADAKCYAYGHQSDATWNLARLSR IAPLEKVEPKYHYYYDTPAGRGVDIYIVGEQPDTNLFEQIHGIDVSETDTGIDTSHPS FENRASWGITLTGKPNVDTDGHGTHVAGIAMSKQYGVAKEANAIAVKVLENKDSRTTL LVKVLDWILINVKFTKRPSIVNMSIGGDASQALDDSVKKLFDTKISVVAAAGNDNKDA KFVSPARSPFAITVGATTFRDKRYKHSNYGSVVNVFAPGNHDLIPT JR316_0006583 MEPVRFIDKSPIVLCAQELLLPKILVYRAALQNTMIDALREIAS LSTLTATALSNSLISSLPSQSFPSPVRIGYSAIEYTNEHFNPTSNINARLCHNEQERG NANASIYPNKDQRYTVPFPSLSFQRADVNCTAKDEVKDTVSAQDSPILSDEQSEELFS FREMERYVNYNEPETESEEDMWYPDMEELTNHSMDPSYENNEKRTRLRRPYLCTTFQS CRMSSRRKGSMKWGPTSIITMTPITSTLVTPPAMHHLAGGFRQLLQAPSPHCRSLSLY HLVDIRYSKRSLLLIYVTCSNHLFNANFLIVIHVGSLSSQRQGQVVEGPRTQARRLRA PTQGAEVTSDSESRWRNPSAKECAAQSY JR316_0006584 MSLSRRPVLTVLVGQVAHVRTALAVDHPAFVDMPGSTFCGVGCL SNCDALAECGPNAAPGNSICALNVCCSQFGFCGTTDDFCGTGCTGPNCGQPKIPTGVN SDVTSRVIGYYEVFMVSLILFLSADQFLGMGIKSLSIVNFKQCGLRSETYVIGKNTFE VVPALADDIPNYTAFTGLKQANSNLKTYISVGGWSFNDPPTQHVFSDTASSLYGFDGV DIDWEYPVACERGGVPADKENMVALFKTMRETFDASGHTFGITFTAPSSFWYLQHYDL LGLLSYADWVNLMSYDLHGVWDEKDIYIGSVVQAHTNLTEIVQSVQLFQRVGVPLEKI VLGMGFYGRTFQLTDPTCTTPGCHFSGPAPGGPCTASDGILSFAEIEQIVAQSNSTPV YDKTAQVKYLVYDDDNWVSYDDAVTFQAKVSWAKGAGLGGLMVWALDLDDFDSTPIQQ ALAEENLLGSQFAVLLISNRKAVSGEVLHHSATVFVNSTAGEISLASDSWGTGHKCSS GHKEFCCQSGLSSEQIDEATDCQWTPIKKVCPTGTFVKDRDYYGDTGIFHACFMQEKQ LCCAPPKGASTTSPFNPADLFPNPPADGDLDWDLQDDPIDESAPNGGDAANDNSFGLL ALDMPPGCGGTFARLAHLEINPDMTVPDTHAGRKPEANPVYEMHFDYNFHLIWRSETR TADDGEVLLRADVTTLPGYWAEIDTAGSSVRRDLEERWFGGFDDWLKRLTKVKSDTSA DLVMQKSFSSVLFSASQHCESEDGTLTFDARFYLEGSLFPLNVDSAYVYANSDASASL GFAVTGRAELQYDTGRIPLVPELAWPGIIGQLSLSGTFGATAAYTLPQGHVSFGLVGG TQTPVDKGSDTAPGQEYGWTIQPTLDVELGGSLGVHVIPEAAAVFTVFPGTPLEIGAQ ATITVDGALVMSFNANLQGVDASIDAQVDFLAGASGLGGTVGPFNFFHQDYNLYSTSI SFSDSPARRSISTPRDLPGNNSFGSSMAKPFRKKRHGGTGSNLQYFEAREGSLESRGL FSGLFDCPQPKENNGTSGSCADALADSVPDPDPDDGDDELETRSEEHIAYQNTLPDGI WDLLELDDLSTTAADWGARNSYAAKTTSRNDDGYAKEHVYEMQLLTQFMTDVVVKNID LRGMSACNWLKTYVFSPFGTQGVILADALRSIQPTGTDEMPVLLGIANSAKEAFLAGQ KEFRTLANMQGPSKDASAILFLLRAAGVATDYLQDRIIEIEFKIRADSVRQLWINFIA EYEAAFPPAQPFDVSTAYKSLLTAGFNSRAATFLANAKTALIGKIPQGQTTVSVNLPI SVSNVPALLW JR316_0006585 MVTYNEWDTSLTERVTKLNASIASRIFEVGGSHTLIIFEIGSVF GDNEMRELMEIAGSGGGDRDAVLYNAKPGLWSVVEGTAGTDEAPFCATWVSDGHIDYD SLPQEPLVFPLNLDGIEWRKVHEEYFNSTIGCVLALEVVQEFEEVGIGNALSAFADLG GVVPGGFIFGPHESGHEVYVRVYRDQVVQIKTAGRDKSAADKRGAGVK JR316_0006586 MIGKWRFLIDEHEKSCRSGTANVLEDGQGSRSWLREGIPDLRRA HRFQLGQEQFHITSPQPNNSPIDDPNHSHQFLRVALLNLSSTQNICLEAERVQHSATR IIDAHAPSPIEISRIPAVSSAPSIGDPDPRTFLPCRVSTNSSDTLHHFLMDLVITRHV LQPVERGLQGR JR316_0006587 MFADTTHSSSYSYLTESYSLNIPEIIHSPETESEEDMWYPDMEE LTDHSMDLNYENNANNDEVNETVSAHDLPALSDEQSEEGFREMERYVS JR316_0006588 MKKPIYTITNTEDTTVRHHSKILQNISTVSLACHKSDKQKICAP INILHHYSGLGRITPSRMNARMLGRRPSSHVTVVTFLCKTKATLKDSKNLLVSVKARL EGLGVRNVGEGCTKGVKESLDTRKKRERSLGELERVGFLKFTAEVDDIVIKTIIVRLN LVALIVGGKLKKLVEHIGGIGGDMSISTCASFGQTKTHESDVSILQERNASKLDDDLG TFTELLGVRQQLLHINHGDRGHGAKEVEAAGVNIRGIPIKGGACLTEQCIKGRSRGGD QHSLFDLKAGEIARDQGLNRLTNLSDPSRGEERQKLLHGRATSRRQYVISLVDWSGHG NGKIGWLTRASTFKSREGVWRHETGWTRKVSE JR316_0006589 MSPQRRSQRLRERQEERDVEARLLKEGGGEPVVQDHKSNSKNIT LEESIHRDGTNEELPISTTDDFMDNIRTGYNQDKMFSEVLNQPERFNRFSISDGIIWY SAPGEEKVIGVPRVRELITNLVQEAHKCVGHFATQKTLSYLRRWYWWPHMAKDVESFC KTCEARDRTVKQQPYLRTAIAITGLGDIVFNKVMEKLEEVFLHFANNFPADSVSGYDP VLHKDMGFNVFHAHSQYFTKVSAYQDKSDNIGFHPLVDPDNVLASMVGDSFIHAIDNK VQFLRREILPDGTARYYSYNPASIQIGDIVEISVAFVAFPAQGNKYKFVVALCGILVL DQEARKKADILRMRSRYTPAKRQVAVLCRTKRQLYKGQIDIEDTQQRMACMRLNEDTV HNSNTMSQD JR316_0006590 MYVEHRYALPVLSWMMSIGFTIMPHPKYPDNTTAEKILKMEKIV LQKHPLHRHYNTTGVVVNLWCQGSFVQLITTCQSVVECILGYHSTGVMNFVTFEKVYS LYPNATFGHQVSLLQPSADLKRAQKFLAKYHSRGLKFVLSIPSQTLKMDRHIQSRIQS IRDNVNVGYSSSRGHVLVVLDYDPYPELFSPGIRRVGDRHCWVYSLPLLPKANQTSFV EANSWVLLLNEFDCLHFGVRRISGIALDFHYTAADVYQLHKRVKKAIKSWERGIRQKD DRVHATVLYLLSRKADFFGCIALNHKASCGMDICNFFHP JR316_0006591 MASALSPRFQQVFPPPMDDVYMDQMDLKTLYRFFWTCKELNDRV SGYMRRAFRPKNLFAPIFKPNEHLLFRLLQFKTGLVISGSTVLHFTCFSGPTQSVA JR316_0006592 MQSHFLRGRPQYHSNRGHLGSSRGNYHPGLRGGARTTYTPPWAN KGTPTLIPGRTPAGGPQSQNLNNHPDAVQDQNNNTDNIALPTGQATVISEHYKEYAAT IRDSLVHYGIQEGLADAIITEQMFLLATELLYRLQNLEAENKRLNNNLVAYGRITKRG ATEGIEESDNRPLKKATTSANHSAVTINNIPGSTLREPEICEQVPDMVSGITIPGPRH PAPKLKRDPSRDHPNPIGYRTEDEDSDDGDEAPGPDELLKRQANRQLDFDMYSGRIDN VWGVVLHPQLSPQRHNALRNTLPRHTWYSNRSNSVFTGNTAVAAMQWEQTHDNAYNPY KNPLYAVAPRGVPRNPLEVKGLIQITQDETYESWVRIEAYVLLRTLQNAAAMSVPQVH DRAMQHLDKMPMEDFTPNVKEEDWNEILLPHDFDRLRNRYSAHKNNQGMGVPLPSREQ SLNVDDLALFVLMHARLGSVSPFHGVAVKLSLEVDRRSTFGQGLVRMIGPVDKAARPT FTKLFAALVSHAHRYREAIDVYNNQHPDSPFHPQDGPLYSFTRSTLGLEEIKNLGVEH VVEVLIRNRIPVAWIDHAYPFGYHYLNARITQPIPNSHPLAVYDDERLRRLDMFGVPP AIPEWDGWRQPSDDDRLRLYVIMSLEEKANPNTPGFNHYTWLRIGEPLTQRFLAFRDQ STINTQATEAPTDMASTAMMRDDDDEMADAQEEESAQRMDEDVPTEGIARLTLKKVNS I JR316_0006593 MATARFFSSHNKPSLPFFYVACSIHLFANFIIVIHVRFLSLQRQ SQVVEGPRAHTRHLRAPTQSAEVAFDSESQWRDPCAKECAAQTYQWGANPLRSGCSAV RRVYRQGGSFNPQNTV JR316_0006594 MAYISDNTAAAQDITTGSQHTAALFSSNLHDPIQPSFVSDSYPS TSLEGANITISTESDEGCSYPLFATPATHSSPISHVQQASGSSSSLELSSEPTLEPFP HYSFEDINPLFSSSSDLFPTIGQGRVSSPNPYTIFPDPAIQPSISYSEIRPTSLHTSH QTSPSRRQFLALGNFGNYPSMNVDDGHYPSTSSTSAAPENVQPNRYIDLIHGGVWFTE TDPSTQAPHNHTRVPTEDKKRTRQLLPTLSGVEHMISYYRTGSRSHLSGDSTSDFHSY IREKEINYQRSIQMDLNREESSGVGPVEPLTKLVESDGGMANHPSRTKRRLASASRYA QRRNQSTAESDRCDSASVVKRPRRGSRIDPESTSPVTEVFRDKRRGKGRVAETSRAAL RPQIKPVPPRCLADGFSQRRLVIKERPRHRKHGGEIGFQVIEAPGQP JR316_0006595 MFGSPKTLTFHYDQLGIDADILIMFEPTHEQALYKSLFPVTWKA LSFPGTRSGRATVQYTGDTALLVPQTDREGMIVSTNAQHCHIGQKCVMETDSHGKNFL TSAESGIPGNIQCQVNTSNASDVGFGVFNGNKDDVEAIIKWDKVSVGNTISVPLNFNL KIYTNFGYQQGQVIQDVMGLPLVFSKDINALTESSDWAVSIDLSNSVHITPI JR316_0006596 MKFTAVLTSVLASASVAMSATIVGFAGADCTGARVTTNNINSRV CLSLGSGSVKSISYSGVGSSIQFYVSGGAHDSCTNGSQLTRGAGSGCATAPAGFNWQS VAVV JR316_0006597 MAVPSNPDRYASGGHLLVLGTEKPTQAQTVGIKAIRKNLIEERQ PDRLIWERRLPNGVLSFWDIGIPDSLHSTSGAFVSRFSPTANNSNLTVPLMLAF JR316_0006598 MTEFGLGIADVILEAQIPSYFMSGSSNLARDVKTTLSFVLVATT VSLTFLIGYRIHSVSRTIGNLASRYTHIVTIIMESSAVYSLVLFLYALTFLPAFDSDN LESPLSQGRFYISASLTLASGLAPTVMVLRLALSTTDNSATNVITHMSSINFETSPRD QNQLGTAFRFRDDNISSSQVESDEAEKTPVVNIIPTSNSFNLEMV JR316_0006599 MHLGSYVLGYKIDKEKLAHTMGEPGAPLTDEVELQKICQILYRM LHDTRHLYPLEVVFEDRPDRDPQVVVVVILDDEDSKEELEAKSMEPYQTLPEELRGFE FALSGPAIFRNCPRPVTEEQMEEMLERIKQQKW JR316_0006600 MARVARAKLAVPAGPIQNVASGTFLDLFTGTSMPGIQVQGWARS ASESQDWFLRRVSRSDVEIREILARDTHNAANFQGFRQDLLYLVLPKSVRDAIYVKSG LKNMKDRGQLFDSDDYAFFLKVEVAKWGVNTLLADDFGILWGVMFGQKGNHGLAYNFY LNENLDNIMFFDPYTGDEKVDMEYKAYLAVY JR316_0006601 MPTQSNPDSSDHKHSTPTIYAIDPNNFPEISPPSATNTSDEESS GSDESSDDGSGSNDSPDDGSGDNKSSGTGSGDDESSNNGSESADEQTIPLNDKGKGKQ KVNLAVNDNSFVPPPDFDSSSEDAQSVVAMQTSRSSAQFLAPKPSATISSAITAASSS TELQINEPTAEETPTVPTITPIISYTSVVDSIILESRPMVSTERKGGRYSPPPEACHL LLRNPYFRTYGRPDPMGSYPSGSPPTAKEIEYDEVKERTSYFNPPFLFNYTEQQLRQS HDKIKHTRLGDNSYLNKATTLKNLTLNQLQTQILYSNALSEIDDGIAIVENDLETLIK YSPA JR316_0006602 MASTSSASLKTDVEHWENMARSSIDAGEKIFGSRIEVLWTELAK VFPLHTRNPKAYRLFDYIGPIINKACRDGSDNQGRTVREFVVDFAELRKQMENFDKYA RESKKRKEKEGKSKTKANEMEEKEQEREREKEKRRQEKEEKEKKEREEKEREAKRERE REREREEKERERQQRAKEEKEKRQREKEEKEKRQREREEKEKRQREREEKEKRERERE REREREEREKRQREREEREREREEKEKRERQREREEREREREREREEMKTHPKKRSRT EKKSKPTVDSSAEGSGADTMRPSKVDKGKGKAPPPTVISSGSESEPRVRKSARKTPAK PETSAPPKASSSRKKPASKSKSTIGTDTDRSEDEGEGEGEGEDSEEEGGEDNEAPLKK ARRRSEAEDQKKNLVTVTPSCKACQARKRRCKMERGQTIVGFATEGSTSIPQYSRACV LCRRNKTKCDLFPGRSVKPPGMTLTTPIVEYTEILRAEKTAGSDLPGQGKKGELPVPK DVGELLVQLYAKLGEVGEENAALHSSLTSLHARVTSLTQLSERQKAQMESMEKVMKSM ESKLGEMRLEGKHASPTPRPPSPSPAPPSPPPASPAPPSPAPPSPPPASPPPASPAPP RFSSLASSNSPSSPPLPPPEPISPPLQNREVGSSAPPSPPGPMSPPRQNREVGSTAGS NLPPSPGHEEPPSPMPPAPDHLPKDVEMDKINEDHRSSDLSSPEPSDDEAPAEKVKGK AKQKGKEKVDPKVSNAATRTRAGRSGKRKAAEEPETLPSPKKPRGRASKK JR316_0006603 MPPQPPPSNTSDNSGRRPSVPPPGGTVGPDIPPDEEQLWTAREM RILRGNVQNYKDAPRLSKSDFIRNTIVPLIKATWDHKYSEVAMRADKALFNEWKAKKD RLFNWFANHASTPRNTKLDGMHGRATFQSVFREKKAAEIEEEVQLLSGNARRGSPQWI KFYQQARKRVESRLTIAEREEYARILEEWKKKGFSKTLKAKTAKRQGKKILHQMDRIK WLRMGMRSITFEGHYDLDGKIEYSMTQTHDLGLDDPRIPSFGQLFPDELKSFRRAFVK YLVKVSEIENGVSTPAVPAGTFLEKDLKFNSNGFPLVPSPIYNSKGRETNAIQKTIIR IYMNRVYALAKDRSGSRIPWDSVEKHFGEMIDPEYWPSSIPFTDPSRLRVDDTAALLR HWRQRQSRGLIPFKSPNSAYNPSTKALDYLKESS JR316_0006604 MLQHFEHNIDESDIPHYPGDLTDQQVIDNLRELQDVRTGGKSQN DYLREWLPKREIYLGTLIGREAPPPRRDNQCQQCQRLPGCWRCLECFGSQLVCPHCLR QNHQLVPFHRVEKWTGEYFRPGGLWEVGIRLYLGHNGKRCPVQLPLNESTHGTSIGAE GYVGNGIQSAAENNYWSGTSLAADEYSRNGTRDAAEVTDTHDIGPTPANNDEESFTSQ LLADPEIPTLFELDDGDDEDINLYEELDTSFHDQPRPRAEDNDGIPFKVIIHTSGVHY LPVRTCTCRSAMLPLDLQYLEMGLFATSFQNIRTLFTLEVLEDFRVTNLECKTSGYQY YQKLRRITSPSFPKQVLNRYRELRRLSRQYRNLILHKIHGQGHSEQALKAYMELKYPQ QGSEMHPDQEPFGSITPPEPGQTRNNSSSRQSEPNEDSERNDTTSRLEVDPGDSDPNN MSPEPPSRNEPDKRGSLTLFCPACPQPGVNLPDDWVLEADSDIYIRSYVADGNFKADH LNQKNEGDDVWLSVGEGFMTDPGPYKEHIKEAISLAPRYKREPTCHNYHAQKAENRVS PGKRVRGIGAHACARHGCFCPNSVVDFDKGEKQMHMDWSLTQARETTNTKGITRHLEI YDINCQYCVNLMKRLTDSTKMHWPPSVKITFAIGLFHVHGHKSECLYNYASTYIPGVG IIDGEILEPLWSVLNDTSRSTRSATTAHRAEVLDDHMGDSNWKKTINMAATIAAKYKR AGEQSGITEAFYRNLTEQQPPELVDTWEQEIKQAEFDRDQGVSDAMSQVMASKVKTAA GRQEIELHLSNMELTSSGATGKAAWISSGLKLEQAQLELRSHVRKLGTHPSTAQQLDL VNKRRSMRTRVEAFSRTALTFLGEEALESIQGVNTPVLDDEVSDDEIADIGNVNITRA DPERQPLPFPSALLDDYFRDLEEGMAHQLKGLQKLELRIRQGHAEDCLEAVRSALIQL SWQYKYQVRMADSVYTGTRAWDGVKLLNASWKLHKKIYNANRIAMIRIAGHSEEDIMQ IRREFPVLYDHDCKHSAAISDPNVRGGSSDRLSWIWRSRQGLNADNQLYSFVLTGSEL GHKETDGKKNYP JR316_0006605 MSLPRIFNPPTEDEHYLLSYDERRALAKLHVHLCGARIRTGYRL LLSSASSVPPDTLARWLSLLEREACWSAEEDNDILKSTKPWGYWWEPGHKADEDWKVS DVTIESQVREFWNKIVLPRYAEELKLSKKGGPSESAAQTHSAAPAAAQHSERPTPGAN YPDQPLADAPHPISSISSALNRQKSSGSSKKTAPQGSASKARDSFPSGPQASKQPSGS RSAAPPVANTRDHMSPIAISDHRPPYCPKCGQPIFTRVMRSLANLRLNLADMRKTADE AVAHFSDLVGRYSIIENIAYEYGRPPFENNFSLPDEYKLQHPEHPKSDGWNARLSEMQ YNALSQMNDASFCINGIFYSFVALKELPFHPPWLYSTPISRVVNVQTAPETAAESSDP NVIPVKPFAVSASSSSTNEVFVLDPRASLNNYRENNDDCASSSSSGTSVPADYLEQLA EQIEDEEDFEEFSDVMEDSEVSDDETQSHNDWSYEDEDQDDDQDSSYNLDNNFNTSQ JR316_0006606 MQSYMASKVNNGMPTLIPEDMTAETLYECNLAVEIILEARSNQE QTKWNANNYIEHLSERCTGRNDAVEDNLKNLFPPIHLPMLYRCKPGTVADSEGNILAW YLPGILTERRVNSTWKMLQNIEGLIRMTPSSTSWRANKTYFRHESAWLRPGNANFSPA WFQQGHEQSNPLEVSADLTHPDGLSFIAGLMTTSALIGAILSIIHPAQFRAGIEFLER ISSQPEIIHKAELLKHILTFWTSPFGVISVISNRDTPFHRDNGSCHPWYDLLMPLGNY ENGRIELPGVGIRLVLERLGVSKAGWSTSSDILQNL JR316_0006607 MLALSQARSANGTPCQLHIESTTEDTFNQQWLIKSVPGRCDVYT LQNIRTGTYLDLNNGLVANATQVQGWEGLSATGIAGAGMQKQQWHIGQLYNYVPYDIF KNDELT JR316_0006608 MTPRIFITKGMMDEYVALSYVWGEPQPCTTTENIDVRMKKLDLE ELPKTIQDAIIATHRYGLRYLWVDALCILQDSQADKNCEISDMRRIYHDAHLTIVAAS AGRVSEGFMQDRPDSTVERDTRVPFLCPDRTLGSVCLSPVWKQYEELSEPVSSRAWCL QERMLSPRSMIYASHTLQYCCQTETVNIGDAVCPSVSGKRLPRAVFNTYTGGVDSIPS QREMDEARRAWNYILEDYSQRNMTAPSDKLVALSGVAAQFQRVWPRSEYLAGIWRHTL VEDLLWLKNYAKRHPRPVQYRAPSWSWAAVDGHVNTGTEDDSRLDTDRYSISECKVVY CSVMLASEMVIFGGVVGGVLQLRAALVRVTWNPTAEMPDLFVFREGERVCVACSYPDS AEESESVEEVWAVPVRWNLKESYAVGLIVIAAEGGDFRRVGYFHSTEDSKDLGWFVFE QLVQVRIVYLFMLKIVRNIDNEAD JR316_0006609 MNDISVEARDFPVIALASQTLLGGSSYSTTWEDVQNSAEAECTW CKLLLSVKDEAIPIDEAKLSVTVGFRTQIENPGTMLKGRKTLRLGINGRPSATFYTYT KEDDAAARYINARDLVR JR316_0006610 MSQMTANGCIQSDSPSTFTAIFMLTSGQQATFIGSFVPSVQTFI CDTATLTYTNEDDLISSRDFSGPLGSNDFTLTFTNNVIISGTLNQPIFPPNNIAGDGF WTIP JR316_0006611 MSAPRYSVSVQESIISANINSSILLTLLMGVYTMVYGGTVYVYL NEKPLNTSRRVVLSAISALYILAVLDFVLEWYFLNVTLMVHGDTREDIFRATLMDVPL WLAIIFECIQDFMLVISDGLLIWRCYHVWGRSLKVIALPSLLWLAEIGLSITNTVLDG LTTNFALQIDIPICLIFTSLATTIACTVLIGFRIYTGVDHSWRSKTVYSRVLVTIVES SAVYAVVLLFLALTLVVPPLYTLPLYAIGYYVQSTLIVVSGMAPTVMVARLSITNSKA ASSATVTHITGLNFGLNHGKDSFQSQRSISGDNTYSIHRQASVNDKNADIKESHRTQQ SDQSPV JR316_0006612 MASQGDLSIPANIENHILYASLNSSMLGNLLIGIFPNSKAVLSI MINITNSAGIYTVTYGGTVYLLFFKSTSTVSRQVVLAAISLLYLLSITDAILQWYFLN VSFIVHGDSRDSIFWESLSAPLWLSTVNSALLSASFIVSDGLLIWRCYHVWGRRLKVI AFPIILYGAEIALSVADLVLQATIPLISSPEEASIENKVATAQQFTSLGTTATTTFLI GWMFFSAFKNGALHSESQYTRILVIIVESSAIYSVILTLSAVAGVIPQTYTIESPLSQ WDYYVTTLLMNVSGLAPTVMVARLALRSSKNANASDTRSDDDMRFRNAQSLTDTEKGL RGVITLDIV JR316_0006613 MHALQFIVSFLALGTLSGYAIPSPEPKSSRQVPSIVGTLNTLQK QTSFILPEIKSIVASGVATKSSISPLIASVVSNANTAHTSLALVTSPAPTDTDAEAAA AIVAIIGQISSTLQGALVIPGLDDLIDELGLDPALSQIISGVDGVLLGVTDGIAQLFR DAGFPDVANFLDDLSLDLTLLALGIVV JR316_0006614 MKSAFCLLSLLVSQATAGTILWNGFFNSSFTVADFDKWSWSNQI APWQWYIHGSGATSTYLGVSPNFKNPADTTDAQGLRTTIDGTAFWNGQTMERTEVIPQ TSANLGTGHLYYHFSLSTSSTNAPNPGFEHQIAFFESHFTELKFGLISGEQGTSDPLL RWDIGGVSQWTTTLTPNTWYNFAYDVDFSSSTVGLWFSTGSSPLTQVVANKAASPSTN SADWHIGVLRLPNGGTNSAPEDWFWSGIFVEQAPITTSIAGPLAGSAPSGSSTVAPSS STTTKPSTTSAPASTTSTTAGPTQTQWGQCGGNGYTGPTVCASPFKCVPVSPPYYSQC Q JR316_0006615 MALSKAISTLLLLLAAFSVVHAQTAQVNGPAKKPPAPVPPPGHW VDTWTSMPQLTEPANLPPPPFNKTNSVFANSTIRQTLHTSVGGSQFRVRISNNFGVTD LAISQASIALPASGAAGVSSIQLPTLKPITFSGNASIIIPNGALVVSDPVDLGVNVDP QSMVTVSLFLQQGQTTNDVTSHPGSRTTSWFSFGNFVNAEKMTDASLQSVAHWYFLTA LEVWAPPTTSVFSIVGDSITDGRGSDDNKNNRWPDLVLAKMQKNAATANIAVVNQAAG GNRILADGLGPNALGRIDRDVLAHAGVKYAMIFEGVNDIGTAPPTVAAQQAVGDRLIQ AFKQISTRVHTFGIPLFAATITPFSAPNSTIQPYSDPTREATRQRINTFIRTSGVFDA VIDFDEVLKNPEIPSQLNPNFNSGDFLHPNVAGYQQVADAFPLDIFDQFKNGVSGFN JR316_0006616 MLTLQIFAFILSFSALAAFSKPTTESKRSTDDLSIMDVVTTLHS QTSLIIPEINSLVASGVATKESISPLISRVVDNLNQAHSSLVAITTPASTDTAAGVGQ VMGAVVSDITTTFTNAQTVIPDLDVVLQQFAVDDATNQILVFLDDLLNDVLNFVTQVL IHLVNSLIDLGFKEILQSLGL JR316_0006617 MSRTGPPDIPQMGNSTGNTSSGTISRESNPSSGGSINRGRGRGR GAFSTAGARSRNSEGPTASPQDAPEGPNSAITISNSSISASEQQRGITQTDRGAHGTG RGKGRVRGGGWGRNRNNITEEPSHTVNAGATKNAQQARPTHFLALPLHNHSTLREKVA EFQASLFESPSVNGDQGSTAAVDASKPRSGGMSDSKAAIPNTTTPSSHQKGKGRARKP VVTSPTVAGLDTSIVIDPRRMHMTLGVMALSTEKSDDLAPEETGETSKTVEANPSLAS TSAPSIPSVDAAQPIAGPSEETFSSPPDVSNIHSTSPPPTTEPKTVSSALSLLASLKP RISEILNGSKGVLVPLEELDVLKTSRMPASVKANTELTLDDDSRVGAGVLFIGPRNEA YTQENEDREERTKLMEVCVLVDSAFRKAGYITDRRPLKLHCTILNASHHKPPKRLPFC YSDILRSPAISLISSTPSSSSIPLVPADISNQGTVSINPPLETVDSEYLDVKSNEEQD FPITNLVSSDPALPFTSTNTPSPPPHPNPNTTKEVFEGEDKQPTRIRPQPLQVPPPLL TNLGAYRVHEIQLWEMGSHGPNNEYVNCGGIVLE JR316_0006618 MLLSSFVRFSALLCLALLASADLRSDLSGKGFTVSFPGDSQYSS LSQAYNQRYTFQPAAIALPNTPQDVSAIITASAANNYQVVARSGGHSYIANGLGGRDS SVVVDLRNFKSISVDPSTGNAVVGSGSRLGDIALALNNAGRAMSHGTCPYVGIGGHSG YGGWGFTSRMWGLVLDNILSINVVTADGSIKTASSTSNSDLFWALRGAAGSFGITTSI TFKTYPVPSSATIIGYNWDLTAAAAADALGRFQTYATSNNIPATFGPELTFSKGSAQG RVTFSLGGGFYGPASQLDAILSPFLSQMPASPGGGRTTGSYINSVASLTGGLPLNTAS GPDRRDTFYAKSLMTPQSAPIADAARKAFFNYLANDGFNANTAWFVQAELYGGSNSAI NSVGADATSYAHRSSLLTWQFYANSFSGNLPYPSQGLGFVDGMVNALVANSPSNWDIG AYTNYIDDRLQNWQQMYFGAHYSRLHDLKNQFDPNGVFTFPTGIQGDVVPNPPTNTNG VAIHPNGNTAKCLDVRAAEYANGTPVQIYDCNGTGAQKWVINRGTTAVRVAGTNFCLD AGSAPANGIGMKIWTCYDNLAAQTWNYNSNNMLALSVQAT JR316_0006619 MTTGPGTILTPFWGCSIAVNLYSTGVILHRIWLHVQEQTRFSSS LSASVRELRFVMRVLIESGALYLVITIPHFIVWWTPSSTAILIFAWTNLPAVGCAFNF IVIRTSQRRVEHVKDLEKDAGFISTVMQFRPPIIANTTTTHTTDHFSSGRTSSTYEIG Q JR316_0006620 MTRFLSYLVASLTAGSAVAVILGEVDSLRETYDFVIVGGGTAGL VVANRLTEISKFNVLVLEAGPTDAGVLEIEVPFLCTHTTPQTPWDWNYTTIPQAALNG ASLTYPRGHVLGGTSSVNCLVYTRGSQADFDRYAQVTGDSGWSWKSMLPYFKKSEKFT RPSDNHNTTGQFDPAVHGFSGFNSVSLPGASTAIDNRFNEAVGQLGGEFFEQLDYNSG TPLGFGWSQAVIDGPSGTRSSSSSGYLQKKILERPNLHVLVNAQVSRILHNSKAEAAN PLFSSVEYRINGKGSLRTVTATKEIILSAGSINTPQILLNSGVGGKTALEKLDIVSQV DIEDVGQNLSDHPIITFAWLVNASTTYDDFNRNITLQNEEIQIWNKTRQGVFVNGIAQ HIGFVRVPDNSSIFQSAPNPSSGPNSPHFEIFVSNFLLGNTPPTGQFLSISAIMLTPG VAARGSVTITSNDPFSTPVIDAGLMRDNGTDLPLMREAVRSILRLASAPTWANYIIGP ASSTQPNLNSSDNEIDAFIKGNARSAYHVVGTSSMSKKGAKTGVVDPNLLLKHAAGVR IVDASVLPFVPAGHTQASTYALAERAADLIKADFAMSS JR316_0006621 MALVEKELSSTEKDINVPFTVLSLPETVQITNGATGPFRGSLLL TTRGRAELPSALVLLNPKPPNNATVLLDNFFGRQFNSMNDLKIHPSGNIFFTDDSLAF TDNERPPPLLPSQTYMFDPRTGLVKMVADGVVVPNGIAFSPDGTIAYIADSASVATDQ TRPATIYAFDVDPESFTFKNRRVLCYIDSGIPDGVQVDTEGNVYVASGDGVQVSIEKS TVQTKLLKESIQVFRKDGVILGKLFFGARVANMAFAGDGNLVVLVSSAIFLAKINAKS ALVSI JR316_0006622 MTMVDISLERKATDINIPFTTLSLPETVQVTNGGTGPFKGSLLF ATRGRQNLPPALVLVNPTAPNNATVLLDNFFGRQFNSMNDLKIHPSGNIFFTDDTFGF VNDQRPPPSLPSQSYMFDPETGLVRMVSDGAITPNGIAFNADGSVAYIADSSALINNT LSATIYAYDVDAKTFAFNNRRVFAFIDTGIPDGIQVDTNGNVYAGCGDGVQIFREDGV LLGKIFVGANVANMAFAGDGRLVILAGSSIFLAQIEAKSALFTI JR316_0006623 MPSTELSTSVRSTSEKSHKSKLSEIEDPDGEFGGTEARKVLERK LLWKVDLRMSILVVIYILNYIDRNNAGAARLRGLQSDLDLHGTQFATLLSILYVGYII MQVPSNMFLNWIGKPSLYLPACMVVWGTISCLTGACREFAFKGALLTRFFLGFVEAAF FPGALFLLSKWYKRNELGLRTAILYCGNIISNAFGSLMASGILSGMQGKLGHAAWRWL FFIEGVLTIAVAILAIFILPDFPSTTKWLTEEERALALRRMEEDSHGKSEGEDANGHT GLWMAITDWKVWWMALLLTSWVVSLSFNAYFPTLSATMGFNETVTLLLCAPPFLFTTV IAFGLSRHSDKTNERFYHCIGSLILGILGFVIATNTMNIAARYISLFLMAQSYAGYIV FYAWMSNSFPYPASKRAVAIAIINAFSQLGNVAGSYVWPATWGPTYRNSYGICIATSG LAVLMLCVLKWHFTVVNEGLDKEELRKGVKEKGFRYMV JR316_0006624 MFASRFFVSLLALGSFTISATPIPENVEKRADVSDVLSIVSDLK SSTSTITTQINSLVSGGTASELNVSPLITDLVASLNTATSSLASIESVDSSSGGSASD VANAIAPIVSDITTTLDSVSTAVPGITTLLGGLGLDAALNQLLGGLERLLAGVLNLVA NLLVNVANLLRRLAFALTLSTLGL JR316_0006625 MFFSRFFLPLLSFGALSALAAPVPSENIERRADISNVLGILGTL GGQTGIILPEINSLLSGGLATQSNLTPLIGGLVSSLNSATSSLGVLGPVSTATGGTPA SVANTVAPLVQQITTTLNNAQTAVPGLSTVIGTLGVDASLDQFLTGLEISVAGVLNLV SALLTTIAGVLSSLALSLVLATLGL JR316_0006626 MSTQIILVDDRDSRLTYTSAPQDNSTSSWSLEGNGQEFNETVTQ TDRAQASVSLLFNGTSVSVWGTLSSTKFGPVQTSYQLDNLPIQNVTNTSTMDVDQFQV MFYQSPVLEPGIHSLVITNMVNGSLFRLDLLKITPDHDILFSNPRSLASSSNADNLAV ETPTSLATPSFTVSTLSDTAGTTSTHNILVKNQILPSANGTNSAVVETSISSAITSTL STSLDTTTSTHDVLFTNRILPSASNTGDVVVETPISSSTTSTTSVSAALGTTSAHDIL ITNRVLPPSASNTVTDVVETPISSSATSISTTLDTTFAHDVLFTNRILPSASNTDDVV VETSISSSTTSAIDVLFSNRIVPQSASSTITDVVETPISSSTTSISTTLDTTSAHDVL FSNRILPSASNTDDVVVETPISSSTISAIDVLFSNRIVPPVVSNTDNVVVETPPTFAT PPTTPTLSATFLITTPISSAILFIAPSTTPAVFLTDTATPSDINIISLSTINRDLVSV GNLPSTTDQVLSTLSFPAQAMVTFPGDTPASSIPSIPFATGTRNANVPPSTIPISTAT SDRDDRGTGGDEIFSSLMRTVASTPGPENRRLSDQEKSGLGPEGTQHRESNNSFRHNG VLSDDPFASSYDTVERSTWSPEPEEPLARGFGRIKGFKQSSAKHNSGFLFHLNPV JR316_0006627 MAHQPMHINDWIEIDSDYTWYIHEKTRVINEQGKHVIDSLPEND DACNELLELLVNWLPKRYPKLFANIGKDGIWNKVINERFEHTKDVYGVDALKIISRLV QDDFLMAREREDGHIYFVGGLVAFPGESSDLGRRSIK JR316_0006628 MLVSPGMSHQSHASSLKNGRPSSKSIGEHAVAPSGRRGTDTLER RIAPGAAYNSGRKFDDPKCQPRTRSSILSMITSWLDDVRRDYGMLWVYGPSGAGKSSI AQAICQFCDERGLLAASFFFAKGIAGLNTEKHFMATLAHQISISIPETRRYIAQAVES DPSVFAGSLETQLQSLIVNPLLQAYNALGDKRMSKKWARLVVIDGLDECQGANVQRYI VRILSTALIHKRVPLFILISSRPEPPIRDSFNSYDLRDIIYTIVLDDNYLTDAEIKRF FWARFDNIKQTHPLRTYIPPQWPTPQTIQMLTQRAAGQYTYASAVIRYVDSAQHRPVE RLDSVLRISNPMVDIPFTELDCLYRHILSSAHNIKGVLRILGAILFSQRPFSKKRSPT EPELPIPVTDPRFMEELLSLNRGDVSFILADLHSILEVPDSRRNSTAAPSSKSGETGI QIIHHSLVDFLTDRTRAGRYFINNVKVHAELARSCARNLLSGHKFVHQYAGQALVTHC RHSVLTHELLTDLLNLDISIWLTTCKTWSDGGGPFDQAWSELPLLFNWFRSLTYPDPS KDLHDRHLRSWDQYLHNELSTYFADESLTDLLTFVTYPNLLWDWLHMWPLLGVPPPES LEKEAIDKRSLHLMGLKQVFDPYRHMLSQFLTDKDRAGRQVITRAHYTRVALRLAKYL FEPKTPGKLYAYALPPEWTWYRQGHDDKIFREKKTKDTFQAGLQYLPFYLEQAEADVE LIDYLKKNKLDPIRAQELPMLAWAEDKTKLEQTVADYLKRYSERNGWTGEPS JR316_0006629 MAFIVLFFQVAHCLSTTNLPRDSSATNAGDKLQSMCDAAGENTY VAAQFGDDYYTTFDYNECYPYTIGGKVVQQALICKTVTCYNDANDDCTGGAIPPLPIT VPAGLRLVNLADVAHLFGQSGICHAKILS JR316_0006630 MVWLPLVFAFLSLQTVLSLTAPSLSRDLPAVEDEKLLALCNAAE QNTYLAVRFGDDYYTTFDYNVCYPYKIGDKVAQQAFFCKAATCYNNANEDCTGGSIPP APIIIPTRISLPNTADFIHFLGQGALCHANALS JR316_0006631 MFRQFLALAAFCIVTTNSLATPIIPNGITLAEYTKLFALCENGG SETYVGVRFGDDYYTTFDYNACYPYKINGKVASQAVFCKASTCYNNPYV JR316_0006632 MELPYSYNSTEGWYKPDGQSQTIIMRRTSLGTTSLSTTSPTTSQ PNSRTGTLDSDRSAGRENRDPNATKANVPNSTQHARAHQVPAAARVQLQSQPNTKANY ASDTFKSRANDVEKRFIGHHRDATAHPMPAPSPPASSVYSYGSGPSSTGGNSMERALL PKRRAANGNGSASRGARATRAGAGLAGVGASGKAKITGQAGKVGRVMKRPPNTAIYVN ELGPNGSWYIPKKPKDSHAPVSSTTNTTAAVEPGLMKTLLNAFKKKNKVANAPLQVPR SS JR316_0006633 MELPKESTVQDEDPVHLKLKESVHRTVQRYIKVCPKAGEAKILA ELSPTKLDVIADNIFKGIIFPDHLMEPERQGSLDQITLFCVLEAAVIHPPARDNQ JR316_0006634 MSFVEVQKYGIIKAKVKEFTDSLYSLYACDGKHPFLLMSQSWVS KNVVAQQRIIYIVEETLMETWKPRGTHGGGFTHEDIRDLESMIPPNLMNTIIYHHQEI SPAATPSPPSSPSTVPAGRASVQQRRDSPGYEEPMIMLGDMDMGNSSGSENGGHSPRR SSQR JR316_0006635 MPDDWKDTYKAESSKEDIIYKSFKYITDVFEFTPIDQSPYRAEV QKAWLAVRRPMAKQLIRHSYVQLALQYWRPEENSVYPTMNDIHMLNNVHGLEYLVWAL EQHRSRSESPQPHPGPSSQAGRNITTGDPSSFDSPKSGMFSMDI JR316_0006636 MASSTPSEDSVSPQAELETPAKGTPEHRALYYKKIEDSLAYVVN MHRNIGPTNRISTGWLNMDETEQKKILSRLASISYAPDMVDITDEEMLNSRMAVMFAS VVIGAIKEREGQPSSKQRRDREGSLRKMAIIHSNIARQNS JR316_0006637 MSSSAREVFTVTSLVEISFNKFIGYLQNIYNKREESHSFYAIAD YWAKRNAAGKASIKQFYTSRMTHAFEHGTVPMDQFGNFDRNIVTKVMVEAMRQEVSSV DSPNAS JR316_0006638 MSEIRRKLVIVGDGACGKVYVPTVFENYVADVEVDGKHVELALW DTAGQEDYDRLRPLSYPDSHVILICFAVDSPDSLDNVQEKWISEVMHFCAGLPIILVG CKKDLRRDPRVIEELRKTSQRPVTPEEGMAVAQKIGAKHYLECSAKSGEGVREVFQYA TRAALLSRGKGKKSHHCIVL JR316_0006639 MSEIKGTLSSLVMVLAESHIPRGSSSESLAASSEVYVPTVFENY VADVEVDGKHVELALWDTAGQEDYDRLRPLSYPDSHVILICFAVDSPDSLDNVQEKWI SEVMHFCAGLPIILVGCKKDLRRDPRVIEELRKTSQRPVTPEEGMAVAQKIGAKHYLE CSAKSGEGVREVFQYATRAALLSRGKGKKSHHCIVL JR316_0006640 MSEIKGTLSSLVMVLAESHIPRGSSSESLAASSEVYVPTVFENY VADVEVDGKHVELALWDTAGQEDYDRLRPLSYPDSHVILICFAVDSPDSLDNVQEKWI SEVMHFCAGLPIILVGCKKDLRRDPRVIEELRKTSQRPVTPEEGMAVAQKIGAKHYLE CSAKSGEGVREVFQYATRAALLSRGKGKKSHHCIVL JR316_0006641 MMRKRTLKVIDTSFVCSPCPVRLQPVIVPLMVVVVAAGKRDRLS KASVARSYVAARGSGGGGMGGLISSSSPECFGYSSSVSHQVKGSSVNLALGTFNCDLV AIQMRKRNTTVDNIRQVGLFSPRESAHCTPQDIVPSFLACARRVFGLPKELPIDELVE VGPGK JR316_0006642 MSRSMASTWSLLYGIRPARKTTIVYALSVILIRMSSSSASPSTP PDSLDNVQEKLCISVPACLSFLSAAKRISDVTPRVIEELRKTSQRPVTPEEGMAVAQK IGAKHYLECSAKSGEGVREVFQYATRAALLSRGKGKKSHHCIVL JR316_0006643 MSEIRRKLVIVGDGACGKVYVPTVFENYVADVEVDGKHVELALW DTAGQEDYDRLRPLSYPDSHVILICFAVDSPDSLDNVQEKWISEVMHFCAGLPIILVG CKKDLRRDPRVIEELRKTSQRPVTPEEGMAVAQKIGAKHYLECSAKSGEGVREVFQYA TRAALLSRGKGKKSHHCIVL JR316_0006644 MSEIKGTLSSLVMVLAESHIPRGSSSESLAASSEVYVPTVFENY VADVEVDGKHVELALWDTAGQEDYDRLRPLSYPDSHVILICFAVDSPDSLDNVQEKWI SEVMHFCAGLPIILVGCKKDLRRDPRVIEELRKTSQRPVTPEEGMAVAQKIGAKHYLE CSAKSGEGVREVFQYATRAALLSRGKGKKSHHCIVL JR316_0006645 MSEIKGTLSSLVMVLAESHIPRGSSSESLAASSEVYVPTVFENY VADVEVDGKHVELALWDTAGQEDYDRLRPLSYPDSHVILICFAVDSPDSLDNVQEKWI SEVMHFCAGLPIILVGCKKDLRRDPRVIEELRKTSQRPVTPEEGMAVAQKIGAKHYLE CSAKSGEGVREVFQYATRAALLSRGKGKKSHHCIVL JR316_0006646 MSEIKGTLSSLVMVLAESHIPRGSSSESLAASSEVYVPTVFENY VADVEVDGKHVELALWDTAGQEDYDRLRPLSYPDSHVILICFAVDSPDSLDNVQEKWI SEVMHFCAGLPIILVGCKKDLRRDPRVIEELRKTSQRPVTPEEGMAVAQKIGAKHYLE CSAKSGEGVREVFQYATRAALLSRGKGKKSHHCIVL JR316_0006647 MIDVADGVDASRGRLIVAAGKRDRLSKASVARSYVAARGSGGGG MGGLISSSSPECFGYSSSVSHQVKGSSVNLALGTFNCDLVAIQMRKRNTTVDNIRQVG LFSPRESAHCTPQDIVPSFLACARRVFGLPKELPIDELVEVGPGK JR316_0006648 MLSHPTSTRSATRRGKENATHLDTSIRNDEQTVKALAMHTSEGK HSPSSRPRLASTPLDTSNISIVLQKGGDTYLAPRHLARPTSLQGGNGELLKYTTRRGK GKPTDLDGSTRNNEHAVKALGMTTSLWEHSPASPPRLASTPPATSINTIFKWAKTPTL LSTAHPVCNNTGSAAPFKSYEGMLTTYKGGNDGELLEDTVSAFPTVTDVNVPTYSTVQ HLRRMTGASHRLSA JR316_0006649 MIVEQTGAGSRAGVHVSPIEVFTRRGGVMRQGGAPVYTHSAEAV RNPWHSSSALKRWYHTFVDGAALLTTIPPARRQPRSPASNTSIHARRRTTAPENHVGN QRNLVIVGDGACGKVHVPIVFRNYVANVEVNDRRAVTPEEGMAVAQKIGAKHYLECSA KSGEGVREVFQYATRAALLSRGKGKKSHHCIVL JR316_0006650 MLSHPTSTRSATRRGKENATHLDTSIRNDEQTVKALAMHTSEGK HSPSSRPRLASTPLDTSNISIVLQKGGDTYLAPRHLARPTSLQGGNGELLKYTTRRGK GKPTDLDGSTRNNEHAVKALGMTTSLWEHSPASPPRLASTPPATSINTIFKWAKTPTL LSTAHPVCNNTGSAAPFKSYEGMLTTYKGGNDGELLEDTVSAFPTVTDVNVPTYSTVQ HLRRMTC JR316_0006651 MIVEQTGAGSRAGVHVSPIEVFTRRGGVMRQGGAPVYTHSAEAV RNPWHSSSALKRWYHTFVDGAALLTTIPPARRQPRSPASNTSIHARRRTTAPENHVGN QRNLVIVGDGACGKVHVPIVFRNYVANVEVNDRRAVTPEEGMAVAQKIGAKHYLECSA KSGEGVREVFQYATRAALLSRGKGKKSHHCIVL JR316_0006652 MLMVDVASGVDASRSLLADSVLVVAGVGVSLTIIAAVVSDSVDG RGRWRGRESGRAFSVLVVAGAAV JR316_0006653 MRQGGAPVYTHRAEAVGNPWHSSSALKRWYHTFVDGAALLTTIP PARRQPRSPASNTSIHARRRTTAPENHVGNQRNLVIVGDGACGKVYVPTVFENSVANV EVDARQALMCHTYACLPLILVVCKTDLRPDSRVIEEFRMTSRNPLKEGMAVAQKIGAK HYLECSAKSGEGVREVFQYATRAALLSRGKGKKSHHCIVL JR316_0006654 MVDREELLQDDASPATPRTYTGRFRIDNRPVDDNSDGTPQPPSY VPSAIARKIMKGSKRGKGKSSQGSSPSPVPTYRIIRGPPSDIGPPSRRHDGSSQMSSR SISVPSESEPPSTSVTRVSSPDREASSAGPSSSRSSPWGMPGSTSPRRRTQTSKLRNV MIAQDPASPQYCDTDVEVEVEVEAPPSPPYVPHPHPPATPPPRVFPPRSSHPSVSTSL RHASLPPSLSYHHVPSHTRHPSLPPDTASECPADSRYPSVPPSTPPSLTPRLRHPSLR SASPWPYPASPSKNPPLRPRSSHSAILPRPPSQRPASPSLSSPPPLPAPAPPIASSTS ASTSASTSTDTNTNVGRRRAKGNSKSNSSDGSSRPPTEAIIHCQWESCKFVITGIQNF GKHLKQEHRLPVVQHGRQSGPVVQCNWGQCGVEIAGLELYIHILQIHLKFGYHCRGLQ KDGKSRCEYRPNRLDTLERHYKAIHPGEKRQWDETLLAVLPPR JR316_0006655 MELPLPKENVIQDQDNVHQKVKESVHRTVQTFVALCHKQEEAKF LAALSPKKLDMIAKNISEGLILPDNVMEPEEQDSLDQITLFLVLGEVIPPSFYIKKHR GKKANSLLKSALERSSYNSSKETQQAPPSHPLGTENDNTVSKQPSET JR316_0006656 MSTVSFDDEQERIYAISVMELPYSYNSTEGWYKPNGQSQTIIMR RTSLGTTSLSTTSPTTSQPNSRTGTLDSDRSAGRENRDPNATKANVPNSTQHARAHQV PAAARVQLQSQPNTKANYASDTFKSRANDVEKHFIGHHRDATAHPMPAPSPPASSVYS YGSGPSSTGGNSMERALLPKRRAANGNGSTSRGARATRAGAGLARVEASGKAKITGQA GKVGRVMKRPPNTAIYVNELGPNGSWYIPKKPKDRNSPVSSTTNTTAAVEPGLMKTLL NAFKKKNKVANAPLHVPRSS JR316_0006657 MLLPSTTDDSLFDGLSPGDLYRYGRTCKRAHDAVNSFIRRKFHL HGLLERYFQPNDVFDFRRLQAKTGMFISGSTALQFLDRVVYPESDLDLYLEHRYRHVV ALWLTQAGYRYTPPVTYPDETLDEALELVSDLPRLDGMFYTITPKGYFGAAIVLNFEK QNPCRKIQLITSHHSPLQLVLNYHSTCVMNIITHEKAYSLYPRGTFDERRSLLNLPLK SERLQVATTKYSARGWKIVSKISTEEFENPRSAFSHGLRYIGDGKCWTLSILPKLNLP DSTIETNSWVHLYDSDLVPTMSATVFVSGRLRYGYLIGDEPLRRYVSHVSDAFDGNYR SGEQQLDYIFSKMIEHFRQRKKANRDPVDSLGDENAPRETRSDFADTNTE JR316_0006658 MDTIVLRNLSILPAPSQNVPFDDSCRFEAHIVIEDVVHDETEAV AKESGVWSFEEEVLLIILQVDDHGMKNSLGTVDIITEQIQAPASQRETRFLVDISSED DQHFFLNLSFTAYLMTSNVKKGLSPESVISVVKAMEMESIDLLLTQILDSDTSFMSAD QGLLSTIYGTLLKHRKDDVHTPRSLALLANIYLARYDSFLNIVGDEPEKYPMYPVYNR GLKVAISMLESALSLAAGDHPKKQWMMISLCMCYQRQMVQYSSSRPSMFKNMTLRMYH VVLTIDDTEKQKPVLCNYVGVALANCFLESKEDSDLDAAIAAQENAIRLTSDSEPHIV SLLIDLIDVLNTRVSLRNTSGDTIRLLDVKKRFLRVTPDDNPRKIHLLMSLAHSLYSQ FKETRTQSNIEESVALYEQALELLPEVDPLKPSVLNNLATSLAARGTSSDIDRLTQLI RDAIALTLDTDPNRVEYIKNLGNAIHSQMHQGVWGIEEFRRYTNLLASNIDALDEGNI DAIMQDLNMFTKLEMANISTDVILDDVLPHFRSFIMQLPDSCFSKSLVLFHLGSLLFR LFEQRRERLLIDQSIDLFEQSISSSAYSSRTVLWKNTLVQAYISKYVHFKGLSDLDQA DNVLTMTLQPLPTDHGDRPYLLATLASLLYQRFLKDDDVLFLNRCITSYLEALRGLPE GVPQKFRWCSELGRAYMGRFDVLKHAEDIDKAIQSLKDASKGLMNDAQLVSECYMNLA RSLFIRFNQTYQLCDLDDAVEYLEDPLSFSDLENVNNTAILEQRFYDLVEVFRNFSGT TDMDVCKEEMESLMGDTGLAGKLRLHNIGIFLSLRFESLQGSVDQNMLESISTLADSL PITDTHKARWLGMLLAVYIQQFDVAGDAENLDNAVFFCTESIQHCIISPNESSGPSSS YIRALTTALTRRFRRSGNLADLNKAISILDEAVSIRQDEDITKYTLLNDLGFSLMDRY DLIGDIDDFNKSAEAYNKALKLAPSRDNNDDDLQSNIGLLRLRRLKLSGDINDANDAV AFLKEHMERLPDEDTKKVDAVARYSLAVLDRYWHFRDPDDLNVAIVTLESALARLSED SSQKASVMHDLGSALAQRYVQRSEIDDLNRCLSLYRKAQDILTEKNDHRYMLLFDIGR WLPVRYERLRNVEDLEAADAALLKASTLQSSPFRWRFRAASLRGYWCQSLNHGDPIKG YTTSMQLLPNLAWLGISIRNRQHQLLEVGEVVLDAVAAAIKATRYDLAFEWLEYGRSI IWSQILQLRAPTDSLSSQYPDIAQKFIEVSLSLANMEDENPSQRMLSVPRKTRNGSPR YHELADEREKLLEKIRGLDNFHDFLKPQDMSSLFRAASNGPVIAVNINKFRCDALVIL PGQENIVLIPLDNFSSSEAYGLMGTMKSLIRRATRARGSNRGPRKRSPLTPKTSQDPE VIFSGLLAKLWTGVVKPILDGIGFAKNPDNITELPHIWWCLTGPLTMLPIHAAGIYEQ DGSSRRGSKVSDYAVSSYIPSLGSLINCMTPRKNKRQNLVAISLPVEAGLPCSQAEVE VIQNLNDRFPVSTLIELEATRENVLNGMQSAGWVHFACHGIHNPNDPHMSALMLSGGG KLTLSDISKLSLPHAEFAFLSACQTATGDELLPEEAVHIAAGMLSAGYQSVVGTMWSI FDDDGPRIADLFYSYLFSRPKPDPTDSAYALHYALKTLREDRSYKASFFSWVPFIHYG L JR316_0006659 MSGEPVIIEDINESELLGENGEVYLFQWLSSTEKRLESVGLADL KPKQSDLEKVFVKAISAADSYPPPGRAIRNVIGRCLVTLYTRGESRSMFDTMQSFLRL VGDFKTPDKDVVKIAAFSCIGDLMAVFGSQVMSFMAEISAVTIKTYKSSTSPLLRYHA LVALSKSLTTAKRAVTDATCKDIVKQAKSALTDKSLAVQRAACQILIAMYTTSDSLSL TPSEVDSIVSQSVKSLESGDQATRHAHAQLVGHILASTQIERVVPTPQAPQKSTKDGT PEQADDGISSSIAAAEVTKAMFTPQEMFLHLSTHFNKPNATRKTRIGIFDFYAALLTK LGITFVESNFSLIVAHLVSDIASNPRSNSNRYEILFTRSLVGILLRDLVGTRMLSEQG QITAIQEMATAYLKRWPAMMPGQVAPSSTVLVIVLREVAGLLQQLGNAPPPVQNALAE PLVTLLSHPSHTVRVTASWALRCFCHSTPLRLPKTILTVMDKLQRDLSSLTNPNSAAE VPLRALGHAYGLAALVSIIPQRPLYVSYDVSAKVLDMATQLLKRAGEHDVKVAAVEVE VAWTLIASLMSLGPNFVRPHLPQLLVLWRNALPKPTTKDSTTNAGRSIHEWLFLLHVR ESALGAILCFLENNASTLVTLDVARRIASLLSNALSFANNFISQNVEDPTETQVSLVP RKGLNLREREALLRRRVHQCFTALGFSSIAESTQMVLLQSVITLFASPDGYAGSSVQA AIASSSGTFSSVWQSTDGYAYGVTFNEVIDFGGIETGSDLAQGASDYLNRDTVEVSID ALNRRPVFGACEHDPLSLCQTKQSDTEFQLLEPPPPATSVVDTAIELFAKLLPLQDLS STVKVVTQLLESVRSPKLERNAGRKSAVFINATVALVLALRQATTSHFRQAKETFGQS QITSLLSPFLLEAVIDGDLVLRSAGSESLGRLASLSGTNYLTNAIKNLVDQVVNNRNP SGRAGCALAFGSIYSHVGGLAAGPLLKTTVNVLMSLINDPHPVVHFWSLNALARVINA ASLAYAPFVSSTLGMLLKVYLTESHEKEGGSLSNSNISGDLPAYPIVCQIIDSVITVL GPDIQESSRTRALVQSLVHEFSLEDDEGICVEAIKCIQHFLMFAPEHTDIPNLVKQFR GHLSSSRRPLKLASINALYQLVQKDALSMSKLGGDRLVEDLFGMLDDDSSVQGVRNVI SSWLQQTVIYNPSAWIDLCQRIMARTTASQQVADAASRQNMRDDEGESLNVGLSQDSK AGGRGHLTSRWRTQLFALQCLHDICVTVSSSGRREHLNAIFARNQNIPTSGLLFSRVS DLIKMAFTASTAYVTEIRLAGLVMLRDVIEIFAASPDPAYDDALLLEQHQAPITAALT PAFSSDSTPEILASAVDVCAVFVGCGVVKDVSRMGRILKLLITGLEQSKDSGMLSLGD AGELSPNASAMLRISTLSAWAQLQVSSTQQSYLENVVKPYRSTLCSLWIASLRDYASI RIDSEFLHDTSSVVDSSYSSLGKEVLLPYYSRSWSIILQAVATAMQAGDPHILAAMDG REYDEKKVDLITSTDRKEPTAFFFVVFGLVYEALATSSADSSSTTPARQLGVISALQA LKCLVKPEYSGAAIMEPTIFEEFISLCYRMGMTEAAAVQIHLVEMLAVFAASQSSTTP GSDVLSLTSPRVHCLRICAHILKQSTSLSRASAAQSQVADRIALLSTCLSAFTSIAAS ITSSQREDVRGVASLLYNDLLKDESSELDLVGPTLPALKGLLDLPVGNDPEDKDRYSK LVHSLLSACLHNIDNMRGRHGAISTKKVKNNMLAAVLILTVIPSWAKVGGAVVEHGCY LISQKLLDADEMSLTAAHCAKTLILASSSGNGMLLQCARLLIPGLIEFIAKMAPLVHD GSLSDSQSAAISEVWKAFATLFTSTAEDNRPRLLGVFLPTISLVLANTPPPQPIAASI TTQTISQLLSFATTSPLAFKEALGKMDVSTRELLEQCIRRAVGNSSTGSSQPAAKPQI SLRSF JR316_0006660 MSFPTRYESVPGFFVQDDAHAIAVAVPPRLGLKDASDDRWPKFL ALVEKLNAESPPDVSYKFFLLIRHGQGYHNVGEAKYGSVDWDLNWSRINGDDEMTWGP DPLLTPLGKQQAADVQREMVLEAAAGLPPPHKRYCSPFSRALDTCDIAFAGVYEEHPY PVLVVEDCREENGVHTCDKRNTRSYIANYKPHFLIEEGLTELDDLWDPNVRETKEEVS LRARSIIDRVFANDTESYYISITSHSGFITGFLQAIGRERYPLPTGGIVLTAASNVSG ANTLNSSSTNRGHTRSG JR316_0006661 MIRFDNLPPEVMQAMCTPMHNILPPTNQSPGSLYLGSLSAIQDT SLLRQHNITHLVQVLDVPWLPVSEKDGFECYKIPIQDEGSVDLRPYLEAVCAWIARAL AQGRSVLVHCQQGISRSPAIIIAYLMRVHHMSYSNAHSFVLKKRACIKPNSGFVRALQ DWESSLGTAVRPGMTRRFTS JR316_0006662 MLSNLEQAITNVLICKQTPDYFNVLREYTDISRLPKANKEKEAP KFLERAMGKYPASGERAGSPLADHVTSINRDLMQTRLDVLMLCTPFAKRFKCPCMCGE SLTRERIIHKLNLVDGEGEPKPVG JR316_0006663 MSCPNCAKGDFLPGEPTGSIRSDFQNAYLAPAPEGDSSSYAVLL LTDAFGLPLKNCKIMADEMAKRLQCDVWISDYFNGRPLIPVNAMTLPDKPGVKLSTWD WIKFFFTVIPNIPTFISNRPSVTDRRVESLIHSLKEKKKYKSIGAVGYCFGGSTCVRF GGTGLVDSVVVVHPGKFSLDQVKAIKVPTAWACAEEDMWFPDTLRSAAEAEFASRKGK DNFVEYEFKEYKGTTHGFASRPNVSIPEAIEAHKAALDQTIEWFKKTLA JR316_0006664 MVTPANQPTQFIHLLKEKKGYEKIGTVGYCFGGATCVRIGGSGL VDSVVVAHPGPFSISQVRAIKVPTAWVCAEDDMTFSKSFRSECEAHLAGRKGKENFVE YEFKEYKGTTHGFASRPNLAIPEIREAHQAALDQTIEWFKKTLI JR316_0006665 MSTLLSKTFNVEVTEGIEYVPRAARRVIFNSDFLKAAKLCTGDI IAICKADDHGSRKKFALGVVWPSLDLSQDSLLLSTSFLLTAGLVVGERARIFPLSGLA VTKLPPGLPSLKHIQEAGTIRLKELLTKDNVATNGASSSKDQQNEWLNLALREILVDL KYITNDQIIECNYEGQLRRFTIHSTAPKSNKKQNTNDIGENLSQDLKKLSVGSETQVW SVSWDCIVSVQEDDPSVRDEISNKSDVEILEHRSLKEAYSSVGGLNKQIEEIRDLLEI PLNRPELFSYFGLKPPRGILLHGPPGTGKTHLARAIAASTSSSVLVINGPELSSAYHG ETESKLRDVFKQAREKSPCIVVLDEVDALVPRREEGAGGEVEKRVVATLLTILDGMEA ARSEGHDRVIVIGTTNRPNAIDPALRRPGRFDREIEIGIPDTEARFAILNVVLSKTPH NIPHEALHGLAAKMHGYVGADISALVREAGTIAIKNWLGTADHETTSLSAPDSLKLQL SHLVAAMPSIRPSAMRSLFVEMPPVRYSDIGGQASVIQKLKETIEWPLLHPETFQRLG VKPPKGILLYGPPGCSKTVLARACAFESGVNFVAVKGPELLNKFVGESERAVREIFRK ARAASPSIIFFDEIDALATSRTTSDTDQGSSHEGVLTSLLNEMDGVQELTGVTVVAAT NRPESIDSALMRPGRLDRILYVGPPDQAGREDILRIRMKSMTVGPDVDIRSIAELTEG CSGAEITAMCQEAAILTMQQDMNAPYATFVTAAKSTKRQITPAVLSKFARWRDGNAAG LF JR316_0006666 MATLLDVLNSDDGLLNVGRTALSMAPNTSKSLLSLKTLQDGKVA SKFTFTTLLKDAAPRDPSTLDSQDESQHYTLFPLALGQILRQYAVTEMHLALNAGNWN YDRWGYPEEQGVGTGAELWAWMGDGAAITVDERWKGLRNALAGLFCASLGSLDELRTT SPAQTFIPEGTLPDWGVPHTVRHASLPSEHVCTENLTPFLKLLPCKSLSGIASLLNPH RLFDADWHGMGVHVLWREGEGVEMRLTFQSVSDPLRHDAAKKQDWSLQSLFDRTIHRS CPVAHSSEIVVALPKNAFYSIKPEPPVIDRSSAHFDVNIHQKPLDVILTWPEPFSYPL DYQSESTNFSVKRTLRGPSQAHGQLSLVITNHRPYTIHTLYLETMPWLLQFYLHTLEA RVDGVLRADIISNLTYIPSVPHSRPTTFQALLSLPPSSTVEITMDVTKAFLRYTEHPP DAQRGWDLPPAIFTPVEFAKNGTSFSVKEGRLYTSTLLVDLATPDFSMPYNVIIFTCS VIAYIFGSIFNLLTRRFVIVHIEPTKS JR316_0006667 MHASLIRRQLGGLIPPKIATPKLVSGGSGAGLGPLVDFYSKLPK GESTARVSGIKGRYFSGKNASGKPIVALIVGLFAVGYTIDYNTRFVF JR316_0006668 MDPSSTYLDIGKQDWWWKQRDASIIDVRDESNQGNAPEADFRAS WKKTTSFPSEVHVELLKLGAIPDPYVGFNEHAVQWIGDVEWLYKCDFTVDSAFAGKFA KLVFEGLDTICDIYLDQEKILSTDNMFRTYIHQLLPFSSARSTHTLLLHFKSAKALAK AEEAKYGKVRAGSTNLGDPSRVYVRKAQYDWRVFTKGQGPELMTCGPYRPITLVTYTS RILDFHTRTAVDIKSDGTFTAALKVDINLEGTQIPGSALVITLEDSKRQVIKLERVEV NGWDSGESHSNFVQKSAVEWSNLQNDTIDLWWPVGYGKPNLYNIKVVLVTPNDAVIDE RCQRFGFRTAQLIQEPLLEPDQYGTGTTFLFEINGVRMFMGGSNWVPADNFLTQISDD RYHRWLTLLRDGNQNMVRLWGGGVYEPDIFYDICDELGLLVWQDFQFACGVYPAHDAF VASVKQEAIDNVTRLRRHPSIVCFCGNNEDYQMVLQWGGIGELPARILYEHVFPEIVS ELTDPPVPYHRGSPYGGKGWDTADPTIGDVHQWNVWGGKELQYQEYDKLGGRFVSEFG IPGMPSMQTINYWMQGADKYQFYSQSQLMAQHTKAGSFERRFAIVMNENFRLTSDFET HVYTTQLMQSEAVSFAYRSWRREWRGRGREYTAGVLVWQSNDCWPVVSWAIADYFLRP KPVYYTIARQLAPITVGIFRNVVKNRDNDRPRQFYEFGAMQTLYATLSVWGTNNTLKA RPAKLELCFFDLRSPDECLVETRTVVLQPNQTTELLEMKCPGPAHRKSLSPGDPLGVS SANVVASARLLDVESGEVLARYSDWPEPYRFLQPPDPGLLVEVKSVFDDETTLALSVK SPAKCVVLSVDGDVEPVVHWSDNALDLVPDDPQIVFARGLKGRRVKVAYFGREKAHDI QSRSLQI JR316_0006669 MLNHLLFPKPLITTSFAHYVSLGLACIYVGSLYLSKNARISLNG KGAKPRRPNVAMRDEPDVIRARLLAVSIATVACCLAVLGVVWESVSWRMTSLDIVLDS VMLRLGFPIASIGPITTNIPSILPHLITPLLFLGPLFAGFLSHELPGQRNWRWQSHIV GRFFSLHGIRNYWIGPITEEVVFRACILAVYHMSGASNMRMIFWSPLLFGLAHVHHAI DTFYAKGGDWDAAKVALFGTLFQLSYTSLFGFYASFLFLRTGSILPPITAHIFCNVMG IPMIGYELRRHAKYRNYIKAMYLAGIIGFVYTLGKWTKTKDNLYWLQEGETKYAVFRY JR316_0006670 MTSTMLKASSSSSQALLRTTRFSKQFRAKLRTSRSLATAAEPPV RRYGGLKDQDRIFTNAYCRHDHGIKGAMSRGDWHRTKDIILKGDSWIIQNIKDSGLRG RGGAGFPSGLKWSFMNKPGWEKDPRPRYLVINADEGEPGTCKDREILRGDPHKLIEGC LVAGRGMNATAAYIYIRGEFYQEASHVQQAINEAYAAGFLGKNACGSGYSFDVYVHRG AGAYICGEETALIESIEGKQGKPRLKPPFPADVGLFGCPTTVANVETVAVAPTICRRG ASWFAGFGRARNQGTKLYCISGHVNNPCVVEEEMSIPLKDLIEKHCGGVIGGWDNLLG IVPGGSSVPILPIDMCSEVLMDYDSLKDAGSGLGTGAVIVMNKSTDVVKAIARFSQFY KHESCGQCTPCREGTTWMMNMMDRMVEGRGHQREIDMLLELTKQVEGRTICALGDAAA WPIQGLMRHFRPEVERRIAEFRAKNGAVGFGGHLASAADDTLASPDNLGLRLPA JR316_0006671 MDSRPPSTYTGSSLLLRTLARAGITHAFVNWGSDHPALLEDLAR QRVEDEGGETQPKIVTCPNEMVALSAAQGFAQVTGKPAAVIIHVDVGTQALAGAVHNV DRSRTPVLIFAGASPFSSNGEHKGTRNEWIMWLQDIPDQSAIVRQYMRHTAQLGSAKT IEQSVKRALQIATSEPKGPVYLWARREVMEEEVNPESLDHISGISKWPSIEPTSLTPS ALKKISEALLTASSPLIITSHAGRSPAAVAALSSLATLLAIPVISTCPSTVNIPFSHP SYIGNTWLFPLTHWEKLQGVDVILVLECDLAWIPVNEKPVDDARVFVIDGGDPLKLGI GQWHVDAELVCRAEPAIALEQIEHSLRTMGVRPQGEAWCLGDYFADSSLEEKTRFRWE TLRKNHDRLVSQLDSEEDKFRLVGKPSLPGEKQNPIVVMNVPNLIGVIRKTVAALTPS KGANTLFLNESISNFAPVWTHLRPEFPGSVITSGGSSLGWGLGAAIGASIGARTLGPM SNASSGGKEYDLIVYIVGDGSFMFGVPSSAYWMARKYNTPFLTIILNNGGWGSPMLSM LGVHPAGHGRNTLSGDKLTVGFGPNPPDYPQIAAAASAGWAWGARVGDVVTNSPKDTL TSTIDKAIKIVLEEKRCAVVDCILESI JR316_0006672 MNLINPRDEREYIPIADHGLIGNLRTAALVSVDGSIESYCVPNF DSPSVFARILDKDKGGHFSIMPTVPFTTKQNYLASSNVLQTKFMNDDGVVSVTDYLPR PRKELRTTPKPLLPWLVRKVECVRGNLPILMQCAPAFNYARSPHTTTIVDDDSVLPNV QKKAVFESDELTLDLRYVVENSMEDPDAAEPEISLEFLDLSAKGHKGLAVQSVLNLTE GQVVTFILRTPPTVTTKAITIPDEAAQQTVRDETRVLRRAPEDPLLTKELMASVLHAT NRYWYEWISQSTYDGSWKEAVMRSALALKLLVYEPTAGAVVASPTFSLPEYIGGVRNW DYRASWIRDSSFTLYALIRLGFTDEANSYLEFIFERLRNKNPDGSLQIMYTIHGGKDL EEIELLHLDGHKGSRPVRIGNGAANHVQLDIYVWIAFTLARRLLVRELSIWEVRDKKR HFTYSKLTFGTHGLRLADKRSLPCPNRNKWLAARDNLYEEIMEKAWNKEEKYFGQSYE DKDVLDSAVLIMPLVFFLHASDPRFMSTLKQIMKSPEKGGLTSNNLVYRYDTSKADDG VGGEEGTFCLCTLWCIEALTRAGEVDRTLLPKAVNMFDDFLLYLNHVGLCTEEISVAG EALGNAVQGFTHVTLISAAYNLSRTMRKRNSM JR316_0006673 MSHTESFIAPPSDMEIEYTFDFHLAHNPNYPVFLRPEGSTGGYK RLITYSDIVPGIHRAGQSIRKLTNTSSSSPTPLVAIFAEMNNMTYATVILGCLRAGVT ALLISPRFHPSVVAELLRMAGPSHILTNDRLREKTSLALHELSKTPSYAMPAVIDAPL HSDLYPGHNDFDPLPRHAEEFSKRALILHSSCSTSTCPKIIEWPSSYITSNSLAINYS DIFMEGKLFGAQSAEFFHTAGLCFLFWLPRAGFIMAILSPDDPRAVIPADRDIAFEGF VNSQVSVVWSSPRFFEAWSNDPEKVKFLAERECIVFGGSQLSKAAGDRLTSHGVKICN VYGATECGYISKFVSEPQSEDWEYFSLNPGINCQLVPRENGAFEPYIISQKGFHEPAI RNAEWNGRPAYATGDGLVPHPFKKGYYKVIGRNKDQILLSSGQVVDPMLLEDSLREHE EIKSAVVFGHGRPFLGVLIWPNALEAGNDTPTILQRIWSYVGGFIWQPVSESNNDSFE RIWSIIEAKNSMLPVHSQIRKEAFMIADKDRPFQFGEKGLPKRSLILDDYRKDIEKRY MSCL JR316_0006674 MCASPDFFSFPPPQFGKDARKLFFLEEGFVNLNHGSFGALPIPV QDGCFEITKEIERNPDVFMRQKLLERIDDARELVAPMLGADPSTCVFIPNISTGINTV LNNFQWTSSDVIVHTDSVFDSVLLSINRMQTPQKSVFKLPFPLSHAAILQDFRKHLQS VKGSGKVVAIFETMMPLPGIILPWKEMVRICKEEGVWSVVDGAHSIGHELDIDLSSAD PDFWMTNCSKWLFTKKGCSILYVPLRNQEIINSTVTPPLTYPTPGKRPSSFVSKFYWN GSTDLMSVLSIEYAIAFRKYIGGEKKINDYCHELALKGGRCVAAILKTEVMSSDRIAE ELIGNMVNVSLPIHQSIKPSGEIYLLYQNTFLSTYKMFAPIFYYRGKWWVRISAQIYN DIDDFKKLGENLVVKNLLEPATAPTFLAMDPFLPKFRIPTIERLGVKTCMPDVTESTA AQIAKDWFDAFSSFAQEQNVSGIQGLICEDALWRDLYALTWDIRTFDGISRIQSFLNA RMQTMTMHSFTWRNFARLQRPYPDLVWIVVMFGFETYVGRCSFIARLVPTPGGWKAFT LFTNLENLKDFPESIGPSRQSVRVASSAWRDHREQENRFTESNPAVLIVGGGQSGLSL AARLKYLNVPTLVIEKDGRIGDSWRKRYDSLCLHFPIWYDNMPYIPFPPTWPKYSPGF KMADWLEHYADILELNIWTSSTVLDAVQHQDETWTVRVKKPDGVIRVFNVNHFVIATG QGDGVPRMPSIPKADIFRGEILHSSKYKRPTNFVGKKVVVIGTGNSDVTMYQRSATLV MNLDKCWDLFAGPLYSETSPPNDLSDQLSQSIPHLLLEGGLAQRNTAAILASQREMQD ALREVGFKLNDGVLGAGILLNLKQKGGGHYFDVGASQLIINGDIKIKSDSAILEYEEH GLKFADGSRLDADVIICATGGGDVRQIVSQLCGESVASECPPFFGVNEEGEMTWFRPF PRKGLWYMHGNLSLTRFHSKHVAMYIKAMEEKLIISRYPSDMSPKCIQLRQLELPPNS EI JR316_0006675 MNDSNFNLASITPNHPATTLRFAAGLVSANLTLELLCIFTVYAH VMESNNSESDSGASRRWSFRQPLKAMGAKSFDKNNSLKHQSKSSSKEGQTEVASRSTG LDTSTLTNSHPHIGSDDTKISGRKLKLTGRVLQTLVTRALEIADPNPVKVALGLIKTI IDVAQIIKQNKDTIARAIVKTGQRLELVEATLSQITLGRKEEEALWLNHFKTALLDSL NELKELDDKSKLVSALDHEDITVTIRGIFERIDDSRVELELALGIRTFKAIYQVDKAF KDQFLERLRPSNIAHHDYNLEGQEGQMLHRRVCTPGTRVGILGHIVAWAKDISETSPK VFWLFGHVGSGKTTIAYSIARRFEFAGDSDDTIVLGANFFASRQFDETRLSKYIVPTI VYHLALKCKPFAEALYHSGRLEVINHNIATQLDALLFGPWKKCESARRADTSIPQHYL IVIDALDEIERSGGSQFLLILFDILNRYHQNDLYGLKFFLTSRTNKEIVDHVNSLLRK EIYHLQDVKEEDAREDISKYLRSCLPHFVGRPELDALQEHAAGLFIYAATFVKLLEHR KPAEQKRVLNNLLSNQKARQSQSSLQDSQMLLNRLYYDILIDAFGSHSGDERMDRLSI LYTFLCAKEPLTIAAAADILFEVDVEEEDPEFSYLPVAKDVVERLHSVIYVKDDRVLF YHKSFPDFMMDKARSRDFWLDLPNHHRRLTNDCFRLMENMLRFNIANIPTSFYFDEEV GTIKAEIERNIPPSLRYACRHWDHHLCSTVPITSSEPLNQTLSCFLKLKALFWIEAMN LLGFRGHCYPMLQAARDWTATLSDTSALLSRHFAEAAYFAVYFSGSPASLSTPHLYIS SLATWPRSLHPCGNWKAHFPRIPRFRNALQGGTTVMSFLTNGEVISVAISADDTHIVA GLSDGSVRVWHGLTGEVEHLLEGHDDQVNSVSISGNGGLIASGSTDKSIRLWDTLTGE AKGLIKGHNSIINAVALSKDGTQVVSGASDKLLRIWDTLTGTLKATLLGHLAIVKSVT FSSDGSRIISGAADNSIRVWDVSTGTEVYSLSGHTDWVYSVAFSDDGTSIVSGSADGL ILIWDTSKREVTKTLKGHIGLVKSVAFSLDGTRIVSGGTDCSVRLWNVSTGKETNLFK GHVDYVYSVAFTGDGTRIISSSADRTIRMWDAVRGEEEVHITQGHSSAVKSVAISRDG SLIVTGSSDKSVRVWSGAGQVMHVLMGHKGSVNSVAISEDATLVVSGSSDNSIRVWDA LTGEQKCLLERHTSSVQSVSFAGNSTSRIRSGSGKKIIRLSDDWDFVMEQEHKFSLKS RDSRYYNPSPADCCWNRREKPWVNSIAFSPTAIIAVAGLDNGLVQLITVANGHLRKVT NLQRHSASVNSVTFSRDGCRIVSGSDDGTAHVSSVNLTFDRGIILAKCDLIGTLECHW QVQSVSFSNDGRRIAAGLADGSIIIYHASKFYALYGMYGHRSSVRSLTFSMDNTRLIS GSDDASVRVWNVASIEKWELQMELWCKLENATNGAPPYSISPDDNDRLDMMKFSNFKM GEVMGEERFQGLTDTLKLPLNDCSTFEVEPQDIRYVHQRALVMDSLNVTGWLYMPLDV KYGKYLMFVPPSDQLPDDSNIITIPESCVSRVDFTDAKIGDEWSLCYSPKPME JR316_0006676 MSEEQAPEIQINVKGPSELKLQISITTDKTVLELKQAIAAKSDV EADRQRLIYSGRVLKDEDLLSVYKIQSSHTIHMVKGVSRSGGTPSSSSGGPAPQQLPT MQTGQNVHDPLTQLNGHMGFGAMAGLNPFGEMGLNPNDPNMMQSMLNSPQFLQQMSSM LSNPAVIDQVIASSPQLSAMGPQVRAAFQDEGFRQMLSNPESFQQMLRMASMFQGAGL GGGFGSPFGAPPPSFPAPGNPNAPTNSTSNPSSTPTTPPSTTAPPVNPFSLFGAPGAG AGTGTGAGAGAGANPYAFNPAMMQQMQQMLGLGSPFGPGAGGLGAYGSTPAAPADTRP PEERFQVQLQASNQLQDMGFTNAQQNVRALLATGGNVQSAIDYIFSGGGI JR316_0006677 MVNKRTMPTQKPQLFPRAERPSSIAELVERANLDEWIHAQASNL ELTNSVKAVADLIDACSHLELEDLIEIYTGQVDERLLEEKFLQLIRVAGLLFDVFPAH SQYKAILETDEFETASLPFHAILSVLVNRNQT JR316_0006678 MRPSAIRLLNILVPVKRSVDYAVKIRVNPQQTGIDTNVKHSMNP FDEIAVEEAAILRQKHKDAVKTIKAITIGPSKSVDTLRTALAMGADSAIHVEIPESQP SPEPLAVAKTLRAVIERQNSAAASDADKIDLVILGKQAIDDDLGVTGQMLAGLLGWSQ ATFASKVDVDIAKNEVLVVREIDGGGEEIRCKLPMVITTDLRLNVPRYATLPNIMKAK KKPVEKLSPSDLGVDYTPQLETLKVTEPPTRVGGGKVSNVDELLAKLKEAGIQAA JR316_0006679 MEHPSPKQGQAMLIWAAGAFCIYGWEFFVCLPKERSLIWSRPKN WFSILYIANRYFGLIQFALVVSMSTDVWSTSACRRIYLFEPIGGLISIVLSQVILGAR VYALYSQSKLLALILVSILVGQIIIGAIATSGIDPPPTIPLGVPGLPPDFQPPPCGSL SAQFRWQVTYWTVPLFYDTLTFVLTAWKAHGLWREQLNTRLFDIIWHDGLLYFFAILT MNIVNVIIFLAAPPGIRDVNLAPTIMLEIILSCRLVLNLRDTQNQSQYTSRVPKRRTT LISPVKSVRWESSAITGSQNLNQLETPEINLEVYGKVPTSKDMVFNIDATHSV JR316_0006680 MSCPKCIEGFLLPGDPAGTIEKDFRGAYHACPRSADANEVATSA EDAQRAIILLTDGFGLPLKNCKIIADNLANRLNCDVWIPDYFDGRPLVPVDDLRTPDR ASKTMSVLDWIKFILFTGIPSLPAFIHSRPAVADKRVTSFINDLKEKKHYTKLGVVGY CFGGATAVRFAGTNLVDAAVICHPAGFPIREAQAIKVPTTWACADVDIFWPRSKRLQV EAILSAKKGKEDFREYEFKDYKGTAHGFAARPNLNIPEVKEAYEQAFEQTVEWFKKTL V JR316_0006681 MELTLEAYRNIVKNVGNRADIASLCRVSRGFKRVAERALYNTLF MRNDEETVILCNTLANSPQLAVHVDALTIMLSDEEGDDSEGEDEDIDESDDEKLPPVD MDWHSVARALEKTVYLRYLNIHINNGTTSAVSWVLEHATFQLRRFHSDFDWDQGLVRF LNKQGKLEDLYIQDYRDIDDSATPTTSEPLPSRSLRLESSSIPQLSTLECTFSEAAMA LVPGRPVTHLKTCFSRTELDAKKKEMNDLLTSTGASTCPMRSLDIADSSYTERFSAIL LSAISERRTLVRELRHLGTFVLPIDGRERLQFYGLLMRFPQIRSIELEVSNWQPPPSS PAALRALASELRLYNPTVTKIVFVHDFDRSVVTAVKGICRVDPEINTDLLWREK JR316_0006682 MIRFILVQNRQGKTRLSKWYVPYDDDEKVRLRGEVHRLVAPRDQ KYQSNFVEFRNYKVVYRRYAGLFFCLCVDDNDNELAYLEAIHLFVEILDTFFDNVCEL DLVFNFYKVYAILDEIFLAGEVEETSKDVVLSRLEELEKLE JR316_0006683 MVFAQDANLASAYILKFISANEHHEAVAFFNNEEFVAQHVAPDL NATISLNAKTKSFTVGISLPGGAVNKSTWTYERPGTLIQDLHNVKGTLYTAKNANPPP LGESEFWIQQYPSTIPGEVGRTVVEFYTDKIITAVQTTTTMVHLEAVFGPFQDLILAH KR JR316_0006684 MSRYPEADEAQRGHYNDGYSPYQQTTTPNPYEQYEAHPRQQQFG AGTPLSMTDPFNPIPHNPYSSPPPPPPPGVTSNIYPPPPPPTDLGYGAYRPPNVVHPA SPPPHNALSPAPSLNPLLAPPGPSRTPGLQPQYNRSAYDMNEGDDMGIDTGDMPLLRR DPSSSGAFTPHFPGGLEEDGEQSVQEENNIRYGRIPQRVPRRYKTIKKVELFHGNFVL DNAVPKKLLDMCANRTEREFTHMRYSAATCDPNDFKDSGFTLRQVHYDPPRRTELFIV MTMYNEDEELFCRTMHGVIKNVAHLCKRDRSKTWGKDGWKKVVVCIVSDGRGKINSRT LSVIAAMGAYQEGVAKQKIGNQEVTAHIYEYTTQISVTPSLKIEGAEKGTVPVQVIFC LKEKNQKKINSHRWFFNAFGAILQPNVCVLLDVGTMPGPTSIYHLWKAFDINSNVGGA CGEIVALKGKYGRNLINPLVAAQNFEYKMSNILDKPLESVFGYITVLPGAFSAYRYIA LQNDSQGEGPLQKYFLGETMHGAGADIFTANMYLAEDRILCWELVSKRGGSWILHYVK SAYAVTDVPDQVPELISQRRRWLNGSFFAAVHSTVHFHYIYRSSHTFIRKAWIHVEML YQLFNLIFSWFALANYYIAFAILSEAMEDHSFNLKGIHIVNVILNYFYLGLLLMCFIL SLGNRPQGSKWGYTAAMLGFSVITIYMTVAAFLLAFKGLENLAHTDGPLQFSDIFTNP IFRNIVVSLLATLGLYVIASIIFFEPWHMITSFVQYMLMAPSYINVLNVYAFANVHDV SWGTKGDNTVAKDLGTVATGKKAGEVEADVPTDEKDINALYEDAIHVLNSKPPKVESK PDKGTQQEDYYRSFRTNVLLAWVLTNGLLGAAITSTNVKASDGGANKAVNGYMSFLLA SVAGLAFIRFVGASTYMIVRLFAGE JR316_0006685 MAVKDKENLASAYVLSFLADHDREEAEKFFEKEDLDLLAPDLPA IINLDAASKSLRVSVTLPGGATNNSVWTFELPGTIFQNLTNVQGTLYTRIDARQLLGE NEFWIQQYPSGVPGQIGRTVIEFYNTKIITAIFKSSNDNFGASGSGVWTGLGPRT JR316_0006686 MTVYLWDEERQEQSYGEPSDITISHELDDAHKIFGADEVKNNSL SSPGTINLTAKNKSFDIKVTLTESATVTIKKENASTWTGTKGDRQYDYNTLPCDSKTK FEDLADFQDLNNVSGTLFTATHTPDLSGTNIFWIQQYPARKGETVGRTVVEFYTDKVI TGVRITTTSVLGETANGKI JR316_0006687 MPSTPSTSADAETNPPPFQPINTVDLVSVEDSKIISVSVYAGRA EITRLFKFNVKTGHNQLNIVGLPSVLDQDSLRVEGRGAATIHDVSISTIKPPPTPTTS PLLTDLLSKEKKTQKALARALKSLSSVETYISSVRVEDLDVSNLRNVVQQYDATAGEL DDRVTELEDELKKIDEELKKERQRLAGPTGNDKLNLKATIGVFADFEGEIKIALIYAV HRASWNAAYDIRVDMQTKEKPITLIYKASIVQNTGEDWVDVPLTLETASPTFGVDVPT LEPWTLSVQRFYAKKSKSFAMRGGGGFLDAPPPPRPMVMRSMAVGSAAPTDQMSMYSE APVPEIEHRGLQVSSKGGVSATFGVPGLITIPSDDVGHNVTIVKLALDADMSWVCVPK KDNRVHLKAKIKNASEYTLLAGSASVYVDGSFISKSDVPLVSPEEKFECPLGLDPSIR VTYHPRTKKVSQSGFYTKSSNHTFTQRITVHNTKASASGIGSGNLNIKVIDQVPISED SVITVKLVQPALVVPVADGTSASATSKGELKLPSPIKVASGVVAMWDGADEVEQGGES VDIESLGKEGRFCWVCSVPPLAKIGLTLQWEVAAPANTNIYGL JR316_0006688 MHNIAFTSLSALLSIGSAFGGQIPGFGDFQRGISAAQRPKEFIA SGTPVISNVTTPGKLRVVENSGICETTPGVYQASGYGDISASDSIWFWFFESRKNPKN APLALWFNGGPGSSSMIGLFQEHGPCRITNDSLSVAHNPFSWNNEANVLYIDQPIGTG FSYGDATVGTSQEAAADVWSFLQIFLTDKRFSHLKTNKLALWAESYGGHYAPVFAAHF LKQNEKILAGKASGTILNLQVLGIGDGITNPLVQYPGYLQYSAFNPYHYPLVDESVLA AANDSWNEPGTGCRDMLIACNGPNGTNTICSAAQDVCNDNILYPLVGVFDPYYIPSLW PDSYPPPLEEYINDIAPTIGGLVPWIQNSEFVYTNFAATGDWMRNSSPDLEFVINSGV RTIIYDGDADYILNFNGVEAMVDDLKTIWSPIYKTQKFKTFSVRGEETGIYKNAGRFS YVRIFGAGHEVPAYKYGNLDYGEAAAQMFTQIMRDESLSST JR316_0006689 MEVAESNELAAYSRCKRAHGLPCPPCKYWVELSEKIPLTKDDAN HISLISKLAELRTDINSNHEPFIHRLPPEISTNILEMTLSREWYTVEQQHQLKREEQG WPLVLGAVCKTWRRLAWSSPRLWTVMHLRYDVTATELLTPIRLNIAEKWLRRSGQLPL SISIYALQENLSDFRETARAVTQSLIPFASLINRYSHRWQWLDIQVTGAILAILTGNG RAGILDRLRIIEDDMAPAILFGLDGTEPSPRLLSSTLSIVQAVDRRNLVIVQLSQLSH GDILDLLKSAPRLENCELADIDFDPDSYDETCPRFAHEKLNSLKIDCMDSNGNSPLFS KLFLPTLQHLRFEHYYDIFDFTSLIDVALHSKTWSLTSLCLDCRFANDGGLLLFLQHS VLLEEVELNIERESQSMQEIFSLLRHLAATKSNASADYVKIEGAEIFLPKLTRLMIVT TPPQPAQQEAKFLWGLCADIFKPISDIDSKACFRRTSLSLSVEHYNDQDDLPDHDSFI SELETQRFEEISKAGISLRLRNIGERGDFDILEFSKRHHAGLFNPFMTVL JR316_0006690 MDARLRRVNKEISDCKNDKTSQIKIELFDESPFHLKGSFPGPEG TPYEGGLFEVDIVIPDSYPFQPVKMKFITKVYHPNVSSASGAICLDILKDAWSPVLTL KSTLISLQSLLCSPEPNDPQDAEVAKHYMTSKSSFEETATYWTQIYAGGPGPKEKKGK GVGGKGVQDEVAIAGLERAHVDRFETLGFERSKVIDALRRLNYRGPNIAQITDDRVVE ELLK JR316_0006691 MVPVPSTYSFEIFRLNQKPNQHPEQPVRMGKISVEQTLGLRHSV LWPDMPLSQVCLPEDSSGKHFGALLPHSDEPIAVISLFVEELPIDKNITSDVPPSAHE QEQQQVQQRMTYGHSADDAIDSKRPRIVVRFRKFACAPRYQGKGLGTQLLAYALSIAR SELDATIAWCDARTSSALWYEKRGLRRFGKIFYKGPVEYVRMWIDLRDYPGRELSQPV KGRVSALVVAAVDNMKPELDASTH JR316_0006692 MAEPTKQETEQVFKVLKAQKANKSCFDCNARNPTWSSVTFGVYI CLECSSVHRNMGVHISFVRSTNLDSWQLGQLRTMKVGGNGSARDFFVKHGGSTLLDNS DTKKKYSSRVAELYKEELARRVKEDISLYPTGIFVEGMDAPSVAPPKEETEDDFFNSW SKPTTPKTSNPSTPRISTPPIIGKTPSASSASSSVASPVSATSPSAATTPRTLTSSAA ARPARLGAGTSRLNSASSTGSAAPAVKKSKLGLGASKAKPVDFAEAERKALEEAERIK QLGYDREREAAEEKARQEAEALRLSREIGSRATMAAAAPGNGAARKFGAVPDPQKTAA FPRLGFGAVPGAGAAAAVAAAAASSTRSTPVVDDAPTTAREKFGNQKAISSDMYFERG DYDANSTREAQTRLQSFQGATSISSNQYFGREEDEELGYERGNDGGLLGDGSLAGLEN AAKEAISRVMANPDVQNVGESIRTGALKLSEYLASMSVER JR316_0006693 MWNHSLGSITIHAYQGNRQPGARCVRSIDIIPLKPVTDLIATDT LALSPEICSRIVALSAHRRRDLLSLCATCKAFQRDAEVKIYTEVILAEPAQALIACET LGSNERLAQYVKSFCFNQEFPPRRLQDTNLGRPFWESVQRALIAMSNLEVLLISDSSY QNSWILDSPNINFQLQEVKLRFTWDEPIVRFLETQNSLRNIHFYYFDDSQHVLQPQSL PELRVFDGSLSIGMQVMHCQLTHIQLVVDCDAGPALSLLPRLGGLRKTLRGLSLLDIS DDAALSALDIISRYLPNLIHVGLFAYPALRRYEFHQYLMRMPRLEIIEVDVGRWLERP TTSAGQRALASELRTYVPTIKMVVFWIVNSKYTWTHHDSINQWDYMAQSRQYPQLSNT WCLV JR316_0006694 MTAVSRTDLLNWLNELLQISYSKIEQCGTGGAYCQILDSIYGDI PMNRVKMNAKHEYEYVANYKIMQTAFKAKKIDKPIPVEKLVKCKMQDNLEFLQWMKRF WDANYGGQGYDAVARRKGAPMEGPATVAPLNTSRATPAVGLGVGARTGGRTPIGGHRS GSALSNEAVQHLQEQVKELSSHLEGLEKERDFYFEKLRDIEILVQAQMETLESEGKED STLKEIQKILYSTEEGFEVPDAGAPVDEEETF JR316_0006695 MLAEEKDQGTPATICISLDEESTLGLHPSVVPTLVLEVSSENHP HASQPSLHAYTEGGLKANITVLGAFLALLCTFGQMNAFGTFQAWYAAHQLQHLPASTI SWIGSLQLWVFFFSGAPIGRLFDAYGPTWLMVAGTLCYTLGMVSLSFCVQYYQYILSQ GILLGLGVGLVFYPSLASISTHFLKYRATAIGIAIAGSSVGGVVYPIILQRLFESVGF GWGARISGLASGAGCVAATLMVSSFSAQRKPGPWFDIKVLSDASFILLSAGSCFVALG MFIPFFYIVDYAKHQSIPDHMSFYALAVMNAGGVLGRIAPAVLSDSIGRFNLLTPSAF LSGLSCVAFWLFAKSLVSIMLFSAAYGFFSGAFISLVTPCVAQISDIRVIGIRIGMLY TIISFP JR316_0006696 MPHKKAKRTVREKLRAERGEDLAPGKESLRDEDIPKSALRVFNA QAIRDEYKSKKRKAEDSEERGGKKQKLSTGKQKTSLRIQPGESMQHFNRRVEDDLRPL VKSAMQTSLAVSRNVSKAEREARLQKKGNGKSKPVEEEVKKPRVHSPPLQEDKFVGRQ KEFQSASSSAPRRLNDIAQAPPELKKLPRGATKSIGKRDGVLSMSQKVMMEAERQKAI MRYRQLKADRRQTGDVGDKLEND JR316_0006697 MIWNQNTYIALSTSILLIADTGRLNSRRYNIAIAILVESGLIYS CCLLTLILTFRHPNLRLLFSCITIRVVAIMPTLMIVQVQLGKSMDQVRSTRLNMEMKS TRAMPTATEVRLETIVVTANDDRRSMESESNDHTPSRISFATAEPTSETEENKLPEAT SVKNCTNFWPMFGRCFANNFTFHDLWVTLRQTNFAKNRHSVTTTGSH JR316_0006698 MSEPILPSGAGYGVGIGLLFSLLMICVTGIQARYTTYSPKDSEE FTSASRSVKPGLIATGIVSAWTWAATLVSISGPWWYGAGATVQILLFAMLAAKLKMNA PYAHTWLEIVAARWGKTAHIVFMFLGFSTNLIVSSMLILGGSATVNDLTGMNTIAACF LIPLGVALYVRIGGMRSTLLCDYTHTTILFAITLTFIFTVYAVSDKIGSPTQMHDLLA AVSLQDPVPGNAHGSYLTMRSKNGLIFGVINLVGNFSTVFQDQAYWQRAIASRPASTV KAYLLGGLAWFSIPFTFATTLGLAAVALKGSPGMRILTPSDVSAGLPASAAATALLGK AGAACLLLVLFLAVTSACSAELIAVSSLLTYDVYKTYINPKATDAQILKVGHAMVLIY ALGCGVAGLVFFYIGVSMGWLYTFMGVILGSGVVPIAICITWRKANKWGCISGTVIGF VAGVIAWIVTTSRLNGGVINVTTTGGSLEMLAGNLASILTGGIISVTASIMWPENFDW VATRAINQPRPISVEMDSMRSATSDMAKMRPASSIETDVQSISGDSFNDQIEKMELDP VKLKKAFQFASWSSLSLFVILILVIPLPLFFSRVVFGKSGLTAWVIMGVAWTICSAVV VILYPFYESRDALEQISKQVLKDLFGKSNRHVTHLADNRVGVV JR316_0006699 MYDSPPPIVYALPPESPSTIQYAPVKPQDCELITTPIRKKRKVS GPVKRTKVADRQGKAQNTEHTPGQQSTPATFTDFPEPNVLETSNQELEATDDDIDTRL LPNFDENYSLFLDAVLAEECGLFQLTSRLFVVNGWNILRGESTRLWYHVLRVEIDGIF TSVCLCPAANGQSSCFHSRFIDQNAGVGSENQAFEVEKDTDQTTFLFSRHESIKDGQY MNYFSTPSFTRFSTIKNRAVVEHQGDDTRAGVWKCNKDSGASSCSHIVSARHTLQQYL TGNCDAQDDNVGKDGDTGLQYNGKLDYLLKGIAESVSYRPLPPPGWSRVASDPPSMPR VTFDIPPTIISLSTNDSCCCTTPRERFKALEPTIEKECTIYTLTRAFKAVITLQKCGK CTHRCIGPDCSSQGIFNFNNRSLFTHELLDDYTSAFSSSETPFISWVQTVSRRYQARN SPIPFANEKLFRSSWFSYARLIDFGPDMVCPSCGPTPDSTIWDGVTVSFSRKNLMPTL RPPTTIGENSISRPDVRPLPNLQAIPNRSLRLLIRYILQGPQLTTISDATHPEGSPKY ERNRRMVERLTQIPELVRKLMALDPSVGELFDIHFGMATVLGKRNAHDVYSKFFIQLS SDENVLQFIAFSCLDNLRTFIRRPYLSNVHLLRYIPALHLLIKHELTMGTLTNQVLGV CKWLYVRVTVVYTLLKVHDGPAVVSNVLQENLFMDDWLKTGCCYAMPAIRDRPQYPNL PYESGYDLGSAEIDEDICRKYYSTYSKKRLTGGIMCVWCTHSICYGFHCIRAAEGRND VFSAIFTRWKKAPKVVVYDFACALQPYCMLREPDFFKDTLFAIDIFHSSEHKCGEACF LSSYCAENPNLLRLNSSAAECGNSGISKIRKAVSYMSQDRAVMYMRVFFSIWNRQQIQ KLEKKQGH JR316_0006700 MGRKESSLITKRKKQFSNAAAASRTPPRASKRKTTSTPIEPQRQ AQKCQKCPGRPLRAQCEHTKRGKEYLARQAALNELEDDSDNESSSDSSNSNVGPGPST SLAFNNAGPSTPDIFASASTPASHSVVATPTPASARSGNMGVLTSSHLAQLSARTGST TSASLSSASASSSRQARRTSARDPYNGFVEGAYRGSEIYQIVRGHALPSPIADNTRAV RRFMSTINSIVEKCEDLSRQTSCWLFIGAQHSTARSPAISYASPRLRRDAAEQENADL QRQLDQSRLDAQQMAQSLEKAAQTQKELDKQLKRYQRIHGLL JR316_0006701 MELCANPDCRRDECVQRSTINIHVFSSLTPRLPFMWYIRAKNGH FVYGDDSHLCHLTRASEVPLLRAVRLGAKRFDWKEFDAFAPIEPEDFDHYMIFKCADV PDSSCYMIEYFLRRLHNNLDDGPDPEEEGDEDEDETDDENRDDSLIDSD JR316_0006702 MEGSASSCQVGPDGLTKQQRYLQKNRQKINAKNAHRKLLRQEEA EIANTLEVDSEDVIISESRVEQPQRDSAISVDQSTQTELENPDQPRPEGYYTSQEYYA SQEFDDLMHEKLMKLERLDRAKKAEEAFEKGIQEYEDFSKALKQRWTIHSGWKYPRRF KGSRLEERCRQLQGFLQEADELNCNLLQETPPSEPEWFEIFLQVREIVAKRGHLQVVY HTLMDTV JR316_0006703 MSQLAKNRCTCFSLAAMEKQLSVLNSDCTCHVAGTTCLRPGHFL PPEVERWYSVVVGRRPGPVQGRAAALDNYTGISGGHALFCPSELIAKAHFYSALERGE VVEVVPPVRTVLNREDVSTCTGFRPEDPSTPEVGTWTLVIVGREPGIFSLTYRPQGSI VHLNIQGIRGSQTKALPTRTDAVNKFLQLVDAGEVVRVSGDSNLRIAHTPETYLKLCR YCQNM JR316_0006704 MHGIRCIAQHCLGVETIRSSPAIEAIAAANIRLETATAAWHRIH KKRRENRPANWEDIHKEFKDAKESLTALLKDRKSKEINQETLDSVSNLETTAGFMSTS SATSYLAAIVASTISKVPLAPVEQTTGAPATILQPKTWDRTIIDENQVEETMPAEKEP VTGPPRKDDEPCAPTNSVVYKGPVTESAIKSTVDDANIDPVLKALSLPSQSADTSIRH EMTIEISGFPAGVVNAATPPHQDLISGDARPIQIDSGRREALGSNGEAPILNDCIVTN MDSFGIQIVGGSTMFPIPPNLQKELDQCTAESSGLLQKIHTLEEELCAPAQRTMDEIR LKLREIDSGYAAIYAVEKRVSEIQNIIAEGERSFTANERRKHLLKRKEILDKQRDEGE AEFSRLTVADLPEYQRKRNLLMAEIDAVKLELQQLASSKSKKASKGTTIRSKFAKASR QARITKSSGGDKAFSRANTTENGSGDDDESSLSEGSFEGDGEGSKSSKRKSSTKFDPT IGRKRTKAQRLMLEVVYSDELKEEEEANATGLREFRVDEWDCEEDMKEEANWAAMTPI QRVEYCDDIVRSIARFQKTGNLQDFRPKYRRSLRHVQSLLPEKCFESRRVAIAIHMTS HGNLICRFHKRYPKTKFFDNGPGLYRVRGVPKKPVYRYRVEDAATPLRETGYMSCGCL IDDVLLEFYFWKTLTISSPLPSLQGLEEPMKGDVFEPRHRSFLIKVFKEQSLLTADDI YDPNRPRDIRKFEREVRLLDLSITRLAKKWEEKTGIEMRIIFPQREAELERMRREAAE AATKQGQAGESSAMSQ JR316_0006705 MIGLFQEHGPCRITNDSTSVTLNPFSWNNKANVLYIDQPVGVGF SHGDLKVGTSQQAASDIWTFMQMFLSDSRFSKYQANNLAIWTESYGGHYGPTFASFFL SQNAAIDAGTVSGLKLNLKVLGVGDGLTDPLTQYPGYISYAASNPYHALVSTSAISKA NTSWSSSTGCKSQITSCNNGGSNSVCSKAQSFCNNNILSPLAGNFDVYYVPTRNPDPY PPDLTNYLASIASKIGAEATWEETNENVYSNFAATGKNSRPDLETVINAGVRTIVYDG DADYILNFNGVEAMVNALDTQFTTLFKQQQFQTYTVAGQTAGQFKNAGLFSYVRIFGA GHEVPAYKFGTLAYGQAAAQMFTQIMANQSLSST JR316_0006706 MQLKLAVIAAILYITSATRGGQVPVVGGVIGGVPSPIRTTKEFI ASPQTIRNVTTPGKLRVVENSGVCETTPGIYQASGYGDLTSTESIWFWFFASRKNPEN APLALWFNGGPGSSSMIGLFQEHGPCRITNDSSSVTHNPFSWNNEANVLYIDQPVGVG FSYGDTKVGTSQEAAADVWSFLQIFLSDKRFSHLKANKLALWTESYGGHYGPTFAAHF IKQNMAIQQGKISGTVLNLQVLGIGNGITNPLVQYPGYLEYAAFNPYHYPLVDDAVLD MANQSWTTPVTGCRDLIIECNGPNGTNFICSEAQGFCNGNILSPLAGNFDVYYVPVEN PDPYPPPFDEYINKVASAIGGEVTWIQSSDDVYINFATTGDWMRDSSPDLEFVINSGV RTIIYDGDADYILNFNGVEAMVNNLKTIWSPIYKTQKFKPYSVHGTQTGIYKNAGKFS YVRIFGAGHEVPAYKFGNLDYGEAAAQMFTQIMRDECLSST JR316_0006707 MSSNKSLKAIQSAFSNINHITYCSGAVSLTETTSKLYYTTINGS EGKIDFSKPTDDQLAALAGSCQKATFGVEKQDVLDETYRKAGKLDATQFAINFSPLTG GILDTIRDSLLGSQIEGNSIEVELYKLNVYGPGSFFKSHVDTPRNENMFGSLVVVLPT VHEGGSLIVRHAGNEQVFDTALAVRSDNIPKAAFVAFYSDVEHEVTLVTSGYRVTLTY NLYLTKTSSKPLKPLSMTADYIEKLKQSLAALLADPNVLPNGGLLGFSLAHRYPIDPK KTELSNLIECLKGSDADTLSICKSLSIDVSLKAIYYPPKGYRTGSCLVDQFVDFQDCL YEDDIVEYLAHAYNAKVIHHKYIKCTPIVWIKKPAKSNFFKTNYLAHGNEPALEFTYA DVCLVANIDSAAKRNVK JR316_0006708 MLLSFAISVLLPTARVFGGQIPVVEGVIGGVPSVSSTNELLEFP QVTSNITTPGKLRVVENSGVCETTPGVFQASGYGDLTATESIWFWFFASRKDPENAPL ALWFNGGPGSSSMIGLLQENGPCRITNDSTSVTLNPFSWNNEANVLYIDQPVGVGFSH GDTKVGTSEAAAADVWSFLQIFLNDKRFSHLKKNKLALWTESYGGHYGPTFAAHFLKQ NSAILERKISGTILNLQVLGIGDGLTNPLAQYPGYLQYAAVNPYHPLVNETVLAAANI SWTTPKTGCRDLIIACNAPVWNTTVCSQAQSFCNNRILSPLAGIYDVYYVLTPDPDPY PPQISTYLNNVASKIGGEVQWSQSSRVVYANFANTGDWMRDSSPDLEFVINSGVRTII YDGDVDYILNFNGVEAMVADLKTIWSPLFKQQKFKSFSVRGQATGLYKNAGKFSYVRI FGAGHEVPAYKYGKLEYGEAAAQMFTQIMRDESLSST JR316_0006709 MIYDNRYTSLLALCALTLAVSAGSLLLPSVKQSSKKDLKLPRRE KLPKHLEIWKFLSLEDYIEAWGELRPLFERNGFQLWKTTTFQQVWNNDLPPQGDNFLY LTSHDKPNKSLVRWNTFSVLTACLHHAARMNGVRDVVLRVVSISGEGQTHLRILKRLV SPPDHMLSSNHILPILHEFSFEDIVIIAVPKLIFDLREVLHYTHSNSVEDALYMVLQA LEASSRSFYPRSDMYLPITLQTTAYIHDKLIAHRDLYLPNFMVEWMPESLSKLDFLED SLESDRVCTSFHRDLDQFGRYIAPELRTKEPYCPFKLDMWQLGEDLRVNFVTGMEEVD RLWLPLCVPDPQERLMAGGALKALDDYLRKTPSIDLHRPILDPLNEPMYEGIL JR316_0006710 MLFLLLLSVTLLDTALGAVNDACTAGSTPGVCLSTSTCSSAGGT SHAGFCPNDPSTVQCCTKACATSGICRFSNTCSSGLTETGLCPGPSNFVCCFPSATSS CAPPAVNAATLALIKQFEGFVASPAPDPIGLPTVGYGHLCQTTNCGEVPFAFPLTQAQ ATSLLNTDLKTFTACLTSDISNEVILNDNQFGALSSWAFNEGCGNVGSSTLISRLNVG QDPDTVAAQELPKWDIAGGSVLPGLVTRRAAEVKLFQTPSNVIAHPPQC JR316_0006711 MAPVKMDNKPTLCACKTDSYDASKTIAATYPTKDLWIFSIPLHL RYNPDKPFGFSYVKTLLYSVTTTLIVANLYYCQPLLIQMSQSFEASYEEVSRIPTCVQ AGYAVGLFLICPLGDSVRRRQLVLGLILCTTLLSIGLAVTRDVIVFEVLSFFVGLANV APQILIPLVAEIAPQEIRAFAFSLTLTGLMFGILLARVVAGLVAEFFPWRVVYYAAII IQALVLTGLYFTLPDYPAKGADPPSWRLHWSTLVLAVTEPIAVQVILINIGASACFSY YWVTLTFLLGGPPYNYSTVVIGLFGLLGMAGVAAGPISGRLVDHIRPWHSLLLSITLL LVFQAVQVVAGGIHISAVIVSCFGLDFVQQIQTVALATYIFSISIAAISRLNALYMIS FYVGQIIGSSVGTAIFIRYGWRASALFGLGLYGFQLCVVQNEE JR316_0006712 MTTHTSHKRGVPHSALDVLAPPRSHRYDSHSRSPATLPPHLCCP APPVNILNSLNPVHNVTLNGDYPPLRARRSESSVSYSKKSSSSTSCTTLNKDYSTPKP SKSTLSRAKSVASQFLRSKRLETSNNLPPSSRSSLNMSKSLPSLPDKNELPLQDTPPS PTLLSSPRSICSSSSSAPLLSGSDSVHLRSTENYRNRSDMVAVEIAEDKMNATLPEGT NERNYKFTKDTTEPRTTQSKSVVCGTSATRTTIKREQSRVYAAEDMHSATAIPSGGTL SLSPIPPSIPSNSLNSGSGAHSFKSISEGKSRRMTINVFPSSNQYIKTGKHDGHGFDV IKNNFKEGPPPGGNQNHRWAHHTNVLNGEWNQPDMQHVIDKLRALR JR316_0006713 MTPVCKWAKHLFAVSCHATSYCINFFSWVSKTLAALLYADILFQ VFVYHWGLVNAQGNTTGLPVGSCTKTIPCGNGACCNSESGFCGLASAELQRISVRLVA SLSVSHQLKSLVGTTRQRLYTDVLDTMRSVPLFSELPVDNLLSSRRGRWLATVGLVIF NFAFALISEDFTLKEMAANDSSLWIRTTALKERNPTLKVFLSIGGWNFNDPPTQHIFS NLAGSLSATNTFIKSTLGVLQAYGFDGIDIDWEYPVAPERGGAPEDKDNFPIFLSRIK SAFSPRGYGLTFTAPSSYWYLQHFDLPALLKSADWVNIMTYDLHGVWDKNDTNVGPFV LAHTNLTEIMDTMQLFRNVQIDPSQMVMGIGFYGRSFTLVDGQCSSPGCAFSGGGDPG ECSLNSGTLMFSEIQKLLDSTSNAIPVFDEAAAVKYITWNDNQWVSYDDAQTLQMKLN YANSICLGGTMIWSLDQDDNLYTALTGLYPDIGINTPSSIESGNQCRVTGCGQQCPPG YDSLTRLSQIPTGGSCPKNKLARLCCPKGDEPQKCSWRGGGGTSCNGRCNVGEIVLAL DKTGDDGHPTCIQGSKAFCCSSGDPQAGACSTGPCDSQTCDPPFIAQTHVNLGPIDID GCADTPPGQGPFALCPVECLEPTKPVCCKPGYKNCKWVGDPPACLNAVCSPGQIAIFS DIQGDASSQCFGNNKRYYCCDPPSGSSFVPIPVSDVFPSTVDADEPVTFTVDFDDNTG TSSTSSTGAGSSGIGDDGRENDSPFGELFISSPNPSAVSSMDIASDWVVTGCDSTSDQ PQTVLAYCSKSMDDDDSGCGHVFIGQAEHTIIQMPKSCGLGPYARVVSLTEHTDQNSL SQEHQAKKPTNEMVYSLSFDYNFLAIPEDNGPVLDAIVNSPPDSGTTSTRRRRDFHQP LEYDKRWFGPFDAWLKKLNTVRSSKTVSRDYHWSDTYTIFHAEQQCPNFSSSLDISVT GTAQITSQFGYYLEATIVPPAVQQAYVFFDAGAGAQASFTISGLAEAHYTSGRRELAT FGFPGLYYPGLLTLGPSLHLYGELTGQLSLSGRYTATIGYTFPPINYAFGLADDNPDE ASSIDEDPSSPVNSTSDNVGYDFAVGYNVNLEGSLQIPSLQLGVNVLGGSLIDAEVFA EADMYAGVSISGSVSQSSALTFCVNPHYGINLNAGLTGSVLFWRADPVVKNFFSADFP FGGSCFDSVNEGTGSGNSRRSINESSYTYEARGSGQLGSSVLMTHDQDIPAYAVINKR SPTSQKRSMNDLEAVTIQKPLTSWTVGSADASAIEHDPSLKRRAIPFLPGFLTCPTVG DEIAGVGGATDCYCYSDNNIDDQGATGQYADIQARWIEDITANYSSTYTKDDQTMDDQ TDQILRRASGTATMTTCPAYSLDMSGYSGTDIVTYFDVKPAEQLKPTLGTYTPYPPNI LNAAGIPVLTTDESGGAIYGREHIYEVSMASLFIDHLQQFTDLWQIKKGPSWCQWVNQ NLRSGSNSVFEQIQNCYPGGSNGADEMVMLEQQANVFKNYAYFATERLLVPGNRNVIQ LVDDDKWDKMCPTKQVARLRAAAGLPSYLNSFDAKRLFKKDNTCIRNIWVSWYNSYVQ LSPLRGPNPGNVNVPNIYDNFIYNILNGVVPYLKSQITHYIQNFNPNGGDADTVEVRL SLPVELDYWTDFLNGNKAAPWDNNLAPKADVKVSRTQLTQSILNAMPSITWLNTLPTH JR316_0006714 MALGPYLFTNDLPTLCTDDCLHGLVSYRADVVSACGNYLITDTG GNSYPPTLAVDYVSGPYTVQCLKDPDSGNFCGTVIESYNTTDGLLSLPTNELCTFCTL ETLNATLSNPTSFSDDLADILSSAINTCGTDFEQYNVSSTGDQVVISTPFGVNSTTAP TVDCTITGQNISTTEATTCADVAAQYSVSEYDIFSSNPLLDADCNIESGTVLCVPQQC TTYVIAVNDTCQSVAQLAGTVPGTSLNVTASQIQSFNPDLGTYCQLISLRVGKAICLS PNGGWPSVGVTSAGNPSGTPTAAAPIPTPTVNGTTSACGKYYLVQGGDICQTICLTNS ITFSDFLTLNPGSEVDANCTNLWLGYNYCVAPYPPFSSVTAAPLPTTNYTSATIFSYP IPTANYTITYTSSRVTPAGVPAPTNIANGTRPVACGSYYDIQTGDTLDSVSESVGVDA SLLATWNLELSSGVLPPVGSAICVTFPEGNYTLLAAPRPTNTYANATTECAQYYTVQN GDGCSSIESSFALTNSQFDQLNPGLASSCTNLVLGDAYCVLPTAPFSLSNSTGLPDNV APGTITDGCTTYYTVKSGDSCTVVEDNFNITLAQFTTWNPEIDSQCTNIEVGLAYCVA SSSSSSTGPPSNVASGTITDGCTDYYTIVSGDSCPAIETKFNITMSQITTWNPEINSE CTNIQLGLAYCVASSLAPPTSGPPSNVASGTVTSGCTSYYTVVSGDSCSVIEAKFGIT LAQFTTWNPEINSQCTNIQVGLAYSSEKLQPLALAGAHFH JR316_0006715 MSSNSTLNGDIEVASKEQEKPFHGSVLPTDLSDGPSKDFNFLPI PKRLRYHPAKPFNFNIWMNWGLSFAATFLISNMYYCQPLLIQMSITFGASYEAVSKVP TLIQAGYAVGLFFICPLGDLVRRRQLLLLLVFVTATLTIGLAITRSILVFQILNFLIG LANISPQILVPLAADLARPEQRAFAYSIVLTGMLSGVLIARVLSGVIAQFSSWRVVYY MAIGAQYLILLVSYAVIPDYPAKNKDMTYQGILWSMIKYSVTEPLVVQVEIMSIMTSA CFSSYWVTLTFLLGGPPYNYSTLVIGLFGLLGLAGMAMGPFAGRIVDNIAPWYGMLVS TILLLVFQSIQTAAAGFSIAAVIIACIGLDAIRQMQNVSLTTAVFSIT JR316_0006716 MSLVNWKSFATTEVGLRHAARMNGVRDVVLRVIVNLGEGHAHLN LLKRLARPPDSLLSSNHILPILYEVVFEDIIILAVPKLIFDLDDACRPGKFSNSIEDV LYMIVQALEGVSYLHQNLIAHQDLFLNNFMVEWVPESLAERTSVTRPRVYIIDLETAV EFPEHSKVSERLCSKFHRSLDDYGRYVAPELKTLQPYCPFRLDMWQLGMNLNMAVETD LKEIDEIWLGLCAHAPEERLTADEALKALDEYLRRTPSTALHRKLLTPKDKNLVF JR316_0006717 MNGVRDVVLRVIVTSGEGQGHLQILKRLSSPPDILMSNNHILPI LYEIIFQDIIILAVPKLIFNLEDACRPDKFSNSVEDLLYMVVQAFEGTAYLHRNLIAH QDLFLNNFMVEWMPGSLAERTSMLRPRVYIIDFEVAVEFPEDSEEAERLCDKFPYPLD IYARYVAPELETNQAYCPFRLDMWQLGMNLNMAVETGLEEIDAIWLGLCAPSPKDRLD AENALKALDEYLRKTPSLYLHRELLPPKDEDL JR316_0006718 MYIKTLTIQGFKSYRDQTQIEPFSPKHNVVVGRNGSGKSNFFAA IRFVLSDAYTSMSRDERQALLHEGVSTSTTLSAFVEIVFDNSDNRFPTGHDEVVLRRT IGAKKDEYSLDRKSANKADVMNLLESAGFSKSNPYYIVPQGRITALTNAKDHERLALL KEVAGTQVYEQRRAESLRIMADTDAKRTKIAELLEYIETRLAELEEEKEELKDFQEKD KERRCMEYALYQRELEEVGEALVEIEEDRRADVHGANVRRQMFNEREKEIQDLEKNIA EARHTLASTAQNRRDTQAELTDLIRSRTELECIIEDLRAANQNSGGRREDLEAELETL ESQIEEKQANLDEITPQWEEERTNESAEKRQLDEASARLSALFAKQGRSSKFRTKAER DAFLRSEIASVTSYRSSQLSALQYTAQELDVSRNSLSELDRLIADVQGKVEDSKKKNK EVMEEMLRLKEEQSELTERRKEMWREDTKLDSLVSRAADELRTAERALAGMMDKDTGD GLKAIDNITERHNIPGVYGPLYRLFEVTDPKFNTAVELTAGNSLFHVVVDTDETASRV LDIMLREKRGRVTFMPLNRLKPKPANLPASSNDAEPLLSKLSYSPTHEKALQQVFGKT CVCRDLSVAAEYVRSHGVNAITLDGDKVDRKGALTGGYHDVRRSRLSGITSLRTWRAK YDTEKRRAEEVKGAIAALEQEITRCLSRITVLGGTQAQVREARERFQEENASLALAKD KQTERIARLEADKEELEAELRALDAKLAGYQTELGTALSHGLTSEEERAIVSLGKEVE RRRKDMVGYSKRRNELEAQKNTLEIELKERLLRRREELRLKLEALEEPDEESTSADDL ETRTRELRSLTASIQTMTKKIQSIEKEGEELTAQIQELRTNLEKIQTQQTEDSRSISK QQKTTERYLAKRQMLMTRKDECNRNIRDLGVLPEEAFEKYINEKLERLVKKLHTVNES LKKFAHVNKKAFEQYSNFTKQRDQLLERREELDKSAESIEELVQVLDQRKDEAIERTF KQVASNFEEVFEKLVPAGRGRLIIQRRIDQDEDVDDDEEAQPNSIDNYTGVSIKVSFN SKVDEGLRIQQLSGGQKSLVALATVFAIQKCDPAPFYLFDEIDANLDAQYRTAVASMI QSLSPTAQFITTTFRPEMLVTADKFYGVLFNNQKVSSIRSIKREEAMEFVDQASHSLI V JR316_0006719 MPDNNSTYAYVHLGLLTVATLVCLFSSGIATFTEPEKLLPTELR DWRNLDEEDYDAAWDELLPLFKKHGYQLWKRGPGLQSHSGGHLPCDNFLFLTPDKTKN ISIVRWPHFINFNGLSHAARSINAKRDAILRLVASGGQGGTHLRIMRRLSSPPDILMS NNHILPFIDEISYQDIVVLSFPKLISSLQECLDTARDNSVEDILYMVAQTFEGAAYLH RKLIGHRDLFLNNIMVEWMPASLRERRINRPRVYIIDFETAVDFSDDSAESERLCKEH PFAGIVDIDTYGRPVAPELSGEIQPYCPFKLDMWQLGSDLNDYVQTGLKEVDEFWTTL VSPIPDSRVTAADALTFLHEYLLRTSPSELHRPLPEQVSVTQ JR316_0006720 MPIKQSNSITQVPAMATVEPARPPLVKSATVASMALSTTSTVVV PPVPTFDIEHMPVENDPRTWSSLRKNCILFLIAVAAMVAALAANIQNPAVEQMEAELP ATPAQFSLSISMFILVQGLMPVIWSSISEVKGRKLVYSLSLALFALGSIVVALSQHIG PVIGFRCLQAAGSSAVMAIGAASLADIFEPEERGRKMGIYYVAPLLGPALGPIFGGIL TTIWSWRAIFWFLAILCGTCLIAFVLFFHDTFRPERSLTYQNVLKQRLYAAAISGDLI KGKPSPMVGKVVQANKSDINVIDIEKTTVKTEENTQDFNELPKITLTLRDVNPLKPMW LVLRRPNNFLILLTSGLLYAFGFQLSYATSRRLGDTYGYSPLKIGLVTISYGAGSVMG SLLGGRWSDRQLRLIREANGGKAYAEMRLKSTLPGLIMLPCIVLGFGWLCDKIHNVVA ISFFLFFGGFVYIWIYSSTLAYVVDANVGRSSTAVAANSCFRGIFAFIGTEVAVPLQS GVGDGLMYTIWTGILLLNSAIVVLVWLKGTKWRENAEAREKRHAARFDTATFSSTRSS TPPNWYAKLSFPLT JR316_0006721 MDSLASTSFDAYNARLQASALTATRKSAGLPADLAFHRSMDRDL EQELDAFSQRVLGVTNKLLGLVSTQSRKGKAKLENEDDVVDNFHALVVDSMDQLLERT DICLDEFWGKNKAPAIAINPPKPKKSHTGEKGHVVQHAANLSKPQLLFPKKADNSDSP WYPTLSHKYNAKVPLGHIYTDENDTGIISNHPYRYEITHLNYPPRMFTQCTPIQPPSL EDTQATWVGTKEDFARMLDKLRKATEIAVDLEHHSYRSYSGFLCLMQISDREEDWIID LLTLRSEIESLNEVFTNPEIVKVFHGADSDIVWLQQDFNVYIVNLFDTFHASKLLEFP RHGLANLLEMYCDFVPDKRYQLADWRIRPLPEDMLKYARSDTHFLLYIYDNLRNALLD RSRSQSQSRAASASPPPLASSSTPSPPQGLLDETLSRSAETSLRVYSKEPYDATDGTG SGGWDTLAKKWNKVALTAGGPGVGVGALQREVYKSVHGWRERVAREEDESTRYVLQNH ALFLIAEQPPADMAALLALFRSSVPPVVKRRARELLSVIKDSVKRGMVVSRMSGQGSA DDRPEPVPQAEEKRKDVVMLEAEMKNLEKDETPSTSIWGQCVSFIPSHFGARGANIAT AVDQGHSTSRSTLFGTTRSSSKVPIASARFATSVSTLFGNGVGKKASAISSAASSGHR SGHPQFDDLVAKINRSFSMAPAIPQVGIASLQAAVDTPAEPSIKEEGASGMQVEIPYV APAQRQSKPIKEEKDTIVVVGQARQKRKRKEAKATFTAGGDLNDSASKDERVAKLDSD KPAEMEHFDFSAVPNILDDNPDLEDRKKKRQRKQPAKAGTFYGDFPAPPKAHSELKSG NQSHTFK JR316_0006722 TVPEDESDTALRTALYDIYRGDIAGSTNHPTIYISRFSKAKTLI HKTEKPFNPIHSRYTDSHRSAEAPDENDSTRLQGQDQSQHAHPEAQTQSENTNEHDTG IIIEADGSELTGSKLASLFAHKFYTLFLQTHARSDINTCILACKAAIECVEDTESGRA GDEYLEYLCDYCAALRAQISVFGMGEGEGEGEGEGEGETEGQSQRTERVRDLDEAVAM LREVVAGHEGGDACVSGELYEECKMRVDEFLAIRARYMGNESDGGAVVGDTTEVLFSE FMPLLLDS JR316_0006723 MRLSFNALLSALLLVASIRVHVHAAHSSSVNSHARRSLSLEGRE LEVEGELVERAGPLLPVDIAGLNIPGIIGPPDSGNDGGDNGDGDGNQSSANASASGAA QSATPSNSAPAPSASDNNSNVGNGSQASSSAAADASSATPPPAASSTPSASASGNGGG LLGGLGSAASNVVGGLTSALGDVTSVLAPTSVTPPPSSSSSSSDSASASASSDDSSSS ATPPPSDSATLTAPPASTSTSTPGNILSSILNPVTSVLSSVVDPVTSVLSSVVDPVTS VVSGSLSASSASFTISSSFPSPSLSSLSLSSVPAPTTTPSPTETVDPDPVSSILSSVA SGVVSLTSVLDPSTFSPTFSVSSTVDVPSSTPDVSSTPDVSSSTPDVSSSSSSTPDFP SSTPAPASSTSTPVNPISTIISILSSIVLPPDSASSSFPLSSAASTDVTSVPVSVSVP VSVSVPVSVSVTSVPPDSTTVPPDSTTVPPDSTTVPPPDSTTLPPPVSGSDSSVPSST TPPPITTVSVPLSSTSIIISFPSGSQSASASQSGDLSASGSASASVSDGGDASSTTDA PFTIITSISVDPALSFVLTESSLALASSTPTPTQPSTTLTDPDQVTSTLDPLLTTAPN GQTTLAQAPLPTDMPGRILPADAMNTANEDLAGFTLVSILFDSDLNWNFVVNSRISSS QIFAYVPVLINAAVGVSGEWFGFFSPPPIRFFRSSSILSLSLPFPLSMARVTDTPRSF TGDQIKTWALQVYVPTTYHSAADLAELGTMWLGYIPTQYVNDLAAQIKARTSPFYTGV ADNVARALAQRVNSGFSILSVAADAGVGSPDGAGLGAGVGAGAGSVVGSTGASAGGDR SRQDAIIGVVSALGAIALLVLVFLVYRSLKRRRQLAHRRLSDPPMVGNPGVRQDGVVR EFDEDSIGGQRRRSFYFAEDSLRGYQGERGEEGGYQYSAQHAGGGGGGGGQQQMSQRR NVVPSAISAPILRENTMNW JR316_0006724 MEWTYEAGFAIGGSILLLTMPWSLNITSSIAPISMSKSDILHDL GVKNSVISYFSLAIPPVSAAPPARKVANKRVAAAKIVKKTADMDAQVKRAAPKKKTTK PTSNVVAQQPSSSKVPPIQPKKAHVTVSETNFRPGNPMSFRSGVVNAVKADKEYEGAS DAEEVVTDGELCDKNDDDDDHNDNDNDEDEEEQGGDSEDLEDFIEDDEDALENEEYEE EDKGDEDVEDDLNNLEEQEGILTDKGEDIEEPIKNVPIPPIDDVFTSAPTLQKSRKIK RPRESTPTPEFPTHGSQLPATPATLVADRSPTKHMRTEPPPTPSSTKRATPKKKAGET PATPARQSARKAAAETPSSRMNALSIVDIRTPFSIPESKSPSKNTCKVAAIPAPGVVP PALGPRSLRNPALLVYNSDLNHVQSAALPFACEVLVGITKDPKLEVKGCYKNLPHLRQ IETFSNVDTTQVHGLVGFSQLQQWHGANFFSTFLASFLNFSIEECFINLGRVSPLLLK SQSMWGQCYELRNTSEEPLQCLTPIVTISLFLHEMNPRLTWGGHYVQGVPMAYWWDRA LAVIRMVTHQPKLEVPSYYNAIQFTTKNKPNDKSADEQMSSTAQTLPKSLFTTAPAVS LKTTPQPKKKATMLAYGKKFPIYDLRTLLKDFPEKTFTKEMLEDRDLYLPLWNEIPYG SLVIMSHMIHIAQPATGGNHFKLFNYAQWGFLLSSPTVD JR316_0006725 MVKQRPYLCTAIAITGLRDIAFNKAMEKLEEVFLCFANNFPADS VSGYDPVLHKDTGFNIFHAHSQYFTKVSAYQDESDNIGFHPLVDPDNVLASMAGDGFI HAIDNKVQFLCREILPDRTARYYPYNPASIRIGDIVEISVAFVAFPAQGNKYKFVVAL RGILVLDQEAREDKEAAIQRSD JR316_0006726 MNFVTFEKVYSLYPNATFGHQVSLLQPPADLKRAQKFLAKYHSR GLKFVLSILLQALKMDCHIRSRIQSIRDNLNVGYSSSRGHVLVELHYDPCPELFSPGI RRVGDRHCWVYSLPLLPKANQTSFIEANSWALLLDEFNCLHFGVRRISGIALDFHYTA ADVYQLHKRVKKAIKSWEKGISIAIVDCVLV JR316_0006727 MATTIIQRTPVDMPVPKSSAAPKFTGSYVDVKNFLDHCDRIFDQ YNVTLDDDKVRYMVQCCNQESREIIEGLPSHHAKQWERLKTDMLKIFDHARTTQKFTL STLRAYAFQHSNLSMRSLDDFREYQKQYIRIAGWLLNNNKISKTEYNQYFWLGINESL RPALKSKIMVFNPHIDLSSPFSIEDVTKAVEIIFKRDRFDVGIFDNPSARPFTSLIPP KDSYPERSSVFDEIKKYLQEMFPNIETRDARERPYNPPEETKRIFQDLDKEEKQAHKD DEVENLIKQMSNLTIHDSSYAIYYLCAIKLEPALANMLIAPAIMNPSAQPAQPVPIAS QTAPPAPRAPRQSASEIICYGCHQQGHGINNCPTLIDLTNRKLISRDSSNRVVFPDGS RIIRQNGESIAQAPPPPPPPQVATTSIAVSEAYYGQMFKNYRAMVAEEEEDDIGTWDG EDEFEFTLAGPGNRIPTEKQTRAARKQVMDAVVPPEPAYLKGKRAEMSKAKDSSQIPS ILKRPANSGLPNNVPSSTSIQPVPIPSINQPSAEMNPSIPVKQAQPNVPIRANPTEHP ETAQRHEVFDPADDDQIMEDVTPSLERGKSVTKPRAAPQKHVSDISQTVDTMAILRRC LNQPVNATFGELLGVSKDLRTLFINSIKGKTLTVDEFKASLANGNLKLSDKEVLDIIR SAKKDVPVQYMHETNSVESLRAHEPLLRITLMCNGHELNALIDSGSTQNILSEQAWKK IVKLPMDSRNTIVMVDIHGGKSHMLGFVGNVQLDIGTVRTRAHCYVSDKVQFDILLGR PWTRDNYVDILEQPEGTFIAFYDVKDPTREQKFLVTPDTQHDRRYFTDTIYDKTPHTM LAHNNLSLANPDAEEGEIEEDLEPGELLDDLCYPSPTPSMIELSILTRQQSLNRDESA NPNEDLSTSQDAPSFSDSNCKHDSTQDSSHGLYASDYDSMYQDDSGTSQFNATAHYGH ISTPPYDSTHEAPQIEQPPSPSVNPSIKSHTSDNNDPHEEEPADDDPEMEQLSSPEVE AIQFSSDNTHLTAMGMLNPHLRFEDWILYDATYSSPTRVVSDRTGTAFVHYVYLQRDS HINLTTTPTILHFSRTGISSQSNHSASVSAYPMGQRHSASWTHFIPQSIRSQNASPIP QPHSRSSALPETPLSIMIHQETRVSSTVTANVDEHHRGPKALVHPLRVAHDSPKEPLN EDEEELRIAQNGKEVDHNSSLLPFPITSTMHESRASNMNDGNEWYYQYGEESPFGIDN TEEHPLDANTLY JR316_0006728 MSTSKHHKLYLYLFMDEYGDDAAVTESFVSQLEDHILSWVLGHS DGEQNVIYSDEQHAQVNILGDRVYIHKVLRINYTTYDMQREQDSINPRNHCNVMTLSR DGDPRSFHHPYLFVDRDMFICYLGYGIGHKSTNDYTWNMRPVYFGHYAELEEEFQEVG DDADKGNLPITAKQEAAMDASESEDDNDSEQED JR316_0006729 MKVYNGLTASAATITHLKRELMHAIWLILLDEEFMEAYKHGIII KCADGVIRHVFPRFFTYSADYPEKLGTYVDNQRQSHIRKDNQQRQDKVENSRKWIFKH GAGIKSKHVEDILQETSAVPTQNAFLTRLSQFGFNFFNMFVSNLLHEFELGVWKAFFT HMMRILLAAGGEGIQRLNWRYHKVATFGRNTIRRFHRNASDMTNLAARDFKDLLQCSL PVFEGLLPPAHDKILQDTLFTLCEWHTLAKLRMHTSSTLTGLEVTTRRLGKELRAFVT KLCPLYSTKELPKETAACARRAASNAKKRKPMAPGSLNGKVTKMLNLFTYKLHALGDY VKTIWMFGPTESYSTQRVGHSLNTLLCYTDRD JR316_0006730 MAICNACQRKFNTKHAVHIHWNTCVAVQAELAAICKPAKFDSKT GRAKRRKLDRDEANKENELNKESKWEDIPETYQNEDIVLPPSPEPPEPPKIFIPPPTH SGRVRKYPTKHIDFLPNSSTCLPHMPPPVPCVRHAPKPVVPRKPTPELAPSFEPTITC TLPNEFGLYCEYPTFPEQDIDDLEELDKLCSAPGFATSSMEKKPSSWISKVMGISVDK MSISNQFAPFLNATVFRLMHWFYGASNLKSVAELDCLVHEVLLAEDFCVEHLKDFSAK WEFSRMDEATSALNSGLAFKHEDGWIKSTVQIPLPCEQAKHLSEDAAPVLEVPNVYHC SLIATIKAALQDESAELWHFTPHRLFWKPSPTSQPERVVNKVYNSDAFYNEYIDLRKQ QNKHARLGDTYKVAIVALMLWSDST JR316_0006731 MAPSSTVNSRKDLPPIQHTKSQEVMSSSNKDGQSSLDTNKDCDG EVVIKKRKKLLKVTFCTRTSRKKLKSSFSAKHKKALGIYNKLREGIPTFEEDMRVISK DTNYLDQLCKTMITAAGTARSNDISSLKKDVLTYAALCLPEGRLDPPINPNDSKKATR GFKHPQLGTLLVPVASFEQYKTDPEYCRKLSLNKVAIKAKAMPHLVYPFGKYDPEHIL EGMFMAPALVAVYQHIFMSPSSALKTPGATRTGIGKARKHHMKSVTIASIAYACTHYR YGISRSMDWRQNNMYFNYKEFYLEVVKMLEWARENDPEWWKDFITWWNAQVFPTEEDS LTESSSSDKEVTMSTFKKLKAQVKASRVEKASLVNDTGSVPPPPPFQYRQEELSPSPS PRRSLSPPQISSIPQGVGIHGRQHPHQYAAGQIDSNIDPHLQMPASHVANGQPYLGSS FRPVGIPQAPYYNMACLDPIASASTPSPVQSRGHPTPRALGSMEFAQHVNHSPEWQLV NTHHVKPYMPSRPRMKASRMPAGQDIESRHPSVGPPMNIFPDMANW JR316_0006732 MWSLHPRIHELERYGLLVSPLELYKSAKGYGNGRKIRVLGRVFG ETVEERARPFLKKRHDITIRKIGIEGQRLRLSPGSQADHDLEVDLEMLNNEFKLNDIL FERVIRKHAAAHEYDFLCLRYNITPHRVTAPDREV JR316_0006733 MSLLDNAVPQDEQLRDRARIFEKFLESDSVLYNYKDEIHRLLRL DQTRLLVNIDDLRDFDRDSANGLLKNPAVYLPAFESALTQVIQHVYDPEKHDIASKTC HVGFTGSFGDHHVSPRTLHTAHLGKMISLEGIITRCSLVRPKMLKSVHYCPETSIFYS REYRDATSSSSNLPPTTSLLPQTDNDGHPLQTEYGFCHFQDHQRISIQEMPERAPAGQ LPQSTDIILDDDLVDKCKPGDRIQLVGVYRTVGGGSSANINLLSSKIGGGIAQTPLTD TDIRNINQLAKRKDIFNLLSESLAPSIFGHEHIKRAEKNLPNGTHIRGDINLLMVGDP STAKSQRLRFVLGTAPLAIATTGRGSWGVGLTAAVTSDKETGERRLEAGAMVLADRGV VCIDEFDKMSDVDRVAIHEVMEQQTVTIAKAGIHTSLNARCSVVAAANPIYGQYKIHK DPHKNIALPDSLLSRFDLLFIVTDDVEERRDRTIADHVLRMHRYLAPGLEEGTPIHDN LSQPLSVEGPGSNLDAEAVETSPFEKYDPLLHMGMQQSGRQTRQNKPKKMEVLSIAHF VKKYIQYAKSRTAPVLTKGAADYIVEVYANLRNEGMEGNKKKTSPLTARTLETLIRLS TAHAKARLSAKVEQWDAKQAEEIMRFALFREVPKRQRRKKRKLNNGAAALKGSAEGSE DGETDDRERCGHE JR316_0006734 MYNNLRDSQHDFMWPVHHSTASASSTSSSSQSDTTAESFYTGVN TIPPSHGHQLEDNISTITGSFRTALSFAPVDPMTVEYPEYNHPHDLPIDGNCDNRHLV KEDQPTFDLTDSSLIHQAHIAQLQAAAGGSSLTHGGISQSQGVDVGIPIPRYRAPERQ KRGARLQRSFGEVPRRAQGWLSEASLDVNPRHHMHHSQRLGEATVDVIALHSEMIQLR QQVVELKSIINASSISQANLTTDTTQSKGGLNQKLGKKSRAAVRQEIDDLLGHPNKYK FTRLATQEDIETFEPLWRNRRDNSLECCDIDDFKVDLYTNPSSGWNNSAGWVFARHYA AKVETDFSVQDIQRHFITRMRSLKRSFKVYLENDEEKAKLQKYHRRLNRKKDKLRRQI TICEEEPLARPHLGIMQGLGLNGMSSEDSDYPPSPDVPLRIPSQKQFVVLRPIWRARE ITPWIHIFDLLWAHRRDVQEDGRGKQPVFRIRMIHTVSYDTPIVQGLHHNAYDLPWIT SPAGNDTQYNPVMYDFTYSPGLMQ JR316_0006735 MDYNLRSHLHENLGLHAPQPILLIVVHTVAFATNLFRVATHYAT LWWDDFFATAATFSDAVLCIRLIIYSQKRNTPLNNTVSNGLSLFSLSGLHEPAY JR316_0006736 MRTNSIDIQVLKLSPNGSLLAIGDESGLLDIKFRYPEGQGRGWE SIRLYNTGGAVRAIVWHPMHPNILFSGSANGNIYRIEIKKDPKDDDICCGEVPAFIHH LSLSEDGEKLSICYGYSVAIVLDVFHDPKILGRIVPLDLPQFSETGRIVDCPVPRAAF YLNDNVLLVILLGSCGILAFSTSTGVLKWRISLAPNSMIGSCAISPSRERLAVKNLAN GIDWYSTSHQRYVGTTLLENTHQFIVDIAFLDEETVAIGSQGSVLLATFGFNSPTGVF NTEDYYSKSVQTVACGIISKYPVILSVSPRRAGNTNGRNAIIHIARIEPQFSDHRPFV LEDSRQIQIPGSLSDPELLAPTGPASSTIWICIGILALGLAIAAQTSHDQDALISWLF HTPAACAPETTVSTSTITERMTETSSMTYTSTSILTASPTTTTISITETMEPVTEITT FTVTSFPRPESTIKPDHETACLCTMTGTIHNLQTTS JR316_0006737 MVTVRVVKSTNGATRTTSSTSGSSAFPLRGAQETAPRLTRSRAK QITQAQPPSTQNQAISRLNSDAAVLTGSRPTHAQEAQGPIVHGGFRLPTVGNRLVPLT GDPYYPPSWPWTTPAQAGPRFTIHLKKGYIYPFAPLGRSLQPLKIFVDEDMKSRLPVA DISFFSNRDGMAQTTVVDYNHKTYLIMGYWDNSCPVNANLIRICSIPWKGQLVVFGLG SRTRILQRPAGSVADVEQALRFFIRDCVVAMMQNRRWPRLISLRVDLKSIVM JR316_0006738 MSTCTNIHSNNSASPVYYTLLRGDNPGVYSSPIFIKPGKYHDLD EVAWPICIHCGTNERKAIQMLGLHSILDVLGFNTPIDRMARDIWNHQAISEYISENPE LSGPYFAVVWAGKNKSAMIYSNESDYDAVLAGLVGPRLVKHTVNLQQALVYMLLRSQV EGFQFTPIHTIPSTPTRRLSNSLSREGISTGSLGRAGSSSGSFNGQTQSTSPTRTSSS TQAITYGDEDMPPPVYTPLPWLPHSNVDSTRSVAPARSALLSLNRSRSGVDSISSTFS SIGQAPPDTPLSPQSSPSPVARGEAQTNRPIYAHIRSIDGIVGTLYENIQSDSDASPS YRTHAVSAAATEFFDAFGYNTLARTTIARLYREHLTCDGFSKALASLSRKISYKEASS SVNQAFKVLRPSEAAALIKASQSSATGELCKHGIWMLVGGDHEWDEVPLLLVTTRLSK VLKKVKVKKTSTRTTSYREPSGVGPRDWQMRQAARRRGEASDQRTSSTLVFLDVADGS GDKGGRQGCWPSGEWPVGWRSTLVHDQNDRRRRPQGMRRAGGGGGEAERSAVDDRREV AAAARDETSSLGSIATKGKGFGSGGGDDDDYDQTIYIAVEGGCSKDSRQHDQQLMTMT LLTV JR316_0006739 MQFDPDELRPYAHIHRSMQWCLDKLISVQSTEAHSFTDPVNTIL ELAIAEEQVIRRVFARNDRSHRNGSVLHHAEGLLNVFDQVPDQVKRAFPRLSSDQEPD VPVVIQPATGLVHSFPRKHLFALPDDMKRAKGSPTIVPDMAAFLQNFDIFTNRAFINM RHWDNIAVAGGAVLACMQPTSFDASPWTPLQANMYFENFYPDSDVDLFIYGLNAEEAE ARMVEVYQDITSALLQPAICVRKAGNITIRAKGVRPIQIILRLYHGISEILCGFDIDA ACCLYDGITIYYFSLIEEILTRRRHSGTNVWANIRCLMACMRQANTIDLSRRSPSYEV RNQKYGKRGFEVYIPSLQRERIDVAFVYNQWIRYFPPGLVRLFIYEYLGVDPFYYVNL HYPGKRIRRARVLFGRVMDFTPVENSSYDRVWARLPGVDFSADEIVRHIDNMNVLMNS PYKQSKYQRRIHRHFISYGTMQQCINGINCINCPPPVTDEEKNIVQEESTRYIRGRIS FIEDNPGRQFVGSFSPITEGDWEVNAYRQFGPVEPPSSLARHFTNWGTLRRLFNP JR316_0006740 MVDASNHDSHLRSVPIGPSPDSNKKYMYMFMCDRYLSYSYVQWI PIRDSEMSTRAQFVDRSNGRVLILNGKVVYSPNYVDPPQYSMRIEKIRQWSSLEQDLS RMSHYLSTLTKCNVLKPVGPWAFGYSKRHTSRANAIHALERSRDWFALWMGLLSYLIT VAETRGKELQEYPGLSSSTWVEYLLEKHCKEAWLESFLCSEICDYTRGSRVGVFIDLQ SLGKVDCLQPSVEWFCQWGIPVWYIWDGVYAKDPSKQYMAPLSHQLQLSTTTIAPQPS TIRERSKANDELFAYAWQEFFESREKRNQQQMETETMIKRRSREERTKNPPHSAKVFV WKEAGNSGIYVRVPVSPQERGDVLAMFSVHQVRYDSFANEYDCSQFFGPGDDYNEDNI ENRENSLNLEVTAAELSERSCTLFHDVHDAPKISLIIPGYDDNNVSDWLPHYGEEGET IVDTAESEILDILRLYFGYTSPLPPVLLSSDALWYQPLGPKPIKQRHPTRPPHYKFDV TDYAVYRERSAQVLLHNPAGRAALMAGGYHWRIAAPIVSFEVVFSGPVPQSGKYFTAN DCNGDVYIDNKLTEFEEEVLSGLYECYTGQGNTIARKSWLPLHSIYQLSGQDYGRWTE NSEVIFDIFDNRNSSAIKGLTNIQRQPQSSNKWRDGTRGSGQLRRGRQQLEKLASDLF SAHE JR316_0006741 MSNGNQEVNYTREAGDVHLCDLPNADRPSFAPLLGFEADLEMDI YKMSIMEMKRTRTPRSSRNSTFINTLSDPTERPNRLQLFGQFYPDMGTKRASLMRLFY LYCPQATVGEIVQVLDKLTGDISDYQMLTTDGFSVLRPFADYADEDSMANACHYILTG ETRTIGSGAMNLLHHSNHDATIARCAAQRAARLRVPEAPAPIAQASLASSLPLVSLPV SVSDAQPSSSPPIPQHALSPPPPESLRIRADQAPHQPPLARPELVERVSLSSPPPPPQ LEPISSIQPPHQPSLAQTVTAAPQNIPHVSSPPPPQPVPMSAVQLPSSAQTVLTAPQP TPHIVSPPPLPAAQPAPISAVQPSESLAQTVSAVPQSTPHVSSPPPQPVPISASQPPH QPSLVQTVSEAHQHTPHIVSPLPPSQPVTMSAVQPSESLAQTVSVAPQSTPHETLPPQ PVPISVVQPPHQPPLVQTASQALQPTPHVLLSSSPPPQPVPVPMVQQLHQPSLAPTVL ATPLPISHVSAPPLSHQPLSIPMVQPLPVPQSLSAPPAVATVPPQQPQHVLPPQQPQS MPQAPLPMSTSTINSTTTSATQPTQHSWSSSISTSTSHQLLLDQDNALFGVSMVELHR NSGTFSYEGQMHTFRWFLSTGPARLVTPIYSQQMDPQPAVGDIFFHKTAPEQTFFNCQ VWYKKSETQWQDITRRYAVYRDVEPITHPLCQARVLSAKRSDGSPNWILRETWLNYRR TRA JR316_0006742 MATTIIQRTPVDMPVPKSSAAPKFTGSYVDVKNFLDHCDHIFDQ YNVTLDDDKVRYMVQCCNQESREIIEGLPSHHAKQWERLKTDMLKIFDHARTTQKFTL STLRAYAFQHSNLSMRSLDDFREYQKQYIRIAGWLLNNNKISKTEYNQYFWLGINESL RPALKSKIMVFNPHIDLSSPFSIEDVTKAVEIIFKRDRFDVGIFDNPSARPFTSLIPP KDSYPERSSVFDEIKKYLQEMFPNIETRDARERPYNPPEETKRIFQDLDKKEKQAHKD DEVENLIKQMSKLTIHDSSYAIYYLRAIKLEPALANMLIAPAIMNPSAQPAQPVPIAS QTAPPAPRAPRQSASEIICYGCHQQGHGINNCPTLIDLTNRKLISRDSSNRVVFPDGS RIIRQNGESIAQAVLRQQQPPPPPPQVATTSIAVSEAYYGQMFKNYRAMVAEEEEDDI GTWDGEDEFEFTLAGPGNRIPTEKQTRAARKQVMDAVVPPEPAYLKGKRAEMSKAKDS SQIPSILKRPANSGLPNNVPSSTSIQPVPIPSINQPSAEMNPSIPVKQAQPNVPIRAN PTEHPETAQRHEVFDPADDDQIMEDVTPSLERGKSVTKPRAAPQKHVSDISQTVDTMA ILRRCLNQPVNATFGELLGVSKDLRTLLINSIKGKTLTVDEFKASLANGNLKLSDKEV LDIIRSAKKDVPVQYMHETNSVESLRAHEPLL JR316_0006743 MDSRNTIVMVDIHGGKSHMLGFVGNVQLDIGTVRTRAHCYVSDK VQFDILLGRPWTRDNYVDILEQPEGTFIAFYDVKDPTREQKFLVTPDTQHDRRYFTDT IYDKTPHTMLAHNNLSLANPDAEEGEIEEDLEPGELLDDLCYPSPTPSMIELSILTRQ QSLNRDESANPNEDLSTSQDAPSFSDSNCKHDSTQDSSHGLYASDYDSMYQDDSGTSQ FNATAHYGHISTPPYDSTHEAPQIEQPPSPSVNPSIKSHTSDNNDPHEEEPADDDPEM EQLSSPEVEAIQFSSDNTHLTAMGMLNPHLRFEDWILYDATYSSPTRVVSDRTGTAFV HYVYLQRDSHINLTTTPTILHFSRTGISSQSNHSASVSAYPMGQRHSASWTHFIPQSI RSQNASPIPQPHSRSSALPETPLSIMIHQETRVSSTVTANVDEHHRGPKALVHPLRVA HDSPKEPLNEDEEELQIAQNGKEVDHNSSLLPLSITSTMHESRASNMDDGNEWYYQYG EESPFGIDNTEEHPLDANTLY JR316_0006744 MFTECYRSGESTFNAQLEQLYRDLVLLCHEYIRDTACFRLIDYI ASPIVYSYKVIQGKGSKNIHRFEVDWATLKHQEGQEAEKKKKEKKEHEEEERQRVEER RKREERKKREERKKREKERQRVEKRRKREEEKQRVEERRKREERKKKEERKRKEEEEE RQKEQERQREQDKDKDKDKETDKETEKRRAEKGKGKAIEPPVECGPVTDGHKDKGKQK AADSVKSSNVAPVEYRRPQTKTGEILPHMTPFNMPGHPIYKAKLARLAQSKCKSKATI GSHMDNDANADNDDKGNDNKGVPPTTSTWKMLTRSAKKDTDQDAISPYQEGVVKI JR316_0006745 MAMNARIATFAKTNLAVKKRMKTVEDGFQELKAKWTMAKEQVAG NTSLSVTMFNNIKQGIQDVQYMSRINKGIPPPNRQQDPPRRRSNTNRSREPTSAPQSS SPPLPPAPILPSPPSPPPAALLPSPSPPPLAPLLPSPPPPLPAPVLPAAPAPQTALFL PGSTPTLLLPP JR316_0006746 MNPQLTWGGHYVQGVPMAYWWDRALAVIRMVTHQPKLEVPSYYN VIQFTTKNKPNNKSADEQMSSTAQTLPKLLFTTAPAVLLKTTPQPKKKATMLAYGKKF PIYDLRTLLKDFSEKTFTKEMLEDRNLYLPLWNEIPYGSMVIMSHMIHIAQPATGRNH FKLFNYAMHSGDFCCPVQLLTREWVANMYLLFSFNST JR316_0006747 MPPVLVDLHFYLSWYNHSHHALAVPPVSTAPPAQKVTNKQVAAA KVVKKTADMDAQVKRAAPKKKTIKPASNVVAQQPPLSKVPPVQPKKTHVTVSETKFRP GNPMLCQGVPTAATVNAVEADEEYEGASDAEEVVTDGELRDENDDDDDHNDDDDKDEK EQGGDSEDLEDFIEDDEDALEDEEYEEEEEEDKGDKDVEDDLNNLEEQGGILTDKGED IEEPIKNVPAPPIDDVFTSAPTLRKSRKIKRPRESTSTPEFPTHRSQLPATPATPVAD RSLTKRMCTEPPPTPSPTKRATPKKKAGKTPATPARQSARKAAAETPSSRMNALSIVN IGTPSLIFESKSPSKNTRKVSTPRSKIAKKSQAPKAGTVSVATDNDTVVVSAPVTASV IAPVVTSAAIPAPSVVPPALGPRSL JR316_0006748 MGLGDIAFDKAMEKLEEVFLHFANNFPADSVSGYDPVLHKDTGF NVFHAHSRYFTKVSAYQDKSDNIGFHPLVDPDNVLASMAGDGFIHAIDNEVQFLRREI LPDGTARYYPYNPVSIQIGDIVEISVAFVAFPAQGNKYKFVVALRGILVLDQEAREKA DILRMRSRYTPAKRQVAVLHRTKRQLYKGQIDIEDTQQRMARMRLNEDTVHNSNTMSQ D JR316_0006749 MASALSPRFQQVFPPPMDDVYMDQMDLKTLYRFSWTCKELNNRV SGYMHCAFRPENLFAPIFKPDEHLPFRLLQFKTGLVISGSTVLHFINRANTWIPGDMD MYVEHRYALPVLSWMMSIGFTIMPHPKYPDNATAEQILKMEKIVLQEHPLHRHYNTTG VVINLWRQGSFVQLITTCQSVVECILGYHSTGVMNFVTFEKVYSLYPNATFGHQVSLL QPPADLKRAQKFLAKYHSRGLKFVLSILLQTLKMDCHIRSRIQSIRDNLNVGYSSSRG HVLVELHYDPCPELFSPGIRRVGDRHCWVYSLPLLPKANQTSFIEANS JR316_0006750 MKRQSKGTVFDISIHGDELENNRIQLEHNLQNTELSFRLSTTSD DEREYEHQRRQDGQHRTQRSSRQHQRKNHNNSSVEYPRHISEPSLADDYPPFWGNRSR GVDDENFNDEQQHMRGAWSYRSGDDEEGISPYGGNNTVSTAAHHASAITIHTGLGGGR AARRDREPSLSGAEYDPDRPLHAMIAGVNSKHSMFDMDPSKSKHNAAATMTYDPVVVD NTAELDRILASGHAPAAVPPTPSPPPVISRSFSFHPTPPTSSVSSDSENQAQHQQSSR LKLTDHLRHVSFSPKRPRSAQSPVLRSTRPLEETQPNTNNDNNNNASMNMPTPRPARR TASSNHAAARSPAQPEVRLQPATPSTGGSKFTRMARGINREIEETQAQEIDAARTAAA ASRASYTANPRPASAPPERNPFYDIGVGSGGRTGATTRRTTARDAANLTANASGKVYL PDVTGLTSAVESPAKPGAQFMQYKAGERPRDSEARLLQTLSTVQTQLQNLEEENSISR RRVRELEMELEECKRHVARERTRLFEREETNTGSMYVRERAGGSGKVDKGKGKAVGNM EDIDEERLHARYKEAVDEKKALEALITSLRSHLTRLTSELASHQSLLNELRALRSSDS QAIREKGDEIVRLRDEVQRLAGEVEVLRGVVEEGLRERRASREEIAVQEVGVQSFVGD VGMSQDLEEQSEEEEEESHSEAEDEDDESRETEEDASDEDDDSNLEPFDPSSVRHSSP AQRAADRTMRTDHATLGSSMYTPNNQHRGNDNEEGSPRFVGEEELNVIAQEMEERRAN RSGQQHSHQQSTMTRPAAPTPNHANQRYRTRQDSGERARISPEPYANHAVHADANVEL DEALETPFPQIRGERLERLFFSAPEHNAKTCTVCYRRRNRERAGSFGSNETSPGHSSS HSWSRPAARRQDQGYEDEGYEGSEGAAPARDSGSGNNGKGKQREYVAFSEDIRHWQRV GQTYGVPPQTVVARVIRELEDDFTHYKSIYVELADQYKVMDAASDVKRRNLLAKHLRE VVDVLEQKGDKIAALYDLLEFKDKPAKNAPLSSTSRGIPSKSATASAN JR316_0006751 MDDRPHKAHRPAQSGNKHEKKEKGKGKQKQHGFNEKAFAPKSGR RADRQGRRNVERDQTRLHVPLVNRTPDDDPPPVIIAIVGPSGVGKTTLLKSLVRRYSK QTLNEAKGPITIVSGKKRRLTFIECNNDLNSMIDIGKIADLVLLMVDGSFGFEMETFE FLNILQSHGFPKVIGILTHLDLIKKAQTLKDTKKALKKRFWTEIYQGAKLFYLSGVLN GRYPDTEIMNLSRFISVMKFRPLVFRNTHPYLLADRLEDLTPREEVRSSKGKCDRTVT VYGYVRGTNLRLGTKVHIPGVGDLEMSGVTVLGDPCPLPTAESEKKRKLSEKKKLLIH APMSDVGGVMYDKDAVWVNVPGSFTRGNTDVPQGEGEQMVMDLQDVNQTLEDRVAQSS IRLFGTSSQALRVDPTSSASDDEDEDDFDVSGSEEEDESGIDSEDDDEEEGEDEDEEI PRNAQNTGRQGRRTVTRSLPSSVKERTDIQYAESDSDLGEDEDQFTSRRVRMDDEEGD HDIPSDEDDEDEEAEEDDDEESIPKWKSNLSSRAQQLAENSRKPKRKDWTKLIYTSTL TPDEILHGQKQTEVDEDEDDFFQVKKKAADEEDAEALDKSKEPVDVEALKKWEDEEML DSIRRLFITGGDTVGEDDEGTFQDEEGGFGAEDEDGEGGGGEDEEGDEAGPSHSKPKD GKLSRAEALARKKEILKRKFDEQYDDPESAKTDFYTEKKDEMAQQLALNRAEFEGVDA EARALVEGFRPGQYVRVELRNVPCEMINNFDPTFPIVVGGLLPAEERFGIVQVRIKRH RWFTRTLKTNDPLIFSLGWRRFQSIPIYSLDDHSIRMRMLKYTPEHMHCYATFYGPVT LPNTGFCAFNSLGADTPGFRVSATGVVLDIDRSAKIVKKIKLTGTAYKIFRNTAFIKD MFTTALEVAKFEGANIRTVSGIRGQIKKALPKPDGAFRATFEDKILKSDIIFLRAWYS IQPRKFYNPVTSLLLSEKSSWTGMRLTGQIRRDEGLKTPLNVNSTYKKVERAPRRFNP LIVPKKLQAALPYASKPKLMKPQKHMTYLQKRAVVMEPEEKKALALMQQIRALRKDQV ARRHEKKEEKKAERAKKLEKEEAKKAEKEKEKKKDVMRIAGQRSKREAEMQEGGGRGK RRKT JR316_0006752 MSGPVILPQELCGIICQDPALDNADLHNLCFVSHNFRAEAERLL YTSVRLRDTRRIKSYCLSVARRPYLALRTKSLTLRLPPQLNLEADDLARIVTALRLSV NLKELNVLKDFYHGPIGKYGDAVQWWILDGHKFKLRKFTNSYFQPQMLVGFLKSQPGI TTLVLKCKDLAEICDAPLPLLTTLDCSAGVVQEFSMPSWHPRKIKRLQFHLEDSTDVE ELATFVALTQFSSSLKSLSIRRRSSRHGLDLAVLTACVASQLPDIKYLRIVDNSTRQL ETYHVPFLPFPIRLNKIETLILRTPTRACDTTGRDLKISAYLELRTPLGRMSAARRIF TALSTLKRLVLVEKVGYEFTRNPETGAITLQELGHLPEDDWMHSTNKV JR316_0006753 MAGELYNHCIVCEAPGVQQCSSCKTARYCSKEHQKQDWRAHKEY CLQVKAAGDQTFTAILFPVNERRPRLVKIPFEDVIDEEDGISWQKLQRNVWFKHPDSF VKADYFNNMGINGPDLGRTLCFFYDDNSIINRLPLNRCIVNMTGGKAGHVWCGNIFGL RSKDHTYFNEYNDAVLEEDLEPFVAYFDQYNKVRPDHMDPVLWAKMHPGA JR316_0006754 MLTRQVVGRVPAALRATKAPLLITPRKYARSQGTVAQSPSANDL FANGTNSYYADEMYRLWKQDPKSVHPSWNVYFSGLDKGLSSAQAFQPPPTAATLPHPA DGAPALHVGQGAELDDHLKVQLLVRAYQVRGHHVAELDPLGILDADLADVQPPELELS RYGFTERDLDKEITLGPGILPHFATEENKTMKLRDIIKLCKRIYCGAVGIQYVHIPDK EQCDWIRERVETPKPWNYTVDEKRMILDRLIWSESFEKFIASKYPNEKRFGLEGCEAL IPGMKALIDRSVDNGVKHITIGMPHRGRLNVLANVIRKPIEAILNEFKGDEADDWPAG DVKYHLGANYVRPTPSGKKVSLSLVANPSHLEAEDPVVLGKTRAIQHFEGDETTHNTA MGVLLHGDAAFAGQGVVYETMGLHNLPSYGTGGTIHLIVNNQIGFTTDPRFSRSTPYP SDIAKSIDAPIFHVNGDNVEAVNFVCQLAADYRAKYKKDVVIDIVCYRRYGHNETDQP SFTQPRMYEAIKKQPTPLTQYTKFLVGRGTFTEKDIEEHKKWVWGMMEKAAAAAKDYV PTSKEWLSAAWQGFPSPKQLAERTLPTRPTGADIDTLKRIGKAISTYPAGFTPHKNLA RILSTRGKTVEEGTNIDWSTAEALAFGSLALERIHVRVSGQDVERGTFSQRHAVIHDQ VNESQYVPLNDLGSSQARFVICNSSLSEYGTLGFELGYSLVSPDSLTMWEAQFGDFAN NAQCIIDQFIAAGERKWLQRTGLVVSLPHGYDGQGPEHSSGRIERFLQLCDDHPNVFP SPEKIERQHQDCNMQVVYPTTPANYFHVLRRQIHRDFRKPLIVFFSKSLLRHPLARSN LEEMTGDTHFQRYIPEPNQDSLVAPEEIKRHILCTGQVYAALVQAREERGIKDIAISR IEQLSPFPYDLITPHLDQYPNASLLWCQEEPLNNGAWSYVGPRIYTAASKTQHHKGKY PFYAGREPTSSVATGSKASLLGLIFATQHKKEIEAFINAAFDLSDR JR316_0006755 MAAQRAIIIDDTDSRIQYSGSGWSPTKGGQDNLGNFGAPYKDTL HGTNVDGSLSFSFTGTKVTVFGTNNLRNDSGVLDPTWECFIDNNSIGNTPAFQFPENN WIFCEADGLSDGPHILTVNASVKKGQTFWFDDIQYVPSSSVSLDNTEIVVNNQDSAIQ YGNGWVSLGNNANATQNTNSIFTFNFVGVSLSWYSFIPTEYPHGASLASYSVDGQAST QFSIGPAQGSTTVYNQILFETPQYSMGPHQIVVTYHGSSSTTPLALDFMIVQNGTSPV SGTPAPAPSHSPSSPFSSSSSSSTSTSSSSSFSSSFPQFPSSPTIASLSFTTATSSSP SSSVSLTSSGDTNSAGSRFSSNVGAITGGVVGGIVLIIMAILAVIYFRRWDRKQRSTA LYNHTGQESGNAVDPFQLTPSLWSSPASYSQYTSVPNNMGDSRQHQPIPNQSTSDINF AVPPSSTSSRKNLLAGSSHDDTPTPYSSTPTNDSHVGNNSSMQGEMSSKAMREIEAVA AVRLQPLRHSAHPTIYTVAESVPPMYSAE JR316_0006756 MSGIFLVSVIYTYTINKLSGEAAEQRIERQNMKPRTEYFARAKR IMAAQKADLERQKEQKRLFETRTSFRFLDLPPELALLVLAQCADWPETYQSLVRTSRY CQRLTFHACLPRTPIRLITPEQVGSFDTFLHARPKLAPLIQHMWMTPLQEELLGTSIA IVKKCKHLKSLASNAYIVQEAISLSPSGRISHRDCRDLTLLSTRTESWISLLNTPNGS AFFRRLTHLRLIGDRIPQKLPLDSLTHLSYGSSGSDMSQGDPAIGLRMLEERGMYPVL HTVILTKPRASAGGLRISRAVAKKRVFIFELPMKRTELEIWCDNASNRGMWELCADNT SGGNGPKVRGKVRFTKDS JR316_0006757 MNQKSNLYQPTHPTLLSIWSGTVKEILTENGFRGLYRGLGPTIL GYLPTWAIYFAVYDGVKTAFGVKTTGMYAVRSSERLYPAAQVKGYQPVAREHPWTLHI LSAMTAGAASTICTNPLWVIKTRFMTQMKGEVRYKHTLDAARTIWRTEGPRAFYRGLL PSLLGILHVAVQFPLYEQLKIWAQDDSDAPITSQTILACSAISKMTASIATYPHEVVR TRLQTQRRPLADDLSSDGMVKQHSKRGIVYTTKKLIAKEGWTALYKGLSINLIRTVPN SAVTMLTYELLMRHLSARTW JR316_0006758 MSSSSSQSCSSAFCSPSSSSSSSSSSSSLDTDTLRLGAGSGGSE SESESESSRGSFSSGVDMDMGSGSSKSWSIDSEGWSGDFWIADADTWKPTEAGTGTGS GVSVRRRQIITDQAQVQQALQDCQFNSGNNVISCFPTADTVVPQHQYATFVWNSRRPE ITQTNLVDIFLFRADSQALILHLANQTNPPERAGFVRAKVDDKWFGADGFNFSGQNVS FPFYWVIIRSDATLANGNFVPQPIFSAVQTTVLDAVAAPTASASSASSNSVQPTGLSG SGSGSGSGSLTPSSATASGPVSPTGNVQHASSASSFPRWAIAVIVVLGFFALAASCIL VFVILRRLRRRQQRSSASSSRGSMGSASPMMPREQGGGGGGAGGGQHGPDMMQRYDSA GSPLLAPAALGAGAGLGIGAGLASVSHRQSGGGGGGGSTTHDGASAISDTGGPFSGAD AAIMADAFRKMLRKPDFAGRPMEEGESPEHELGDEGAGMDVGADDKDEGEQVLRGQLA EEGRDIRSVSSSRGVKVESVNTATGTGEHEFR JR316_0006759 MRGRYAIENATALRLTFNSSVTGDAIPSSFLERFIHSQIYAAFP NATSVIHSHTRAVLPFGVSHTGLHAQMGTAAGALGALTPNGTPIFDTAALPESVLPAD APHDLLIRDVQLGDALAGSFKQGSNVVLMRGHGMAVMSAQSEQAGVRDAVFRAFYGMQ SAVVQMQALLLGLAGGGGGGPGAGVHMDMGLSAREAVDAAATNEGASLLGRAWDLWVA QVEGVSLYTNDLRAAATA JR316_0006761 MTLRPNKRRRTGTDAHLKRSYYEEIDDLEDPDTVHSSEGVIRSI GGSRRATRIEHSPLREAGTSWLQWASWSPPDDEELDLNPDSNDFDNAIYSEVVTDNHA NEQDKNLTSVQPPLEPGKPEKKQRSKVSKRPHVVWKETYRQSYLEEIIRWAGRGDFRH AKHCPDCVARSKDVPGRWTGSHFTEVSLRSLGLIVQLNHSAGYCESPVPCHRSMLVLH TNGIHSVNIQYCQCQRAIAPHLQLLRRRLYPATQLAVKTVATFELLQHLHKMALTTKA STYDFYRCLEKTTTNLGINVPNSRYRPLMRMVVQWRHLHMLKWAGRGHSISGVEGTAP GELAIRCPTCPHPGINLPEDWSSVPDAKKFLYAVFICMDANFRLKNQMVSNPSQDPGL GTGWAYMIEKEKYESYVKSKASEKDISTCVGFQALAKANTKFSKGLRYTGVGAAICGR SEMIFPTSVGNLQKGERYANMDYVFGMALKTLAICLVLASYNVCCQWFTKLLKRIKED WPPEIKPPQNLRLTPAIPKLHERMHKEKNHHVYSLNLIPGVGHTDGECPERVWGPHNP LANATKTQGPGTRQDTLDDHFGFWNWQKYSSLSTTLLRRYRNAITERNIQTEGHRGLT RSLQKEHKEKVEQWEAMCEAWEADTFPKTVPNPYDMPDNTLSEAQVKKDLAEAEAAFL AEGGSFPHATTASMFISQGLDLEEAQRRIQKVAKGVSDLSTVRQAGSLTEQRNILSTR IRAWELLQPVYMPGILQYQADHPITTNTENPEDSILRLPSTIPEPHRSCISTHKLPLV EEKLWHSQLIDSLSTLRQILKFKSRMIHFKKKNIRGQRDGTRSTSVIDRVHERARFAA QKYRAARVAYMSLRGPGDWEETFQKLEDKDIRGYQDPERIRKKAGRRGTLDDEQVAEA SLGQGEGVDNDEEDEEEEEEELTLLDDNRVRRDGSGETRRTLSWIWTVNLGGASEEEE DNVMRVEWAKSRARSQRASEEVMLLKEEMRRALAFFSWKADWWRSRQNGVAREGASKD LLEGISAYALSQAEIQELLGAHCEKLWRHALDPALDIAQTSEVQMEDDDGGDETEEEL EASIIE JR316_0006762 MTRRWHIKLDPYETELLHTVDLNQQLARLEPKTPEQAALEKEKH REAQARYREHHRELINLRARKAR JR316_0006763 MADAEEGENASTFVLVVRGSIDKILQDHRDYSVDHLLSVPSRPL QLFLDERRTAGDVYLISAAASTPSPHPGVKKEESPLKIQIPPPTRMTSEEELDAMLNF DPRELTPSDSGSSMPTAVRGNGRQRSMLTRSQAQQRPMVTRSQAKGKQKAKADDERTS PRVRLSSSRRRQHRFDPVAPSATMARRPTRSSYSSKLSAASQPIASSSRRQLTPEVSA RSSSVSSESSMSSSSSGSSSSSSQSKSRSLTPPCPYDIPEYREAARIVFGPRQSASPT LAAYPPPIRERLEDARMESAFRVLDRYKYMWADYCDSKDPWSKSKK JR316_0006764 MGKKGKKGAYHGARLEFLLSQKPAFQKATSEKNKAEVLADIQRR FFKRFPLEKPDTWEPTAEELDAVDDDAPDEEPEEPNKDLMSLEDYEKAVEKRKERQAL LASRRNKIRRWFKYQYDKDHSVSLSLAEDPYFNLIQQLFGKESKKPRLKTPVNLWRKV PENHDLIEAEIMAMVPAVDVKELAKTRDAVARRLFSSLDVEVQKQWKEIALEQHAKAL KEHENYMTEGPSLSPEERQRCIECLTRVMQPVLEAVCKMTGWVSTFMAGGPEPAREGS LSIVSVHAGVTSGPIKMNFGAAERVRIKEHTIPIFTSYVRKCFTAEMRKSVALPKDKG FVPLSQSPNIDKDAVHLVPTEGASKPNQSSIGQSTNVSNPPPGQAQAMDHDSTLKEGR RRKKRDQSDIWDGFISFSDKDPNSSDGEGSIFHATTPEVIPSPPASPVLRSQDTISSI DVQPIQHSSEQHGRQASSISQTTHVATQSSDGRTSSSTQPVAVAGATASITSDANVPL ISPQVSLTNVDSAAAKNTPTVAQTPASQATRSGQSVAATLISRVLFDTAGSLVPTSSA AKTTITPKSTAPHANVADESEEVLAPQSSHPSVSMTTHSNRGEVSEESQKVVAKQRGG KRKAIQKNGDGVSKRQKKPEKTKSTSASSGENTTPLASDTNHDNAMAVETPGDATSSR RRSTRSTAVANAPTRPSATIAPEGTVILRTGKTAKKSKFWTYEEVESPTK JR316_0006765 MRLTSSFSILALLSAVLAHPGEIEEHDTPAKHEFLRYAQRSIAD CKQPLYLEGYETAAVQRRANLAKVLREERGLPSREFLDKRDFETVLNTSHHSNKTNLS LLSPSKDIFTGELQCVLQSETTEGPYYVKGELIRTNIRERQKGIPLYIDYQIVDVNTC KPVPNVHLDSWHTNATGVYAGVVARGNGNINDLSNINATFARGITKTDRHGVTFLETL FPGHYTGRTTHIHVLVTVNATVARNGTLIGGNVAHVGQVFFDQDLINKVEAYSPYTTN QQPLLLNKDDFIFAEEAASSDPVLNYVWLGSKPTDGILAWITIGIDTKASYNPSAAVF WTKNGGVVNPDAPSGPPGGPGGPGGPPPSGFPTGLPPPSSTVSATSDPTSTSA JR316_0006766 MFQLVAKGGDNEGLFHAAMGDSPSMSFTPEFDQAYDEGIFQQFA DLAGCADKGDDTLECLRAASSQALTLAGSQLLAARPSTLFVFAPIFDETFINERPVEA FKAGRFSNVPVLFGSNTNEGANWSASLKDAAANTSMPNATEVTVFNFLLGQYASLTNE SFARAMDLYPLETFGNSFSLQGQQMYGEARYICTAAMITGAAAQKNKAYQFHYNNPHL GSNHGAELDAFFSPPSNSDSSDQDLFSKMREFWTSFVTTGIPTSTDSTTWTPVSDSLG NPRILLQPNGIAMEQLGSLSDRCTFWHSLSSEIQT JR316_0006767 MPSTRLQSVSPRKLPCSSDTELFQTPVRKRYRTLDEDESSPSSS PSVWKSPRKRQRVDTPKSPSKEMDLEKILRRIVAQPANHDAITALKTLPRDEISPRLL VRRLMHSAKAAVMKRKGSKKIKLLETELYLDWLNELEYVHTALLTCLNAKVPNTRKRL PGLGRVSYTILYNLVDEFIDEVNAHHDRPISGSSATSLYPPTMTLVEEAKDPSTDERS EHAVELAEEALKAIDKVMADAVRRYKAECGRNNPGLAQRISAQQHALAKGCCLLCEQT GYGTDVSDMGDSLMEETREVMDVWKNEYEDCEDQLVDSE JR316_0006768 MPSIDTTTASLPASMKSRERRALGIPLPPIPDNMTIPQFIFESD HECRPQRRAGVPWLIQDDNGKQFGEEELRARTHALANGLHLKYNIAAIMSNPLLQNFH ILLPALIRDDSQTTLSPFGQYTDSGVSYLRRGRTRGLKWNLPYFPSCLPSSGANPDYT SSELLYQLQATNAAVIMVHPESLSIALDAAREAGLPSARVVLFDVSHNTTSGEVLRGS SHETISSLVEFGMKNKVGYVERRLAPGEAKTKLAFLSFSSGTTGRPKAVAIPHFALIA NVIQIAAHNKVNQNYCDWNDQRFRPGDVAIGVLPFYHIYGLVINHPATKKYDLRKHIR MIMCGAAPLSHELNQQLFSMFPDAHIGQAYGMTETCTATTMWPITRKRGVSGSSGHLL PGIVARVVKQDGTLADYGEAGELIVWTPSVALGYANNAEATKETFLDGWVRTGDEVKI MEDGEMIVLDRLKVKGFQVAPAELEGCLLDHPDVSNTCVVGIPDEYSGEVPLAYVVLT ADASKRASQSAAAAEAIKASIIKHVAQNKIHYKHLVGGVEFVSAIPTSPSGKLLRRVL RDQAKELRKVKAKL JR316_0006769 MLSLRISFKWQFTITSTEHHASVSFGRIDQMTVVVVPKYDFPAL CQSIFKHRISHLIDPFYQGAAPLSMDIHNRLCDVFPAAQIGQAYVGLTEMTVSLAMVS GSQKRGSLGSSGKLLPGIHARVLKPDGSLARYGERGELVVKGPGMALGYLHNSAATRE TFIDGWVHTGDEVTMTDDQEVFVHDRLKVAPSELEDCLLSHPDVAEACVIGIPNNRSG EAPMALVVLTAAARELLTDGSMWKIESLHTNTYEAAFASSTRFRLETVA JR316_0006770 MKCPRMNSALPYKVRHISKDLIVVDDTEISVRIVKNDDSVSWHP SQTCRQHRYSEKGRVYENLQKCNDWQINVRDNAA JR316_0006771 MASQDRGYTILLSHLHDTSSKLPLSTLQGALAHHLATAWPLPTA LAATAISSPFYLSQPFTYDKLQSFSTAFRHGIHLKYRALTEAAKTRSTVSTLLGRSLQ TTMSQWVSDVLKGVQGGHPVLRLASCSGLLLGVEDLKVGQKGEKKEGVDIGSARSGVE DETVVSLAEVMDTYAHGFSTSPSSSGIEEWEKEFQPAGQDILSLVLILASQSLPLVAR HKLKALPLPILARLLTSTISSAFKAGTFLSSVSASVTLSPNHQVHISPTSPLSQTLQS MSSSPLTKSMASVSRFTAYVLELLIDSPSLSRLSDGLCTISETLDTLQELTKVVERDW IACPLASFTDLDIASDSKAITKSIWMTLKTLLFTTIMLTDSVLSAAIYLSPQSFEVTP ASLALQTLHILSHLSFVISEFGGVTTTSQGFEQLKKTFYLALDILAQGDGATGDSGLK AEAYVQQICFTLNSQRAESAATSPRQAKQAFVLASIEQLVPVLSDKCIRDWVWGVCYP HLSDPSHRETYESAHSVILAIFASHAQRQQQYLPGNQSTEPVQLSPKDSGGSLGKQKP MIEQSFAARVLSSVISSDNENKNVGPEADFATSTNQESVLSATFVKRMIPFYASCLID NSVDGKLSTPQLRLAYSALVRSASVSASTVTSDERPDDSYTLAWYCIQLILDTIHELS PLPKDAKGKGKARSDDNDQNAERLYRLHLVLISTVSSLPLSLILRALDRIRSLILEYP QDDTRDTTTPEGKGKKAELVAALFSELLEKTGDREKEAAMRWWYKHRPMLIAEAKVQE EDGRPGTLLSWFKGLKGNDNGSGVKDTGEREPQSETPILSRL JR316_0006772 MGALIGSTVTLDYGKFKGKQNTTNGIISFRGVKFADAPTKNLRW AAPISPPSKNLGTVDASKFGAECIRTTQTTVATKTSEDCLFGNIFVPNNTHVGDNLPV LVWFHGGGFQSGSTHSFLPDLLMASSSQPFVFASFEYRLGQFGFLGGSEIASNGSINA GLLDQRAALKWVQRYIGQFGGDSGHVTVWGQSAGAGSIMYHLMANGGDTEGLFHAAIM DSPPLVYTPAFNDAYDEDIFQQFAQLAGCGSGTSAAKKGQLSCLRGLTSTAVAKAGSK LLDARPDTLFLFAPVVDNALIQERPVEAYQAGRFAHIPVISGSNTNEGAHWASTIPDP AANTSLANATETTVFNFLIGQYPNLTSESIINTGFSLYPLNDFGGNVSLQGQQMYGEA RYICSALMATPAVPAFGDSAYQYHYDNPHLGSNHEDELQAFFNPPTDADHNDLALFEI MREYLTSFVTTGKPVSKSGPEWQPVNSDSSFSRILFNPQNSVMERVDDALVSRCNFWH GITNENLT JR316_0006773 MSSSSSAYSSSTLQSILQIQASIAKYLDFEGCLKFIELIQLLKP TICLSQQPGLAESDAGEDKCPARLRLNVHTFLAQSLSVDHEAMKIIWRAMANIAWNFR VDESCVHSFGQRHIQCFLDYGRQNGIAFYHLMPPVRHCLDPRCVIKPKTSKKDELHRR PLKEAHSRSVTVFTQSFGPIPGISTSMFCSGCQTRYYPNYWVDRAQSTRTFYRIHRTF LHVTEGIFMDIATLELFTTMMLTSWTSASNCARIYNEAIASKSLSSSLPAAYSKSMVL EHNDVWNGLSLFWLLEDSEEEDEVLQIDHVAPSQAIRLRKALKRRNLRMAGTGQEAWN HVCDLCCWYNDLPDGTQTFLRSVVTDGITIGRPTCSIHDCDIPLDSVKHRFCPTHKDQ NLICAVTSCSAPIDEGYQTCSLKDHRALEAYNDIHNKAMFQLKLRLARLKTSQPTDAF STDDGQSTIFGDEEVLIDANGVCDEKSEKGNQTLRARFGRRRTHNEELCVASCGVILG RATFYGSEAPNGVRTFWKTLFPTQKSLPGVLWHDNNCRIMLMLEKEKDTYFSHSALPV DVFHFKCKHKAQDDKCNANCNPAKWPELMTPDGKWRFNSSAAEQANAWLGGYQAIVRE MQADRYEFFLDELIKRRNRNIIKDLEKKGKNPHEIPRDFLLKPDTPRVD JR316_0006774 MTFDDVRRLRVAVFGAGMGGLTCALSLAHEGFLYIDVYETAPNL GFVGAGIQLAPNMARILDKLGVWKKIESEAVLVKSTSIRQGTTDKELGFVEFDSVKDK YGYAHMVGHRASLAGSLYEGCKAQSAITFHFSTAVSEVNFGGDDRKPSFLATPLVGPA VRVEADIILAADGIKSLTRAAMLKELGSTDHVVDSGQAAYRIMLTREQMKDDPELLEL IDADRVTRWIGEKRLLINELADVMGALTRTPTDSELSAILFSVQLKSGIQNRSLPFCD SRSILSPQCCPQPHNLISLINRTNVSQLDLSVPE JR316_0006775 MERYPIEGIELPYIPDDVTIPQFMFETNHPMRPGRRNGVPWLIH DKTGKTFTEGELRFRTDSLARGLKSRFGLDYPVAIWAVLKLGGIISGANPDFLSSELL YQFHETKASLMIVHPDSLDVALEVAKQAGLPSDRIILFSANDGTEKPSEGHETVDSLV ELGLRSNLAFTERTFAPGEAKTKLAFLSFSSGTTGRPKVAAHNKLNQNYCDWKDQRYR PGDVASADMYGLAMNLHYTLFFGMSLVVFPTFNFIEFLKSIERHRITHLMLVPPQVVL LCKHPAVKDYNLRRYIRVIMCGAAPLSHELNQRLFEMFPDAQIGQGHGTTETATITTL WPTTQKRGVAGSSGQLIPGMVARVVKSDGSLAGFDEAGELVIKTPSVALGYLNNHEAT KETFVDGWVRTGDLVKIGEDGEMMVIDRLKVKGFQVAPAELEGCILDHPDVSGTCVVG VPDDYSGEIPLAFVTLTVDAANRAKMSKTAADEIKASIIKHVKTHKTQYKHLAGGVEF VSSIPTTPSGKLLRRVLREQARELRKVKSKL JR316_0006776 MIRVSLYGRLLAESNETILIEGNHYFPRAFVRKTILTPSDTTTV CPWKGTASYYNAVIEGKVFKDVAWYYPNTISDKAKPIEDYIAFDKSKVDIETM JR316_0006777 MQWLDTYALKAEARMDDDPALARKVYTRLAQRLIENGTGTSLLF GTIKEETNLILAECMQSAGCRAFVGKLSMDIDITSPDSKTITYVEPSASASLGAAQSF INHCHGLVSHLHESQRLVEPVLTPRFVPTCTDELLKGLGEISRTEGVKIQSHLAEAKD QVEWVRAERGIEDIDVFDKHNLLTPRTVQAHCTFLTAPDFARISESGTAIAHCPLSNA YFSAKPFPLREALDAQVTVGLGTDVAGGYSLDMMSSMRHAVAVSRMREGDRVMKSDGN ANVDSRNFVIDWKESLYLATRGGSLALGLQGFFRVGGPFDAQEIRIYDPVSGSGIGPL DYFDLESQFSDQRSGPSSSLLTVEEIEKWWCIGDLRNRGAVWIQGKKVRST JR316_0006778 MYVPLSIGSLFSDLRHPQLILLPPRAPSEFHAPMAPTVATLDFE HSQSPRPLKRARKSSTVAHNPSTEPEEHHFINNAPLDHIFIADGIASKKAGGKKAPLS CCECRRATVASLVLHARKEDAQKFARMVRCYVPLNYYDIDCVLGVLVSGKGTRFILAN TEQLHSKIQEMSDRIRSLEDGLQALHSERSPEPDQPHPLLLPELLGIKSTMGLYSGTQ AHSSSDPAAQANSNSGKSPRHMEVDRRPMERGSSEDTITAPDHLHAPQHQTVKEDHSE AYYAAEIARLSHNFPLSDTISPEPNLGLREFIRSNLPPREEADHLWEQARQNALWQYN PHPSSTFYPNLAYHCYSSPTENLSPRRLSLLLMILAVGCLVDIPNREPDHPDAEKYHQ LARASLCEIPVMEETNVETITALFYEIWYLLVFSDKKKAAGYAWGLMGLTAKLAQSIG LHRGSGKSKVIPEEVEIRRSLFWELLYLDARLSLSLGRPPSLTFSHTDCPPPSYTPDD DCNPTESLHYYQQWKHSCYSNCLEPVLDAISKPSQALNYDTVLDLDKRIRDFSIPPLL RNKDILSRSIVMQRASLSTALEAVLLQLHRQFFTRALSGPEVAFNRRHQYAPSVVAVF LSASRMIANVHDLYTREPLLTARILGYWSNAFSAAVALCLLVSRAPFTCLSPAALQEL ERARILFRAAKDTCPRALQVLPMLEKMIDKARYIFDQWSTGQELPTIVLRHITDDTTD HPDSANGMQNHNGTIELSHANKYARPNQYAPATVSQPQSDSFVKSHQSLTQCIVEVHQ RAKALFPLRKPCQCSVNTQSCPPSHSWSPVPNIGSQTSPVLPPETVPITVNTPRLSPA PGPFSASLTSKLDMSPPGFPAQRQPISRHHVHYPPQGTGEGLYSSTVLPSGGPSIPPI PMTLSPTSKMAVVDTLNFELGALQSSSDQNWMAFF JR316_0006779 MFGSSLEYNLRQDSRPTKRPRNTSLTAELQQATSTSNAFSDDHS INMEMAGDRISAATRTDDTPPRGPTGARARNEEKNNRTLSCKECRRQVTEIYPTVISF IAHSMLKYSFLQTETQSALTSGRGSRFILAGAEQLHEKILELSDRIKQLEEALEAERA LTSSHPHPLLAPDLLKIKTSQEIYSPPTVSNLPSSSSNSDISNARDEHLRQSIGAMSL HSQPPYAEGSRPPHPEALSNMNVAPDILQLSTTFPFPWAVDITIRKRIRDSLPPRAEA LRLCEEARNNALWQFTIDSSKTFLPNLLRYCYETPIEALSPRRLALLLMHLSIGSLVD LNSPLGSLNGEAYHHLARASVCEIPLMEEPDFDVLHALFFMIWYHLIFSDNKKAVGYA WNLMGFVAKLAQGLGLHKEAPKTKTLPEEHEKRRAVFWELLNMDCRMCLSPMLEAMVA VDRPEYSRIIILDKSVRDFGVPTLLDEHQSHDVNPRFLVMQRGLVSISREIALLQLHR RYFTEAMNGPESFDLGHPYAPSVIATYLGASNLISAVETLFEQEAQLTARFLHFWFNS FSAMVTLSLLISRAPSTSLASYAFQDLGKGCRLFKLAAAILPFSGKALPVVQKLLDKS QRVLLQRRAMDPSQTHDMIYPQPQPQLPPSFMNVHFILSQLAERIEARDPCKRLASSS SADLQHSSLNTEQESWPSDIYQFSSVGLNVEERYSIASTQPTPFIPSSPRVAQNEKFN FDHGALRAGLVEETSYMAWF JR316_0006780 MTGIRDVWAPNLEAEMRVIRDLIEHFPYVAMDTEFPGVVARPIG NFKTSSDYHYQTMRCNVDLLKIIQVGITLANEDGEFPQEISTWQFNFRFSLTDDMFTP DSVEQLQKAGIDFGRHEEFGILPNDFAELMITSGMVLSHDTKWISFHSGYDFGYFVKL LTAESLPTNEDAFFSLLKIWFPTVYDIKFLMRASKALKGGLQEVADDLGVMRISTGQH EQTGSDSLLTASTFFKMREIYFDDHIDDAEYSGKLYGLGQTFSMSNGLTDPARGGVTI AEREDRGSVRDAHNQTPGPNNGSSQQTQPTSMTLGPMTAGIQSAMTPGGYGSMNNGPQ AYMRTMVGGGR JR316_0006781 MVDQNASQGQSFGVALPDQVERISCFFDAHLEIWELYTARIALE REFASKLQVLARKALEKKAKALSVYVFGSDPTKSWDTNMLKQCTLENAYEGLIMSLST TAQDHINFADGMTSQTVEILRILEKRNKESKKKEMAFFQKLLSDWDRVYADRIKYNKD CAEVESFQQKQGHASDDKHTKRAVRQAEQQQNNMLNSKNRHFGHSSSYLISIAIANQA KGKFYNEDVPTFENMDILWFNLEDYYSRLTSAIKIRSFRCLIEHFVKIVVHCQNLQLT HLDTLKSQITDTLAKFEQVDIVKDQDLFIDHNRRVFIAPEDWTFEPCSVHYDTDAMST EPAPKVFIQNKLRCTQEKLEELTPLTESKCMEKDIIMITAH JR316_0006782 MSRIALVFFALFASIMMTIAVPVPVANGELVNVERRITHVGRGT WFYPGLGNCGWRNGNNDLILAIGKGFYDRNGGGNCGQYVQITNTANGKTAYGLTVDSC QSCGDNDIDMSPGLFSQISTLATGQIQVSWHFMAMGWHP JR316_0006783 MASTATPQSYPSPPPSFNGGVSPPAASTAPHRPSDNALDANDLE EPPPYTASPSVYSGETTLEQGPSRPFQPAPRPPAQQRPYLTPQATGTSSFSTSASSVN RSAGSVLHQLTTSLTNSVNAAMNSLNTPPPPPRPVSQQSWASYPGRQPQQQHSLAPPA PSQSAQSYRPPGGPPPLHPSSTISRRSSEFARDFYAAGAVDESSVNANATGTYMPPPG SPPQNLPQRPSNISTASTSSAASSTPNDGRPTNHPSIGHPLLKDGKLLVYPKGFECPK CHNIGYKDADPLRPCKKCWSKYAKPFTGPLVYSFSPPSPTSPNTAAQNLQRPLPHPPP PKPPPIPPAISFNAPPAHFAPGGYMTNHHGGFTTPPTGVHPWQTRLGAGIPRPPNGSG AVVYSAGDPRIGGRLCWRCDGKGNTSFMLLDRITCPVCGGIGRTFQ JR316_0006784 MPDPKAIAFKEKGNASFKAGDYPSAIGHYTSAILADRTDLTFPL NRAAAYLKLGKFEDTERDCNTVLTLSAPKTNVKALFRRGQARVGMGNLLEAQKDFSDV LLQEPSNASAAEELKNLAVLIQKEKAKKSKSNAPVSVPTSSTPASNGTPVKRRRVPIR IVGDTADIKPSVTSATATPSTSSKVASTSTATTTPISDPTTSKIPPSESKTIKTSSNG TTDTLQAVSSRSLKSPQPPAPSTTSATPGSPPPAPTTQPQPQPVKPKPDSFKDAKLAR DSKNATSNTKPPTARVGGGIFRASGENTIFPTRGNAPTPNTSSAPKDVQMDTTPPPPV ATPTPVAPPAPMVVSSPPPKPPSTLFEFSKAWYGIKDPWERWALMNTIPPSSLPELCK TSLEPSLLVSILDVFLTVLGTSNNLHKQTIHAYMDNMTRIPRFSTVVLFLSKPEKEVA REVWKALGVDRPEGVWKGVV JR316_0006785 MRDPSYYGLKTEKNHKRAKSIPWPDVSDDDKDKTKGNGVKRKNS IVNGSSDQSRGGQNGHKNKKRRSSVHNGDTPQSKDSAHGPSSSQSKRPHVNGSGPSTP HSAKFKAVQEQRAQLPIAKGREALIEEIRKNDVTILLGETGSGKTTQVPQYILESGLA RNGMIAVTQPRKVAATSLAQRVAVEQNVSLGDLVGYAVRFDEKSSTETRIKYLTDGMI VRELMSDPLLSHYSVVIVDEAHERTLRTDLLIANLKTIQAKRNPKSDAKGKGNADASN PLKIVIMSATLDAEKFSRFYHNAKILYVKGRQHPVKIYHSAESQLDYTDAAMRTFFQI HTDQPPGDVLIFLPGQEDIESLQKSIELYARQLPRDKPEVLTCTMFAAQEHSQNNKAF APTPPNTRKCILATNIAETSITIPGIKYVIDTGKCKEKQYLARTAGGGFDTLLTRDIT KSSAMQRAGRAGREGAGVCFRLYTEDAFNNMAVAGEPEILRCSLTASILNLKCLGQNL EELDLMDKPDLETISSALKTLWLLGAIDNNQKLTAAGRQMALFPLEPQYACAVVASKE YGCTSEVLDIVSILSASSKLFVDISDQRDAVTETRRKFKHSSGDHLTVLNAVRAYREI AAAENKHARREWCRKHFLNERTFLEARDIREQLVVTCTKIGIDVNASAKDNDEPVIRS LGHGLAGNSALLQPDGTYKQTMGQTIVKVHPGSTLADKKVPAIIYDDLMYTNQIYARG VSAIPKSFFLTHALLNQKKA JR316_0006786 MSNNQPWYPQDDYQYTQPNGRQRLPDQRSSSFQNAAPDAVHTGQ RLLAVYPPASATPTHHVSRHISNMTLTNAPTFPQPNLYNNYNTNYPPSNPAPYSDYDQ QLRYLSSSQMMVDDSGYWDHNRNEAVRMLNGQTASSYNAHYTDNTQWTTQNPPSSFQT TPFAQSVFQRTTPSTAYPTPPPPPINPASSSLAYALGVPPVQSHPQAQTQTHITPPQP KVYQATESHAFYEDFLERKALQANPPLTNQANPPQIIQANPPQTMIAPVPRQEQIRPS TPPPSKPLVPDSSPDPLSLQYSVSPKAMTASVTPMKRKPIVLIESPSIKRLQALKESN HTSSQPKTPQAPRTSAPPTPSSRASSFSNMSTTSSNNVTPTHKRIVNLAYVAVPPSPW LTPTSSRKPSVKTDSVRSKVTFGDTPDLGGYGSEDDGPSSPTKRFLNDSVKSSARRTG DRDERGPLEKFTSLIEDVFEAEDTLPSELEASDLNHEFFSQLSNDCTRPCLSSSIIRK LTKYIGHVARPTKRLRQAANGVLGTPRGKGRMTDVDTQILSRLLKILERSVKAGEDID PFAYRAPPVSAKSSPKKQSTKKVSKPKKNERASRSLTPKGADEEEDVVMEDPKATPAI ELSEDDFDKLTNLLEIARDSILAADCCIALLGSDRLTKQLYSEELITACLNTIKNQLT KILYAFVEASAESGPSLNSNPLLMNIVKNTTSSASSHRRQLGELFQALSAVIPRINNL INTETVAMSDSIIIQAVYIAIGPFFVVDVGGEADAKGKKENVIIKTLGKSAMRGLRLD ALALIRSIFANHEDQRSWIIEEILTSLIKLNDTKQKAGQFRLRDGRAIRTVSALLMQL VQTSAHDVRIEAKKINKSRQNKFALRRQESFSESQQPPDEPFLDEIDQEELRLYGSGL DSATKAAKTIIVFLTQRSGKGKATKNSNEAEYRAIFDNLIDDLLVVLFWPEWPAASII LSIASKFMVSSLDDVKTSSQTDSNAAKTMALDHLGVIAARIRTSILKVQREPPSKKPL KPLDEIVSNVDLKNLNRFLEAHKSVASHVCKRSSEDQAYDSARELSAATLGQELAAAL KQVHRWLESPGEDDDLNIKDHSKLAAFGDRLKLALREVWVDPSSDVFDVGSAEEVQRV DSLSEEIGTIQSLRNSFNPILSIIVMALDAPAIFMRTKALRALGQIVTSDASILANAN VRRGIESHLLDSSPAVRDAAVELIGKYMIESPEVAGEYYQKIADRMADTGLSVRKRVI KLLKSYYPITTDQRRIEIATRMVLRLMDEDDTVKDLAIKTIEELWFPPTPLPSALKSS RTATAPINPRDNSALESKVSVIMGTSANFKDRQSPLEDVLHKIMVDKEGNEKTSLHAR YAEICESLIDGLVDASDRPGFTVINCIRTVYLLTSAYPSILSGTSASTLLPYLKNATT TEELTTSDYLLKIFRVSIPRMPKTATKFGHELQAALQPMIIKPSGAGGVQLLQEAVGC MCTVVEHLTHDFVRLVNLLKSCNARLLQSVRRPAQELGPAEHRAMVILILIVALLAEN CKFDTLRKENTNLGADLDTISKGPITEHIYNILLSLYEKYESDSLRGRVLQCLGFLFR AQPTLMTMERSTRIMDVIFMSSEEEEKGRMLKIIQDFLVSEAAKHDAQEKESTNANNS KSEVDMGELVGNTEGFADSGVSAAIVQRYLEHILEACLSTHNQIQSVAVDVLTFTVKQ GLAHPLQAFPIIVALETSPISSISNRASALHTILHAKFPTIVNSRYTVSARKSFDYQK KITTGVVHGFRMQPTPIALLQRWYTHVREKRPVRQEFLKALVKIFQENPNYESSQDDV SYTRYMAENFATFDYKTLEEVLTVIKYLTSVLSTTGMQLLEIISPSHLLTHLHGSSSQ PIATNPSEPPPTPDATSTEIPPPTVQADAKEYDRVPLMRTSVIIAIAMLLKAHLKALY SLSEDKCNKFVIGKKSAIGDRPATKRHDVPVSWDRLTYATTPLLTTEDADIQRVRFLE IWNADGLTAEPEDEEFL JR316_0006787 MADSSSVSLSSDPTLAHQLSGHLGNLNPIQEQALTTFKDNLTKA NLYVPAVEGPEAVKASHDDATLLRFLRARSFNPSAAQKQFADAENWRKKHNVTEIYKE IGAEDLDLSRRFYPRWTGRRDKLGLPLYVYRLASLEPFQQELDALPAKTRYERIIILY EFMTRFTFPLCTHLPRATAPIPVSSTTTIIDLDNVSFGSMWRLRTHLQEASRLSTSNY PETLHHIAIVNSPSFFPTIWNWIKGWFDEGTRKKIHVLGRDPGSTLRELVHSHDLPAV YGGELEWKYEDEPLLDEHAKQAIEEFPRGPVIFVDGKVAPPS JR316_0006788 MFRELAFTPKNSSILPQLITVPPCSTIPPYVKKIEFQGVEPLFK PNYTTSHLLSHFSSTVTHLRLRDITFDDFQCLLDIICAFPHLQSLKLNHVFWGKSEGS RGMDGATRLLPKSVTSLELKHTDLQDFASWLLSHPSLPVVPHMDIGPFEQKDIPYAGK YMSLIGPAITRLSYCFATVEFQHMCLFKLISKLMPLPENKPIIPVAPDLSGSPTPASQ YKLCFGLPICEHLASFINLRYLRIDGFMDITSPGNTTATYWAPRILASVKSPGLEHLL FGVVLSRAGDMDRCNVKWGYFDFVLSHESYSGLRKVEFEVKGRAQLDSVADLIALRLP QVSELGLLHFSKAE JR316_0006789 MSSPVKLYVYDLSRGMARQLSRQLTGRQIDGIWHTSVVVFGKEI FYGQGIDITTPGGSHHGQPLTIVDMGETSLDEETFNEYLEEMRQHYTADKVLSLSKPF TINDIDVTLLAEFNCNSFSDDCIGFLTGQSIPSYIKDLPTDFLSTPFGAALRPTIDAM YRRPTPGPIPTPASAAAASPDPQLASAILQAVAAQAQGNGTAQAGSKATESLASPIHI VTNPASFNGFLKTHKASVALFTSKTCPPCRVIEPVFERLAEEKGIQDGKDGAAFAKID IDVGMGNALASQWNVRATPTFMFFLNGQKLDELKGANANELRSQVDLLLFQAYPPHPH TSLSMPAVQALSTNAIIFSQVPAIDTVLAKLSSFIDEQPTWPDSSKQSKATVKTVLSS TVQPYLKSRFQPPNPAPNPLPSASPTVLAAWTETSAILVSVLPVESLFPLVDMWRLAF LDPAVGTWTSNLKTASSAVDPVSVFLPKAIGTQDTASKGARNYTLTVLRLLCNAFSST ALAQTLLRNDGSRGQISSLLIPTLLHSDPLVRTAASSLAFNVAAVLQKQRIDSVRSGK GIKADSEDYLADWEVEITSAIIEAIDREKENEEVVHRLTAALAFYVRLSPQYESQLQP LLEVLQSRQLLKSKLAKGDGWNSDGGITKKDIRKLVEEVAGKLCA JR316_0006790 MASHSGPVHTHRLITLILSVIFSIIVMGLLANITHRTLGFRSAT NWQILGLVISSLTILVFPLMLVASGAIHVITELILTMILFIVWVVSSAMIIHARRNNF GGVGCGFFRFFSISSLCSQLTAAEAFSIIIWILLLFYIIHLLAYGMSSKSRADGRSSW SRRMSEKGAYHDESGVATGNTGAPATTGVNTYPATAPA JR316_0006791 MSLLTPPNSSHRADKEKENKYPAPVAGPSTRSVVWAPQNSIHCL GTPPKSTAISSRHRPNASKSILKKSSKINMLELPAAPKPRDVTPEPSDPLVDLNYLAH PVNLILSNGGPDQTESLADLITGYNILAARLRSGVSDVTDGDASWPLFQPLRKNAQQF VDAVVRDIGRALVDPLLLMASSRDECAMEVPKFTLPSPRKSPTKKKGGMTEEQVKYAR DLCTTSHSVIKLLAFILATPAVYNVFQEKQLRQILTAILAIPLADEIPTPNARKTCAL AIWLIQTQRLPASVLQPAADRIAYAIRRGIDGELGKEGKKGSASDGLKAVHDLCVYLP AVFIPAFTPLLPSVLSNLLANTLTLRTQACHALGGFVIGSTSPSVPHSVTHTKIAEMV AAFLTTVTSPNPKSPSKAQEAMIVRTLRTTINATEPAHVAQGPVWAVCVLASFVALLR SKLCADAKVNRIVSALLSLALRHKKSSVRALVCIAWRTVTWSYFQPPLIADTEEGEEE VIKEDEEIAAEREMLDHVKKVHCKVMMTVVDMQAGISTIAALLGEDTEKLDDAHRDPD EPLRLSLDILNTMTLRGGHPCIDAIDALRHITSITRSGHEVPADWDLALLLPRGLFAA NPGLLTAEFKSLGAAVRPLYDQVAQTGDVRLLTREEISRDWVCEGLLKSWRNVIRQLE MFDEVHSAPENLVEIWTNVLEANVSLLQESDDDATISNFATKAVKYLIEIAQDPQLDF RPKKPTEQQTAAATLDSDDTIPDTGSTTCTNAELRLRVIYSLWNAMKSVFPVGLLSQA GEELLEAFVWGQNSLVPEIARMTTSQTEEGEELGERAREAWVGMCVEVLTVCEVEAFK MFWGVETEEDVRQGKEMNNWIWNRDFSRAAWRSATKRWMENKGGWEACVVLLGLPFSD RHCWNVIGADYDLWEQLLEYTTDKALDDADLDTASVLDKVASFVSHFQTPGLYSAPST RLVDLLISHLEPSKWHDLPLQVLELASSTMRATYPPEPRVKGVSMWMARSLANLIENC PTQFCLRLMEVLDEGLCLWLADECEVWSDHELTYDIIPLYQHILVRIQVLPESMENLD KLSNILDSIFLNKVHAVAAESFLDYWKLTYARMNVPESELPTAITHCLQAVGLLAPPT PTTPEPTAPTALPLAAAFIPSSPRTPIAASFSTPPTAIIKREALSRVASPQRPHKVFG AFPIVPSTPMSPSRRRRSSGTSSEGMRTPLSAIQLCTSPAKRRRLMSDGDESSRKSDK ENMFMDKGNVPVVASVTERIAELKPKGKKRRLSDEEDDPDYVPECAPASSTGSGKKLK GKMKPKGKPVAKKARIPTSPVPSVTGSVSSNESEDERRWVEAALISGSDVPFPKMGNV DDEKEEAKEEVAERDFAVRNPIVYGSASKSRATTVCPSDDEASSSTAAELATPTTPTN NTRKIDFTKIPRRAASNPGPLLGSCTLKKRKRPHSADGSLDSEQKDCYGLSSMKPLPA LALSMPPPKPRKLRPIFKMYTYPLSSDSDHPASSCMSSDDDPHLGQVTPHHITSPDFI RKAPFVNVSMGSSKKMSASKAAILKELFGEESPSPDSKPTYPGSDDSIMSDESPVKGV VSRQKLQRMGSDSLIGGYGKSKAFVW JR316_0006792 MPAYTSETRFPIPNETAPKLTITLNVYNCQLVEAAKTAVGDAEA AFSTMHDLEDWKGALDNIEWVIGLMHPYTKIAWSAVTSITQALSQQLQRDENIKKLIE SLRSSFDLVQSEDLLKAITPNSNQALILKVMLRHIGLCSEFIQHYTEDKQFATRLARN LFRGISKEVQDLCNTLASLRQDLLDNALISTQITVDKIQRNMDIIPKRLADFDIDSKL AELPYKSGWRSIYSVERSGCHPGTRVDLLEYVTRWVDDPSSKPGLALFGQAGTGKSTV AHELALRFQLCDRLASYYSFSRSDKSKHEDHSLLTTIIHDLCKRYPSFKAAVGERIKV DISLRTTNSLDLLFDSLLMDAMWEVNAEYPILIVIDGLDESANPLGKTGLAAFLARFL GSLPSYFRIFMTSRLDGNIRQLLANAQTGACEALDINDHRLTTTDDDIRRYFQDPENL TPRLYQQYGDVLVEKSEGLFQWASVACRHIKEPPPGWTESDCLRGLLNPITRESKEYK HELNRPLYALYDSVLSGYFSTEIARRRFRSVIGHLLVAPVPFSVKSLTSLRQFSSSPD QDDPDSVLAIVKHLGSLLSNVASSEYHDLPIVPLHTSFRDFLTHGITANNPFFINLNS SHRELIYACLNVMLRELRFNIFGVSTSYFANTEIMDHAQIGTRISPALFYACRYWYKH LKRLPFDDFIFKQVQSFLQEKFLFWLEVLSIADKLPLAMQAFVMLRRWIASNSGVNTA SNQFNELATDAFKFLRYFATPISRSVAHIYLSALPFTPTSSKIYQIYASQFSNTVALE FGRQTDWPALELSINTQSTVYSVAFSRDGHYIVTGASNSTICRWDTTTGRMVGEPLTR HTGPVNTLKLSPDGQLMASGSGDGTICIWNASSWELERGPLQGHTQDVYSVEFSPDGQ WLISGSEDATILIWNVTTGAIHQGPFTGHSKCINSVTFSPDGATIASASSDHEVRVWS ASNGELKQTPFTGHTREVMSVKFSPDGQYIVSGSKDRTVIVWNVSTGLKEQGPLIGHI YDVTCVGFSSDGTRIITSSYDNTVRIWNRKTGRLEHILHGHVNWIYCHALSPDGQRIG SGSEDRTFCIWTIPGTELGFGNEGRALASSENNTVTRHTDTVRCVAFSPDGKHIVSGS DDRTICIWNASTGRLESGPIKHHTSTVFSAVFSPDGEWIATGSGDRSILLINPFTGER KGYQEPGSANASSSENLPFTGHTAYIRSVSFSPDGLRLASGSHDQTIRVWNVNTGELE LGPLIGHNDKVVSVQFSPNGAIIASGSWDATVRLWDSLTGELIKEPLKGHKGGVTSIA FSPDGTQIVSGSSDRTLCVWNVSTGILERGPLAGHTSFIASVTFSPDGSQFASGSDDL TIRVWNALTGTLAYVLPTGHTDIIHSIAFSPDGTRIASGGQDSTIRIMPACAISPTGT ESHLNNSSVIDPDGWIRGEHNELVLWVPSLHRAGFYRPSFPSLIIGENQFRFDTSKFV HGENWTACYTGHHI JR316_0006793 MSKPLYTIHPEAYYKVFFHAAKHPHQPVNGVFLGTQKGEKGEVS ILDAVPLLHHWTSLSPMMEIGLDLATQHAETSGMKVVGYYQGCERIDDTSLAPVGEKV ASRIRTGFEDAVAFVIDGQKIGNGEVALVPYHPLAATTTWRPVSGEPSPFAPGSKFQL SAPNLPSEAVKYVQDQNLHFAFGDFDDHLEDVTIDWLRNRTCLP JR316_0006794 MFTVFATLFAFLALSVNASPLSRRDVIAPRITSPNAQTVWPIGT VQTVTWDTSNFPPDSQITNPIGQVILGFNSSDSLNLDFKNPLAQGFKLRDGHVQITVP NVTPRNDYLIVLFGDSGNTSPSFAITQIAGGSSSTTPESSTAGATSSTLITTPIPITG SVITGGSSSSATDSSSSSSPTPTAPLSSSSSISSTPSSASSTSSDSSASVSSSSSAPA DSSSPSPLTGSAWSLHQTSLSTVSLCMTFMIMLITI JR316_0006795 MNSFISLFFFSLLSFFSLVSGAPIELSQRDVFVPPVILPNSHSV WKVGTTQTVKWDVSHPPSQITNTKAKIILVTNGLLDFEHPLADNLDVLSGSHQVKVPK VEPGKKYQIIVFGDSGNTGEVFQIVA JR316_0006796 MEDLPDAKAPFSVSALADRAWNRVTEAAFKSSWTPIARLAEAAV VAYVSVWYLKLCEPTRVMQKITIGQLRVLTYSHIYSFPMPSPDNGEDRSRTNTRPELK AELRVVNDAFWVRLCAMGDLGFSEAYMYGDVECDNLVTLFQIFLENRENLSNMDSRFS FLFTLPQKITSYRFLNTIGNSRSNISAHYDISNDMFAGFLSEDMTYSCAIFPELDGDL AEGKEVQSRWSGGQSLKRLTPDREHHLLPPSPPSSEPCDASEPLTLPTEFEHPNAHIE ANDDPLFAAQMRKLQHIIDKLHIPDAPPAGKKQGPVRILEIGTGWGALAIRIAQLYPH VEIDTITLSSAQKVLAEQRISALGLDSRITVHLMDYRNMPAHWQGRFTRFVSIEMIEA VGREFLEGYWKIVDWALEKKGGVGVVQVITIPEAIFPGGFLPTLTYLMTTLTSGSKGS LIVESIDNIGPHYARTLREWRKRFVDKFETVIVPALQKEYLERKEKAGTKGGAASLSQ EEIEVFKRKWIYYYCYCEVGFTTRTLGDHVMTFTREGNQAYGCDVYE JR316_0006797 MNKVTNNSGAPSNPTPLPSTYFASPIRTLKESLKEPGTHDVSTH DLVEAYNSLATKLRDRFDEISVTPSVLTVIQNEAEVLSDCLVRDIGRVLPNPFASQHY NHSLGNISVYTEQEADDDDELEFTTDNNLLCQYALRFVSDIFTFPNMHCNFTDNQLVL IFDYVLRICKSPSSTIYNLEKHSAMVVWILKVQQLPLSVLLPLQGEIMLALENSISHD LGGYIAKLDAFKAVRSILKKHPTCSSWLVGLLPKILTHIDSDSPEIAIHAALACTGFT NAKMEMADVDIDAFPTDLVSKHVEVYVIDRMSRRTPSGKSSALVDFFRSAAYNKPQWR HLGLPFTLTVVSCFIALLGHRIFLSSQCIRFAVLVLRECAGALPSAQKEAWGLLFWAY SRLPRELERDAQRNRECTKERAFRVVKQDGRQSNLIVLLLRAGEVDFEARRSDEDISR ALVLLLDLVGSDSEENRKEGTAILSRILDFIGSPSTMFTPRVELPFPRELIDDSILFQ AGSKLKITPYHVPIEHIPPLTETEVLCHWERLVEIWISVAQHALDSGQQLPDEVTGTW QALLLVRGEIVTGEDLLVGPPALSSTLTSIVNRFNPALDSIATQIDYLSFIRKLWSVM KNVFAKSFLSSAGESILVSLLKRSFDLMDSRVKSPWRQVCGDLISIGVPTFLRGFNLR ESESQEEAEVMHQLWTVLAQNGLLEREVQNWETLVDFLVMPLGVWYLSDSELLYWQTI LARAIEIGGTQEDVMKRFVSLCSEDKTNDLKQSTRLLHSLLTQLSRSSEPCSPTNLQI LSWIDEMLCDSYNSLESSESAIGILRLIGEVISNTAESNIVQLLATLKNSLSMWIADA HRKLKGNEDDEMVDALYQRPLHILSNVEPSIAILESLTDFFMSVFVRIRGQGPLAFYN FWQATYHKRDSIPKDQIPMCIRQKLKSWADVTDGSIGDGIPFDSGSESIQSYVDPDSQ PRGVEELDLMDQGHPPDEKTGMMITAHDDYPQRSDDDNENTPVPRSRFTELKVVGDVD DHSRSQQKAKVVESASVKRSAESDASGSRTPKRRKLASPALSDEIPRINKGKGKAKEI SRLPSPSFFSPSRALSDDGDFDIPRGSDDYDLWERNVALEEVEEIRAQFQQGSSADIH CSYQSEDDGGSAVDSEDILGPSLNRYDTRSKARERSQTAPELSNPTQPAPNSLRRNNT TPAEETIRKPSKIRDQVGVLQKAYAVVAHAGPSQINVDDIIEAKRVLNDLHEALDEQL NLVLASGDGRNV JR316_0006798 MLFCYFTLPSLFIAVLSFCYGALAGPCMPCQASNRRYTPWDRRI TIPRGVYSPNITNPTGRTVWIGGTDVVVTWDHDDMPAKPANPKGTLLLGYLDDGSEDE HLDVEHPLAADFDLKKGFVTFKCPEVVEREQYIVVLVGNSGNRSPTFKIKN JR316_0006799 MQFTTFFTALLAATASVVAAPTPTPTPTPLKSPQELIVFNPPIT SPKSSSAWAKGSEQIVEWEITNIPESRVNSTGTIVLGYSASENGNEHLDIAHPLATDF PIKQGFMKVVMPKNIAERNDYFIVRK JR316_0006800 MSRKSSSLPPGYSIDASAPPMLRYQASLPRLPVPTLESTCAKYL ETAQPLLTQEEFSKTKSAVSTFLSSPLAAELQKRLKDRAAAPETLSWLSEWWNEAAYM GYRDPVVVFVSYFFVHLDDRTRRDPAKRAASLIKAMLPFRELVESGRLEPEKVRGAPL CMASYKWLFHSSRYPVKPSDTAAKFDAKSNNHIIVLRKNRFFLVPLTNTSGAELSAAE LEAQLNNIIARAGETPYAYPVGALTADNRDLWADARQALLAASPSGRNAELLRKIEGA MIVLALDDSKPITREDISWGTWVGDGRNRWYDKHQLVVYENGRSGFLGEHSCMDGTPT LRMNEFVLAALAQGKVDLGPEGVDGEKLPVVEELVFELDEKVKGLVQGAEKRFDELVG KHDLQVLHYEGFGKNFTKHHKTSPDATAQLIKQLAFHKMNGRPGVTYESAQTRKYQLG RTEVIRSASNESKAWAEAMLDPKITDPVYLKSLFTRAAARHIQYASWAADGAGVDRHL FGLKKLLQPTEPVPALYTDGAFSKSNHWELSTSQLSSPYFSGWGYGEVVEDGYGVAYA IGDDYVRWTITNLRGGYSRFGERVDGRVLKHYLAEAATEVKDMLEAAAKKEKEKEVEK AKL JR316_0006801 MHDDAMHGDQSLKFEKVSFGAWPLSPPIQAEIKLTRTCLKTLHS VQFDIVHLLLSFFCLSGRTLAKMKLSLQNEHSIAGLFGLEMSPFKLVPKLEIISSLKR LRVRITSLPPTLTYLLVCSIGRCLYSDRTTVAPMQRIVYVVCKESAYLVQYCQGCAKC SLENQRKVGLTTGGLFAIFISIDLSAKFTRTRIKNFIERSIKFKDGKLRELAKSSMSI YKKSRAMRTKAIPTHQQHPRDTPFSN JR316_0006802 MSDLSAVFTKTSAYSLAGVVCHGMLGYLGAKAFLPRNARWQDKF TFVWLTFDALIHFSFEGSFLWLSVFGRQVNTSVGPFADMWREYAAADFRWGVADPTVV SLEILTVLGAGPLCCYILKQLANDDPARHYWLIVLSTAELYGGWVIIPLWLMVDSYTH IAGALRSAQANSRAKSKSKKA JR316_0006803 MKFFAIASAIASMAVMIPAITAIPQPTTTEVAHISLNQTLETNN ALELGARAACDGNTDPNQIYCDTVNNRASPGACDKIINKLSNLTPDTKYTKAECDWDQ YGNRCCISWNTGATQGAGIRGAELARAAMRIRDKCGAGGVPISGAHRSLNVQGIFDIR SDGR JR316_0006804 MDSPTRSHSLKLSEKTQVDQQVTSVHEGFDFEKVLRDMVDSRKQ AGIIPRELGVTFKDLHVVGLGAAASHQDTIASTLNPVNLIKVIQNQRHPATRDILSGF EGVVRPGEMLLVLGRPGSGCSTFLKILSNKRDEYHAVNGDVHYDSFSPETIAKSYRGD IQYCPEDDIHFPTLTVSQTIEFAARVRAPKQRLGLSRSEYAKTTADTLMTLFGLQHTK NTPIGDAILRGVSGGEKKRVSICEALAARTCLTSWDNATRGLDSSTALEYVQALRTAT DVSRLTTIVSLYQAGEHLYELFDKVCVIYEGRMAYFGPANQARDYFINLGYEPAHRQT TADFLVAVTDPNARIPRQIEAPLPRTAAEFAASFALSAAGERNRDDMNSYLAECLADE KGVVNYKQSVVAEHARYARRTSPYVASLFTQASAVMVRRFHIVLGARLVTMINIIGYI FQGIIMGTLFLKAPQESSAFYSRAGVLFFALFLSALMSTTEIPALFSQRPIILRHQQW GLYHPFIEAVALTLVDVPVTFFTSLVFGGLVYSLVGLQKSAEQFFIYLLFVFFTAVLM RAYFRSLAAMCQSEATAQTLAGMSILSMALYTGYVLPDASMIWVLRWLTYINPLKYGF EGVMSNEFRTLNGLCTALVPQGPSYANITLANQVCGTVGAIPGQLSVQGSRFIKLSFN YTYSHLWRNFGILVAFGMLFFGLLFLFTEINTSLTGISVMTLYKRGTKLDDAADSNDA GYTEKQPVHETGSSNGRKDKGTKHKDVFSFTHLRYHINLPNGDTKQLLDNVSGYVFPG SLTALMGESGAGKTTLLNVLAERTDVGVVTGDRFINGQALPDDFQSQTGYCQQLDTHL PTASVREALLFSAKLRQPVTVPLAEKEEYVDKCLHMCGLWNERNAIVGSLGVELRKRT TIGVELAAKPKILLFLDEPTSGLDSQSAWNIVAFLRSLADQGQAILCTIHQPSAELFH VFDRVLLLRKGGQTVYFGDLGHNAETLLHYFDSNGARPCLPEENPAEYMLDVIGAGAT AFSSINWHEVWKRSPEAVRTEQEIEEIHTIGRSQPAVETALRTEYPTPWRNQVIELVK RGAADHYRNSEYLFAKLILNVAGGFFIGLSFFKNQDSMQGVQNRIFAVYMLLVLSQPL ANMLQVPFVATRTIYEVRERPSRMYSWTALITAQILAELPWNILGSSLYFLVWYWTSR FPSGRAGYSYLSVGVVFPLYYTTIAQAIASMAPSAEIAQLLFGFLFSFIIIFNGVMQP YRELNWWKWMYRVSPYTYLLEGFAGQALGHRPVSCSPIEFVTVEPPNNLTCGQYMADF ISTAGGYLQNPDATSGCQFCGVNNTDQYLASYFNIFYDHRWRNIGFMIVFSMFNVFAI YTLTYLFRIHTGSFLPSRKRKSS JR316_0006805 MVSRTVLSRVIKSAARNPRALRGFATAHNAPSSPFTEVTTLPNG LTVATEAQPHAQTATVGVWIDAGSRAETDKTNGTAHFLEHMAFKGTGRRSQHALELEV ENMGAHLNAYTSREQTVYYAKSFRKDVPAAVDIISDILQNSKLETTAIERERDVILRE QQEVDKQLEEVVFDHLHAVAFQGQALGRTILGPKKNILSINRDDLASYIKTNYTADRM VLVGTGGVSHNELVDLAKKHFSSLPVSPNPIPLGRLAHPKTTFVGSEVRIRDDTIPTA NIAIAVEGVGWSSPDYFPMMVMQSIFGNWDRSLGSSSLTSSRLSHIISQNNLANSFMS FSTSYSDTGLWGIYLVSENFMNLDDLTHFTLREWTRMSIAPTTAEVERAKSQLKAGLL LGLDGTTAVAEDIGRQLVTSGKRYTPQQIESAVDAVSVDDIKRVAQKYLWDKDIAVAA LGPIEGLLDYNRIRTDMSSMIY JR316_0006806 MLKLDAESENNVQHQQHTVDLHTLPNNSDQLTTVGGDSQNTLDV VQTLGRLAKSREDAGILPRELGVSFRGLEVIGLGAASSYQDTVGSIFNPSNMIKQIQA QRHPSTRHIISGFEGTVKPGEMLRQYLPPNQYFKSLTHTNLSPTVVLGRPGSGCSTLL KILTNKHDEFHSTSGDILYNTFLPGQISAHFRGDVHYCPEDDIHFPTLTVGQTVEFAA RVRAPRAAARMGESRAQYAKHTADVLLKLFGLEHARNTQVGDATIRGISGGEKKRLSI CEAMAARSCLTSWDNATRGLDSSTALEFVQILRAATDINRLTSIVSLYQAGERLYELF DKVCVVYEGRMAYFGPANQARDYFINLGYEPAHRQTTADFLVSVTDPNARIPRSDLAL PAPRTAAEFASAFTRSDIGQKNAQSVDIFRAELQADRKVSEVYVKSAREEHDKLARAG SSYVASLPAQAAAVMLRRIQILRGALVISIINMVYVFSVIYKLFAFAHTAGLPSGYIF QGIVLGTTFLKEPASTNSFFSRSGILFL JR316_0006807 MQTEFDFSYLDAEVQRAELVAAEKECEELMQSLLPSKSKKQPLR KAAPPKGLSAQDQERLHDAQEKVKRLRETYETRFDGPRGKKVKREQVNVQMEEIPALN TTKGDSLGNAIVLDQQDMIDPILQNSPVTHFAAAALPDPSLASGSPVSALLSASISAL KLPAVELPTSISTAQTLSTTLSAPNFAPTGETSSNDSMDPRPSSTSSPAANSPISTPA DSAAAFPSSGVHNSTSTAPDHGSRMMEIDTDTSNPMEAPCSNVMINGNSQKHNVVETT SDKNTGNVNDDAIMTVPTTSVDDAETQSNRLEDHNKEAMELFKDTDLQDLDKIVTGSK ELNISERKTKKMRDLKAIPVSDNREHQTAMMSLISPPLSGSLPPLQKQITAELEQISQ FLASVNCKTAELEADICSPKQRTMDEIRGALQAIDSNHDICQKATARMGELQAAIIQH ERAYREQQNRKPLEDRRKVLRKERTLVSNSLKTATSQNLADIQEKLRTIEQELSSIRD QLNTRKRPEKSAHQPTGSVPDVLVPQIPSVAEGEDSLSGAFGEDGIAGDFRKAMEAYT RMTGAELQALSDGAQNSIQEFLETGKLPSLKVKHRKALRMLQFMHPELCITHRQVALG IHMTSHLNLVCRYHKRSSMVKKCDNKTHIAGVAYRADYSAAPQEGHMNCGCLIDNVLL DFYFWKTLSIRSTNPKLQSVEETMKTDVFPPRIRAFVIKLFTSATMLTASDIYNDHRP RGKFSRETHLMMVSFTRLGEELKARLKGIKVVTYGIDDVNDTMQIDTA JR316_0006808 MLDEIVNAVKDSKTLNLYTTHDTYLKALRKMESACTPSPSSTVS LPEVPADVSETRNTHISLIHSSSMHRPHPRMQIARLSTPELDNEELMHFKNREKSLEL LNRTVEIQQEITLWEELMSDKWSYYYGWIINFDFKGTTYEQVTSEVMERVSKLLHEWC ALVTDSVPQDFDYKTAIKHIQELTIALNFMQKLSDNSD JR316_0006809 MAKQRAAKASTLVESTPHKKRNGGTKKGPIITASRQDARCKRCP GRPLRSQCIHTKKGQQYIAEQQALQSLREPSVDAMERGSASASENDERPEISAPLTGP EDHPTSSASATVPSTTFPSISAPGTPTSSSLLQETASILSMTSSSLAQLTLRTRTTPS HPKPPRTSAQNPYHGYVQGALRGTEVYQIVRGHSLPSPISENTRLVKQFTNSINAIVE KCEGLSKQTGCWLFIGAQHATARSGAISYASPRLRRDAAAQAGNIGTQFSSITRNLIQ ARIQDNVNLQQQLEESRRHAEEMEHAIAAERDSQRLLSQQLARYQELHGLLPS JR316_0006810 MSRTPSPVIVYAPLPESPTQIDYTPLPDIQGYDISTPQCKRRVA KGARQGNTKKKRHGNLSNASIEDLLDDNEPSDMAMMDVEQGPGESEANMNDDSEDFLQ FAEAVVAEECPIFQLTERMFVVSGWNAAKRESNKFWYHAMRDNTQDTVSVVCLCPLNR LQACYHVRYLTEELPHNATVNEVSLSAYQEARAFLFYRNEGVMDNFYTNIFSIPTSTR FPTIKNRSIVEHYGDDSGNGTWKCSRDQGATSCSHIVQARHALQKYIHGDWNAQDETI DSSVATDGVQFGGVPLRQVSGVAESISYKRIPPPCWSQIGADPPAPPRVIFNSPPSSI SLGDNGTCICSNPRECFDPFRPSIDKECTVYTLTGASKAVISLQQCLKCSHRFIGPEC SDLGLFNFNNTSLFAHDLLDDYTSAFSTSETPFISWVNTVSRRYQLRGSDVPFASDKL FRAAWFSYSRLLLLENDMTCLECGPNPKATIWDGVTVAFSRQMLLPSLHPPTLTGPSS IERPEVHPTSNLHAIPNRNIRILIRFILKGPPLTTLSTEAEVTEASPHFERNKKIVER LSKVSELVNKLSNINTHLGNLFNSQFGLSAVFRKQSIPEVYIKFFLQVAADESILQAL PYPSILSLRRFLRDPSAQHITWLRYTPFIQRVIQHEVRFGNISADTLAVCEWLYVRAM AVFSIIKVHDGPAKNDDTVNSNIEQEDWLKTGCHYAMAQIRERPKYPNLPYEAGNDLV GANEDEDTCHKYYSTYSKKRLTGGIMCVWCTHSVCYGFHCIRAAEGRNDVFSAIYTRW KQAPEVIVYDFACALQPYCMSREPDFFKNTRFVIDIFHSSEHKCGEACFLATYCQENP DLLRLNSSAAECGNSGISKIRKGVSYMTQDRAVMYMKVFFSLWNRQQIRKMERTGIRN JR316_0006811 MLGSDYYGMSATAQMLAGLSVLAMCLCTGYVIPEESMIWALRWM TFINPFKYGFESLMANEFKDLNGTCASIIPHGPTYTNISIANQVCAVVGAIPGETHVQ GARFIKLSLGYTYSHLWRNFGIVIAFGLAFLAALFIFTEINTKFTGVATMILYKQGGN SEDESKQHDSDSVEMMIIEDKVSKGIKDNVVSSVSGSSIAEEYRKDTRESSDGIFSFT GVSYTIQADGKDRKLLQDISGYISPGSLTALMGESGAGKTTLLNVLANRVDVGVVSDR EKAEYVDKCLHMCGLWNYRDAIVGTLGVELRKRTTVGVELAAKPKFLLFLDEPTSGLD SQTAWSIVTFLRSLADQGQAILCTIHQPSAELFHVFDKLLLLKKGGQTVYFGDLGHNA TTLLAYFEQNGARPCGTDENPAEYMLDVIGAGATASSDIDWYDVWKKSIEKQALDQKL QRIHSEGIRAPINTTTLVSEYPTSWSNQFFELFKRGASDHYRNVEYLMAKISLNIIAG LFLGFTFFKKQSSIQGTQNRIFVPYIATRQVYEIRERPSRMYSWTALLTSQIIVEML JR316_0006812 MPSDSNPIPEALKAIWISNGLPEHFLNHLKLKGDADTAVPSSFR LGLAAQISIGLAGLSAAYVHYLRTGVEQDVTVDARHAVLSFHSEAWYTINDALPPGDL WDNIAGLHRAGVLDILKISDSPTLATRDEVAAAIRQWDGQSFEDECAKSGMCVFKLRK LEEWKNSPHGKALDASPVPVVQIYKIGEAKKKIISGGESPLDGIRVLDLSRVLAGPVA GRNLAAQGAQVLLITSPKLPSLPYLDTETSLGKRTTQLDLSPTSTTDVEKMNKLVRGT DVFLQAYRPGGLEGKGFGVDDVLKLKTEHGEEGVVFASLRAWGWDGPWAHRRGFDSLV QTAAGFNADEGEAYRLYMASQGKPSEWRPRPLPMQAIDHAAGYFLAFGINVALARMIL EGGSHEVRVSLVGVGRWIRSLGRLDPSIAFGENARKFPERAWPLDEEIQRLSIDWSER QGGKGRKMTALKQAAVFSTTQAKEGRGTNWGAPMRLNADEAEWQTDMASSNQNPQSPE PNASIVKGYRIQRCSGESGGIPVSTPLSAHEATHATRSQSYSLTLNETGTARNVAQVK TLGEAIHAIDGVQKALRKTYLQVGRPHGDINPNVMLLNKHGEGVLVDWDNNYLVFSMM RTLLRERYYGQKKSSSSYFFPVSIFASR JR316_0006813 MASSTTISQLTPLLSTTPYLLAYALPLLLLSLILTFSGTFLTLD RSRSFPPTDGAVKGGKGYAALPKPGTLSLEKTKKTLRRLHWILEGGIGGLAGGYVFGL HFATALAILIPATTASATLSPKAFLAIWILTCAVTTPLAGRYCYIAFFFFGLSGGTLF ALALSIIIHPSLLSRVILVGIFLPLFTLLVLFTAIVPIPRLTAKFLHPVLRFCTASTG SFGIVLSIALLLKPQAESWANAWERFYMENASMDGYMWGSSQEQGLSAAYAVFLFSGI AVDWALRRRIGECPDEKWDKYLAQYAANLPNRPDRAGTFQPLTTIWDRLFPPPSPVVP FEKEAMFGSEVDVKTAVPEPLPVTMHNKSPGRLERGMELTSVPASTELLRKKRSKAHR GGGWRMAGVDGERKERKPVKFGETSDSSDSDSDDETKKASSSSSPASSPVLRSHHPER KWTLMDARHFTSSSSTPTLVGLAGKNTTSSSSSDPRIDSLNKLDYDKEIAQLKMQRKK LGVEDDCDDLDYSDYDDNLAARPILFRTRTVEETEWTPAFLTRHQSARRAEPGHLAAP APVPATPSLIKAIDRLAMAQREAFGKASNSTPVTPPATSPVAAAPTKAPAPASGKAES NPKPESNAKPPRPPRSASRSRSTSQARRQQHVSPEDAEMGAAVQLDEPSNSERSPRWE EFWREVRVKAQT JR316_0006814 MICQVQKPAGVSPLHGCPKGTIFVSQDTNDKNAHFHSVQEAVLS LPETGSATILIAAGEYQEVVNVTRTGPLTLLGQLPLEALLTIDQPFADTSKSPFNQNL VKIWSNSFVHTGMDDAQSAVLLVAPSFDASLIGAGPTGAPLQPLFGNVDFKAYNIDFE NRAANFSISQALVTDISYANASFYGCSFASFQDTWYTGRNGSTYVVDSIIYGQTDYLF GFGTAWFQNVILANRACGGGLVAWKGTNLTDAPGNRYGAYIADSTIVRSPDANATTVT ADKCFLGRPWNDLATTVYLRTFMDDSIRPEGWTPFDSARPVIMNTTFYAEFDSTGPGG NTSARIPLEHILTAEQAKDFTIDKVFLEHPKWIDFEYLF JR316_0006815 MFKFQPWQDGTTSTDSNNFGVRQWQSNISPPPLTQTNQPAMNTV GSVTKQIAAMEISSKKQIPASGSSNKLPTKLGAQPSVTKLLTKYGAPNPFTNASNKPT NPSSLRNPVPNSSSSTAHKQGHSAQPSIDIGSYDGGLERDNEKRGERVYGEAAEELAL DSSVSKRNPTREWTLHDFDMGRPLGKGKFGRVYMVRTKAEPKYIVALKTLYKSEIVQS KVEKQIRREIEIQQNLRHPNILRLYGYFHDEKRIFLMLEFAGKGELYKQLSKLNRFSE RRSSRYIDQMADALIYLHSKHVIHRDIKPENLLIGINGELKIGDFGWSVHAPGNRRMT LCGTLDYLPPEMVEGKEHNEKVDYWALGVLTYEFVSGFPPFEDRDSVNNTYRRIARVD LKFEDKHVRLSEEVKDLITRLLRYEPQKRLPLTEVLKHPWIVKYRPKERESA JR316_0006816 MALLHISDPPFHPSIPLATLTIIAVWAFLRLWRIFFKNPSYPPG PVERNFLLGNWDDIPTVKPWATYAQWGKVYGDVVHFRLYRQHTIILNSIEAVDDLLEK RSNIYSSRSQIEMVNLMGWDFATGIKPYGPQWRNHRRFFQYVFRSAVSQSLRPVLSKK VNDLLYNLLLTPENFIGHYKSLGAANIMAAVYAYDIAPTNDYFVSLSENATARVSLSL APGPSAVNAFPILKYLPEWFPGAGFHKLANETRELTEEMQELPFRHTKKMMDSGNSPL CIASELMERFNSSEEDIETIKEVCASSYAGGADTTAASLGMFFMAMATHPEIQKKAQR EIDNVVGNGRLVTWDDMQLLPYIDALNRELMRWRPALPLGIAHCTTQDDVYKGYFIPK GTIPTNGIFHRAISRDERKFKDPDQFNPDRHFDEHGNLKNDYQSYAFGFGRRYG JR316_0006817 MHGEPLLILPRYEGRPATKQEIRDMIIDRWLKRQELEIQYPSVR RYVKGKQEPLRVYGFPLLNKDLDQLLINVGIAFDPEAPPTQRHIPAYEIIINALPKRM DPSFQYWRWVHGPPEENHGRMLVVAIATNETWHDLAVASDHAYLRAVNYAWWTKIDGK MDLYYVRLLYLIKVGRRHIMLYNIEMMNSYAM JR316_0006818 MSQVSRKAKGFSLTRFQRAPRINRALARHPKTGLPQPPVKIVTR EFAPCRLEDHYHTTLKDDLMYMTYTHEIGERRAPRQIRLKFDPEDPYSKYRKNPPVGG SQVGKKPAPPSTPENIVRLEKIQLHTMVKEATANKSHLLPAIMQLKALSGESYKAGGR HAVEGIQIIRGKKSVGGWVRPGIPIGAKVDLKGQAMYDFLGTLTEFVLPRLRDFNGVV LPPQSSSSNTPSTVAGVVSFGLPPSAIGFFPQIEVNIDAYPKLSGMHIHFVTNATGIG AQDRARALVSGFQVPFVRR JR316_0006819 MSSSRPSSTSAPKPGTHKSLHDYQLGDSLGKGAFGQVYRASLNW TTGETVAVKEIQLSNIPKGELGEIMSEIDLLKNLNHPNIVKYKGFVKTKEFLYIILEF CENGSLHNISKRFGKFPESLVAVYISQVLEGLVYLHDQGVIHRDIKGANILTNKDGTV KLADFGVAAKTGGVQDGAVVGSPYWMAPEVIEQSGATTASDIWSVGCVVIELLEGHPP YHTLDPMPALFRIVQDDCPPIPEGASPIVKDFLYHCFQKDCNLRISGKKLLKHPWMVS ARKQMADGKSRSGESDNEKPTGKEGENGAKRLSNYNYDEAVLKVQEWNEALKSPSKPT KHPSRNPRPASPTQNRLSAEIPQSSSLPSVGSNPHAGPSVWKSAAGPSNKAGINLVEK IQPHAFVLQPPEEQTDNWDDDFEEEISLTKIQGMFVFVKLQRSAIEIALALEKPPSEE DKHEMEDNARTIRPNRSPGNQSVPLAQPPASEIQPIVEDYSDLGTDEDEIRLKEKVAD FKLRNSGRRGLFHPDDIKTIGLSSVTSVAPGPLSAPLPQLTRKTSRPSISPIGSLGPS SGNATAHTRSGSISSSANPLGNSGSGSFGRSEAKKAQNAQNQAEFGKYTEDDDDEDYE DVFGKPGANSIGQPMQTLQLNTRLQDKSWNSDDWNEEDPFAEIDEGFSEEDLEAKLQR DKYARLCNTVNQLIDELTPSAPDSQLRDACDQLLNIMMETPEMQVQLVSSHGMLAILE VLEGRCSRDVIMKLLQIINLLVTEDLGFLESFCLIGGIPVMMEFTSKKYPSECRLEAS NFIRLLCHTSVLTLQMFISCRGLKVLVDLLDENEQRELVEHALNGIGSVFELQSPTTK NDFCRMFIREGLLDPLSSALVNVMTVRDTPTIETKMKIIQIILVFSQVSQSDIHVRNA LGTRKVIRRLLRACELLEPECLVQMLKAVKHLSMNATLLEVLQNANALEVLIPILDEQ SSGPHCNEISNHVFQTCYNLCRLNKGRQEEAAQAGIIPCLKRVIETSSPLKQFALPIL CDLSSAGKSCRSLLWQYDGLRMYVKLLDDPYFQVSALESIHAWLQDETARVEDELLRP DAIEALVKCFVSSKSNSFENLLDPFLKIIRLSTPVTIAITKSSAFFKRVVDRLGLNSR AVVRLNLLRILRSVCEVHPNRAMLVERYGLLGIVEKLSRGGGDGAVLVRELAREIVPT LRPGLRPISGAGSSTGIGATGRVRSAGTGSVDWSSPPGSSPHQQLQNHKSTSGLVAKR LRRAASEAGSTTPLGSVNVNSSFSERDLHAPRLNLNTRDVFSSSGGMKPRPPGSSRPM SASARQKLGDIPWANTHHNGNGGGVSERSDRWG JR316_0006820 MSQKPENLCSQVPSPTVNFSYVAGESGDISERVAFWDAPSTVQW FRDHGYILYQRGPPQIADEPSELTFPSNSSTEASAADYPYAHYDLTDTLWARESEAKV VFAQNSLNHHVAIKLVKADTDELKILQFLKTLDLNVLRDNCVIPVLDILSIEGFSFVV MPRWGIYPLHPWPQTLRDLLMLMHSQLRALNFLHKHNIAHRDINEGNFLVNHCVHDDD ALQSTVRKELRSQNHLLYAIFDFDHSVKLPPGVDRSQFRLPYKKSWGSINVISDTAQG EYDFNPFIFDVGALGVLFCYKYQNPGIAVNFSLVAGESGDIAERIAFWDAPLTVQWFR DHGYILYQRGPPEIGDEPSQLTFPSHSSTEASAADYPYAYYDLTHNLGARDSEAKVVF AQNSLNHHVAIKLVKADTDELKILQFLKTVDLNVLKDNCVIPILDILPIEGFSFVVMP RWGIYPFRPWPRTLRELLGLIHSKLRALNFLHEHNIAHRDINEGNFLVNHCLHDDYVL HPTIRRELRSQDRLLYAIFDFDQSIKLPHGVDRSQFRLPYYKSWGTYNVVSDTAQGEY DFNPFIFDVGALGVIFCRRFQLNSNKK JR316_0006821 MSDKKNPGIAVTFSLVAGEPGDIVERAAFWDAPSTVQWFRDQGY ILYQRGEPEVDDLPSPYTFPPHSPTQASIADYPYPHYDLSHTLCSREPKGKVVFALNS LNQHVAIKLVKADTDELTILQFLKMLDLNVLRDNCVIPVLDILPIEGFSFVVMPRFFN QMGDRRALPKSRDITRAANLDSLSNQSMYHMAQETNFLKAVNFLHEHNITHRDIKLSN FLVNHCVHDDYTIESTHRKELRSQNRLLYAIFDFDHSIKLPPGVDRSQFRLPYKKSWG TFNVVNDTAQGEPDFNPFVFDVAELDQEILLERDVAWHIPYDTYDRWENLPPEFIRQW EHYREPPLRWTTTLLRKICLQPWGWHTVPQIRRLLACISSPFRHKQ JR316_0006822 MKFIDTAFIFSAVIVSVSAGPLRLSRRAVDPSLVPQFGVNPGVN PTGTGDCDGIPNAQGVPIKIPCSCPPDRNSFIQSLNANVAAGHAVNNPGIQVSFPADN SQASQLARLNAATVTLQNLRGPGVGCPSAATTFNAQAQAIRDGTAAPSAPAPPAAPAP PTAPAPPATGGVDPNLVPQFGVNPGVNPTGTGDCDGIPNAQGVPIKIPCSCPPDRNSF IQSLNANVAAGHAVNNPGIQVSFPSDNSKASQLARLNAATVTLQNLRGPGVGCPSAAT TFNAQAQAIQNGTPAPSAPAPPAAPAPPAAPAPPATGGVDPNLVPQFGLASGLNPTGT GDCDGIPNAQGVPIKIPCACPPDRDAFIQSLNANVAAGHAVHNPTIAVSFPTGTSKAD QLARLDAATVTLQNLNGPGQGCPAASTTFNAQRAAIQNGP JR316_0006823 MGSFMSALRLAMALSYFPDLASVSCPMSMYDLAKHETKQAKKGV RKVQDGDSVDQTKKKSTLKGQLIHRFHQALKESQEDRAIGTGAIRKARWEQAAKGGQT GREDVLAGNSANAVAVATSQAIKSQRRTGRTKKTEDISYLKGSGT JR316_0006824 MRAILVKGGQGTADSLYIGDAPTPTPRPTEVIVQIKAFGLNRMD ISQREGKYPPPPGSSNILGVEFSGIISQLGSDVSTWKIGDEVLGLAGGGAYAEFIAVN ETHIIPKPAHLTWTEAASIPEVFLTAFQALVVIGQVKQNDNVLVHAGASGVGVSAIQL ARVYGARTVTATTSTQEKIDWLLNLPNGATHAANYKTEDFAAVVKEVTENKGANVVID FVGRTHFNKNIEAMAIDGRMTMLALLSGTVVESVNLAPILYKRLHIEGSTLRSRSLEY QRDLIAKFYKEVFPKITGESGAGPIRTYIHKVYPWTEIQAAHREMEANSNSGKIIVEV V JR316_0006825 MTDRASTKLHIPHPHEPGIQLVGVLEQLAPTESTHGRKIALILH GTMGHKDYLFQRRLALRLPFDSFRFDFRGNHETGGTWKQGALADDILDLQAVVDYLKV TYGYVVELLVGHSRGSIVAFRWLSTTEDGRKVPAFVNASGRYRMAKILESPAGSVWKE HFEKHGSYTWNVTVARKPVVATITPEDVQEFVSWDTSLVWDKFPQHTDVLTLHGLSDK TVPPYDAMIYASALSDRSPGTHTLHLMEDADHNFTGRQDDVVDAILQWWDARTRKEIK TGIWVGGIKGKL JR316_0006826 MPKGELIDSHVPLQQCKKAVDALHSHELKKKEKFEEGQLLPAKE QNIWLNVVVKAIPSGHKLKPVKIPIVHPLVDPRTSAVCLITKDPQREYKDLLEKHNIK FISRVVGIEKLKGKFKPFEARRMLLKENGMFLADERVIPLLPKLLGSKWFEAKKQPIP VCLTRKDLKGELERAISSTYMNQNQGTYTSIKIGKMSQKPSQILDNLKTALPSVVKAL KGGWDNVQSLSIKTNYSVSLPIWSCSLDSTEGGRWDGFQVESDSEEDEQDEASEEEEE KEVEAPKAKKDVAGKGRKRASSSDEEQEEEEKPKKKAKSADGAPSTKAKSAPTSKPTK MPPTTIDTASKKRKTTDPTLPAPTASPSAVPISAGKKAPKAKASSATTSSEPTIVSPA PKAQKIPESDTKKVKKSQAAATPAIASQSSLPATSEVPSKKKKSNKLDISTASSDPSQ SPSAPDTATPSAGKDKSGKDKKKLSKSAPVSAPVSASESPAKPTLTKEELKQKRGAAS GEKKKDIIAKAKGGKSAKNAVLGRKVAQE JR316_0006827 MNTRTLPPCISVGRGLWWLEKSKQSGRDLLAAGLQVIQVKTGDG TTTATVLARAIYSEGVKNIAAGCNPMDLRRGSQAAVDRVVSFLSAHAKTITTTAEIAQ VATISANGDAYVGGLIVQAMEKVGKEGVITVKEGKTIEDKIEITEGYPPCPRGHGAGQ TPTDFIIVEDVDGEALAACILNKLRGQLQVCAVKAPGFGDNRKSILGDLAILTGGTVF TDELDVKLERASAEMLGSTGSITVTKDDMIILNGEGAKDQIAAWCEQIRALIADPTTG NFDRSKLQERLAKLSGGVVVIKVGGASKVEVGKKKDRYNDALNATRAAVEEGILPGGG VALLKVSLQLATASALAARRGGKQGQVLMLLLLPMPMPLPLLPRAEADVMKPVDESVL LGEDALVTEEVHKQHSHAQQGYQHPILGAPHWSAGRKENVHAH JR316_0006828 MALTQSARLPGPSWDEEVVPALRKRLESESRTLARRMSAISLSS VDEPAPLSYTAFADNSLRSNQPPARSNTLQSSGGQRQQAAYQQPPAPDRTMTTVTSRN NGTANTSVQVPIQQRARTYSSPYASNPNGHPNGTTRPKLNTTKTADGSRSLSPRPVDV KPTRIPKASRPPQVAGASSTSNSPYTNGFSHSTPVTPEIPYQLPPEHRAYGTTQRDLV PSSSTRSTIELPARGKYHQSPGLMQESPPFPTESTMSSGFDQDPPRPSIDSEERPYEH WYRGEVSRNGGVGELRVGRRQEMLDIANYGHLIGNKKATSRVPPVQAVENSVRHRKRA GSIAGITNKERERGSVYLDDEHADEVGRVLDEHPLTDLDGEESDINSITDRNAVAYAY LPEDADTMPSEEWTQTAGAHELRSTTPTPSMIPRSSSRQNQNYPPSRIPGPSSRRSSE SRSTVTTPPAQNGLSRSTDINSTSTSSITTPSPSVSTSSPNQRQQTYANHTVPSATQK RGMSPASKTKTSRTAAGKATRARLLSQREREKEEKENRESIAQYPTPGDEGEDMADAI PSWTQPVPKTGNWDEVVLPVVARKKGLDGYYENANGSPQPKKKGDAVEPAPGTFGFDH SKYRPPRDFESIPMDEFGRPAEQPTDGKEPEVAQAEKPIDEKPSPHDETRLPVRQPPP QSPVPFAQYAPTNAQTTRLKVSDPEAQLPPQQQQHQMEDDDKDAGCCKCIIM JR316_0006829 MAFYSRPPPPPTGLARYRLLSPTAGVHVSPIQLGAMSIGDAWAN LGMGSMDKESSFKLLDAYYDNGGNFIDTANNYQDGTSELFIGEWAEKRGIRDRLFIAT KYTNNFRARDPNATDHKILFAGNNSKSLKLSFKESLKRLRTDYVDLLYVHWWDWDTGV EEVMRALHHLVASGQVLYLGISDTPAWVVVKANAFARANSLTPFVIYQGAWNVLDRAF EREIIPMARSEGMALAPWNVVASGKFRTDAEEEERAKTGEKGRTIFSPEWLRNDNEKK VSAALEKVAQELGVKHITSVAIAYVLHKTSYVFPIIGGRKVEHLLANVEALDISLTAE QIKYLESVVPFDAGFPHNMVGDGTFYRSIWEVSGHLDRQPLPQAITPIAKKV JR316_0006830 MPQSREDNAFQNLQSSLSLQVFKMNANVQGILKLVDQLGTGKDS AVLRKSLHDLTETTRAMAKRGSEDLKKLSELQSALPHQKTALQKTSHDLQMSLVAFQR AQQVSAERQRTVVQGVKLAIDDEQHHPPNPDEPEPTPQEQRQAQILQAQLSPHELAYQ ESLIQEREAEIREIETGIHELAEIFHDLGTLVNQQGSMIDNIELNISSVAVDTGSAAQ ELTTAAEYQRRAGRRAACLALILAIVAAIVLLAILS JR316_0006831 MRKINPWLPSFGISRVFHIFIIFVDNADTWNTLFFMPIQFMYYL SYDALCPLICFHENITLRTHPLRRSITTVLYPWLSPLQQFYSVSSRAFLPVKMADNAT LRPRFCYMPCYGATFRDIYRSC JR316_0006832 MIIAAPPGLKNITAQLELLIKKLIAIQLDSRYSLTVAMMSRITL NLKKSVGKMNQRALRAELPTYFGRRTLDQVNTANTTVRILGPGEEDPEMATQENFHLP MITIAPANNTKTAIDLPEIPTSSWTIDAKAIPSTATLGVHSPTAIRFCDNGKK JR316_0006833 MSSVYFPAHVVVLFKRLVNVVSTLSISNQYVLYTQAKAKADSSE TPRTTTTIRDGLNAVRNDPSRSLNYFKDVEWTRWMHRSLWNRSLLAAGWSRGADTDVS ESRNEVNTETLLKVLLKVQLLKATIELPSWIPGSLSWPNLFSFHRQEPSAPQHDDEPP PSNSRKHTSNNSDKKSHRKSPASYNSESTEFKIPPSPPDTIHRLLIHPALFDPIRAPR YPIVLCHGLYGFDSRGPSSFPSMRMHYWSNVLNILRGKVGAEVIVTSVPGTGFISSRA SALDEQLRLRARGRGINFLAHSMGGLDCRHLITHIQPREYVPLSLTTISTPHRGSPFM DWCANNIGLGKLRQQEKEMMQSLRNSTRRDIEEFSSGIAEETESSSKAKAGPKTKSES AFTLSLSSLPSSFTTLLLSIVDSPAYANLTSNYLNDVFNPRTPDDPSVKYWSVAGRMS ADSVSVWHPFWLPKMVLDGVEEKERERLKREWDDLVHTHGQTKGKGTPLWANEREWGN DGLVTVQSAKWGEFLGIMEGCDHWEMRGARGIELGVDLPAIPAIGLGSFPLPSSFGNR SPYTNPTAQGDGWGFGDWTRFVGAWKKSSSNENGDRSSTSDKSTGPSASKPKGETNAE KRTRERLADDHVVKSSTDTLSAVFDWLIDKVPASTQILGGGSGSSASSATVGDTTKVS DAGSNMKQEENIAARKEKEAEIAVRMASDKELSDSAVKHTVGMSSSPTSSSAMSGASS GTRTQEQTSPSSEMKKRMSEEDKGRRKRELSSKQDLERFYVALARKMYDEGL JR316_0006834 MSKAIHHNDPDRSTTPEFEASLEKNPLFRRSLTISTSDPQSGLS GKDKKAQLWEELEQFYNKNEETIRKMQALDLESATKDDRIAQRLQTTLDGFVEITKIV LDGLVCLGNVHPVLGVAIFAFHSVISLDLTRRDNEKKVIVVKLQMQNMMCSMFQLKDL RHAHIQEAGREEQEARLQELIKDIAADITRCGSDLNSFMNRKLVSKIVHARGYEEKFA EHIAKFIQRRAELQIVLTAYIAASIDVVHTTLNDMAAKVDDINNKLEMILTRLFPKLS TAREDELYNLVDRYGGFEEFLKRDDLLTELYAKAISSAAASSTDGSGGGGTQISAWQT SAVTDASPRQREINIKEFKKDLKNEIGYDLNDILRTNFERFEKLLMVQHNNNTERLAS QMEQHGYKLDNILTTVTSLSVLDQGKLVNRTLAIRKEKLQDPEFQTIWDQMRLGRSVK AKTFVLTFRDYFLVGDRSTPGTPMLSDYGNIVVTRELSPRPNVTPIVKEDPWVFDYID VTHVQPIVEAMDEDGSGFISVREANKFASSRPKGISLLHWIAYWAAGWHIDVTYYHSK IYAILVDMHKAFRTVLWANQPYVDDYLNSMAVLTLEGILRSIKPLPSTAEKEPKLMEI VERLRASREELILSNLKEMSYLLQSPADVAVIVGSGRVETWFLPLTYLLLKRHLAIIN LSKDHLITDKELQTHSESLDSILATFNDRMHVLQGRFEQIHRDVERQFSIHAYGMFLT SYKNTTLKLLHSKLLEFKYSRTISSFDSEKEPNNDTSILNFGLGTPLSYSEAVSVSSP AAIESSPSPSMLIDKVLEAPTVTNSIEGSWTGVCYQVRNTDNKCLYTPGSFEVVFEVF DSTLRGTGLDSSGRLEFAGSIKNDNDATSSVSFVFTSSGGRIRCAGTYDSVKDKVYGR WSWEPNTGGVNHDSGEELGENHDYQTEDGDAQGEEEEHGNQEDGGDEAEGGEEEVNKE AGNGDAVKAKQQQGDVEVQIADSPSRDAVSVEHEESLDDAYSISDMDIFQSNDSEHLE ATVFYEFILTRTPKDVYRFRYLIDHPGQRHRANLAQRRWFFAIEAVLLRVRRAHGFSN AIKTGLAERVKWIELSIRYRLFRYYSTITDNLSGELIDELLTLMWRTPPENAQIYHSL TTYYFRRVSYERYIYCYSCNVPIPFTRFICITCVAEDFDDQIVLCPECIGSRSLAIGK FVHSISHCLICSPVRVHCYELSDVIFKARRRSTRLIAAFKNLETKAKRLELQNNEEIN GSKVGQLQIGPDLDLDSVAPTSEVSLMCACCSTDLTLPFWACVSCELDTLFCLKCEPL IQHFQPRYNNSENHSYKHARLLITSENKISTESREIRLEKQLSSMGDRMASLQNGMAA LEESVNNRLDAIHAKFLDVAAGSTGTEGTPALGDRIGPDSVESPQQLDFEASLGASSS KHYRDSGARDLSTYPRLPAEEKLAALEENVNRRFELLEEKVDSQLGRLFDLMQEVISA TRGRGRI JR316_0006835 MGINKLWKMLEPIAQKKSLLEMSVQEGVVSRRHGTGVLVIGIDA SPWFYATQAIFAGHAHAQAGQNPELRTLFFRLAMLS JR316_0006836 MPHWMTKAFKELVDGFGFHYHTAPGEAEAELAYMNRIHAVDAVL TVDSDAFLFGAPQILRMNVKNAKEKDVVEVYTADALAAQPNPNAFLPAALLFLAVVCG GDYDTIGLKGCGPITASALASGPLAQQLFNAAHEYDENMLKIFLKEWRISLCNELEHD YSGHIGRKHPKVAANVSDAFPDPKVLKLYSHPLTSESQLGNLVHSNQWFIPEVPDNSK LAATCGRLFGWGPTIASRFVSNIWDGYFIRKFIQLSSFSPIEHTQNDVSALKSNIRFM TRAKSSRTFVNIQLTMWIDALAAEAATSVVRHAGTHPSSIEGLSTTIQMLIWVPEPIL QAFSSQKVAKFYAEHPRASFDGQLELYTPIELMPKTTQAATHKNPYPTYLHARKAAEK GVKKHKKAETTAENYRGHICRGREFFEKFVLEESEAEELWNRRPDSEANIAVAGENQL QLSGSDSDRFWMHPKFREAFTGPPIECTLLAISMFMAFKCHTLNREKSTASAIHAAFI QHYDQLDRDKFRGKWHYDNHLKEWVGNPVRSAEVEDQLAACKNKDGEGERKHSRAITI QDMRCLLDHSLQKCPTFDLENGTQAYQKNILAERATYLLFNALSTSAFTIWMRIGEVT SLQYKNLEFPVGRQKSHFQGHHYFRLNLRNRKNWQKREKNGEHQLSGHTYMVYSQPRT PKIDMHRHLLDWLEFYETVLLGRPLLPDDFLFPMIGISGTSVQPDCAMTSDIAQKKIN QMAMDANIHGAQYFTTHCFRRGGAQYRFMFAPLGERWTLARIRWWGGWATGEHRDTLI RYLLDELYTYEEDHSNALCPADDSPNPDLAFSSHEQKLVLNAAPTTNIVAGFTRQVTQ QISTLCYSLEELRRHSSLSVGPTLRTVTDLDKSKSPVPRPPLVEHSHQFSPTFLPGGP PHHFSSQFSLKNTAATNNMEIRHLIPGFPRKLASKAFEQVVKDWEMADPSRSLYVPMK DWDPEWHKRSGQSQKYGQRQTVALEFIDYFNRDKDAFKRAYPEYVKGFTPLLHAIRQA QQLRGDSIQRRRRID JR316_0006837 MASSQAGPSNTKPTSVSTPQNAPSLLLSEALGFSPQLLLDDIIN IANNAVQDGVNGMEEFLEKWADERVAASEAASGSGANARAKADADAEATIHEVEQGLV AFQTLLEYHTDIAFDFFEAWCMRNIFMVPSDLPIVLPHQQGLDLTVTPEQEVEAMEEV EELRKRLDGQRKLNRLLTRAIRTSSRQLARAESRLSQLSSLSVPSTFDDPGSSSLDKL HSIPPKFLQMYTTMSSLPPTDTITPPTSSAGTTTEVGKRQWETSHTGYVNWALSRLVS RTARSGTSTVDGGGGGASTVAVDRLDAMASEIGTGDSLRRALEAVGNVDQALSQVEQR EQQQRQQQLSPKDDDEDDDRMQE JR316_0006838 MASLDIQPLVTHIYTADPSAHVFNGRIYVYPSHDIATDIEDNDN GDQYAMNDYHVLSLPSISGPVTDHGVVLSIKDVPWAAKQMWAPDAATKNGKYYFFFPA RNHEGFFQIGVAVADEPHGPFVPEPEPIKGSYSIDPASFVDDDGQAYLYFGGIWGGQL QCWQKRTFDKDAYSQMEATEGPALCARVAKLSEDMKSFVGEDLPVEIYDPETKELLHS SDHDRRFFEAAWMHKHNGVYYFSYSTGDTHYLCYATGDSPLGPFTYRGRILEPVTGWT THHSIVEFEGRTYLFHHDASLSGGKNHLRCVKVRELWYTEDGSLTAEELKANA JR316_0006839 MPSPFEPCPPPESALARYRLLSPTAGVHVSPIQLGGMSIGDQWV ELGMGSMDKESSFKLLDAFFDHGGNFIDTANVYQNGSSERIIGEWAEKRRRRNEKEKL VCAALEKVAAEVGTQSVTAVAIAYLMQKTPYVFPIIGGRKVEHLIQNIEALDISLSDE QIKHLESVVPFDPGFPAYIIGAGMHYIPEFTATANFDKMPALQPIRPSLKSAST JR316_0006840 MVLTSSVPLVAFSMLLALMDLVEAASYDMVKEYSGKTFFDEWTF YNHFDNLTNGNVQYLSASDAFKSKLAFVDPTTNHAIMKVDNTSNVEFNSNRNSVRLQT DVKYSVGSVWIVDMLHVPFGCAVWPAWWSVADTREGGEIDTFEGVNLASHSIMGLHTL PGCTQVGQNQSSTIVNSTDCSFLANDNQGCISTVKSTQSYGSEFAKAGGGVFGTEFAE SGISIWFFPRAEVPDVLSSNSSSIDTSSFGQPVGNWPSTQCNSSEFFQPQHLIFVITL CGDLAGRPEIFSQTCPGVCYNDHVLGDGSNFADAYFEVASVRVFSKEGTSTVVGVPKT SSTRRIVSISRWGGLVVAAIGLTLISTFSSVFL JR316_0006841 MPSLFEPCAPPESSLARYRLLSPTAGVHVSPIQLGGMSIGDQWT ELGMGSMDKESSFKLLDAFFDHGGNFIDTANVYQDGSSERIIGEWAEKRGIRDRLFLA TKYTQNIHLRDASMPTQKPLFVGNNAKSLRLSVARSLENFRSDYIDLLYVHFWDWETS VEEVMQSLHKLVVQDKVLYLGASDMPAWVVARANQYARDHALTQFSVYQGAWNLMDRA LEREIIPMARAEGMALAPWNVLAAGKFRTDEEEQKREASGENGRGVFGDWKRTEKEKL VCAALEKVVGEVGTKSITAVAIAYLMQKTPYVFPIIGGRKVEHLIQNLEALDISLSDE QIKYLESIVPFDPGFPSNIIGVGTHYIRGFTATANFDKMPALQPIRPPPKNAST JR316_0006842 MSLRPPSTSPSPSPGPHENGKVSARQSMGPSSRGTPTLAPASPR PGGQSSARPTSELLGSGGAMFQTPEAEALDAWFENLQNYEATLEEMAAASLDVNFKEE LSAIEQWFKVLSEAERTAALYSLLQHSTQVQIRFFITVLQQMARSDPMTALLSPAMGG SMQSQMEAKLASIKSPGLKSGLPASPTARSFNASATSASAANTPGNRQSLALDGSGSN FLSPDSAAALSTPTTASNANAGTGNDAAAKLAQQREKLRASNAAHRISAPVLSSPGGL GVDGRASWGPSTLLSQVSEQLANTSLDGGNGGSGTASRPKSVEIIGTPARASAVGNAS ANNSNNNNTSNGNSTPGNSNEATAPAPATVANDSWASMVTTPLVPMFKKEPPKPSADT PTSNNNNGGKGEWHGNAAPGVPRMGDPTIYKRGAKNGAGAGAGGEVYDDNGNPVGARR GGWSNGRSPGLNSNNNNSGNSASNRFGGNNNDGGSDTGSNSMNGLGFNALGSPTMGMG VGVGMPGLGVGVGMQPMSPFNMNMLSMMNMSPEAQMLAAQMAASGFMGQGMGMNQGMG MGGMGGGMGVGGMGMGQPGWMGMSPMSAGIMSAGLGSAGVGGPGRGGMKGPSSARSTS GGGGGGLRSASSIGGRSSSGAHNHSSADGPSSATPKSEEDVDPALLNDVAAWLRSLRL HKYTPNFEGCRWQDMVVMDEGALEAKGVAALGARRKMLKTFEIVRRKMGMEGGGGAPP MSAGAGGA JR316_0006843 MAPKSNHSSRNGSPLRPAFAGTSSYTPDTMSVADELHPVIHAGR VALVTGAASGIGRAAAIEFAKLGLKVAIADISEDALTEVGKTLSAIVGEPNVLVVPTD VSKLDQVRALRDRVYEAWGEVAVLMNNAGIGLKGTSWEGLDNWHKIFEVNVFGVINVQ HTFVPSMLHQENPAMVITTGSKQGITNPPGNAAYNASKAAVKSLTEGLAHELRERSGS NMTAHLFIPGWTFTSLTSPTPSNPSTKPAGAWTPEETVLYMLDKVRSTGDFYILVPDN ETKREVDQLRIMWGAADVAEGRPALSRWDANWKALYEEYVREGLAQLE JR316_0006844 MPTIFEPCAPPESALARYRLLSPTAGVHVSPLQLGGMSIGDKQW EELGMGSMDKTASFALLDKFFELGGNFIDTANVYQDGSSERIIGEWAEKRGIRDRLFI ATKYTQNMHLRDKAMPTQKPLFVGNNAKSLRLSVARSLENLRTGYIDLLYVHIWDWET SVEEVMGSLHKLVMQDKVLYLGVSDTPAWVVSRANQYARDHALTPFSVYQGAWNLMDR AIEREIIPMTKAEGMALAPWNVLAAGKFRTDEEEKRREESGELGRRLFGDWKRTEQER RVCAALEKVAGELGTKSITAVAIAYLMQKTPYVFPIVGGRKVEHLIQNLEALEISLSD EQINYLESVVPFDPGFPMNFIGFGMHYIREFTATANFDKVPPVQPIRPSPKNAST JR316_0006845 MASLDIQPLVTHIYTADPSAHVFNGRIYVYPSHDIATDIEDNDN GDQYAMNDYHVLSLPSISGPVTDHGVVLSIKDVPWAAKQMWAPDAATKNGKYYFFFPA RNHEGFFQIGVAVADEPHGPFVPEPEPIKGSYSIDPASFVDDDGQAYLYFGGIWGGQL QCWQKRTFDKDAYSQMEATEGPALCARVAKLSEDMKSFVGEDLPVEIYDPETKELLHS SDHDRRFFEAAWMHKHNGVYYFSYSTGDTHYLCYATGDSPLGPFTYRGRILEPVTGWT THHSIVEFEGRTYLFHHDASLSGGKNHLRCVKVRELWYTEDGSLTAEEPKASA JR316_0006846 MSNPLKIFITGATGHIGGTFLMTLLKHKDVSNFQITALVRSEER GEKLKSLGINVVKGSYTDEDLSVLTNEAAKSDIVFAICDADTLPPTQAILKGLKINFE ASGKAPILIHTVETNLGIITDKSGGLASEHYVWSDYDTEKLNALPESVIHRNVDNAIL EADKAGYVKTYFIIPSTVFGEPADKTLVDLGIQNTTSGVFKYFITPYLGRKQGGYFGK GLNQWNLISVQETADLYIALFDAIRANPDGPGHGTEGYYFGESNLFFYKDLAAAVSEA LVEVGVGISTEPSPFTPEEVETLVHPVIAQMVGSNSHAKGDRSRALGWKPTSTKEDLF ATIKKEVKALYVK JR316_0006847 MTSQLKIFITGATGYVGGGVLARLLKHKDSETFSITALARSPEK AEKLKTLGVNVILGSYSDADLSFLTNEAARSDVVFAIADSDQLPATQAILKGLKIKFE NSGKAPILIHTSGAALLSDDARGMTSDRTIYNDLDAEKINAFPETVFHRNVDIPIVEA DKEGYIKSYIIIPGAIYGRPSPNPVVDLGIQNTVSLVQNFMIKPAIARKQGGYFGKGL NYWSYVSVDDTADLFLVLFDSIRRSPENPGHGTEGYYFATCFSLSGIELAGIISEVLF ESGYGISKEPSPFTQEEILQIYGSGPLWTFLAANLDAESNRSRALGWNPKGTKAHFFA DIREQIKALQADLVQ JR316_0006848 MSTSNAGHEKLLSVPEHQLYAMNSAHSSDSSLHRVKNMPGYTTP VFKGKEEQRALVEDDVAAKGFIPRELVSNEVNWFYTNLGIDDTYFQNESREVISDHII ALFGAKIMAFTKHDPSKLVIDLERIDEQGNGATFIHTSPPGLTTTEGPGATCETRIDD LFLNNSTPQNAYRLETFRSTGSISATASQQLRCYFVTKCHFPNKPQASSNGKTDIRAV SDSAFLDRATKNTLDIYQQVMWNVEKRHGPVLEVFEVEGTRERRLVIGYKMGGTSGFF SALSNLYHFYSLYSSRKYVEQFANGITIISLYLNPLPDSNAPPIEHSIFQVLKEASLL FCLPDNPFFLPKSLGSNAVQEATYAYCGWIFAQHFCNRLGPAYLQLKNVLNENDPAHA EVLNDIKRRFREETFTRESIAQVIHAHPDLIRLLYVNFAMTHYPPSDDASKLMPTLSY QRLQNVQPLTDAELYDKIRRTVPNKHELQVLESFLIFNKHVLKTNFYQPTKVALSFRL QPDFLPEVEYPRKPYGMFIVIGNDFRGFHIRFRDVARGGIRIVMSRNKENYSINQRML FDENYNLASTQSLKNKDIPEGGAKGTILPSLGAKPRRCFEKYVDAIIDLLIPGQTPGI KEPLVDLYGKPELLFFGPDEGTADMMDWAALHARQRGAETWWKSFTTGKSAETLGGVP HDTYGMTSLSIRQYVLGLYKQLGLREKDITKVQTGGPDGDLGSNEILLSSDKTVAVID GSGVLADPAGIDRAELVRLAKLRVPVSNFDTSKLSKDGYLVKVEDQDIKLPSGEVVLD GTDFRNGAHLRFKADLFVPCGGRPEAVNISNMAALIDADGKPHFKYIVEGANLFLTQQ ARLHLEKRKVILFKDSSTNKGGVTSSSLEVLAGLALSTQEYTDLMIFKDGKPSSFYQS YVKDIQTKISENAAAEFHCIWKEHSRLQGTKTRTAISDELSQTLNNLQAELESSDLYD DIPSRLGVMRRAIPKTLVEQVGLETLLKRLPEAYQRALFSSWVASHFIYKYGVNGSSV DFFHFARDLAHTQ JR316_0006849 MYMLQVEGPRKLVLFKSHGIPEDVIDNTVQAAKSFFALPESSKM ELDIHKTPNFKGYTALLGENTDSNGLGDLHEGFDIGWEPQATDQSSSSLGPAKVSAME GANVWPSDLTGFKETTLEYYHRVLDVGKLLFPLFALALDLPENFFDDKTTKPAAIMRL LHYPPQSPTSFETDPDGRQIGIGAHTEYDPRLINLHCFTILWQDRAGGLQVQNTAGKW VDAVPIPGTLVVNLGDQFARWTNDVFKSTLHRVINRSGVERYSIPLFFGTNYDVLLEP IHTCVSPGSPSKYEIVTAGEYVKSRLEATYAHSQSAT JR316_0006850 MSGSFPSPSTSGMSLLDPDPIDMRTLPPLHPSNLFDVEGLVVVI TGGGTGIGLMMARALENNGASVYIVGRRLEVLERAAAENNNFDKIFPIEGDVTDRDSL LNVVEEVRSRHGYIDLLINNAGIARNLYPHPLPSPSDEVNVGSPPSPPASPARSPNVP SIKAFQNALWDCGSPEDFAETFLTNAIFDGNVWPFHFQTLQLGSRDRLTIRLMFYRSR HPDPSD JR316_0006851 MAPTNPAKVERSSKMHSKVVIIGSGPAGHTAAIYLARANLEPVL FEGFMANGFAAGGQLTTTTDVENFPGFPTGILGPELMDKFREQSVRFGTRIITETVSK IDLSARPFRYWREMQEDQEPETADAIIIATGASAKRLGLKGESAYWQSGISACAVCDG AVPIFRNKPLAVIGGGDSAAEEATYLTKYGSHVYVLVRRGELRASKIMAKRLQNNPKI TILWNTVATECQGDGDLLNNLRIKNVLTGEERDLQVNGLFYAIGHEPATSLVRTQLQT DPDGYIITVPGTTQTSVKGVFAAGDVQDKRYRQAITSAGSGCMAALEVEKLIAEEEEL GDIE JR316_0006852 MICFRLAYGIKTGHSHKVIKHLSVALFILSIVLVLCDVKHLRKF TTYYIIPSLPFIDQSDRPPTYDDLRKWEQNLPQHNLDLQFPEGKTGRYVMFTSSQVEV LGWNNKFINVEWTPHTPLNAFFSGPTAGGPWGPGDSSPRSISDKWYEVVCPKEERKYI NTHDVKPAIYWEDGIVIFDHWRTILSEAPEQCIEVIAPRPEIDSTPQVFDLHLWGSGR SLSLWEEFKNSPVSQLLSASPIVRSAIETNLPSFSPGELDLQVPQSNVSNITPFSNTL SAHIRRGDFRRQCRHFWTWSSTYYNWNLLPFLLDRFDPPPGFSWGANIPQNLPIFLKR CWPDIPTIIKRIHDVREDYLRTTTTQEQKQLKTVYVMTNDNSPWLDELRLALKLDGWE IVLTTSDLILNAEQKEVGVSVDMEIGRRSELFIGNGVRKRRLAIHLPTYVN JR316_0006853 MSPQINILITGATGYVGGSVLDRLLKHPEASSFKISAIVRSEEK GRKIENLGVESIIGSYEADDLSFLTDAASRADVVFAIVSGGYVLCIQLHRSSIPHKAN SDHLPSARAILHGMKRKFKQSGKAPVLIHTSGTAIVMDDSRGLTSEHMIFSDLDVEKL NLLPETALHRNVDIPLIEADKEGFVKVYLITPGTIFGVPTGQLVDLGLQNTHSMQIPF IVKPSITRKQGGYIGKGLNTWSAISHEEVVDLYIILFDAIRNSPDNVAHGTEGYYFAE NFEYSGIELARATSEALVELGIGSSSEPSAFTQEELDTFFGPIWPLLATNSYAKGDRS RALGWKPKCTKKEFLENVKFETKQLLAESK JR316_0006854 MRFIPFIAVAFALLQTATAVPVNRWQANDVVAREEPVYVRRSNQ YLVRRGKDHQHKDSHKPAPPGHIDNITFLKGHGPEHKHSASNALDALNLHGHKRKEVE NFHKKVVADHMSHTPGAHSAVIKNLAHSQGSRDPHVHISAQIHDQHGHIIEAPRRGNP AIMDPTHHIYVDKNALPHHYTKAVEKKQHREGRHGGV JR316_0006855 MSNFPEEQLDSPAGYFPGKPGLTLDNGRWTIVRKLGWGPRSSTW LAVDNKEHVGEYSAIKILTAEATEESTATNESKLLSGPIKNFTEGFPKLTKTFYQHDD KGKRHYCLAFHVLGSSVEDLRLTNEYDGQYLPVHVVQKIIGDIAERLACLNDEKIIHG AVHPDNFLFFCVQRGESIRKVLAKSPSEKAEEVVGDDGISYPVVRSQPIDHGNPWDSS AQDILNVLIYLANYGHAHHKGVAAPTDARKTYYAPEILKGEKADQKADIWALGCSIYL LLTGTELFSETYVASPVETATETLGKLETLLKESEKLSEKDIAPAASLLRSCLAVKPT KRASAVDVLGSGWIKGGCGCGYCG JR316_0006856 MNCEASQRDDIGIQIRHSPETQQEGFGNPAFGFDYAYVSQTSTR THSSAVLPTKKVSSCQISAPSTLLSSAPWAAPVPSSSPEADALWLFMEGIGASYGTAK SGVGISAMSVLRPDLMMKCVVPVIMAGIIAIYGLVVSVLIAADLETHMSLAKGFTQLG AGLSVGLAGLAAGFAVGIVGDAGVRGTAQQPRLFVGMILILIFAEVLGLYGLIVALIM NTKTRDMPC JR316_0006857 MPETIPILLLTFGALYTSHKLFTFWKAIGAIDHLPGYRVAFHDD SLVLILTRIPGIAPGFNYLFLDKYTTRYTKWDVTSMVSMFPNVVTSLAVADADTAKHI LSDRTLFPKPLAQMKFLTFFGNNIGTVNGEEWKRHRKISAPTFSDRNNRLVWDETILI MESLFSDVWKNKDVVSVDHFVDLTLAIAIFVISVAGFGKKVSWLNDTIIPDGHKMTFK DSLHITCTDVIFKLLFPDWILDHGTRRMKNTRIAFDEMKVYMSEMIQDRRLSDKVERH DLLSALMNAHSDDLSDSYLSDSELMGSI JR316_0006858 MSTTVTSPVKETLHDSVFAAVNGDKQLKVEDVQEAYIENKDRLV AAIDSTKEILSEIRTFNKDEWVVRYPQLREQKTQQDEDVEPTEASSSRPRKSMRRSLS FADDPTFETEVIIGSQRHGPTRSMTLASIPDSQGKEEDAQSTEDIKKDAEDERLLSAS DFNVLRLDLKLGSHHSSSSAASLVSQLEKASIANLLDERIGASVNHIDKLRLRVEDTS SKVLVTGDLNAGKSTFVNALLRREVMPVDQQPCTTAFCEVHDAAENQGKEEVHVVKDG AIYDINDTSTFTKGEISDLEEIVADNEHTQRMIKLYLADTRAPSESLLNNGVVDISLI DAPGLNRDSLKTTALFARQEEIDVVVFVVSAENHFTLSAKEFLWNASNEKAYLFIVVN KYDQIKNKEKCRRMVLEQIKQLSPRTYEDADDLVHFVDSASALQPFAANPSFDDLEQS LRSFVLVKRSKSKLQPVSTYLSNLLADIELLAGANAIVAESELQQARDNLTLARPILE KMKKGRDVLEEALESVEESGAGEASSRTKKLLTDALEKVGQGLLAVDKPLVPMPSYPG FLGIWDYARDVRRALLASLDAAVILSENEARVVTTNGVDKIKNLGEEHLPQGVERSRR IFMPEAMFSVARRAGKGMKRRSSSSYSNIGGAIVAGGMHGLGIGLAQRPDMLETTFFD LFDVNHQFFLHFGDGKEQSEDETTSPTALGLVSVGVGALTMVGGQAVGIRGVIEGIVR ITDLFGNETARKWAAPVIGAVTIGLTAYLILELPSSIPRTVGRRVKASVLARGDDRDV HFVDAHAARISRETRKVLRLASWDLKERFRGAMEERSKEVKGAEELEKKALRAKEWFG SVSERTCTIREVAKLETIGA JR316_0006859 MFSGNVLAGDVSFPAHYIFSKLACNGSTPPQRDYSNSLASLKSL AHWALQVASSTKPLLLSSHSDVQQATRKQASSLIKHVSTTPAVFVIYTSITGENPCVG VSPAKQKISLLALYTLDKLGNFQLLGSLYLSTSYQAFENSRRVSYESSNEIKAFPLPR QNLECIFYFLPTSFFSTTLKLGRQTFLGFIKNGYSGPRRLRSCRKVVLDLDGYQITHI GKGAFAIISRVIHRASGEIRVMKRITFDNTGLAEYLARNEVDALKAMSGNVWFPPLLN NFEEGGEFLITMPFYHRGDLAALIEHKGYLGRKLAQFYSAQLILAIHNLHKMGIIHRD IKTDNIFLDGNGHLVLADLGLAENIATYEGGEEMMKYFPEWLEARDKGGDDFPLLWVN HRNPLSMIGSAGTFWYTAPEVFRKERYSFGVDYWSVGVIYYELITGHIPFNHFKPYPE NKRPELDFTMKPGQLKDVVHWEEEALSEVRTYYNELNL JR316_0006860 MSKVILVTGSNSSIGYELVRLLAEQGHIVYLGARNEISGKEAEA TLHQKGLKNVKFIQIDVTVLDSIERAKCTIDENHGKLDVLVNNAAISRADASAQSASI VSVDIVREVYNTNVFGLIQTTTTFMPLLRKSLLPIILNVSSGLGSSTLQSRPGARANF VAYQSSKAAVNSYTVSLAQELREAGFKVNAVTPGLVSSKLNNFIAGGKSLEEGAKALL PYALLDADGPTGKFFNWEGQEVPW JR316_0006861 MSKVILVTGGNAGIGFSLVRLLAEKGHTVYLGSRNPVAGKEAQE KLNAEGLKTVKSVQLDVTQIDTVEAAKKIIEKDEGKLDVLVNNAGISKMEASQKATTV EIPVLRDAMETNFFGLVQTTQAFLPLIRKSSQGVILNVSTDMASNTYMARGDSTLHVV AYNTSKAAMNSYTIALAYELKEEGIKVNAVTPGFTTTKLNNHAPGGKTEKEGAASLLP FALLGKEGPTGKFFDWNGTEFPW JR316_0006862 MISAYRYHKFAPVHWTAEDDSPPTFTELRDWEMKLPQHNLSLPF PEGRLGRYVLFSNSREHHVGWNNKLNDMLMNTWLAYSSNRGYVFHDFIWTPSHYPWPV SARRSRELLPRTPLNALISGPSAGGSWEDGDKAPRSISEAWFDIVCPKSERRIINTRD VKSEVNWADGKVIFEKWRKLLTDAPERCVEVVAGPPEEEPFPETFDIWFWSGERSISL WDEFKDSPVSRLLSTSPIIQSAIDENWRYFVSKDQLNKPFDNVLSIHVRRGDFKEACL EHAAENSTFYNWNLLSFLPDKFHPPTAPAGVVLPKGKNTPENEAIFLARCLPSAASIT SKVRAAREAYLQAAQSTPGLASSNFNRLKTSSRKSLDVLYIMSNDRTKWLDDLKESLR QDGWDRIVTSKDLKLTAEQKEVGVAVDMDIGRRSAVFIGNGWSSFTSNVVHRRLVDGK EPISIRFW JR316_0006863 MTIDVKYELENIRPNLFLQETEDTWEKIAKALTSLIKLCDTGAY DTHPSDIVSMVRAAHRPIISAMNSERTRLCMVPMDLMVSLGGAMGMEFDQIMPLFIPT LLTNCARTNKVIVNRAKSTILSVIEVTQLAAILTHFYHNIKDKSSSMKIAISEGTLAC LNSCNPPDLEKEARAVEIEGIIRITARDANADVRKNSRKIFESYTILLPARVQSFTAP LSPTTRKYLDVKPPTVTTQKSSSNLRSNITLKPEVKSKSSVGPSSSTGHSRTASSSTH STATVGGQAQTQPTTLVRVSRKDPVPTVAPVPVRLTQPSRVAPEPAKVGPQRPITLPP IRTTGVQPIIRTTTVQETKRPIPPLRTQRSTASLTIVTKSSAPQRIQITTASTSAAAG SSSQALPSAGPRRIPMPPPPPPAPKKDTDGPKRPASRIDNGASTASHRSAAVPPAPVK KAASTVTSSLRDRVPSAKPPAKPTVNISRPKSSTATTTTSVAASTSGTSTTKAQAPAK AKPVWGKSAPPAKPAPLAAQKAATSSSARPVPPTNTRAPVKTMLTRKPSTRATSSSKV AGPPIKTSAEKPVIPENIALPPSPPPAEEGKDELVPEVEIAVQEKGDLNNDGTGPIAD PALPDIVEPNQIDDEGDESSSLQANENSDVNTLSTIVAVQSSSMNNKENIPIPNVQHE IQKPEEAMPAGEEVQEDSDSLMLPEHENPRTPQQGLKPAPSADVNAGKTPISALLSSI ERGFNYDYSPITPLSPADSYLPKLNGETPYSHHTHAPHIKGPMQPFNHALHAPGHGGI FGGFGGVVKPKEELVRGDIGVVQLSEYNKIYKPASLPGLDDGRQAFIELNKH JR316_0006864 MPVQVTDTHEDLPKERQKFIDDALRLAEDVSKNQTFNTVQPLLN FWAAFSPSNEIRTPFGLYREGTELRGVYYAYPEVGRAACDSLEDQCDYPIFLGNDPMY GGLGGDFTVITASILNGPLVLRHELGHSILEVGEEYDGGYAYFGSNAGHDTKDFPWKR WLSNPSRINEHGGPRVERSVMAMQAYPWTLLNMTTPWSISFSSSGTYERYSIQFSLSG IPDKDDLRVTLDDIDLGWEPRSAVGMDRWFYNFLSSVKLSPGTHELKFHLLNDKNEGT AQLCSAEILEYGSEEEFVMVPGYYGLYPTYSDVNQTSYRPTNDDCLMRLVTSPNFCKV CLETLWLNLLKNVSFIDGINERCTEPSDSSAAMIQTLHLDLLPLGHLRKPHTVISESY TIFWEKDGRQLIQHTNQTEINIDDDPVGEYTATVRFSTHEVKVDSPKLQSKLSYRVVS SCSTRGVS JR316_0006865 MTPLRLIIDLNRCFEPNAFNTGPPIPSSPYTSTSGTSSLSGDTF ELLESRWSTSTPDLTSSPASSVGSSLHSLTLEDEEIRKIFANPEFECTANSAFQKYDT NIGECSTSGKDELNPLAAPFTPSFLRVYCPETVKPVDPTFQPSPLDLGFNPVDFTYPS PHSNEYVHHDSHYRQCVPLPAVYSPGPLRTSLQLESDALELATAVPRALQGSLQYMPP VYYPLLQEALLPESSDRLRKILAGAIVNGSTKWDIETLLDLAEMLGEEACKPNQHSST TGRPITACDASRYTPINGLHELDLQQDGSEHQSSENVVADVARHLYVQLNEIHEELGQ TFAWNLRETILTRFIHCWDGTKPSSINYKNRPHIHYVRSALTLCKSIAALFTRGLIMK AHISMCLSILMKDLMSVEHFEALAIIVLGCGSEFWCPAAGDPITTVEVEVAPGGVVNM TDGSLLLSTSQERATVKVLESDHVTYFLSGLAANVAGRNLRGEESVVGQGWGKGQLAA RIRELVDSVKLWESILMNPSTVPAS JR316_0006866 MPLSDSTISLLLAVLPISGIIFALYNQYQIWLKSPIRGLPYPPG PPLLLGNANHAVQSRPWLTYTEWAKQYGDIIYLNIYGEHTVILNNLEDVMELFEQRSR IYSSRQSNPYTELMGWQFNSGLLPYGDLWRRHRKLLQQCFRRKISTQYEPIQTAKTHN LLNDLLRTPSDFIEHCKKNSSAMIMSILYGQDISDEISAQFVSVAEETVTALGKCLRP GKHMVSYFPILRYLPAWFPGADFQRRAAEVKKLTCKMKDEPVDFVGKGLLHGTASASL VADLLENCYVQREYDLIKDVAATSFAAGAETSAAAMESFLLAMSLFPEAQKKAQAEID RVIGKERLPTTDDRPFLPYIEAVYRELMRWAPILPLNTDHTTTADDIYKGYYIPKGTV VYANTWALTRNEEKYPNPDIFNPDRFFTETGHLNDDDTVLTFGFGRRICPGRHMASTT VWLAIVSILANFDIKGKGTNNKDQKFTSIGEMFTDNFISRPVPFECDIVPRKNAALLA SK JR316_0006867 MIFYHLEIRDFFSVTQPVISHCLARIPLLAHVSHVLADSQKGLQ PVQLLIRSRGKAIFGGHLMDIRFFLEIWLLLFGARKSFFLIRDSRQWSFLCTIYDAAR VDQR JR316_0006868 MPPELVYKILDDIPLAYLLQLICDQDIPHLEHCITSHLVLGKVF SVKYLAEIKALYSLYLRVCYLVYGYYPLYMDSLRFDGRSFTKAHHDLLEEEWGVASLR LQDFFRSAIRASTVKILYAYEYSLPVLTHYAPRTIGPKSSWEVTSVDSLREIFENLDA AEMKLNSIKFAQLNRMAAIVQTYPAMVRTLRDLGQDHHRNDQHLIDMLLRRARDMQKR QIAKQRIVAKCVFHTRRLYLVPHDRLEEWFCIKATGVADSSFMQITQIILEDTGKVSI EGC JR316_0006869 MASLFKLNTISGCQQFVDSQPPNVIADQILQDQRSCRDGDVPSL VVHCLPPHTDQIALSIGDKSIPISVARKRFSSIVSMIKSHMNNLSRSSGNVTPPHMIP SDEEYKATLKVLSYLQLPDVGLADSSTPLEHVLESIKTQLQLGPCVREAIMEQRCRSI KRCYMCCYAVSSVHPFYQSLCLPCGEFNITSWSLSLPTNLQLAGKTALVTGGRINLGY HTALRLLRCGANVVVSSRYPMDAEKRYLQEHDSNDWLTRLKIVGADFRAASDVFALVN AVIACLKEWNTKNKPKLDILINNAAQTLTDSVDKERKNVQREAELSEGTSRVVSLNTR YQPRVRGGVVGQHIQSVTDIPNIAPMSEQTNSKTTIASQTSLESSWIQRISEIPYEDV ISAHSVNTFVPFILVRELLPYMSANSLNDEDEEVLKSTKHKPTGYIVNVSSREGIFEK TPGHRAKDGSHVHTNMSKAALNMITETEATTAWKNGRVAMNTVDPGYMSADPIYMEMV GRAGTPCPIGWEDGAGRDLWPVAKGEKGEIIRGRFLKHFAEINVFR JR316_0006870 MRFPFALLGLSTQVPVQLPLTTHKVMSNPTQEYKFKEAEDIFSP KDLIQLGRPGAAVANHEADLAFVPFSQFSFEDNKNKKSVYVVSLDLSKPKSIQIPLEK GGDTFWLSSRTLANAIGGDLQTEVYAYDISIDASVSDNHVKEPVLIGTIPSKTASNFK YNSQTGNLVFSAYVYPDGNLSTVAEQDKAWEERGNSAYVYDDTYVRHWDEWQGKKGPQ LFSVQLQKGADGVWSLNDDFKSPLQGTKHYSPVEPFGGTDDFDVSTTHIVYTTKDPKL PEAWHTKQDVFIVPISGGEKPTELTSGKQGATHSPVFNTQGTKVAWLELDRDGHESDR HKIVIYDLEKQVRFTITQEWDRSASALSFSKDDDFLYFTAGDEAKVKIFAIPVPPTPS ESTTHPKLDKSITPVAITHAKAASGLQTLPGGKVLFSQSSFTSPNDAYIASGLKSFET ALLAGDRTAADTEIKIHKITGFTEEDLKNKNLDKGEEFWFKGALDKDVQGWALKPKGW QAGDKKKWPGLLLIHGGPESAWEDQWSTRWNPNIFAQQGYFVLMINPTGSTTFGQEFT DAIAGDWGGKPFVDLIKGWNHALKTFPEIDPDRAVAAGASWGGYAINWIQSNPEFGFN FKALVCHDGVFDAAYNGFSTDELFFFNHEWKGRPWDPESIALAKKYNPANFVHKWSTP QLTFHGSKDFRIPETEGIAAFHTLQQLGVPSRLVIFPDENHWVLNHGNSLKWHYEVLR WFDKFVGDN JR316_0006871 MPRSKRSKLVSLTKVSKKTKEHKNAMMAELQSNAEKWRYCWLFE VGSMRNAHLKTVRKLWKDTARMFFGRGAVMAKALGTTAAEEHRLGLSKLASQIKGQVG LFFTDSEPQEVIEWFADFQQPDFARAGNRATRTVIIPVGPVMRHHSDPPEPFPHNEDP QLRKLGLTTSMVKGVPTLTAPHKLCEKGKILTSEQAQLLKLTGLKTVTFRVGLLARWD SATGEVVQIEGGGIPVEEKDPEGSDNEDDAEMSE JR316_0006872 MAVSVSPSELQNLQDCLLNKSGDVALHTRFRALFTLKSLKSEDA VEIIAKGFKDPSALLKHELAYCLGQIKKISALPTLESVLRDSSEDPMVRHEAAEAMGA ISDPTSLPILKEFLTDSERSVRETCEIAVAKIEWDNSEEGKRHHERLNESSPLYTSVD PAPPSSGLLAGAPKPEAISKDTIETLRKELVNTSLPLFQRYRAMFALRNIGTPAAVDA LASGFSDESALFKHEIAFIFGQLLSPHSVPSLLKVLQNAQESDMVRHEAAEALGGIAT PEVLPHLREWMDREDAPRVVRESCQVAIDMWEHENSGEFQYANALTSTPVTVT JR316_0006873 MSSALERYVSDNALLLFGTVDRSMIEYVIATASSSKTPEGLFSA LHAQGLPNTPDAHTFISTLFEKAPRKNKHKSSSDSARKQAEKEAKALRSQKFGFLLED EDDKVEAITVKKDKGKGKEKEKSKRDKQIRKREYDDKEWESDEEEKARKRRRAETEEA EGSGSRDEDAEMEIPESEEARKERERLEDIRDRDAFAERVKDRDREKTKKIVEDRSSK TGAAAEAAQRRQLADDAEARGAALPSLRLHSRQEYLTKRELQQIELLRKEIADDEALF SGMKISKREKRDLERKKELLKLVEERLKINDKYEGYMLPEDYITEQGKIDKKKKQDAL YKRYEDAKPKDDQFVTDVDQWEASQTMHSTFKTGAMDKKEIVDDYDYVFDESQTIQFV LESSLPGVGTSLTPAEKLLQAQIDEAEKRARTIEETRKNLPIYTYKEQLIEAIKEHQV LIVVAETGSGKTTQLPQYLHEAGYTSNGQKVGCTQPRRVAAMSVAARVAEEMGTKVGY EVGYSIRFEDCTSDKTVLKYMTDGMLLREFLTEPDLAGYSALIIDEAHERTLSTDILF ALVKDIARFRPELRLLISSATMDAEKFSEYFDNAPTFYVPGRQFPVDIHYTPQPEANY LHAAITTVFQIHTTQPKGDILVFLTGQEEIEACHENLQETARALGNKIKELIICPIYA NLPSEMQAKIFEPTPDGARKVVLATNIAETSITIDGVVFVIDPGFVKQNSYNPRTGMS SLTVVPCSRASANQRAGRAGRVGPGKAFRLYTKWAFGNELEANTVPEIQRTNLGMVVL LLKSLGINDLIGFEFLDPPPGETLMRALELLYALGALNDRGELTKLGRRMAEFPVDPM LSKAIISSEKYSCTDEVLTIISMLQESGSLFYRPKDKKLHADQARQNFVRPGGDHFTL LNVWEQWAETNYSQQFCYEQFLQFKSLSRARDIRDQLAGLCERVEVVIQSNPNSNDIT PVQKALTSGYFYNTAQLQKSGDSYRTLKTNHTVYIHPSSSLFQHQPPVKALLYYELVM TTKSYMRQVMEIKPSWLLEVAPHYFKPADLDQLSQGDKKMPKTIGASSATTASS JR316_0006874 MTRIGIVTGASSGIGRASAIALSKAGWKLVLTARRLEQLKETAL LCTNETLILAGDITDEPFIKGVFETAVSHFGRLDLLFNNAGISPRAAPIEELSLEAFQ AVIHVNLTGSFLAAREAIKIFKSQTPQGGRIINNGSLSAHVPRPNTSPYACSKHAIAG LTKCISLEGRPFGITCTQLDIGNAKTDISDKHFTVGALQPNGTMLSEPTMDVEQVAST VVHIASMPPDVTMLEVNIMAAGAPYVGRG JR316_0006875 MPGHVLAPPPDNPSRQYAGAHSRADFYQASNEHHYSNARQSSDS GFEYNMRRESNSPVSAPYIQSYDRRASGSSEMHMPPGLSYQEQIARLPSPSTSKRNND NGMLDTYSHSASHFTHGTSSAMTGPASEHSGDSEFWPNIGSQASHVEPKPKKARREKP RIELAPDQPPTTQGKPRARVYVACLQWQVLFGHEKYDATVQSLFVTTADAGRMGMENR RGPDKTPGARQRMARDIRNDGDNGPAPRRRRRTRDNSTSDTNQTNHQQFLTRQSVTGD ESPQDGDMSSSNVMLPSPEIPADLSGNTSNFVAMSNYSRSSYSPCGCHGLTQCPGILG VGTLSNSRKAASVIISYDHGLEMENIVPSYNTNRGFIIELDENGNENQESNGPELVSE PSLNFTRKVWWDSLLSLYLSPTSTRLQALTVSQRMTATQSITADLRFLFRASNYWFSF FHIPSFFGNFYDPIRRERIQPSLILALLALATFWQSSEVGYGKEGRERALRFRDEAQA AMDASFNAGWIDETLAQAAWLLALFEICAHPRHSSERSTSSMVMLDSIIRSLSLTSMD AGDPNTSMFSPGTVPAVLGSPKSQIAWLPEQFPVASHPGVCDEIGCSCQSFTLEEHWP SAAEHAPLWSATPAWDDTWTEAEIRKESCRRLCWSSMMLAAGHISYTTSHRSQGLDLF ISDPANYALLFSGESVAKSPALSSYSPKDTVWALHDRSFLLWHGCIRMRGNTRATDND KAEFAMKAWLEADSLEQALNRHTCAIERAFIFQAREYIFNTRMCISYEFQRYIPLVSA NVSGLFHRDKAEEWLTHQATVAERFMLGLHTVTGHSSNLLARRPFFVFWFMGQIHRSL ALWEVDNTLTVALDVCKALIPAIDYLTGLWPCGEQRHRYESLRQKVTTSCYIAGIEAP SPINLSFSPPSALEALI JR316_0006876 MWSKLVDMAFVACFFLFARAFLFYDFAYLRMVPTTGSDLLSSPM NRDIATLYNATTPPSNGIYDDALPPSWGPAMATNFTTNDDELPMESVFEDGSHAFAQK PERHVPSVSAADSRDADVDDGYTPEKELQLTRVAPRMETSSTSPVLFSSSDTRDPDIA AVERVTARMWHDSDYYGRDDYVTTDVKSDSKVNSGQDISPKSRFFPFEREYDQRSLDD EPFLSMEEYDGEREIFALKIWIDRKAW JR316_0006877 MPGVRDVSAEEFIAAYASHLKRSGKLEVPAWVDIVKTGSFKELA PYDPDWYYVRAAAVARHIYLRKDVGIGALTKLHGGRNRRGNRPSHHADSSASVQRKVC QSLEKIGVLEQTGNGGRRISQDGQRDLDRIATAVVEELKAKEEEEDEDEEEDEEDEE JR316_0006878 MSYQSLIGKPAPAITLDNYDGQSFTFTPGEKEVPTALFFYPASG SYGCTKQACQFRDAIAEKDTFGPGKVQIIGISPDPVAKQKAFVEKEKLTYPVLSDVKK EVFKAYGIGKGMLGMVAVARVTFIIDKKGIVRDALDATMNYGAHSKFVEKWLNRLQTE DEAKAETPTTPATENSPATATTTAEAPKPQ JR316_0006879 MPIITPVTKILGIRVPIVQGGMQWVGVPKLAAAVSEAGGLGILT ALTQPSPDALREAIRETRKLTSKPIGVNITLLPSINPPDYQGYARAAVEEGIRIFETA GNNPGPLIKYFKSKGCVVVHKCTTIRHAKSAEKLGVDILSIDGFECAGHPGEDDIGGL VLLARAVKELKVPFIASGGIADARGFAAALALGASGINMGTRFMCTVESPIHQNIKEK IVASTERDTVHIFRTLHNTARVFKNKVSMEVVAIEKRGNAKFEDIKDLVSGARGRLVY EKGDPDIGIWSAGITIGLINDIPTCEELLRRFERETEEIVSGLNKVVFTGESCPVSGR AKL JR316_0006880 MSISPPRTPGEDRLSAQFTAVKSTTPTSDTSSIIDDVSFDYVWD KQGNFIRKSKDEMSPKPDLGSNPSSPPTPPESPSQPEPVPKPPSPDILDSPLTKGPLS RSESAYPILTGSGAGAASTQTDMPVRLFQRVASGPAIAMASNLPPTTSTVQSKPRIVA RRVTMEDGRDRQESVASSRSRQTLDSNAPNYALIEEKENISDADEIPHAEIQRASIAT KMRSSPPLVTRSMSSASSRVPAARAAYLANGASSLGGKPLADVPVPQRTQYSRPNLAG TRAGRIMKTTSGAKYVSSSTAANFDRISELEASDNENAGSRYCPKVSVGGEDTEPEDE PARVAVDPAMIPLPAASIPSSMAPVGMVRSRTQLNVNTGASSSSLSVAGSNRPRRSAS LSEAGLNNDEYQLQLQQQYQNPHSRPGTSLGLNGEPAVGARRIAAQQREKQGAEARAI NQKYLAEAPERREHEVRQQQLSRQSPSPTHSQHAPSSKPVLGHKRRDSDTLRMAPPPL SATIMTGSPTVVEHQRASPPLSSRLQVRNSPPNGKGRISPISKGRASPPAATKGRVSP PTAKGRLSPNPVVAKELAKHRRSPTAPESRNLEVAPEKSQPVGKTWAAADRRDSNEEF EFAVPALPAGRERERERQQDREREREYQPDNRDRERRSHQPQLSLPQYPQSAPSIAGS SSQASNLQAPNLPGSRHMVVNKKAYARLDMIGKGGSSRVYRVLSAQNELYAVKRVSLD KTDAETMSGYMNEIALLKRLDGNRRIIRLIDSELKPGIHGGKGHLLLVMECGEIDLAK LLADQMKEKVSMIWVAYYWQQMLEAVQVIHEEKIVHSDLKPANFVLVRGQLKLIDFGI ANAIANDTTNIQRDHQIGTVNYMSPEAIELPDGMRRLKVGRASDVWSLGCILYQMVYG HPPFQHLSVYQKMKAIPDSSYDIDYPEFTTPTVAPSRNSNGGANDAVEPPTKLEHLKQ KVRPDVIETMKKCLCRNPKDRADITNDLLKDGWLTMQSVEPSPPNLKELLQEDETVID PHYMSQLLVYGIKLAESSPDPSQEYLLSEAKRLVQELRAIRHQ JR316_0006881 MFSKIFKIAVVASALVASAAALPTSVNTLTARGDISFDNWGGIS SFSGFDNFYGSDNFIGAISSQTVVEQSSELVCHSESIEIIQQRLLVLQEMAKRIITEQ VCEVETQTVVFEQFHASLGLFSHDLRRTSGHHVGFDESITSHFSDIVAEDDSLTTDDF GFTGHDLGAHTVVVGGSNWVDATSPASVGAAYSAARGAFYSSF JR316_0006882 MFTTARLRLRGSTPNDADNLLALYNDPRVAPWITEGYIVPKHAS YLETINAFIASCVLSCVVEELESGEFVGLCSFVGQGEAKNRNAIMCIALLPRHWSKGY GAEVMGFLIDYAFHDMGMHRVSLTVFEGNERAMALYRRLGFVEEGRHRKIVWMNGGWK DTYYMGILEDEWRERKQRQAEAEQTFLSRWIMSSLPTHIQIPYEPPFLPVLLSLAAYL YLLSTTNALSESITNAPLLGPLLTGILLGPSVAGLITPALQESLIALGYIGLLLIVFE AGLSTHLPLLFANAGLSCAAALTGILVPIALSMALLKSAYGYTSLQSFAAGAALCSTS LGTTLALLTPPLRRTRVGSVLMAAALLDDIVGLVIAGIIPGLAGAEAGGEGVKWETIV RPILVSIAFGAGTPALAWIVRKSVLYLMSSAERAHGQLGAHLSTSARIGRRVLDLIQD TRTQLFLTVLALSAFVAGTKYAGTSELFGAYLAGALLAYIFTFESLGDATTTRVEVND AESPKSPSVSPVSDLSETTAAHAPSSSPPPSDIHEPESNHYISHEPINLPFAAFTAHL QPILAPLLGPIFFASIGAAIPVGSLFTIHIAGGGVSHAVVWKGLVYALLMVLAKMVVG VWMLVWPAPRGRAGHGRKRERARSSWLTSIRAVWDKMKKLRSKCSESENGLGYGCARE ASTVEEGGVCEHSPDTAKRGRASTALETRRTAGSEHHDEHDLGDGGHVHEPSPRRAAA LLGLAMVARGEIALIVAQLARPLLVDGRLGLGLGGDEEPYAVVIWAIVVSTFGGAVGV GMLLGSWRRRRRDGGEEVSGVGFGI JR316_0006883 MATYYYECAVQGLPRQYSAITESTAQGQTTPCIMGLAALVSLLA INVPVITGPPSNKGRRTRLPVAGQDVIQLNRLQPTTAAGHDVIQHNRLQPMTDAVNHE TLANIAEAVDRNASKIYSDKDSGKDIQFRAPNNSVESLFDD JR316_0006884 MAPNPFLDLEANVDHGDSEDGSAESDEFECFIDDGTQSHEDCDG SSSVAMDKPIPVTKRDRLALVIQQIEERTRGRSHSLPASDMYRGVEPLYSNADNPTVL SPHGNTDSGFENLPTDYPTWRIGCKVGYEEIAVASLLKNSRREHHIRSAFSRSSVHGY IYLECLMDQPMIDLIKRSPGIIVKTTDVLLSPIDKNEAQQLLYMGGDITNLSVGKWLK VKRGVYKGDVGFVVSKGSWGVSMLMIPRYEYVSTKTKSSRKRKTYTAVPAPKLFDPTG LRNSHLYIESGRASVYRVGDLIFEHGLARVDYDPRHVASCREGLSYVTYTSFCMSGHP ALHHAPIPKPKEWIMRTMEWVVMRSTGWLGVIAQADDDNMVDVDIYNKGCDHDETGQH YNETEIHRVDTTTTQLLTTIRTVWSDILKHFEIGDYVGVDAGVNAGRSGWVVDIKGDE IQLIDKQGTTKDQQINTADSMLEAKPTRYDPSNPFKKIVLNRDDVLEYSTFEPLSLSK TYDSNAVHQSRNFANPSIIITTGTESADSGRHTPLPDTIEDTSPAWDPSDHSEDLTSI SIGTVVVNSSDGTNVASLTTPCNLLLKRELIGVPLTVEEATVDGHVSPLFVIVQEDVD GQLCMMRFTPNSKRTLRIESTHIKPKHPTIKHDFGLLAIIEGEHAGKCVRRVHSRKDT NGVVLVVKQVLPTDRGADQIVDGELLTPTENCCVAYETQKRKNANRNQMRHEHDIYIS THLP JR316_0006885 MVSINSAYAPASPKPTYADVVAGDCSPSAEYKHRPAKGIRNVII HVKPDNKEDSFSVATDSDDGPLDSVASRIAAAKVVKPGNKTVAKRDIEDAALVCSDEE LPARISPPKKSRTSVSANVDATVNHVSYSSDSSVEVIRVVDNSANARYQKGISDAILV DDSSADEMVSVHPTSGAKPNKQKGKKPQKTMPQTVRIKQEPGIVIKQEASARPTSVLP SEVMVKKESVDVHIPLAPDNVASTPTKKDKGKSSVSKKGSVGIRRSARRSEAAIERVP LSVSPLKIGSEISGSAVLSPPITLQGDADSDINPFLPSPEVILGTKIPGKGKSIRIVL PSGPIDLHEDEAMMFAHAVRESRMHQTTYPSSNALPSGPSSSKLLKALDDAYEKYSEA DALKTEHSTLDPPVQFKASVISAKSIDAHSDVVMASLSEQPKTRQVQFQSSLTAVPIN AAVEAPPTPVTPVRVPRKFKDVVPAGPVNKTPSLGLNGPALMEDTMRFSVKHLPKKCE VTKTDLQDSLLESTYVDLPNLQHGILKQWNSPNVMAPVDCVSFSLCGENFPEMNFENA YDAVTFVRNKNFINPSRVSPLDVSILYMGNDRKRATLQCNSVPAICLSAILTRDSYLL EPISKGLCNKFLSGHMMHQEWERFAGFACMAFGHQVMVASIRDKAITFGTMGTLTQIA ERESISPSKPPRTPGILSGQKDAKLQGGSRKALKSSTRFKTMMDSTDTIPIYDSRNHA FNFNTDLDRLDELLPRWRRGEIPPNSFVMVAYTMTQYEKERSFHLCTNIQYAVVFGTE HDTSDIEAEQESFAGFDED JR316_0006886 MDPNYNQYAAQALMDQGYCDARKSIHDAMPVVDFQLEDHRVVYV EQPRSWRITRTNSTEEQNFYVYGAICRNELPPIKLSDATPSMKKKAIYLRQGVRITGL RSNGFNDDAVSIKHVHEMMKTYLKKEDIEVKPWNLSMYEGHWAVDASTRYFTPRKHAP TEAGLAFDMGVDPDGVLAHMRGDDLIHTMDNKVDYLREVKNDNGTSTSRVVPSVFKVG DIVRATIAFIGVMHKDKAVAMTTVLRALTLIHDVQDVRNGPAEFIENDAEIAKIPVMK RRRVYDEDREVRQKMEDMTIHRE JR316_0006887 MAGIFAQFGTIAASSSQIEYELIFICNDVQRFRAAYTKYGAGRY VGLTIGNEVQDSVGNIMAKVYSVRGYLKSVGVQTPVSTVHTWVDIRNNPALCGADFVG ANAHAFFDGGVNSGQAGSFLYNTVKPALQAACPGKKIYITESGWPSRGGNNRNAVASV PDEHNAISSINCARS JR316_0006888 MFHRTFTAIAITVLLSFTAPVLATNHMSGLAVSNSPGGTTTYGC RSQAQVCKCILLNSMPVSNQVIQWNQLANDAKSQGFKIIRIIGFDCNALDLASSAAAS AGLQIMAGIYAQSGTIAASMTQINNDVQTFRAAYTKYGAGRYVGLTVGNEVQDSVGNI MAKVYDVRGYLGSVGVTTPVSTVHTWVDIRNNPALCGADFVGANAHAFYDGGVNSGQA GSFLYNTVKPALQAACPGKKIYITETGWPSRGSNNGNAAPSVPDEHNAISSINCAARD TSMTIFAFEYDDQLWKTGGAVEQSFGIFGKILPGDALNAC JR316_0006889 MATYYYECAVQGLPRQYSAITESTAQGQTTPCIMGLAALVSLLA INVPVITGPPSNKGRRTRLPVAGQDVIQLNRLQPTTAAGHDVIQHNRLQPMTDAVNHE TLANIAEAVDRNASKIYSDKDSGKDIQFRAPNNSVESLFDD JR316_0006890 MAPNPFLDLEANVDHGDSEDGSAESDEFECFIDDGTQSHEDCDG SSSVAMDKPIPVTKRDRLALVIQQIEERTRGRSHSLPASDMYRGVEPLYSNADNPTVL SPHGNTDSGFENLPTDYPTWRIGCKVGYEEIAVASLLKNSRREHHIRSAFSRSSVHGY IYLECLMDQPMIDLIKRSPGIIVKTTDVLLSPIDKNEAQQLLYMGGDITNLSVGKWLK VKRGVYKGDVGFVVSKGSWGVSMLMIPRYEYVSTKTKSSRKRKTYTAVPAPKLFDPTG LRNSHLYIESGRASVYRVGDLIFEHGLARVDYDPRHVASCREGLSYVTYTSFCMSGHP ALHHAPIPKPKEWIMRTMEWVVMRSTGWLGVIAQADDDNMVDVDIYNKGCDHDETGQH YNETEIHRVDTTTTQLLTTIRTVWSDILKHFEIGDYVGVDAGVNAGRSGWVVDIKGDE IQLIDKQGTTKDQQINTADSMLEAKPTRYDPSNPFKKIVLNRDDVLEYSTFEPLSLSK TYDSNAVHQSRNFANPSIIITTGTESADSGRHTPLPDTIEDTSPAWDPSDHSEDLTSI SIGTVVVNSSDGTNVASLTTPCNLLLKRELIGVPLTVEEATVDGHVSPLFVIVQEDVD GQLCMMRFTPNSKRTLRIESTHIKPKHPTIKHDFGLLAIIEGEHAGKCVRRVHSRKDT NGVVLVVKQVLPTDRGADQIVDGELLTPTENCCVAYETQKRKNANRNQMRHEHDIYIS THLP JR316_0006891 MVSINSAYAPASPKPTYADVVAGDRSPSAEYKHRPAKGIRNVII HVKPDNKEDSFSVATDSDDGPLDSVASRIAAAKVVKPGNKTVAKRDIEDAALVCSDEE LPARISPPKKSRTSVSANVDATVNHVSYSSDSSVEVIRVVDNSANARYQKGISDAILV DDSSADEMVSVHPTSGAKPNKQKGKKPQKTMPQTVRIKQEPGIVIKQEASARPTSVLP SEVMVKKESVDVHIPLAPDNVASTPTKKDKGKSSVSKKGSVGIRRSARRSEAAIERVP LSVSPLKIGSEISGSAVLSPPITLQGDADSDINPFLPSPEVILGTKIPGKGKSIRIVL PSGPIDLHEDEAMMFAHAVRESRMHQTTYPSSNALPSGPSSSKLLKALDDAYEKYSEA DALKTEHSTLDPPVQFKASVISAKSIDAHSDVVMASLSEQPKTRQVQFQSSLTAVPIN AAVEAPPTPVTPVRVPRKFKDVVPAGPVNKTPSLGLNGPALMEDTMRFSVKHLPKKCE VTKTDLQDSLLESTYVDLPNLQHGILKQWNSPNVMAPVDCVSFSLCGENFPEMNFENA YDAVTFVRNKNFINPSRVSPLDVSILYMGNDRKRATLQCNSVPAICLSAILTRDSYLL EPISKGLCNKFLSGHMMHQEWERFAGFACMAFGHQVMVASIRDKAITFGTMGTLTQIA ERESISPSKPPRTPGILSGQKDAKLQGGSRKALKSSTRFKTMMDSTDTIPIYDSRNHA FNFNTDLDRLDELLPRWRRGEIPPNSFVMVAYTMTQYEKERSFHLCTNIQYAVVFGTE HDTSDIEAEQESFAGFDED JR316_0006892 MDPNYNQYAAQALMDQGYCDARKSIHDAMPVVDFQLEDHRVVYV EQPRSWRITRTNSTEEQNFYVYGAICRNELPPIKLSDATPSMKKKAIYLRQGVRITGL RSNGFNDDAVSIKHVHEMMKTYLKKEDIEVKPWNLSMYEGHWAVDASTRYFTPRKHAP TEAGLAFDMGVDPDGVLAHMRGDDLIHTMDNKVDYLREVKNDNGTSTSRVVPSVFKVG DIVRATIAFIGVMHKDKAVAMTTVLRALTLIHDVQDVRNGPAEFIENDAEIAKIPVMK RRRVYDEDREVRQKMEDMTIHRE JR316_0006893 MARLNHKRKRTDMDNVFSRAWDWALGDHQWASAFVVLIYMQVLG RTAVLALVINKTRQDKKSVRYPYPYLYLSLQSEALSDDSADYDYYNMNMNMNLHLAHR ASASCISRMKFLQIRTGRKGTTVPSSPQLSSAELRTITLLPDDDDDDDGFNVNTSISS TDSR JR316_0006894 MSSRIHKKFIHTQPKTYKLTLNAVLSFPFRICNPPPAVGKVRSC SVTPLLRVRLEDVLDRKHLPPLGLKDFEEWLLFVELSPENLYFILWLREYKQRYNQWK AQSAFQSRNAPTDDWSQQHSSHLAMFYTRAKQTFLTPGSEYELNLTSNLLAPFHTPNP SSPHPDPALFLDVELETFRTLEESLRRFVNAQLNNVGNSRVLCGIIAGIVFSLAGAVP PLVVNFTRGHSRWSRLTAVPGLWLGLTIVLAALHGVCLAVYIFGDLRQLRKFELARPP ISKPKPLPAFKPNSFIPATRPLSFADPSTLPMQQRPGTGTTPGVVVPTPITDIPHPIV GTQTSNIASAQGHTRSRVTSTTSTRVSEESARTESTDDRIHISPAYYDEEDDDDNDEC LYYSAPEEKGGASATTAGAAGAAAITPDNGGLKEGAFSATAAFIRPFEPMTDDEYELD RSLAMPAKHQVMTSFNFDALPSRPYFPDSDDDDDIHTHPHTRSATSSSTTNTIPTPIP SPSSPAAAALPPSLSHSRPPLPHINTFLPPQHTHIAISCPPEPAASPSFIARIQERCN IPKWRLQTGYLEPSSPASVSSSSRWTSRGAGRGMGAGRGTGTGTGGSPLPHSSSPFWT QTHHRANAYSTSSMSMSMSASMSMSLPSPSPLVPKSESDLDPTDEKLTRKSKSKSKSK EKGKGKSRGPEKESKVHRRFRLMNAVPAFAVPLTRVLSPVIVRGQWEIVVRSAVVAFV VAWVLVGSLLAVPPVGGGRGGT JR316_0006895 MDQLLPGVSNISIANDSNGNIIITNARLPFADKAESSKTWAIEI HAGRVVKVSSSNDDDDDAVGTPESRDPSTCTAVDAQGGLVLPSDFREAMQVTKAAKSE FPKRLEDLHARGARIIEESVEHGVTAIRAHVEIDTSVGFACLEAALTLQREYRAHCDV QIAIFAQEPLFDSGGSVDHRKPGRNFELLEEASLRHGVSVVGSAPYVEPSIAQAKMNV GLIFDIADARGIDHVDFHLDYNLDPESEPLIYEVIAQAKKRYQTTPRGDPDGSQQAMV SSSRSSIDAEDAADAPISHTTSPSKRPCPRITIGHATRLQLFSPEQWRALIDAISDLP IVFVGLPQSDMYMQGRSFWDAPLGAPRGTLRVPYLHEKYGIEIAMSVNNVENAFTPQG SLDPLSLCTFGVGVFQAATPAAIRSLVRSVTLTSKRAMGLDLPYLNPTPRDLTPSIGD TADFVILHKRASLQSAVLNPPFERTTIKAGRVVACKRGAAWLAPR JR316_0006896 MDDLAHTTRKRKQDQDDCPDQQDQPTVPQDDHRDPNPIHTPRLP WLPAADADDHWASPTSPSVSSPLSASASSKRPRLDALDTKRPGPLPRTRSASTRAAAH HHKILPAPLTSGVRQGSDIEDIGIVSTADPGPSSGSLLRNHHHHHHHHNSASRTAPLY ETYVVQKSASASSSSSPPDAVCSLSYEVPVPVPVQVPVQGPRSHYIPPVHLNSPRIPP SRPLINRSTLKELELDIILRNPVIRHDLLFDPGLQFRPRRKRERFERYWAAVWEELQT GCTCVTIDTRDESLHLPKICVCSRFRNGKELVSPPKSPAEQYMRIPGAEHLVTLRQTS RIPALLEEFLEVMVFVIQPLSNTAVYTDPSAIREQAQEHSAHAAYLRAIFDPELIAQE LRHGVFDPAGLFRQIGDTLKHHCAPMRDGAVEEMVRMAQRPGLEAFKAVRTCLELLEL MKLDIANHQLTQLRPWLLRNTGMFEVKAFKIRFGADASLHHTRRWLHAAHHSLLERPR PIFLSTSPSLSHPSSSLSHTSSTKYYKDLNRNQQIYLTALKGVVDLIFDAEASSSSST SNSSSPPLSPVSPADPSPSPVCSSAPTSPTSPTSPSAGGFALPETLYLDKTRIQPLGQ EAASATALYMFMLLFRQLVFNPGSDSSTSPLSQIRREKVVQADMVKLKREIKDIGPAC LAGCLDASPSPSDSDASSKDAEQMKEVKEALVLQIAKRACETRRRLQHPVSHLASDAS STSATVAGGEAPDPALLGVAQRWAATNMRAGAPLATLLHRRMRDVVFDAVVCLAYPSP CSASSSSSSTPSTGIDLSSSATLSSASSSPMMATTPAQLGSVVQAAGMESVADEIRRV AEDAARLALIHLNTHLPLYEGDGFFPTT JR316_0006897 MTNARLSDRELANINAAAVTREYRIKPHLVVLDNVKFPSYNEIV QLTLPDGTKRGGQVLEVQGKKAIVQVFEGTSGVDVKATHVEFTGASMKLPVAEDMLGR IFNGSGNPIDNGPKVFAEDYLDINGSPINPYSRIYPEEMIQTGISTIDTMNSIARGQK IPIFSAAGLPHNEATGLVKRPTKDVHDGHEDNFSVVFAAMGVNMETARFFKEDFESNG SLDRVTLFLNLANDPTIERIITPRLALTTAEYYAYQLEKHVLVILTDMSSYADALREV SAAREEVPGRRGYPGYMYTDLSTIYERAGRVQGRNGSITQIPILTMPNDDITHPIPDL TGYITEGQIFVDRQLYNRQIYPPINVLPSLSRLMKSAIGEKLTRKDHGDVSNQLYAKY AIGRDAAAMKAVVGEEALSAEDKLALEFLDKFEHQFVGQGAYESRTIFESLDLAWSLL RIFPKEQLNRINPKIIAEFYGRKPSGSARKGPTDGAEAGAEEDEEEKLIQI JR316_0006898 MQQGLARLLGVINYNNHPKSLPEAITYLLDTVKPAAKANIETRR SCFEAIPQILTLISPQLSSLLSSDAFNSLLDAMLAGLDDYTLDERGDVGSWVRIACIQ GLTRIAELLFGVAGSLPDFESYFAPGKYHAVATGLLKQGVERLDNVRQTAGTSIVRLL NAPLPLVERPERWRLPGLDLMNTLFQNASDQSGWNDGNWLFPRAMSLLEVPQYRKDVL SGIVISIGSKTDSTQKPVANSLVKYAQEIPLVSNPGTGYSLLELVSDLINHAKANMTS NAIVVPVFQTFTILLEADVLREVPNEPSGLQSLKTLVHMTTKNVDKLKSVQRIHESMK IFVNLLSFEEIRNEKLSLLSDFLAHPFPKANSAEYLYVLLQSADFGIETDAIEDILLE TEWATGDKEVATEAAKEVIQLFTS JR316_0006899 MADEIQEEKAFGTFEAFTQLKDLQDKVLNVRYNNDEKLSEEEHT ERSVTFQKFTTTLDEYQEQSYLLDPYLEQLVAPVVETLKEYVKISISNKSDRSDIWHA HRTANVLYCYVKFRGYKTIVRFFPHEIADLSIALEFMLIPNGLVTSHWHWPVRYVMLL WLYIICLIPFDLAQFDEVDQIGHTAKTLESVAKTYLGAAGLEKEAAALLLSRLYIRKD TGEGFHHFVEEARSFLQTTTNDLFKAIGLLKVLCEVVKSGSAEQVQDELTTLRAIATI IQETTTYANNTIIRKLRTKLISRVGLRLLPTSSGISKRQARTLIAEEVHNGHGGGEDE IEVPEEIEDILEQTFAALQDRDTVVRWSAAKGVARIAQRLPRDFAGQVLETIMGLFEI HSVAAASLYDLPAIAEGTWHGACLACAELARRSLIEPGHLPQLIAWLSKALYFDLRKG AHSIGSNVRDAAAYVLWALARTQDQTALVPHATNLAQRLTAVALYDREIHIRRAASAA FQEHVGRTGLFPHGIDVLGKTDFYAVSIRKQAFLVAAPQVAEHVEYRDFLLDHLLDVV LRHWDLSMRELGSQSLRLIISLDLHVLAPRAIEKSVKLLESLDTADVHGGLTVLSEIA IAYKQSKSDPSALEEHLRSIFQHLKHVDLKTLTTTRNELVASAACRLIANSITITEIN LGPQSSVPGWKKIVDNGLKHRITLVQEAAALAMQEISNLTDCSADITR JR316_0006900 MDTSSNPQLKRKRYEPPEEHHEVKEVHKASKRAKIFETQKVIKR LKGLRKKDESNKAIADFEAELTSLKEVHHDAIGNSALRSKVLKDHALRDNEDVKAAMT KELESNLITPATPGTTLAKIQSRLLSSKILAQQITATITSLKYLLDPALKQRVKGGDS EGLPSTTVDPDSERPSKIRKESSASDAVKTKVKAEKVKAAAVDSDREEFSDSEEVDEA GWESGTVDGDGGGGRGDDDGWESGSIDGGDGAAVNNDSSDEDDSSEEGSESESESDDE LTVKKLDSKPKAPTAKSAKSATSTTKMESTFLPSLSVGFVRGSDDSDFSEGEDKSGGA PKKNRRGQRARRLIWEKKFGKNANHKKKEMEASAMAAATAPRNKGHTSKVNTGSNAIA SRQFNDAGSKNAPPRSKGTQQHHQPADAGWGGRTNRASVPAPSKSNPSVSKPVHKKDG DKPLHPSWEAKRRLKEKESAGIVASQGTKIKFT JR316_0006901 MGTHSPTHSSIDKDLDAKNEIEHIDSHDEKQRRKPILPQTPMEK QMALQEALKVDPGVSRFSWAAIQMYLVTLVVCCCSGDSGFDGTVMGGINSMVQYQKYF GLNGVGSKTSIVFGIFTVYVLVSVSGTIPASYFPDRFGRRFSMFFGNAVLVVGAVITA NAKDKGMFLGGRFLTGLGSSCAGASAKSYLAEMAPAHSRGAYLGFLNSFYYVGQMTAT GMMVATGKFNSEMSWRLPLYIQLVPGALNVIFVFLCPESPRWLYSIGKTEQARKILAR FHSSTNDPNSPLVDLEMREIEEKIQIDGADKTWWDFRPLFTTRAERYKAYMVILIGQL SGNGLITYFLPILLKDAGITSQNKQLTLNFVNSVTSYIGALTGSAVVDRFGRRKVLLL ATSIMVAILATVTGLLSDFGSAARANAGITFIYLFMVVFSFGWTPMQALYPAEVLSYQ VRAKGLAFLGIVAQVATLINTFGLPVALQKLGWKVYLIFLIWDVFEVIVIYFFVVETK GFTLEEINEVFEDDSPRKYSERLQREMRESRKREQGSA JR316_0006902 MDSFKANRSLLNPKFEGYKLEPIYQEQAVARYKLSRRPTQATAS GKVPLTFQEMRSRITHNHLTVDSETGRAIYVDEEFNVCLIGIPMPGVRPSFQIVYQMA TPMDTSSVPAIQREYPSSVFLSPTTVVVTDGNGILYVLPIRDNQTSEPIGIFTLPTGG AVDAPFRIHNLYRSSPVTAVLLLSSRHYDPEAKKVTSNASNSTPEFDIWAVKINIFSL RPNSEPRKLEVIWHRRGQDVPTFTTFVEQVNSFLILGGSTYPDPDAKPPAKHYEPTPD EIAPIPRAGENLDATTTTTPNDVEPNKPQKPHPYSWTQTPDAVTVAFPLPSSTPKNKI KVLFTTQTLTLHVDSFPLESSDNTPAPIPHYSAKALWDSVNTTSCFWTWDREAEHAYG LLTLHMEKKNEGTRWMQVFAAAASSLAHDEENPEVPETLDPSELWHIRESLEKYTAAL KSGEDASGLGLGRGVPSLVEGEADEEADAAVGRKVWATWVDINGHTPTWTRESSLLGK KEKENWEDEPITLLSTPIPGSTTPTSIADLQLILKQDVDGAVFSLVPANSAPEFTWQH TSTYCALAFVLASKQDTRFTHHIPRRGVLAFEGGSLRDRGANVYIYRPSKSGKDKWAK QSVLQVDDGSSGALLGVGCVKTGDGDVVVVCLTEGELVLINGI JR316_0006903 MDRDHIRIPIEIAFMVIDELFSTNELKTLFALSETCHALHTPSR RRVFSKIALGIHEEFLESGILSLTISSMDKLFSSAPAVATYIQKLIINITTSSGLDGD LLFPLLQKLCALTSLRLTSEMAWSVQLSENWNDMSPEMERCVHHLLQTPTLFELEIYR LQRIPILLLFAGIPQVRHLRLHASTIANRIPENPCPNRITSPLTLLDVRRKSINSLHR IISHHPDVDLSGLKELYISLEEKQDMVFLSKFLKTIRSLDLLSINTTCLTSEHTVADL MSAPSLAHIRVLHLEYPEGRWNRPEHLLKQTVDTLLALQHKHALQRLHIHIRCPTLTH KVNLAEGLESLDALLAGDAFCGLRRVVMCVEPAFYLGAGSGSGVGADSEGFVTTLTRD DLLVHLSRLNKHPDRHFTLGFNFKHVSPGG JR316_0006904 MDEDMSMDASSGDLVFVQDCTGSQGTYISSATKNIEAICAHIFE SGRLQSAEDLRVGLVAFRDHPPQDHTYITKNFGFSSDISQVHKHLSTLYASGGGDGPE AVTAALAEALNMEWREYASKMVVLIADAPPHGIGEYGDGFDDGSPDGHDPLQLARAMA QKGITLAILRRLRACAQWILRLMLPLTTADLLTHAIVGSVLENLDMERLVREVGAAVA QRILGNNESVDDVARELHEKLLLRNESTKKVVIESIYKESAEAKHNVAVFTQAPTLED ARPLLKRVHGTRFTEKYLQMRHNLSRSSYTSYSSPSYSSPSITRASVPSSPIKSGAVP PASPPRKVVTDFAAFGAPKSASVFGTAVASTPFSLAGGKAAFGGMRIGAGRSAFDDED EDEDDGRQKIELREDSISLDQARRIAMQSAWRSARA JR316_0006905 MRRDVRILLVGDEGVGKSTIVTSLIKESFVPHVQHIVPEVTIPP EVTPENVTTYIVDSGAGPQDRVRLESEIRKAHVICVVYSIDNPGSFDRIPTYWLPHFR QLGVNVPVILVGNKIDLRGGEVTNEALEDEIVPIMNEFKEVETCVECSAKLPLNVSEV FYFAQKAVLHPTAPLYDSREHVLKPACIAALKRIFKLCDTNKDGILDAAELNEFQRKC FDAPLQQQELEGIRAMVSEHASGGVRAGGLTESGFLYLHTIFIQRGRLETTWTVLRKF GYGEDLRLSEGFLLPKFDVPADCSVELSPLGYKFFTDIFEVFDKDQDGALKESELEQV FSTSPGNPWAAQKFPDTTLSDETGAVTLQGWLAQWSMTTLLDHKTTLAYLAHLGYPTE PRTSALQITRARKLDRRKGRVQRNVFLCYVCGAAGAGKTALLRAFAGKGFKEEYEPTK KVVCAVNAVDIGGQEKYLVLQEFGSRYEPETLRNSKKMDLTDVIVYVHDSSDTNSFSY ISNLRQQYSLDHIPTLFVATKSDLDLALQRHEVQPDVYCRRLGLQVPVAVSVKTGQTA DVFHQICSIAMNPMSAIPGGADRAMTAAARLRMYVSVTAILGGCTAGLVMLVRTLMRP GGPGLPSWTPAWATWLLGGSRR JR316_0006906 MNLTALPVEILILILDPLGIHELAALCLTSRALHSLVTEYGWSL YLHNHPRPAPSLTAARAHWCPHTRVRFDALTDAAWSAALAQAPALASFIARPLSRTWA GKLQPVLALSPARLVVAAGSTLYSYAFGASGTGESAAPRVYAEGAVSLLKTPERPRNI TAITFVEDGAQDRTLDVAFQDGSVERVYLTPTSDDSGSSLSFTRYTLPPIPHSDFIES FSAHSTTQLSLSANGTASLHSTTSPSPSSPTSSISLATRSWTALLSLTSSTPYTAFGS SSRTPLAVHALSSTGALLPRPLALLHTARTADLPADRLPSSAVYGLARGPIGAPWGAS PMVLAAGWYDGKVRVYDLRAADRCSPFPFSSSPSSPLSTSRTPTPTPPPAPLRPMLTL ADRWSPEPIYALACGGGGGAAHIAAGTARHSVVAFWDARAASVPVSYLDASSGAGSSS FDVHANATAVGTSGVNVNGTDKSAAQGQPQPQMPGRGWSVHAPGNDASPVYALALESA RVWGVTQSRPFVYDFVSRLFLGWTA JR316_0006907 MHSRLAIDTTTGMSAGPSSAKLHHRQRSVSPTPGSAGPSNGFLH MQRGRSVSNASTASSLSIVSPTSSSTNASATSLKLPSSRQSSRSRSPSPAIDETVRLG PDYVLAMHDYSPQSQSATCLSFRTGQVIHVFNRDPSGWWDGELEGRRGWFPSNYVNAD ITPLTEEEPIGINSRGHSHSQSGSSATSWATVASKNHNTRHDRHEHNLSLSASSEQDP DDPYCPPLMIPLLHGLSLLQNAVRSNRIAHFQPSTACIISCVRAILASTETLQRDAPI LQRFSVLAEERKQILTILANLVSQAKKASEGKLVGDAQESEVQKMLRLGGQVFSQVRS FLAVAVKCGVELPEEQESMRTATPASSAGQAYHADNDEHEDSPTKTPTQYRGRSTNPI VPRPGVRIAGPRSRERPPEPANSPGLARSLARARQEQYLLRDRGYMRHKSGPSVDSIS SISSMSSQESIPTTPAPFPQGPSTAAQVMEALRFTHDHYLSTIAAFIGHAHSHSRTSY ASSTGVLYDLVKEIVDMVCKLLTIVEAVMQHPDIPPNRVGNLRSAKEGLYNVTSSLAE SVRLLTVSLPPTTSEEAEKQILLRSATGALKAGADCVAAVKVCLNRSVGDKPFIVNLP HVDSMISHPFTPIKPSMAAASSSSAGQLGGGTSNGYHLNGMEDEDLTIQAQTPSPVLR SREISSGSEFSTLSKSSYMHSDETRATSPDEGGQGKLAPVVASRTPIEPDLPSPTSLA HTDDDGTTWEGSLRGLPANDLEEKIIHGELSNVPFNPAPEFLQDPSSWMFGHDYSMDD VAYNSEGHLVGATMDVLVEKMTPHDSIVDPAFSAIFFMTFRLFSSPTELVDAIISRHN LQPPPGISNEDIHLWQQRKGIPVRLRVSNFIKMWVELYWRQGVDDVALSALTTFTRDG LGVLFPGPAKRILDLLEMRRQATDQTVSPKADRSRDPGMSINPPSTFVLNSEIPRPTM TKTLLVALRKKDFASIVITDFDALELARQLTIMECNLYCAIQPEEILESGQEGATRPV NVRAVSTLSTVITGWVAESILNEPDIKKRTSLVKFFVKVADRCTGLNNFSTSRSILAA LDSSVISRLHQTWSGLSQKYKAQLESLRRLADHSRNYHEYRSKLRNTAPPAVPFLGLY LTDVTFCREGNPSHRASPTDPSKKLLNFNKYHKLARIVQDMQRFQAPYNLKAIPEVQE YLNVAFEKSKHHGDLQDLYRRSLLVEPKQSSDNPPTSDMRQLFNWATRSQSQATSSS JR316_0006908 MAEHLDYQQRSRVPSSARPHEGLPGSFPRTNRYSHHSVAPSTRN WVTSTQQNPPGPPPPQPINVPSPVHVPRPLPIPRGQGPLHVVNNPEPKKMDDSKRPIG DAQPPLFTQQPTTFDPSFGALRPRKRKTFVGGFVRRIRKLPKTVFGYGAGSGKPDLGN TGPHATSDTGTVSSITGMSTGNTLPLYTSNPTTPVVPGQVPSNLTGYRRPQPHMMTSE PTIPESPLPSVVRLDDNRRPNPTFRISPPSAEVEPPQNARLFAADGEPFLDHSVSDGP PTNSADRTTFLVYNEPETQYHSRNSHAPGLTPPPVARQISSPGLSYVSSEPLAPIRPT SFHSTHAHVPPAIETHQTTSTSAPAPAPRTSYSSQAPTPRVTPPSLIPGAVNSSSGNV VQDHLLDLQPKSQPIEPTQVTAEAIQSPVSVHPNPAADYLKMSLSPEPTSNGTLTSGT SYYDPSFSSDLNPVERFFKGLYNMPWISHNRVTVDYFPGEGLGKMKKKKFRPATSWYQ SILSRSRRSSASLDLLSSGMNSEASPRHSLATGVALALGSPLSGSRRSKTASDRNRST HRSRHQSSSGRRDRRHHRHHHTNNGEKKRRHTATTMDSTDTGVNPKYAGIKGTSPLIP SAYPFQYPPYPYPAFPTFPMPLTLPASAPGVLSGHDPNQPPQMALRPEDRPTVPRGPR VEQQPLLYAPAGYALNSYQPVMPPPQFYVLSSPPQANASNLFHNAATVSNNNGNSSAG ATALASQEPQQTSTHTGVMFMQTIPGSFS JR316_0006909 MTVSSSLASFCHTELHRALKEQSFGIKNFTSLSSSPDQATAAIV LFEGHKIIVQLTTRGYSIIEGGPSTVHETLEGLLSSISSLYSKKRQEVLMAKLSKLT JR316_0006910 MSIPRDVQEFLDEYPGVHDDPSCKANLDFYSNKLRCRPDNCTIE EIHVDWFGDYGKLESKHGYIQWLFPIREYGMNYESQPLQTHEIREMEADPAIIERVVQ SYNLMLDFYGMRLVSAETGLLDRALPPRNYTARYQNLVRSSHNNLRISRILKCLSELG LEQFNAGFLLHVLNEQSESNQLNSWGIRSSMDRWWANCIRNEEERTWIGDLIKRVRSS EASDYVFTRADYEKAIQNRSETGRLGI JR316_0006911 MDFDAAWCPACDRQIQPKRYTISVPVTSNSSTTTPPPPPPSSPR KTKGRTGGLVNGTGRLRHNGTIKPAPPAVVLKQRLVIDQGPIPLYCSDECQIADLSSH RREEHAPLDPAREEPANAQPLSPSPPSSADKFVQMYNLPALPPPMPTFDEEATAVVSE PTLEYTNGIIMAGRLISSLCPPPAPPHTGKYKPAREPRQPIPGWNDGSNAWRAAVYST GKPKNTLQDPFLQHRRGDSVTSPSPLRSAFSAAGSAPKRTAFTRKAMSSCSLSLASAP ATFHHAPNPAALMSKFSENFIRRSESRVSLFNPSSPPSALSTATPSSPVSTHSMPLPT SRREKELVHPNAKGKLLVPDVKLRMRGGSASSVSSASLSSAWSGPSTVASSSSPSRSA RSPLSAPSDSDEDTERCDTILPRPRRPVETTRSWSYDNVKTYDMMPIPLKKVKKMEKR IVDGVEVEEEVEVEVYEEPKRLFLFAPTRISE JR316_0006912 MDVVKAVETYINKLVSTPSSMKVLLLDTHTTPIVSLATTQSSLL SHQVYLTDRIDNKKRDRMAHMKCVCFLQNSEDSLSALEEELREPKYGEYYLYFSNILT KTAIERLAQVDEFEVVREVQEYFADYAPILPCLFSLNHTPSVANPLYGSTVNSWDPRA LELAMQGITAVLLSLKKKPVIRYEKMSPMAKKLGIEIQHRMQSESSLFDFRLTQVPPL FLILDRRNDPVTPLLSQWTYQAMVHELLGIHNGRVDLSKVPDIRPELSEITLTTSVDP FFQSNYLATFGDLGSSLKDYVQEYQTKSLAQSPSSINSIADMKRFVEEYPEFRKLGGN VSKHVALVGELSRLVGRDKLLEVGEVEQGLATSSGADLKAVQDMITNTGVPPWNKLRL VMLYALRYQKTHTANIASLINLMLENGVTREDAKLVYVLLNVAGADQRQDDLFSTESL LAKGRSALKGLKGVENVYTQHTPHLSQTLENLFKGRLRDTSYPFLDGSGPNAALQRPQ DVIIFMIGGTTYEEARTVTLFNQDPVAASNGGVVNPAGIRLLLGGTCVHNSSSYIEML RSAALKFPASVYDPPPESATNAPILNLNLGGVNVSLGGAAGTGVYRSSGEGVGVQADG IRDGVMNLLGKVKQGAVRPEQVSFSMAKGLFASLKGVDAFGKTTEDVKVKTRTGALLT IISAAIILSVTMIEFLDYRRVNVDTSIVVDRSRGEKLTVNLNITFPRVPCYLVSVDVM DISGELQRDISHNVLKTRLDSLGVAVPNSFNAELRNDLDKLNDVKKDNYCGSCYGGLE PESGCCNTCEEVRTAYVNRGWSFANPDAIEQCKNEGWADKLKEQADEGCNISGRIRVN KVIGNIHFSPGRSFQTNTRNLYELVPYLRDDQNRHDFSHTIHHFAFEGDDEYDYWKAE ASKEMKKRIGINTNPLDGSVGRTVKAQYMFQYFLKVVSTQFRTLNDAQIINSHQYSTT HFERDLSEGINGDTPAGLHVQHGVTGLPGAFFNFEISPILVVHAETRQSFAHFLTSTC AIVGGVLTVASLLDGILFATGRALKKSGVSSSGGGYGGKLM JR316_0006913 MSSPTPSATFAESLSIFSKAAYQAVSLLALHKWCNYVSNMALRQ FADVEARSRLEVARMCVELREAKADRDKAVRELHVFQLEAQGWKQEIVSTKAALSQAE LTIAHQAETLAGQIETITQLRREVTQWKDQSRNWQEHFLRVEQERCAQSSRIDELVVE KLQPQRVSSAALQTPKSMKYSNTSNSAPSSKGPLETTVSPTQAPAPPSPSSLDSPTVI PGSQPGSGTNPQRRKRRAKSHIDHERDVRPLQAGNDRGEGSSTGVKRVKKNLASSVQQ SAVPAALPKEDAPATIRSSTVIRRVQAVVHVKREESCSGDEAFLRDNVGIAPTYQNVA TTSTTTIKKKEESEEYQRYLRTPRRKIIEDESEDEEQQNEDEQEIDAQIVDSGSGAWP DAGRPRQQSGQRRFRQRNRINYKEDGDSEVEEDEDEDEDDELMMGAEDNHEEVYGTQR VETNHDNGRSKKHAHAVPTPIKRRKVHGR JR316_0006914 MPTVAKKRTRAEKPVSEATLTLTEPTRKKPRISTESSSSDAQPT TARKSASSKPKNIPATSKTTKVAPSKKSTQESIENAIGYAEHKESLKSGLKDLKEHVR RDRTDGYEEQAEMMTDISAEILKWLPKLWQLMTKVKGPDFKRIQQALVLCTDTVKGME KCNSRCEYTDLPFNIKITDDDKNVIYKSSECVLDMLAWMWKELLVHAASKNQSIDAML TLVERRDLAHSVFSLIRKPGSKQKGNEEEFWDAHWSDAMKESASQMCAKRQQK JR316_0006915 MISTLQRPLLNAKSYLKCNPAVNKARRLSSKTSLHRSYLYVPTS SDRMLNKSITSGSDVIIYDLEDSVSPAPADKLAARKRLREFLEERSADLHGLHVAVRV NDVTTPFFREDITQIVSHPLVKSMILPKIHSTTDLDYISDAVSIARRRLKTPHLNVIP SIESAKGMWNLGSIASWRSSCGGITGGSLSALLFAAEDYTGIIRTTSRRELLYTRSQI VITAKAFGLEAIDMVCVDFRDLDILREECDDGRQLGFTGKQAIHPSQVAVINATYVPT NAEILRASQIIQAMKRAHESQKGAADLNGKMIDAPMIKQANKVLQIAKTAGLTIPHLP E JR316_0006916 MDSPNSPSIPHPSLSRYLQSNVPPPVLIHSLHESRSSVLSLASN ERYIFSGSQNQDISVWDKETFQLKDTLRGHTGSVLGLEYAKDKKWLFSSSGDSTVRVW STETLEPLYIIQPYMGEGAGDLFSLAWSSALQTIFIGCQNTSLQWFDFREPISRSSSS SSLRRESEGTPALGAGATSGTSTPNKLATARQAHKFFDSYPQYERKAADIHAKNGKPG RGSPDSDRSDASGPGEYLCIPATNVIDSAHYGYIYTMTILTGWDQGIHLATGSGDELV KLWACDSGVPELVHEFECSHGAVLALVSEGDTIYAGCQDGYVRVFDLETKTFVRTIIV QEGVDIIAMSMLGSDLYTCSADGWIKRWSASFDCTASWKAHDGIALSSIISRRNTYES SGFYLISGGSDDHIKVWGVTPPKPRRSVASYEDNDVENPNKSCIGSGTFFDTMVYALS KFTTIPSISSIPAHREDCRQAAIWLRKCLGQLGAKTSLLPTGEGNNPIVLATFSGAKG EKPKRRVLFYGQVELLLHYDVIPAPPEGWHSDPFILTGRNGYLYGRGATDNKGPIIAV ACAAADLLSRRALEVDLVFLIEGDEECGSKGFKQTNAIGNIDAILVSNSTWIADAQPC ITYGLRGVVHCSVEISADRPDLHSGIEGGAVAEPMVDMYLFSDDKVRPQTTEEKDLYK LLSAVTNRPASLLSSRWREPSFTIHNVEISGPKNATIIPSKVNAQLSLRLVPDQSLED IVKSLREHLQSSFNNLRSPNKLTVNVKHTADWWLGDLEGHWFESLESAVQEEWGVAPL RIREGGSIPSVPYLEKEFGCHALHLPMGQSSDQAHLPDERISLINLRKGKAVIERFLL KVAASCKDAQ JR316_0006917 MSCQSAIFFAKSSTGQPDGSNWLLSLGSFWTGIKEMSLSSSTGK LSSNTVTALAQRTANNGAIEASVIFKNGNFYYLFTSWDNCCQGVNSTYNIRVGRSTKA TGGFVDQAGVALTSGGGTLVLGSHDKIIGPGGQDLMVDNDGPILVYHYYTPSGSFLGI NRLDFSSGWPVVV JR316_0006918 MIVSRHICGHEFIGEVVALGASYGGHSPGRPPLYSSLKVGDKVV SPFTVNCGECHVCRLGFTGRCPEGFLFGSPALDGGQAQYVRVPKAGGTLFNLSDSSTW SSNLPKEAREQALSNLADSSLLILADILPTGVFATLQALNHPKVAPVLTGRSWPLCFE KEVSGGNEVSFTDEDRVLNVAIIGLGPVGVCTTVSMLDAVANRKISYRIVAVDPNESR REKMKAVYEAIDASGKGTGQFAVCDIEESKKVVKEWTSGVGCTAVLEVVGNTSAISLA YDLVRAFGAIVSVGVHGAPPLPLTGSQLYNKNVSFDFGRCPARAMFPPAFDLLVRRQD VFGGVGEKASLIDKIVGFDEAVESYRAFDKGEVGKVIFDPWK JR316_0006919 MANRSYVEHLAFTASEGFLADKSLFDQLKVWLDNNITGFLKVFW GFEVQEPTHAHIFVLWKSPELHKQASQHPEFHSGFMPLFSKLFTAPGPSVHLIDYDND PTNAFSAAVTEFAIVKPKEGHTQAEIDEVAELIKAGVAGQDGIHLPLVRGPVVNSDQS DYAQAAGWDSIEAHLKVIQTPSVAALGGKIFGIAHMDFKHIVLKEA JR316_0006920 MNRRVSLGRREVKRGEPWFDDGNIVLITQEDPTAFRLHRGVLAR HSEVFSHMFELPQVLDSEAESFEGCQVVYIYDLPLELSNLFNAIYDSPNFTNQDADGF FYLAGILRLTTKYMIEQPRQSAIKYLTQTWSSTLEGHDSMVEIALNSQPVNGLTYPFV HPLHVLNLARETDINIVVPSALYFLSLYSLSDILSGDHPKLLLEHPSKPSSILAPSDI LLYSLMYQHRLQILEDFIRDFCGKRIITPSCGPSSIDTCGKAFSRLVSQLRRSWSLRT GPLHFMLQTINRVSADSAICKRCRSDFARETSLLRQEVWDNLPSVVRLPSWDRIE JR316_0006921 MTDTPRSRSISRAGTPVLARSSPSRLSIPPALRPTPSLSNLHIH SHTTGASSLQSNPDTPMKSADNFLDSSASSVIDMEPAEGILIQDIDTDMNHTDTEDIE TIDKAAVSTQNDGTKQLLRDQLRKSLTYKVAHAVSVYPPREYFVLTDAGKPVFISRPG GVDQDAMASTIGIMQALISVFLDENDKIRSINAGRTRITFVLRSPLYYVCASSWGEPE SITRSHLEYLHLQILSIVTASQLKRIFERRTNFDLRRLLNGAEPFMNSLLVRLETDIA MTTSSLNCLKMEPGLRKRIAESLVPSSKMKDMLYIIMIASNRVVTLIRPRKHSIHPAD LHIIMNTIHSPSIYNSPASASWIPVCLPKFNPSGFVNAYITFLRKDDAVHLNEPITFA PTPDPESPSSTETISNKSDPQEGLNESGIALVCINGGGELELIRSWCDSVVKKLTSDG TLTALLNAYQAKEMDYSVSQLGIPGLRHFVYKSRTQVQITLPIFEDPYDQPNARKRLI TLYQNIHDAIHAKSGQEAGLKLQYIRTESESVMGWITQPFELYISISPLLPKSAAVGA ANAVARWVKREEARLFLRDAPVF JR316_0006922 MSTFMEDENTIYIKSQCHCGIGSFQVAFAKSRIPVTNFLCHCDI CRHVSGEMAFYFLPIEGPPIGGPYAMQPIDPSKDSSLTEYRTSASTAWYFCHNCSAHL FLLTRDSEWFVSGGSLRQAEGLTELYCHINVADTMDGGLADQIQVVDGKVLPRYSKRV GSEQIPLGWRSDKLQSRTDSSRTSQKMRAYCHCGAIDIYVTRPNELSAQPYAPYPDIL YPLPQTRLSTIRNKSDVKWWLDPPVRKNSIGMLVPASVRPSPGGSREVGSRSGSGSNL PAQISTLGEAQPAVHSRTRFLAGHCVCSHCRQGCGFDIQSWMFVSRTNVFEKGSDKPI VVSKEEERPKGLKQYLSSPGRYHEFCGTCGATAFWWQAGRPDVIDVSVGLLDQSIDGV RAENWLKWHKDRLSYVENSAKNNFVQGLKDGMAKLQDSFDAATPLPTTPLPKD JR316_0006923 MASVLMNFHRGLCQRIYSSSTVFVAARRTFVSADAPQRVPPPRD PSLFQLGHGPSARPAENITTTKKFLESIGRGMQEKVDQNMSWEELWKLDGKALRKAGL AVRDRRYLLWCMEKFRLGFPLSEFVHEPTPKKTIRGWGPKVQNGKRIRSRRLKDKSKR KVTT JR316_0006924 MANFFYVDDELPYDLLPLNTFYTSNNISDDSSTSSEFCTPEGLN LPLSLPNINALDSYAYSSFGSSCGIAPQALHEPLDKDELHPLLLAPQEEEPVREENED EDTSSVYADSNDNEATYDDDYVEFVKPRPSKAPASVAPSSALKRRRSSAFPPLDFVEE ERPAKRLARTAPSSPTQTKQQASTHAKSLSPTPHKPRNYTRRGQPSPRNIQLKERTPL SKSSIVALNFVCPQRVNGCRYHSKSKRLSDFTRHLATHQYMVLNKAKNPICIGVRLSD AHMFGLKGPRLQDVYTIEEDHGAELWVGGCRKSFSRSDALRRHFKRDCKDKDDPICVC YGASTRKA JR316_0006925 MSRRSRSPKRSREYEDGALSSSVKRGSSRERGGGYESRRRERDY GSSARDRDLDRDRERDRERDYHHRSRRNEDRWSERDSRGYDERRRERERERDRERYDS ARDRDRYSSRGQRRSASPRSRGSRSGSPSGQDPADKSKPNFAPSGLLAAETNTVKAVD GTSTVLKYNEPPEARKPVLGWRLYVFKDAEQVELLHIHRQSAYLVGRDRLVADIAVDH PSCSKQHAVFQYRYVQEKDEFGSSKGVVKPFVIDLESTNGTLVNDETIPPARYYELKA GDVIKFGLSTKEYVLLHDEAS JR316_0006926 MATVTHHIRSYYNPEDRETLELETGQDPGCINPELETVRILDPE EEWRQEAARIPIQRPQRAPPQFVPATLSYDEWSFSKPTLSKDEASSSKTLGRNLSGWY RSLTSKQTNSKASSSKQQDTKHGVYESQPLVENIPKPAPMKVDSRNKNNWFIMKAIQS EAPSASSSSSSTPTSTLADILSREPPPLPSQEKFTPPVWLEIGPSNKGFGMLQRSGWN EGEPLGPDVIRRKPVKDLLPDEDMISSRERGKEKARSFSSNAPSRREVMEMKMTEFDD VSELRSVDVIDLTLSDSDLDDGESLPDFDKDPIKHEEESTSREMDPPKPVDRIYEDVS SPYRRKALLTPIATVLKSDRLGIGLKAKTEGIYKSSKKRVTHGQAALAAHVKAAEESR IRRKHYGRGRKGFEKQHRREEENRKAMLAYLKGS JR316_0006927 MSTSSYPARIPASTFTNPTRRRKSSLKNYTTLPPLKTRVSNVDD VYIPRTPTFMSIAYLREALSSIDIKMAALVKERTELESKLETAVRLQSPVLRLPSELL SSIFTIGVLGMGDEDPVMVPTLMLVCRYWADVALNTPVLWAKISVSPHNSLEKARRRL MRSKSCPLDITVNFGPRNEYIQNVNEQIMHAMDLFRPAMWRTRSFSLTVPNRPHAYTA LLRCQEDAPILESLTIQVYHSIDDHCSNPRFSLFNGCTPKLRSCSLTSFNFGWDLKLM TGLRVLKLGGYFNSFTPSVAIMLDALRQCPELEELNLRNMSNVDSHPCSPARIDDYDM PVTNKIHLPRLKKMSFYYSGIFFTREIMSHISFPALETLDLGYLEDATSLVQLVYAQA LTRLPLKHLRIETCNFNEMKFVNLLRKLPSLVTLEFVEVEDISNVFFKALSLPQPWTC PHLEYVTLDGCTSFAWDSLRSFIESRLPADPHAYKRYHHSTAPKMSSASAAAADYVRM KSLQERNPHGALLAAPQRIQMIDVTRCNQISQEMIQWLRMYVASVKCESAKGVWGQST MP JR316_0006928 MNDGQEAFRRLAKQLRTVGGSARGGGPGGKGLFAGSGLLVTLLA GGFALNSSLFNVDGGHRAIKYSRLHGVKDDIYSEGTHFAIPWFETPIVFDVRAKPRNI ASLTGTKDLQMVNITCRVLSKPKIEGLPQIYRELGKDYDERVLPSIVNEVLKSVVAQF NASQLIIQRENVSRLVRDNLTERASKFNIVLDDVSITHVAFSTEFTHAVEAKQVAQQT ALRAAYLVDQAIQEKQSIIVRAQGEAKSAELVGEALRKNKGFLELRRLEAARDIANLL SQSQNKVMLDSQSLLLDVAGNDAQDLLKVTTK JR316_0006929 MLFRNGSLSRRDALLLLIGASSMHVWSVLFGQPSSVNDQSIVIN TNVQDNVPKATVTAFRHQTRTKLRTKTETVVVTALPTPTTRVSTPVLPFDELPSTELL AHAPGWTLFRNLYMSNGTLYIVADDDARKSFPEIRMMTSTGLEAENTPENIAMREPTD DNMAIISPEEANRRWVLATSNKKGQHLNRVWTVEGNTLLFNDPRQFLRHYYHFVAELF FGAQAFWHGAFSPPITPTEMDEPDSLSSVHFSTTHPPVPPMHRAIFAHSDADGWRDSP GFNSYFLRAALPSLIVEHIEDWNDRITATATEPGEPGRAWHFPIVLLVDRSASHRGWM CGSNTQRTASEAWDFMRKKVRLRGLHVGGWWAPLREAVWRFAGAKEGVAKLTSGNSAL VKEVDGTQKPLAMIPFLSEEAEVRGMDANIQPADIVDVGASHQNLLPLPEKIVISYIS RQSARNRKLIKEDHERMVKAIQELVARKNKERSDFLTAKDGLHGQKTATGDESVPLAW EFNELIAEHMTKDEQVQAAARTTIMLGVHGNGLTHLVFMDPNRFSTVIEIFYPGGFAH DYYWTSRALGMRHFAFWKDRYRTYPDKPDVDYPEGFQGNFIPVDGAAVAQLIEDRIAG KI JR316_0006930 MSISTSVIYVVLAIWAAKKLASLLLFNAKRSPYPPGPKPLPLLG NIFDIPPNKFAQTYADWGKKYDSPILHAEALGNHLLILNKREDAIELLEQRAKIYSDR PQMPIIKLMGWEYNVALLSYGDEWRQHRRICQQNFNPQASLKYESIQMTKTHQFLQAL LKTPKDYDAHNNVLSIGLTMAMMYGYDIKSPHDPVIETAEAATILGGRLMAPGGSLIN VFPLLRHVPLWFPGAISRNAAEEVKRLTNEVVRIPTEFVKKSLADGTAVSSLVTDFYE KKYAGGGSDEEEFIIKNVAYTVYGGECIIVISRRSKDEHMYPLKAASDTTISASGTFF HQMVLNPEIQKKAQAELDRVLGTKRLPTLADRKSLPYIEAIYREVLRFKPPIPISVPH SVTTDDTFKGYFIPEGTTVFPNIWAMTHDEDVYPEPFAFKPERFFDENGKLNNDDRVL AYGFGRRICVGKAIASSSLWLQIASVLACFTIDKAKDENGNEVEINNDYEESALLVRK CKFDCEFNVRSPDFERLILESS JR316_0006931 MSVADLLPMSFQQAQGGALILAIGAFCWAYLRTRRSNLPYPPGP PPKNIIAGNLTDLPPKRAWETYSKWAEQYNSDIIHFRVFGQHMVILQTLDLSRELLEK RSTVYSDRPYNAMIDLMEWDIAVGFKPYGPEWRHHRKLFQQGFRTGTTTLRYRAIQTD KVNDFLRALLDNPNKFRQHCKALSAAVIMRVIYGYDSAPEEDYFVELSEAAMVKMCDS WVAPGAMVVNTIPILRHLPSWFPGAAFKKYAIEGQELTRKIRDVPFAFVTKSLAEGTA KHSVVSEMIANNEEHETIKEVAATGYGAGADTTMATLAWFFYAMILHPEVQRKAHEEL DRVIGNKRLPTYDDRDSLPYIEAVVREVLRWRPIVPLGLPHATSFDDIYNGHFIPKGT IVMANLWAIAYDKNIYEKPEIFNPDRYFNKDGTLTTEGIHSEVWSFGFGRRICPGRQL ALDTIWLAVATVLSTFDILKKKDANGNVIPVDEDIEVADGLVSHAADFQCAVTPRSNV ARQVIVESVAKE JR316_0006932 MTEAPFKIAVSDERIDQLRRKLEATVFPDELDGAGWDYGPPLAD MRRLVARWKDGYDWKKHEAQLNEELPQFTRDIEVDGFGKLNIHYVHKKSAVTDAIPLL FVHGWPGSFYEIRKMLPLLTEGSSDHPSFHVVAISLPGYGFSEAPKKKGFELVQFAEV GNKLMLALGYNEYVTQGGDWGFFITRRLVQLYGQKHCKAWHTNIALAPPPHPIRNPLT YLSQLFSGLTAADKKGLERSQWYHQKSSGYFHEQATQPQTLGYSLADSPVGLLGWIYE KLVTWTDNYPWEDDEVLTWISIYWFSRAGPAASVRIYYEVSNANPTFLTGITPQPTTT PMGHSYFPKELIVLPRKWLKAPNLVFESDHQKGGHFAAHEQPHELASDLRKMFGRGGP AFGVVPGKNGYD JR316_0006933 MAEIPFRIAVSDDQVDQLRRKLEDAVFPDELDEAGWDYGAPLAD MRRLVARWKDGYNWRKYEAQLNEELPQFTRDIEVDGFGKLNIHYVHKKSEVTNAIPLL FVHGWPGSFYEVRKILSLLTQSSPDHPSFHVVAFSLPGFGFSEAPKKKGFELVQFAEV GNKLMVALGYNEYVAQGGDWGFFIVRRLAQLYGPKHCKAWHTNLPLAPPPSLTRNPLT YLSHLFSAYTETEKKGLERSQWYYEKLSGYFHEQSTQPQTLGYSLADSPVGLLGWIYE KLVFCTDNYPWEDDEVLTWISIYWFSRAGPAASIRIYYEVSKAYPGFLYSNTPQPTTI PMGHSYFPKELVIPPRKWLKAPNLVFESDHEKGGHFASHESPQELTNDLRKMFGRGGP AFGVVPGKNGYA JR316_0006934 MKVAVVGSGVSGLAATWLLNEYSDHEVHLYESDYRPGGHANTVH YVPNGKVWGEGVDVDTGFIVFNPSTYPNFLRFLQLYPTKTETRHNLLPSWIHTEKGPG GNKGDQGIHILPTEMTFSVSRDRGIFEWAGKNLATVFCQARRLVDPSMWRMIYDVLRF NVCARRMLLKAPDLQKSEMSIGEYLQQEGYSAAFRNNYLIPMTAAIWSTPPNSCLLDF PARTLIQFLYNHHLLQITGKPSWLTIKGGSHNYVNKILEKLPGEQLHLACPVESVRNV ERSDGGNPQVILSTKSGEEITYDHVILACHSDAALDILRNGGITDEEERILSQFEWNR NEAVLHSDVKLMPKNRKAWSCWNYLSFTKPLSSNEKAMEERVEINEVSLQTLEHHLPE SKYGPILVTLNPPFEPDKDKIAGRWKYDHPVLDTKAVRAQNEMYKIQNTRSISYAGAY LKYGFHEDGFTSGLLAACSIDDDQNSLPSAIAFTATLSSMSIPVRGLTVRPPFDIQHA DHHLQLSRKGTSNSFYERLGWLFDWVEQSGIRQMVGLVGSLFLTVIGWFLGIN JR316_0006935 MTGFEPPVVQGQAKRRRGKFFALTCLVSVALVLATSHIYPINSF NLGNIPQFVARSTSFISARLSTVADTIPTKSSTEQLNSFARTPDVQFDNFSLILKGQR VFLHSGEFHTFRLPVPSLWPDILEKAKAAGMNALSVYTHMGLINPAPGVVDFGGFRAL QPLYDAAKAAGIWIVLRPGGIAHWATSEIAGQLRTNATDWQAAWQDYIKGIIEVTAPN QINNGGPVIDNEYSQSPSSHAEYFAELEDVYRSSNIVVPLTYNDPGQGRNFINGTGAV DLYGLDSYPQGFDCSNPTRWNGVTTNYHQYHAQVNPSQAWYIPEFQGGSFDAWGPTAP GYAGCRVLTGPDFQSVFNRQLWASNAKLISYYMLYGGTSWGALPFHGVYTSYDYGATF TESRELTSKADELKRQALFLRSSREFYKTDWVADSSTGLQASTNSAAFVTFLQNPDTG AGFYIARQTDSTSTATTTFKLNVTVSGEPLQVPIVVPSITLGGRESKVIVTDYAFGAS SKLAFSTAQIFFAGTIDGRDVLFLHGNSTQDHEAALQLTGKPNNLHQTPASLVQLTNN TGLAQNTTLVSFLHGIEGLVTVWDSDTQLVLFADSDTAATFWSPVIAGAQNDPHRNYW GIGTNESILVGGPYLVREATITGSKLALRGDLKTDVRLSVIAPKSIRSITWNGESVTG DVAASSTLTFSGGFVGQLKLSKSFSGVSIPKLTNWKFKDSLPEVQKSFNDQSWTVADH TTTNIPLKPYYGDGRILYGCDYGFCENTVLWRGHFVATGNEKSVNLSINGGEAFAASV WANDVFLGTSFGNSTNNRRILEETDDKFIFPSGALVPGKDNIITVVQDNMGLNETQGS NTDSSKAPRGIRGFALDGNTFGEWKVQGKIGGYKNFPDKVRGVMNEGGLFGERQGWHL PGFSTKGWISRDLSQGLPNDAAGVGFFISTFKLNIPKGLDVMLSFTFEEPLGQPYRAF LFVNGWMMGKRVANLGPQSKFPVHEGILDYQGENTVAVALWAMEPNTGISPNLQLTLD GVYDGGVNVVTNNPKWSPQGRT JR316_0006936 MAALTIHSTLGAVLVGFALACGVYGILAAQFFIGHLVYFYGITN YANPTVLAQGTTTWSFILQLTVGAMVGTIVKTYFGIRVWRFSDRNIWITGLIMLLTFG QLGLALAFSIEAFKLPSVFAVHDLQTLGTVSLGVGVVTDIVTAGALCLYLNRLRTGLK SSDSLVDNLCSYAINTGVLTRLNTRRTVRGRGTDKQGETSNHTNLFALGTRMPSMGPN DLEQWDKIMGQMLGHDNPTKAFQR JR316_0006937 MDRIAYKALVGALWSLEMVDQIFIAYSLYYYMVSNYTNPAVLTT GRIIWSLILQIVMGCLVGTIVKWQVLFRAIVLYILTDAIKLLRHACVEIKHNHYITGG IILMILAQFGLAILYCIRAFQLRTLLDVHTLRTVASLALGAGLLTDFVIAAALCFCLQ KMRTGIRKADTLVNTLSLYAVNTGALTGAISLVTLVLYNISPDTFWFMASYFTLGNLY AICFMAALNTRKVLRGKGTDQEGNTSNTSNMQNTFFMVTNGGRIPRPLEYSSSQTKSM EIDIHQEVSVRMDIENARQDNSFHLGFQK JR316_0006938 MKAAPRVLSTDPAAIRVCSEGELLLDLWDFPGENSGSSVKYVHW QDARGSEKKWRQGKSLWLGCATKTWNVPGILESPSGTDIVSVFQASKPNGWHGLRDRY GQGADPID JR316_0006939 MIFFKDDSQQKSVLGASRTSPPSGAVIVRAGTTTSGEFSTVSKA VNSLPNDNSARTIFIFPGTYTEQVNITRPGPLTIFGSTTDTSTYLQNSVTIQFGLSAS TAGSDDVSGTMRVHKNDFKMYNVNVKNTFGQGSQAIAISQYGTRVGFYGCGFFGFQDT VLVEQGTQVFLRGFIQGATDFIFGQRGQAYFERNIISVTAHGCITASGRSSNDNTSYV FNENTIVMASNAPSGTAGNVFLGRPWADFAKVIFKNTVITAPLNKALWSIWNTGDERT DNVTFLDYNSTGSGISGASRAPFSSQLTSSQAAAYTISSAVGSDFATWVDTSYLA JR316_0006940 MKYWWLACLGTLWQSPKYVRGEQRTEPPLGALSVRAGASPASGM FDTITGALDALPNDMSNQTIFIFPGTYSEQINILRPGPLRILGYTMDTSNFAANQVVI EAGVPASVAGSDDASGTLRIHKDNFSMYNVNVTNTFGIGSQAIAISQYGSQVGLYSCA FIGYQDTLYANQGKQVYLKNYIEVTTQSSNKPDAN JR316_0006941 MGIKGLTALLSQHAPNAIKEHEIKTLFGRKVAIDASMSIYQFLI AVRQRDGEMLQNDAGETTSHLMGFFYRTIRIVENGIKPAYVFDGKPPEMKKGVLSQRF AKREEAKAEGEEAKETGTAEDLDRFSRRTVKVTREHNEECRRLLKLMGIPVVIAPSEA EAQCAELARGGKVYAAGSEDMDTLTFNAPILLRHLTFSEAKKQPISEINLQAALDGLE MNMDQFIDLCILLGCDYLEPIKGIGPKSALKLIKEHGSLKAVIKHLREKSATKKAAED SEPEDAASDAEEAAPTSDVELPDKDSDDEDFKEKEEEKGTSDEEAPKKKKLASKAKVV PSKGKGKGKGKGGITVPDEWLWEDAKKIFQKPDVLPADQVELEWKNPDVDGLVDFLVK EKGFNEDRVRKGAEKLTKFLNSKQQGRLDGFFTVKPKEKAPPAKGKEKGKSDAKGKGT KRKGDEKAESSGVKKPRKK JR316_0006942 MPTTRRQAAIQEGRIKPTENDKGRKKQAVPTRKSRKQVSPQSAD DTPQRQGNSAPTPNKRNVDDAQDHAAKKPKLESNSTKQQIDGQKHEYQSGTIERGHIY FFYRPRVQVNEARTIDDIRNFHILLIPRPPQFAQGQKSSSGDEGAMKQDPSDMGKTEM NVLAPGADAVPAPDEAHSSKKNYRLITVGKKRLPNPEAKKETGKLTKETFWGTVTSVG NDLDSLVKGLGQKSYETKTRGTRHEGPARLVARGGYAIVNSEGETPSKRETHLGYHVS HPGAVEMGDVQKSLGIFSASSFIIQVKNPLAPAANTQQAHSKPVEYPDWIMQGVFGAG KGCEAQSRGREHYGLRFASCETPKLLDYKGAELLLIAARDGEEGLETSLGDGRGAALT EMEEKEARESLGSVFDELGLNLDEFPVESLRGSWI JR316_0006943 MADPSCPQSLSALNKFAFEKVINEDPSTHSLILLGTLPAGPQEA EARVRAIARIEKTALSIEDAPRLLGEKGMVNRAELGGSTDIYTWLYAWLGENRERDIK INVISPATDVHIKKYTKQQQVMVHETPEIYEKFVKPYIASFPASRTQWVENILTGISE QDKILYSSSDFVILPDMKWDLKTLTSLYLMAIVKDKTIRSLRDLRRSHLGLLKSIREE AYKIVQGKWGLGRGSLRIHVHYQPSYYHFHVHIVNVNHVGSMLGLTVGQAHLLDDLIS MLELDPIDGPGIFERITLTYGLGDQHGLFQIMQKNALA JR316_0006944 MAQTLRYMNGDELADIMKSGKVPQKDFVVVDVRDDDYAGGNIKG SVNYPSAEFLGNVDQLVKVTKEVPLVIFHCTLSQVRGPKAARIYSETRKNILSNDIPH EVAILRDGFSQFQVKYKDDADLVEKWDKNVWASDWS JR316_0006945 MSRRPSLESRRNSTVQPASQNSKDFTATHKEYASNLETTDAEDN LDYPNKWARYREYIREPFAEFLGVMILIIFGNGVDCQVILGGSTAVTSAPKGDYLSIN IGWGVGTAMGVWVSGGISGGHINPAITILFAVFRGFPWKKVPIYIFAQVMGGLCGAAI VYANYFHAIDLFEGPGVRTLATAGLFSTYALDYMTNVSAFFDEFLGTAVLAIVVLAMN DAENSPPPAGLGPLVLFILITGIGTALGMQTGYAINPARDLGPRLFTAMAGYGKEVFT FRNQYWIWCPIMGPILGALVGALVYDSMLYTGKDSIINRPKI JR316_0006946 MDPSPPVIFKRSKAKATARARETSPENGTEKAAGTPEESPSTLA LKLKNKVKRAKVKSRLSFGGDEEEEGDGEVFKVKKSNLSRKMALGTHPAIIPPTLDQA TISTNDSPKYDAAYLKELKANTPSSRPKLPANIDSYDADMSIDVDDVSMQSIIVDSEE SASFIPSQSSIQVAKEKRERLRNSLASGEEDFISLSVTKRSDEPQGPHPESRLMREED ELGEGDDEFAEYTSAQERIALGKKSRKLEASQRRDAMKEMIADAEEEDEETVEWEQEQ LRRGGHRTPDPSSSNVKQVYKPAPIPVATPVPTLNAAITRLSERLTQLTTSHAKNSST LTSLAQERQEVEDREKEMREMVEKAEEKRAWFTDFREWTESVAVFLDEKYPLLEKLEE ERLSLLKERFDMISKRRREDSEDDLAAFLGPIPPPPQPESGELDELGRTVRRPDPVEE SRARRVARVARRQIRKSRHVRPPGEEDEGFSTDSSLSPQDTSAYGEALQSLSTRSKEI LADVKAAEFKDPGKGRWSAWREKYAESYVVAWGGLGVVSVWEFWVRLECLGWNPVEEA RSLHDFKWYKGLYEYCRPGEGVIEERALGPDGDLVSSMISSAIIPLICKVVDNGGLDV YSSKHTRRIIDLAEEIEASIGTQGVKFQLILRSVFNSFQNAVTEIRESVDKYSRLHKS VPGFNPESIASRRRFLNRCVKLLKNILRWRKYIGDRFGIDMVVNQLVEDNIVIVARTG WDVGGEEVTRKFMWSSEGSSAIDSIIDPHSVASKSWLEYRYETK JR316_0006947 MAEPQPSANRPRPRPRPRPRPAASQASNDASSATPDTPSSSSST LKIPLTITGQQIVIEVNNSDDMFIRNKNRTFEQWQKLDKIDRATSESRKSKPVSSDSE NGTTPRKSHKNKRVKQEQASRKHTPTYRLHSEEDSDDSDLEVVGSSSSTDDKPYGKRK RQQHSRSRSITPPPDVPIHQIQTAKNLVREAFRSTPRPASPASEPEDSGESISFQPEV TAIAREIKKQSERQDSQAPEATSDDQVTVSIKWHKHPLSHAAPIPNAEYQMARTDTFR DLFEAVAEDGAIRPANLIMTYQNKRIFPSVTPEILRIWSDNIELEAYEASAYEYIQDK AAEALRQPVIHPPPTTAPSLKVSPAGVIEIDDSDSDSDSASYSPPLPPGGSTSQSQSQ SQSHSHTNPHNSDAESEAAGEKLKVTIQSSLGKEVPLVIRRTTTCGAIVKAYLKKVGV AEQYPDLFDPPAPAAAPAAPAKKGRGRKPKATPPAPAPPARPPPGKIPQLSIEGERMD NDAVVGEDVEDGDRIDVVGL JR316_0006948 MQPRSSSPPPLKRARRTLTDSPPYPTSSDPHSTNGHASTSASSS SNTTTSLPPLSLSILGVEPLDEFIKEIADFIHHMIVTRPYAHEYPAARVEVEAKIGLL RDRATGGRVVLPVLVETILAPGATDTRFESNMSLHQHKHFNTLLNKLKMESPSGASPL GYSHLYLIDSFYAFDPSSSSGAGASSSSSSSGGMGMGGGGERGERGEKVRVTTDEKTK AVIECVRKVRLGDLNVYSPKREADWRVSVNLEIPVPRPTGTSTHTRRKDRISYSHEEF KIDLTQVTSSMSPNAPPQTLHELELEIARPDFLLATALKRGDPAAPEHERSAFDELIR AFVNNARILVRNSGEGWQ JR316_0006949 MSLPQPVPPSWKDLGKSSNDLLTKDYNYNGATLEVKTQTPSNVA FKVQGTRDSKSQLITGDIEGKWVDKVHNLTLTQTWTTANVLRNQIEVDNLITKGLKLD LATSLTPDKGAKTAVLNAAYKQSGIHTRASLDVFKGPNFTADTVFGRDGFLVGAETSY NVTTGSITRYAAAIGYNAPEYAVTVHGLNNFNTFSASYYHRVSRDVEAGAKAVYDSKA THGGVALEVGTKAYLDSSAFIKAKINNSGTIALGYTQGLRPGVKASFGLALDTQKLND ANPSGPAHKVGVSFTFDS JR316_0006950 MDTIAAQLQNQDSNDIFSLTDQILSDRLEFIEEIGFGNWGSVWL CKPKPTMTKDGVLRPIGSKLAVKLVHRSKTATTAARVRSLWNEMKIVRTFKSDPHPSI IPFHSFIITPSYALITMTYLPTLVPVEVDEPKAREWFRYLLSGVEFLHKRGVVHNDIK PANILLSHKNVPVLVDFGFAEKYDMDSDTAFHSNLSYGTPEYLSPERARGLPHDTRKS DVWSLGITFFEILVGRTPFEYSDGEQFSSSEDLEKYWARTLRGKWVGTWDFSKGMERL LQRMIAPNADLRCTATQAAKDAYWLPPTSTSTSASRRDAGGANVAHKRASSYTSSVVF EKDMEKLLNMTPSSSSSSSAAATWRGGKENARVPPGLGLTSPHSKSKVDVFRDEQDTS MSMSLSSATKRTLAKSKSQPRVAVAVASKIVQPRKRAGAPPMMDLSPIKASPNNSPHH NVNANPSPATTAKENILSFNRLAATVSLNSEKKKAQQQQQRPRTAEPGFNSHSHHNHN HGNEKENDAGNISISNTNTNANANGSKLPRRAFGARDVNVPNAVGTPTGARAGSVLSK KPSGNTYGLKAVKVLGESTASANVSVSLDGGERKLAKEKENGKGKESTSVRDRMREWE RERERLREMERLEEIERERDEIYRREREDRRRREAEREREGREEQEEEERRRESLERE RRNASLRQIKIPLAGAGTGTKSSDWDKENPGTGAGANYAYTGSSASSPMLPMFKPTSP LSNAILNIGRNSSQTSFARVGKESKQNIFKHSIKASIDKTVQFYKSSTLGQAAAAATS GRSTPARGFSFDVTRPSNSEVKVSTKEGKEVANATANANAWEDELVDEINSPLPSPLP VIKNAAESEQIAADSRMDRMTIWMRNVEKVVEDAKQNFAASSSPKDTPLPALPLPLSR GPSQNRTSRLPRRVLAASQIFQADENGNISPMVDQSMVSTSNSVFFRSSGDASKASIG RAPTPSSAPPQQQPTTPAKRTSPLPDEGSSRFVIPEIHTPSRQRRATVSTRSPEPKRA ASPVADDAEGVSPSKRKEKSKSHGNLLQRHIVAPIALLEAELEKVPPPEPTPRLSEVL DRSLFISPMVRSQDDLLDRTLEKHNDVSLDDLHASPCHVEPYPQRARNSHDVSIPDTP SRHRIEGVYDRFLMATSGVKRLGKGYQSDNVGPVGNSGHSGTGLGPQHNQQQQSKGRS FYSTRRPMPAPVSSEDSQRRAASVDELGLFGPMDPVSGPVSANCAKEQSTGATSTLMR KAMKLIAPKANASKRLSRVVG JR316_0006951 MDDNLEAPSSLPPKTPLGDLPPDADEDQSSDEEDGGLDWTKLLP AAARPVIPKRGEKEFEPRQGGGTNLQLHVLDRSRNAMFETLRATRTISSKVVSYATWH ADIGRTHVTVARGIHFSNMGHSAPRSVLGEDGTAKIQKRLELLPEEAIYLIERGSLFC WKDIDLDMGIIPGMTEINGSPMSVQQAFSEMIGREDLTLEKFQVYSYLKRLGYVVTRA TPPDTYYPVPPPRTVSSPTSSILTRIKSLFPLWVSSISRTLFGGFNWWRPVGISRWFS YDKSYPSLFRAMRFLPAGHGVPLNTVGQKKSTPYQIFYNLYKPSTPFKKSAPPPPDFQ IVVINARTTPMPTLQELTDLFDVLPESPLPPPRQRRPPPGTTANAPSPPKIEPVVTPA PKPSCMQRVFPWIFPTPPPIATTPAPRKLNPFMALKTGKKIIVIAAVDASNISFFRFG QGEFTEWPMV JR316_0006952 MFKGFTYAGLISTYVIQYSWILELVALVGAQYLFGHSTTQCSPI NPLNMTNERSQRIQIGDGASTISGSVGLGNFIDLMYTVPVQLGQKTFALQLDTGSSDL WVLSENCHLNSCERANGSRYMSFSSVSSGIDVDMHYGDSSTSAYAFGTVAFDKASVAG ITMLDQAFAVIDDTTNHILESTAEVSGIFGLSFPIASRIQEEVSAKTGALVQTDHFVE ATPASGPILSRLAASGALHHPMFTIESQRTAIDTSGRGALTIGQLPEGIDNSTLTWVP VRLYSPDEGGLIAPSFAPGEIYPYRWEIDIDGVFLDGKRLPDSTIPANGVDSHRVSAL IDTGNSVLRGPEDVVSNVLGSVSQAFHRTDEYPVAELPCHIPHSLAFQIGGKMFPIDP RDFISQLDPDNAVACQADNLVPTDPPSIGALFRWSLGTPFFRSNIVAFHFGNLTHPSV DPPRIGILSTVPENANELLQEAIRKAQLNGGNFPNGVEHAPTASAALKPQTTVFTGTE YLGVQSNVW JR316_0006953 MIWICHKITYSSRFTPERCTDVHSGMTIGAGVQWGEAYSFAEKH NVTVVGGSDKAVGAVGGWLQGGGHSPLSNTMGLGVDRVLQYKVVTPDGKYRVANECQN KDLFFALRGGGGGTFGVVMEATVLASPRVTVQTFILQFSSNRTLTQELWSIMVNNSVQ WSTDGWGGLSMSSVVVMVNPKLSKEDAEQSLSVLITFGERLQGMRVPGLKIILTEFPS WGNFFDAFTKDFVATVGSSLALTSRLISKSNFDTPEKRQELTAALLATDAETPGLIIL MTTPASVPSYGKTSVTEAWRSSLYHVTTIAPWGWNATKEEKSAQYKSASASIDHLREI TPDAAYFNEADTYEPNHEVAFWGSHYPELLRIKAK JR316_0006954 MRRGFLNSSKAKIRSLSTQNQNNGEIPIPVDADAPQTVQNHDTG FLRTAAPPEGFKLQEMVAKKSDALKTDYSKDAIVVTTIPSVIYGDPPDPDGNSEWIVM GPTKQLVLKAPGYPRAVPKTTGLPAYEVRLTQDMGFGIFATRDIEVGELIFAERPLLV MPVNFKLLAADVPLPDNFEDIHATQMAILLQSEKVIEYAVARMTPGNQEAFKALANMH TEDGSGPLAGIVRTNGYLIDSLYDGEKLVDPQQNGYIGFRASRDIEAGEQLFYGYCDD ALTAVERKAKLARYAVVCSCAACIHATPQTDRLRQEYEGLIDGLHNHLKTFPEDKTFD NSALERLLEIQKMLIKEGLHGTKKYGLFLGVLSRLYLKLGQYDKAEVCLKKLKGYHNL SYVD JR316_0006955 MVTSAAAATQLDKESVPLRKGPPTKEELLVHYPAKFTWNQLKTF VNSGDLGLLKRDRTLQKRYDEWAVGITAQHGSMVNYLTNHRLRWGQRDTLSLLKSDLV EETVDALVDDTSTVESEPPAYFSWETPRRYISIIQNDWPYSVPPEIEHTLIWTKVPIY HPDLVDSSVKPRIDQDGLWGFTGNDEPPPSPSNLPSCLPSLSEWGITADKMIKSDPPT PAQAALIERAGKEVHRFVKNKWAESEWETAWFVNPPRLQSVPGLAHVHVFARHKI JR316_0006956 MSDQRARGRGTPRGAEGSWRARGRGKGRGSTSPSSSWHTNGPIQ RDASVSANPTASASVSFRRRMDLMASVSRSSGLEKDGDDLKNYKTQEEYKHFIKEKVE SIFKDHARSVSESEDDKKRRIDSQENVLILFRKLREGVSSSNRNDAFALETYETSLYL SALFDSPKQTISIIPHLLPVRHEDPPKFTSHSPSGYSVMISLLHHLVAQYPSQGTYFQ QRNSLPSGLLHTYSEGMEWLASLTKSLRFRNYAKFAMLTQRSHVMALLQRFSDNDKAA YNSQTGLKSDSDLAIKAILNLLDSLRKKVSETAWTIIRATYRELSCDSTPNETGAWLS RSLCLENLLDSRFSVDAERWLVDKIPIGHVRRKEGVEGKWVVCKVR JR316_0006957 MASTSNPKIFSLHGKGLKLDTRADIEPYLKDVDPSILEEVHFGG NTIGVDASEALAEFLAKATSIKVADFADIFTGRLISEIPQALTAICDALVDKTSLVEI NLSDNAFGGRSVDPMVSFLTHNRSFQILRLNNNGLGPAGGEVIAKALHESAKLSKAEG KKSNLKVVICGRNRLENGSAEAWADAFAEHGTLEEVRMVQNGIRMEGITQLARGLAKN PNIQHIDLQDNTFTVDGELTGVEAWTEALSSWPLLHTLNLSDCVLSADGEVPTLIEKL ASGSNPKLHTLQIQNNNLETASFAVLSNAISQGLNSLMRLELQWNDVEEDDEHLENLS LGLKQRGGKLFASDEEDEEEEELKEEEEEEDQAEKEEEAREADKEANEGAPQPETSTK PKDDADDLADLLSKVGIKE JR316_0006958 MSFGEPTDLGLNAKRKAVKLTGLPLVALSFQTLGIIYSDIGTSP LYVLNGIWPASGPVPPTEDVIGGISAIIWSLTLLPLLKYVCISLYFGTKEGEGGSFAL YQGLYPPKEVDVDSDRTLTGETLNGEERQKPSTFKEKIRWPLLLWCLFGTALTMADGI FTPAVSVTSAVAGIGVAKPSVVGDITGISIAFLIALFLVQQFGTARLSFLFSPVAFLW FLLLLGTGIYNTTFYPGIFRAFDPSRAVLLFVRTKDYDLLAGILLAVTGCEAMFANLG QFNAASIRLSFCIFVYPALIFAYLGQGARLIVGGEAILPNMFYTTIPGPINGPLYWIM FVFAVLATLIASQALITATFSLVQQVINSKAFPPIKMLYTSETIQGQVYIPAINWILL IATVVIVGVFKNLANLTNAYGFAVATVMISTSLLLSIQMFYVKHWPIIVGICYFLTFG FFDALFWGAAFKKVPHGAWVPLLIGCVLELIMVLWVWAKSHEDNFDGKNRMNLRHFIH QTSKNGHSLDDDSGSEDMSYYFLGKELPSDDAKFADEKHVDIHELQRGDGKIDEKHSE KKELQRIPSCAVFHKIASGPGVPHTFIGFIRQWPALPRVVIFLSVCVVPTARVPKEER YVVTKVRTIEGFYGVTYYIGFRDDFDVKINDLTDKICDLERRLNPAVSDTLLQEIRTV SRTATHVAPHYHVVSKKINGWGAVSPVVNYLRAVLIESIYRRLATMFPETANWLTSAD EIIHVGINAVI JR316_0006959 MSFFNSIRSMTRSQRPPKRATTPTSATFSPTEMLPPPSPVVSSP RTPPVPRPLYLSSPFVEAALVKGNFKTIVMLPKYVDIMEWVALNIFDFYTNLNEFYGV ITECCTQQSCPTMSAGQTLNYTWINHDRKSVNLPAPTYIDYVMTWIQNLLNDEATFPT KSGHDFPPSFPSTVKHVYRQLLRVFAHIYHAHFHQLLHLRSEPHFNSLFAHFLAFGRE YELLEMKDVKGSPSAPVGVGQLWEKWRDMGILEG JR316_0006960 MFSTNRNRAPRARRSPTPSGGQGPVRKDSGGPPPSRSPSPGAQN PSKPLYLCTPFADAALVKGNFKTIVMLPKYTDIMEWVAVNIFDFYTNLNEFYGVIAET CTQHSCPTMSGGPSLNYVWTHQGGKQANLPAPTYIDYVMTSIQNLIDDENIFPTKSNQ AFHPSFPATVRTVYRQLLRVFAHIYHAHYPQILHLRSEPHFNSLFAHFLAFGREYELL EVRDIKGEPNAPVGVGLLWERWKEKGILES JR316_0006961 MHFTTSFLASASLLATVASAAQFTVSVGPNNGLTYDPPSITGVK AGDQISFKFLSKNHTLTQSTFATPCVAKPDGVDSGYVPVAAGATSFPEWTIQIDNVTA PLWFYCAQGAHCKAGMVFAINPTAERTFETFLATAKGETAPAPSGGATTPPATGGGAT TPATGGSGSTPTPGNTPAGSGTTVVGSTPSTGGNTTTDSSNQGNVPASAGFAVTVQRA TTLLAALGVASLLL JR316_0006962 MPFIAEDARRPGHTHVLLITTGSVASIKAPLIVKELLSYSNVLV QVAASKSSLEFYKKEDVERAGSRVWTDDDEWTGTFKIGDPILHIELRRWADIVLVAPC SANTLSKIAHGLCDNLITSLMRALSPSTPTYLFPAMNTLMYEHPLTAEHLRVVRDVVG YNVVGPIGKHLACGDIGVGAMTEWKDIVKIVVDRFQLAPKESAP JR316_0006963 MSARLARSAFQSAARRAQTTLSSSASSVSRRTMSSASGNAKSSD TPWIVGATLVFGPAFLYLVSPTGRNSTKSVHNDLKEHPTLKRHDAAPHKPEEVAAAAP VEIMTDDEGTEANVGATIALSQGSDVPKESQSPEKQAELLTDAETAGVPKQKSEPASA PAQEGSDGDAHEHIPKASSFKKEGEGGPVEMEVPEQKAVEGVTPLDNAKESKEDSA JR316_0006964 MQEGCQQSRASCDCVFFETAKTDGTDLLLHPLQQLALFPNFLFQ VAQLNTCKNGLTWSKASIISDSYVDCFWADTRYNPHRPEALNNTRISSSSAAFLHLKA FMPPELKMERASAARSRPIVRASPEQTAILKALYLVVRSPTQEQLAALSEQTGLTIKW VSQWFARQRTKDRKNNPETSTRKGSTRLGATGRGSMDVMRSPEDILQVKLEDGDPIGA LASSPGATSVSEYATTLSASSSSIVLDLTQPGPTIESSQNRRRTGPRNTSSSTTRSLR SRRKGPAIIESPRVKKELIELSLSAAADSPSQSDNQSAANMGAYPGGNHGQTQTAATS SGSRSTASASYLDILPMLGPPKRSPMATGVNHGGPTRRRSSVSGAKKSHNIYAPSHAE ASPLGGDFHDTVTHDSPAQPRAPKNQRAAFYQQGPVLRTQFYNNGPSNYMSSPLDENA PSVAQSMACPAAVYDYFSVDPSSPLPSGSIAQMPQASLAPAFDWENHIPFQPVEQVKI YCGQDTNYMQSNYYNAEPHPLDPLHAPVKYLTHILDEFRDEQGMYVVNEELKARLVGE DVVARDPFQAAMGLSFLSRIGVEW JR316_0006965 MTKALSAFVLAASVLAARAIPTAAPTSPCADVHIIAARASTEAP GPGIIGALVTQVQNASKQTISTDSVVYPATLTNYASSSAQGTQATISMLTAQANACPS QKIVLVGYSQGAHIIGDAVAGGGGVAGLGPATPPVAASISDRVVAMIQMGDPRHVPGQ SFDRGTSLRDGLFPRLPSQQYSATLQPRILSFCDFNDPFCDSGADLEVHLTYLDRDQN TAAQFILSQIGG JR316_0006966 MAETKVILVTGSNTGIGFELVRLLAEKGHIVYIGARNEIAGRGA QQTLNADGLTNVKFVLIDVNNHETVKHAGDEIERTEGRLDVLVNNAAISKFEDNQHPS SISESTLREVMETNFFGLVLTTTVFVPLMRKSSAPVILNVSSALGSNTVQSHTAARLG RPVVAYRASKAAVNAYTIALSQELQSEGFRVNTITPGLVSSKINDFAEEGKSLKEGAL SLLPFTLLDKDGPTGKFFDWEGKEMPW JR316_0006967 MFLTLSLLALCFALHPALAVTAGSFGDGGQTLISAMMMFVGNNK KVYMLDKAEGNAAQINGHPAWGAVWDMDTHEVAVMDVRTNTFCSSGMHLPNGSFVTFG GNGAVGRGGALGSQLNPGNVSAAWDAVYQDFDGTRSIRILNPCTDSDDFSSPQCAWFD EPSILSMQKSRWYSAAEATGDGEIVIIGGFVNGGYVNRIYPVIDPAANPEAEYTYEYY PPRPNPPQTFQFLLNTSGLNAYAHTFLMPSGKMFVQANLSTVLWDHTTNTETPLPPMP NGVARVYPASGATAMLPLTPANNYTPTILFCGGSAMTEFQYGDYRFPFSDTWNIPASQ DCQRITPEPQGGMVPAYIPDDNMLEGRTMGQFIILPNGLFLLVNGGLNGTAGYSSNGT LTTPDVSQMPFGQSLASGPVGTPAIYDPNAPAGSRWSNEGLSTSSIARLYHSSAILLP DASVLIAGSNPNIDVNLTTIYPTQYQAEIFYPPYFSAPTRPVPSGVPTTLSYGGDPFD IAISANSYTGTANDAANSTTVVVTRGGFTTHAMNMGQRLLQLNNTFTVNSDGTFTLHV AQLPPNPNLFQPGPAFLFVNIHGIPSNGTYLIVGNGQIGAQPLAPLSVLPDSVFLDST TGSNSTTSSDGSTPAKKTNLAAIIGGVVATIAVLGVIVSAVSICTTREKRQPVPTVSY PIAQGTNRFGSGLPSGGGDTMRSERSALFPLQQQPNDSYGWNPSTTSNYQQPYSDAAS HQPNYEYDPYASSPYPAFRQAPKNGGPPYRF JR316_0006968 MASRPTVNVHTSSGEASTSLPLPAVLTAPIRLDVVQQVHKSIAK NKRQAYAVSEKAGHQTSAESWGTGRAVARIPRVGGGGTHRSGQAAFGNMCRGGRMFAP TKTWRKWHVKVNQNQRRFAVVSALAASALPSLVLARGHRIEQIEEVPLVVEDASESFT KTKQAVALLKSLNAYADVVKVSNSRKLRAGKGKLRNRRHRQRRGPLVVYNEDKGIVKA FRNLPGVELVNVRRLNLLQLAPGGHLGRFVIWTSGAFALLDEVFGTTEKASTYKKDYI LPTAKITNPDVTRLINSDEIQSVVRPAGQKVQKRPWTQKKNPLVNKAVLFRLNPYAKT LRRQEILKQERLKAKNAAKPKQPAAASKTFLENLFAP JR316_0006969 MASFRLENNRKEIEAIERETFSYGPLEVHKLDVYYPPVEPDSVT PVLIFFYGGGFTSGSRSSPPSNLVYNNLGAFFASRGVLTVIPEYRLVPLITYPQGAED VQEAIKWVAERFNRSVDSNGVDLPRRRRLFVMGHSAGGVHLASVMLNATLFAPIAPVI RGWVLVGVPVLIPPQGRPGFSAAAIQYYGSVQAIKRYQPLGLLKSCTVQDPDYVGRLP PLRSLTAASESRYIRKGMQAFAEEYKGKGGMTEQIMLEGHDHLSTVLALSTGSGEEWG VQLVQWMMSPLDEPPPVDK JR316_0006970 MAPKLRVLAHNARLEERMQSQISTSLASVLKTNPKLTPKEAMWT VTNEMLTNNPFQPPKDGKCPINDLPNEILGYIFSVGVEEEEMEEEWEDDEDAWVDEDS DEEGDDDESDDSDSNEDEDEDEDSENGSAMDFAAERLELSDSDSEDEHEPRVPFQVLV SHVCRRWREVALDSHVLWTFLDFSKRPNLEKAQVYISRAQGLPLNIFIDCTFPEDVDE EDHPDHPLYHDNVARKKSLAEGCDDEECEGHDEHDHDFDVQFLSQKQLTQIMDLIEPE VSHWRQLDFRASTYGYVHLFLSRLHALPSAPLLESFQVCHFEDCEDYEFFSGDDKTAF LPFHGDAPLLKDIVLWGVHIDWDAPFLKGLRELELSYHAKDVRPSYQSFANMINNSPE LNSLTISLSGPVLPEGVRFDADPEEEEGAWGPTPLTIPSLKDFAIQFLDPRTASALVQ HLDMPGLTDLLLNFDEEDYSSFVRTIVKPVKGRTESVLSHVENLKISGLPCDVASVEV FLSQLTKLKALNLKVVGVEEEVFFNKLLVPNARGPSSSSQASAAGSSTAPALPSVFLP QLKSITTNGVSGARLKNLVIARRDRGVPLTSVIISEQDSVSKKQEKWLRANVKEVEFF VPSDSEEEIDDDELDIIGIEERSEDDVDDDDNDEDGDDQPDDVVDEDGQPLFSPLARH LRRGRGGRAGGGGLD JR316_0006971 MPLEAHDYLVSQGWGGKGTGLRQGAISRPIAIPMKKNLAGLGKD RDEAFPFWDHLYTAAAKSITIKYVSDDEGDGGEASTSKSAPLRKTATGILSTRRPIDG APAHSGNTTPNEGDSDSQTPRLSLMAQAQREAAKRRLYANFFRGPVLGPEAIAEEEKR LADLVSKTFEKQHSAVQSMIVTEHIEIQTATDKMVIDLEVSNSSTGKRKVHGAEEASS EEEEDEASRKERKRKRKEEKQMKEKNMAKQRRRKEKRAKQETALESSDDSSHSPSKKS DSVDNIERRRKKEEKRRIKALRKQLNVVNIKPTDAEKADCNGTKTKASKQKHSKSPNA DKEENENFDKKRKKRRRNSSDESS JR316_0006972 MLLKELLSLASLLALAKAAPAGAPTVKLDSGTFIGVNDGSVQKF LGIPFAQPPVGDLRYRLPQAIPPYNGSHDATKFGPSCGQQAIDLPIVQGLVADAADFL VNSIFGQIFPDSEDCLTLNVVKPAGATSTSKLPVLVWIFGGGFELGGTSMYDGGLVVS RSIALGSPVIYVSMNYRLSGFGFLPGKEVKAAGVGNLGLQDQQEAFRWVQKYITQFGG DPTKVTIWGESAGAISVSLHMLSNGGNPGGLFRAAFMESGAPIPVGDITNGQKYYDAL VADTGCSGASDTLACLRTIPFTDLKAAINKSPGIFAFQSLNLAWLPRTDGVFLTENPQ ALVQQGKVANIPFITGNCDDEGTLFSLSTLNLTTDAQVIDYIKNVFFPGVTDDQLSQL ATLYPSDILQGSPFDTGILNALTPQFKRIAAFQGDGVFQAPRRWLLNNVVPKNPNVWA FVSKRLKALPVLGSVHGSDLLNVYGGGEMQDYLIRFATNLNPNSNSLLSFQWPKYELK NRQLLTFLDGLIPLAITADTYRQAAMNFLTTVTLANPV JR316_0006973 MMGKPLFRLKTLQVPGPWLSLNRLRYQNHKLNHVPITQCIIVRL ASKPPTSVELRSSNLFSLAPDILNFSERLKMVSSGTKRAAFEVALFLASQAALYYTLR WVLDAVSPDKKDAEVKEKQMAALKRLGHHELKLDEYERKVANEVIHPDDIPVTFGDVG GLDPIISSLRESVIYPLLYPNLFTSSSSLLGAPKGVLLFGPPGCGKTMLAKALAKESG ATFINIAASVLTNKWYGESNKLVAGLFSLARKTQPAIIFIDEIDSFLRERTKGDHEVT GMMKAEFMTLWDGLLSGSDRILVLGATNRPNDIDSAILRRMPKRFAIGLPNVEQRTRI LDLMLKDTKLAPNFSVQALAEQTEGLSGSDLRELCRNAAMVPVREFMKSTTNNHEALA KGQLEGFDLRPLTVEDFFAHDGSSPLPPAFNENRSRLDDEPLD JR316_0006974 MSVLSITICICWRLLLSSLFLAAFIWICLRTVYRRSLQSANHQR RKSLLNTLGYTDAEKKRVVGFFHPYCNAGGGGERVLWTAIAGMQRTDPDIVSVVYSGD VDATKEEIIAKVKSRFNIELDPTLLHFVFLRSRYLVEDSAWPRFTLLGQSLGSMRLAW EAMHQLIPDLYIDTMGYAFTFHIVSLLGKIPIGAYVHYPTISTDMLARVESRKKWHTN TDAISSSIILSRIKLLYYRLFMYYYAHSLRACNFIMVNSSWTKNHVDSILNHSDTLMD ALHPLLAFKSLMTKNAPEHARIVYPPCDTSEMAQFPLLPRERIVLSVAQFRPEKDHKE QLNAFHLLLEKFPEYKGEGGKGVKLVVVGGSRNEGDANRVAELRKQAQELGIEPYTDF IINASYPIVLDLLSKASIGLSTMVDEHFGINVVEFMAAGVIPIAHASGGPLKDIIVPF NGEPTGFHARTTQEFADAMHTVLSLNPEEESGMRWRARAWAVQRFSEEEFERGWNESG WRLWLTSPRKVE JR316_0006975 MADEKPEVAILPGGNPWSSYMPDVYRGRVGPQPLGTVIFEEIEA KAKEKLKDHEGAFMYAGGSAGTNSTYRANLKAFEKWGIIPRMLVDATRRSLEVTIFGV THSSPIFLAPIGVQSTFHPDGEFNPARAGKALGVPFISSTASSRSLEEVAEANGDGYR WYQLYWPKSHDVALSLLKRAKDNNFKALVITLDTMSIGWRPHDLAISYIPFAHGVGVQ IGKSDPVFMAKHGKEVTHEHPVFPYDPAKIDKAFAAGDPKAREDVYLGSEWLKEANSG LYHSWEDLKFIRDNWEGPLLLKGIQSVHDAEKALEHGVNGIIVSNHGGRQLDGAIPSL LALEVIMKSQKVRAAQQAGELTVLFDSGIRTGSDIIKAMALGAQAVLLGRPWLYGSIL AGQAGVEQVIRHTLADLDTSLGLAGYRNLAEIQGKGEEVIMKIT JR316_0006976 MLSVLPVSLLLLLFASPVFSVQPTSSYDSFRALSLQKPQQPDNP ICCLKPLTPLEPVDDGILLSFEEWKMKQLATQSAGFTTGTFDKDANIGSPQVGDGVPA NDVGSTGSESTVPPVDTPAPSGFNSPDQVPSGPHFRVPLTDRFNYASLDCSARVHTAH RSAKSPSAILSSKRDRYMLSPCDKSKENQFVVVELCDDIRIDTVQLANFEFFSGVFKD FTVSVAKNYDPDGWTPVATYRAKNVRGVQSFHPPRSLRDFYRFIRIDFLSNYGNEFYC PVSLLRVYGLTHLEEWKWDVWELESKSKQEELKRKRQRVIEPIAIPEPIVVPHTAESS INTSNRVASTAEDIEKITTPPVNADAERTADTPPPTQSQIQNTSNILSSQHSYRDSTS PTGNDYHKSIPSQKANDDLFTQTAETPVPSPISSSIPSASDANRQTQHIPPSMPESSS TGTSLSSPPSQANNNVQYTVASSSSDSSSGTPIIITSGTPSSAIIPSPPMSSHLAVKG GENIYRTIMNRLAEVELNQTLYVRYIEQQNLAVREAIKRLGEDVGRLEGISRAQSLTY QRTLAEWEKQRYQLEMEYGDLVSRIEYLSQEIILEKRLGVAQLCLLLAVLIFLGLTRG SRAEAIIDHGSHRLNQSVREWGKRHFKLSGDWAGRFKGKDAVNTEKQSSSSRSRSRSR SRSTTSRSQTPMPSSRPKPVSVKSYPPHLPLHLQDDNDTMITFPSTSRQYRHKEPLET IHLNTAPAFSENQNRPRKTSNPRSRAPSLRSTPASRRNYVVHAKVTTPTVASFRPQML QRSSSHGVAMAQTGSWGSMSVPKSAKKWARTAHLHEVKTPRVLFPPTTSALAARRSKK MGDDISPTRHNRDQERTPRDAGSDRENEGFFSFATKQAVNGKQPVAGSMPPPDSSLLF AKAEDDGDPWVDSDTTSIDGTEGFGV JR316_0006977 MHTLAVLALFLSLSPAFALWPLPRQISKGTTALKLSPGFNIKLS GIRNAPKDLTDAVARSSNYLRNDKLQALVVDRGASSAQRVASAKSLKTLTLSLTSSAK TVKSISEDAVLPLESRVEGYTLIIPADGSEATLTANSTLGLFRGLTTFEQVWYEWNGN SYTLEAPFNIEDSPAYPYRGFMLDTARNYFPVSDIKRTLDAMSWVKINTLHWHIVDSQ SFPLQVPGFLELSEKGAYNAQSVYTASDVKGIVDYAAARGIDVIAEIDTPGHTSAIAK SFPEHIACPEASPWTQFANAGQLRLANPDTVNFTASLLTSAASLFRSSYFGTGGDEIN TNCYTQDNQTQAELAAQGKTLDQALDEFTQVSHKALKAVGKTAMVWEEMLLVHPVNLA NDTLVMVWISPANVASVVQKGYKVIHAANDYFYLDCGHGGWVGQNVLGNSWCDPFKTW QKAYSFDPLANLTSDQYHLVQGGQHLLWTEQSSPANLDSIVWPRAATSAEVFWSGPGG DVGAALPRLHDIAYRFNQRGVQAIALQPEWCALRPGACDLNA JR316_0006978 MDKLESLSSTLQNITLYDIKSMYNQAKNVVLNISEMEAKVREAT NDDPWGASSTLMQEIAQGQYFNEIMPSIYSRFMEKEARQWRQIYKALQLLEYLIKHGS ERVVDDARSHISTLKMLRNFHYIDDKGKDEGINVRNRSRELVELLSDVEKIRAERRKA KANKNKYVGVGNDGMSFESSGGGRYGGFGSDSLGGGGGSSTYGNYSNDYRAGGSSSRA DMGGSSSYGGSSRRNFEEYNAGDDEVSHTPSPVRTSSVRTPVRKNTAPPPPPPPAPVA DLLGGLDDDTFGAPVPAPAPLAMNKALPAVSNPSVGLDDDDFNDFQAAPIQAAPIQAA STPIAPAATKKPTLMDMLNSTSPPIQQQQQPVNTGFAQPSTGFGMGMGMNMGINPGMG MGMAGGAPAGMGGMNMGMGGHRQTPSYSQPGQFSAPMAPTPMAPMKSTPSGFSPGSSM SPKPAGGAAAPAKSANFDDLWSLSLGSTTTSKPAAGTGKSIKDLEKEKAMAGLWGGQQ QSGQAKPVGSAPLPAFGGFGTAAPPSSAGNDDLLL JR316_0006979 MLLLRRGKKVDRVVDNVHPSLMEQKIHPNLDSLAKELEMSQAHR YHLPDSQEFGRERLENDMAGIGGLQPSTRSRKLQKEVLCMVMDNSGPVSDHYSPEEAR GGLVEGHSGLSHQDQKGPQEQDTIKHSPSQHSDRIHLGVDSSQAATKTSTIMRWSTFG KRPEFGGRTSNNPAIEQDIPSPHTFGMPTPPQSSSKSTSYFTFKDDPPPLPPLDHPAF QGGSNTIRVAAHMHKFPEYPEVDEHGAVLGNYRRFTNSLPTSTRPNIATASIFSTPKG IVKKQARPRSKSSSAEGKARVDRENPISADRSPHPSKFKVHRRNQSKSSIASSRRSSA EYSAKQASSIGHEQMEDGCWEIQVSKEMVRLALGLEGIQQRVVETKPLKSNRFKTRPS QSVPGFGSAYGRARGENWTGEATRLGSPFLLQDTLSDNLTDKQVSNDLIARLGSTSGR RVSSLVAENCSRDDVMSNGESKISPTGSSANNKTRNEKERMGSGATSSGSQSRKGGIR AKSTPSRTRTPSPQPSKLDTGSRLGSIQGSSSLLVPPSLSVIAATPEASPVSPSRRTY HKSTPTMPTSSVLKTPSTPPVIEKSHSSGSASGKRKADEAGVGGDKTPPKEPKEPRTT FAPEPRTHRASGHSATSTHAPSSFNRSKRVRLTSIPDGRPGSRSASRAGSTLPTPDDS PPNAKSTGSWSSKGSHGPMSASSHGYISGGPPPAPSASYGSHLHGYRAPSRRSLSQAS IPISALISPHAPSISHSGTFHMRDPRKPSPVQSTPWSLSFPSYVQEGESRWSRSGWAE RGGSPLHAWLFFIGFLIFPLWWAAALFIPIPSTRRLGGTDAEKGVMLDDPQVEHDARS WRTRCRVMAIISLFTYIPFIVLVAVFA JR316_0006980 MEVLNPRSALLSNHEVLTLLRELESDHLVRTKAALRVKKEEEAA AANGGTLPVNMGGNPHLEASENLRTIEVEAIKYLSADYLPTESQTEEGITTLVKKLAP YSLTKAEKLQIVNLAPTLPVELYVIVEELEDRLGDQIDEILGHVKASIPSIDKTDPAA VTTNGIKDHAADAASSENIVMITENDDTWDDDADAVYDEEVYDDTGAGAGVEGDLEMD ED JR316_0006981 MNRPGQPQQRAPNLTANPALSSQFRQAYPGYGMPPRNVLQQAGS GYVPTIQPNTHRTTTQQNQVPTLSSQSSQGFNPPRGQGNYTFGPGVLGQHQPSSVLQQ QQQQQPPPSQQQQQQQPPQTNGAQSTLSSLMAQTSGMGATPAASSAAEVSLDPNDFPA LGSTPTNTSSINNNGTGQPTTTSYASQAGTGMLLGGTGGSGNAAGSSLTGAQTRDFTP DDFPALGGQAPSNQTRDSLQNQLSGQENLSHPPGLNGFPPSEQQQQLRQNMIGNLQQG TPGMLNLGPTQSRNIHPGFQVQSEAEKQQQRNNYSLKLSQASHAAWGSPNVNPNTQTQ PSGVVAGSNGAFSQNEATHTPGTTATTNAPTAGGPPPLSSNGLGNVESHPNTSIPNPN GSSNPIPTTTASVNPTSLPTNPSRLQHPQTPAQQVLISAADRWGLLSLIAMMRNASAD ADHGLSSIGTDLGTMGLDMSFPGNLYSTFITPWADQSAARTVEPDFHLPACYLSVQAP PPGPTKANMFSDETLFFMFYSSPRDALQEVAAQELWNRNWRYHKGLRLWITKETGTSP SQKVQGGGGEQGSYTIWEPENWAKEPRNLTVLYSDLEEKSHPAFVNTAGLVLANNQSQ AQVTQQPTQMAAQPQLPSRGPAFQMGMAGL JR316_0006982 MSSSERQITIGWLLRNQDESGGFCGRTGKDADACYCFWCGAALK ILGAGDLVDSDKLAAFLARCQFKYGGISKAPGENPDPYHTYLSLAALSMYPPSVERAG DNIKSWEFQPLDPLLNAREQTALWIREHVPAKLV JR316_0006983 MDSDRKSTVSSFYGGRKPSLDVLNQDYPPPQARAGGAKPGRDDA SSFFNPERSSMDYLTRSSAGYNRNSFAPGGREEPIKGGRDEEEHQREDAWDVYADFNN TGPRYSSAFGVGQNEAAYSQIPAMPSPMLKDEPVNGSKVEMVTVPALGAEWGKDELYQ STKAGKRERKKEKRAQFWKAWNRGERGLCGSFTFNTATPLEKATGSWATAVPTAFAPS VANFSFPAFAAVQVDTNSNFLPIRLSHMSAKVFDTDTNRQVGTGDYSHLTLPAKSFPQ LLLPLNFTYLAANSSDQTFTNWYSACKNRGLYPDGKRPSLKFRLVLEMSIRGLPSKRG ASLQTPDADYTKVNIFDDGEEYNEIINSDSLVGFQDMHHRTPSAFNRKVSSNVRRRSG GATSLKNRADRAPAGEDDSFPSVRSQPTVAFMSRGDRSARSTVNLDASSARTQREAPP SLPRFEDQGYHVFTSMCTSDEASVSEYSGNSRFINDEVASASAGTALEGVSSGQIASS DTSPSEDPSVCISSEDSRPTDSAEPPSGNTKMMPVATTDPGHSGLIPSIGTTNKFTNK WPKPTTLKSFEVLPKGSKGVFIGAMGTFHTGTPALEKGQGAMTPNNPTPKWSAFKWCL FISIMSVFAYGTMTMITALMTWFNAWEKANVMVVADNDILILMTLSASALLLTASFGI AGIFLNSRKILAIYTFLLWPAFLSILAVGYNSYRRSHLALDRKLDYSWSRFYTSLGRR MIQDALQCCGYFSSMHEATPSKRCYLRTPLPGCKGGLYAFEKSNLGMISKVTFSLAPL HLVNMVVALLSANHVTRVFGKRIIPKQYRLSPNDVKAEAEKLLGPAFVESSYLVEGDD NDSEHKSRG JR316_0006984 MSAIHRRNLSSDSLQDTIIDIILVVLVFVLCFLILAVVGLHILQ RRRERQRTHSWTSCEALRIVSSTPSSCFPGGVAYAIYEVESNQTNGKETAKVVKDHAS HDMEKAEDSRDSGNRGKVHSVPDLVLRPILCESHHVYCYPNVDSGLSGSGSTLPGFTT TQTPSPICDARNKPCPPEPAVTPP JR316_0006985 MRSPFLLPFPPCGLMVVWAFLILMVIAGLTAICTLWCIFCSLKD WFSRKRRDTSCRTETISLPHVRPQDDSLIPSSAPRPHVEGRPITLTSSHDQNERDILS QSPLHSCPQLSIQSPLLTANLDRGDEPSTSTPLHIRPPEIASTVNPVGGQHLIVTPPP LAQGRENRSNRERTRSNSRLGGNERAGYRLVTVLLPEDHPVFTQRGETSDMAATGFQL IPIPVDAEILARECPMTVIQRPPDVGTP JR316_0006986 MEKPTPPQFNIPLSFPSISILSNGSYTSQPQTSALEKSLANSTP TASASWGLSKSATSNKERNKLVVVGCKDGTAYVLQTSLPSFSTPSDRSQSKELPSSKS ATRTAKSFPSSSGTSSPTLSSFPLSPTFSVTAKPRVVSGVTTDSVEAPKNYVDFDDEP DRLKDILKGKNPRDRHSISENSSDRNPRSVTPSIIEPVSVSKRKGAAPRSLLSATNSR APTPTSFSSPNSPMESDSVSNSNPDLARDEVCTLLYHVIPSQSGCGYPVRSIIFLHDG DLFAVLQENGNLYIFSSEDGYCVASFKIGEDIQSNSQKGHKERTGPRDVWSWSSINIA YVEESVIILATAANNNDISAPNSDNEGVSATSLCALLEFVLTPSGASLHKLGQWDVNG PASGNGIHGKSDGTFTFFSTSHNGHLILKELNLKGLPSPPVKTTSETEGNHYGPHLST LPIPNPFKSMMTRSTEHLVTDSSEIHMPPVNGFKPTLGEPHDIGTIIEDTALSGLVTR HSADGNFFALAWSHRELAMFQFSHQSITVLSCIPVNEIEDAAWVNDEIFVLSFEEKLE QYRLKSVNSDNDSVDNVASASSTAHLQAELIYTLNVGEHDTINFCHDSAVLTKFTNFP QEKQLIAYNLSNHKFIRDPHQVPKVLWSVPAPTTPPSNPFLTSMLSLELELIIQGYSD GLLRQFSLAQMTRKTDTSLIEASSSSKTSDRRLNGSLVGLHLVQNPRTREKFIVGGAD DGSIAFWSLSKFELVARWTVFVTPLAKVIQFEADTTGPLRGCALCVARDGTIAVIIVD GFHFRYLIPGSVAPLKRVCLGGNNLLVIYEDRRARLWDSQTKELWRSFGEDKVEELLS QGGWIDMSLDKDASVPKSVWTPVGDSIDKQDAAASLVLNLEKIIVDSIQVTKTISTSR DEVREILLTLERLRLLLSVLITPGLNSDVDSICYGKLGAYPSSALVGLSSPGSTTLVQ HDRPQDVWRISSVLTASRALAIIAVLRAMSLFEDLTEGATTVISFYSASLSTCVGSQF KVPSLEFLGKLWFEASNELRQPIRTLFNATVSNMTDEESIAITEKWQHCVPSLQPDAE KETESAALALFICGSIASEKFTLLSPSALTDISKSISLYLTDEKSVYRILAVDLCSRG FNVWQHYIDAMDILRSLFDLATSVRKDSISVQNIGTQARLAVLSIASNNMPLFMGTLC LDILSPPSVEHQRSVLQILAFLIRKRPYILQPSLPRLMEAVVKSLDPNSTSNREVVLD TATEIIGYVVKTYPSVDFHMATQRLAVGTNEGAVVMYDCKNAIRLYVLEGHKKPITAC SFSPDGRRLITISLKDSSVLVWKVGSSFASFFNPGAPPRQGHGGSQPFKTLNFNIGSE ADMTTAETLELVRIEWIADRSVRVKIRQSVMTFST JR316_0006987 MKILNCPILLLTFSLGICDSAFALWPIPRSLQTGSTLVKLSPGF DIHVNGISKVPQDLQDAISRTKTRLRSDKLQRLVVGRGATDSAALAHAPSLSKLTLSL TSSAGKTINSIMQEATKDIEKRSESYSLELPSSNGGTATITANSALGLFRGLTTFEQL FYDDSTGVTYTFQAPVTITNDSPAYPYRGFMLDTSRNFFAVSDIKRTLDAMSMVKMSQ FHWHVTDSQSFPLTIPGFPELSQKGAYSSQEIYSSEDVQDIVTYAGARGIDVLVEIDT PGHTAVISTSHPEHIACAQATPWESFANEPPAGQLRLASAATTNFTASLITSIAKTLP STMFSTGGDELNVNCYTQDAQTQAELKASGKTLEQALDTFTQATHDALKSIGKTPVVW EEMALEHNVTLSNQTVVMVWISSQNAAAVAAKGLRLVHGPSDFFYLDCGAGEWIGKDA TANSWCDPFKSWQKAYTFDPLANLSAAEVPLVLGGEQLLWAEQSSPENLDSIVWPRAA ASAEIFWTGAKLPDGTPINGQSALPRLHDLRFRMVQRGINAIALQPQWCALRDGQCNL DN JR316_0006988 MLKDAHEFLCFQYASTSRVTLERLPTLGPIQSFCSTRVGDTTWH ICGDSQGWIYTRQIPNTQCVGDLHRWTADPIMRSSDPISSISASGSHCVISCLGPGKV MVQNMLDPELLFLLKFNSLNDIWTCHLQGSSLILGASRKAAYISDIDVSRVPEHLATG SDVFSITRQNSLVYTGSRTGSIHRFDLRTSKNRSHILFDDRFGTSPRSSVVHLDMIRD HELLTSHSNGDLLTYDVRFTTKSTKSFPVKSFEGHVNSYTHNLGIAVDHERDLLYAAG QDNHIRAWSLRTGLPLAPQITNKRGNPLTTAFSDPIFSLQVADEAGKDGVSLWATSGR ELYEFHLGQRGLGPKG JR316_0006989 MEAARLFAILNNESLNLIECESEARGLSDDQVRKGKRAGDSAMS YPYLNQTLPTPDPMASEYTAQSFRPLLNKLVQTPEYFSADDLKQALNHLFTPDILHPA QIGAFLTALHIHRVERRPESLAAAASVLRARALKASVQDAEGDFVVDIVGTGGDGYNL FNVSTTAAVVAAGAGARVIKHGSRASTSSSGSADLLESLECLFVAPTPGLPTPIPRIP FTFILAPHYHPALAMIAPYRKSLPFRTMFNVLGPLINPACPRGMVLGVAEREIGQTFA HSLREGGVERALVVCGFEGLDEISCAGPTHAWELKDGNVTATTLTPADFGLPAHPLTA VGGGGPQENAETFTTLLTSGENIPEKLVPVLDFVLMNASALLVVAGIAKDYKEGAQLA RESVTSGKAWEALSIFRDAGKSGVAFS JR316_0006990 MRFNISVLVALAGVAYAAPSKRAPLFVHEKRATEPVDWELSHRL DANKILPMRFGLTQQNLHRVEELLMAVSHPESPTFGKHYSPAEVVDLFAPSDDTISAV TKWLVDSGFSRDRLRLSANKGWISMDATTAEVEELLNTEYHVYTHPSGDEQFGCHNYS LPGHIRDHIDLIRPTVQFNHRPSPLAIRKRGGGLGMPSARTGPKKSDKLVTITPSLEN CDENITLDCLRALYAVNYTPVATAKNSYGIVEFTPQAFLGPDLDLFFRNFSPSLVGVR PVNVLIDGAVVQTTQQSFDFNGESDLDLEYAMGLTAPQPITLLQTGDLVEGAGFDNWL DAVDGSFCTFEGGDDPTQDGIYPDPAKGGFKGPESCGIVAPPFVVSVSYGQDEVSVTP AFANRQCTEYAKLGLLGTTVLYSSGDDGVAGGGGVCLNTKHQPVNRGGTVFNPGFPVT CPFVTAVGATQVNPGSTVNDPEGACEQVIFSGGGFSNIFAIPSYQQTAVTNFLTEHKP PFASTVFNSTGKSRGFPDLSANGANYVIGIDGTFSLVFGTSASSPVVGSLITLINDAR LAAGKGPVGFINPSIYSSAFASAFNDITSGGNQGCGTAGFTATTGWDPVTGVGTPNFS KLLPLFLALP JR316_0006991 MRVTAFSALLFAACAIAVPSPRSHVVHERRAAEPLEWTRARRLE PHRILPMRFGLAQQNMHRLEELLMQVSHPESPLYGQHYTPAEVVDLFAPSAESIATVT RWLTESGISRDRLRLSSNKGWIHLNVTTAEAEELLQTEYHVYTHPSGDEQIGCHSYSV PAHVKGHIDLIKPTVHFNHRPTANVLQRRSGPNLGAPSIFTGPKKASKAVAITPSLEN CDKFITPDCLRALYSIKYKPVATKKNSYGIVEFTPQSFLEKDLDLFFGQVGTRPIPVL IDGAVVQTTEQDFDVNGESDLDLEYAMSLTNPQPITLLQTGDLVEGAGFDNWLDAVDG SFCTFEGGDDPEQDTIPGPESCGIVAPPHTVSVSYLQDESTVTSAFANRQCSEYAKLG LMGTTVFHSSGDNGVAGNGGVCLNAQHQPVKNNGTVFNPGFPASCPFVTAVGATQVNP GSTVNDPEGACEQVIFSGGGFSNIFPLPSFQADAVKSFLKNHPPPFTNGEFNNTGNAR AFPDISANGANYVIGIDGNFSLVFGTSASSPVVGSIFTLVNDARLAIGKRPIYNPLFK PAFNDITSGGNRGCGTPGFTATTGWDPVTGVGTPNLSKLLVLALVLP JR316_0006992 MPVSFGRVFLPASDIPSFVGKGNVDLGITGHDVILEAEMQDLVV EELRLGFGKCSLQVQVPEAGSIKTVEDLAGKRVVTSFNVLSEQYFKEIDDRLNLQGDQ RTKIEYVGGSVEAACALGLADGIESGDTMRAAGLHAIATVLDTEAVLIRSSTPKHPHL KNLIDLITRRISGVIAAAKYVICQYNISRDNLTAALQITPGRRAPTVSPLEEENWVAV SSMVEKKKAATVMDELVAIGAEDVLIFNLDNCRV JR316_0006993 MFDNPYQSPWRGSIVMAPSGRYLDQAPRQGRASLRLSTGSSTSE ELPPDRDIMEGLVDTPLSPISVPDIDSDQEEIQVTDCSFIGSYSWLKGDSPAILVPGS PPLWQNRPVPYTIPPDTGVQYVDQNGFRMPKQILLPLIEAVNKVKKMEFDWSSVDFVT DRNNLRKITRWVGRGDVRDFRIDLQLAGNKTVLMNRWEKRTREVFSGRTYGFSFEKAS TSHTPECKDSVGHHRIIAYDLNGLKMVVRFEVDAAIPPPAKYPRRSISSIDELTASIN KIALSRASSQSGKLQIYEGGSQVSSTAVVELTTRSQNNIKLNGFDWKEAYPQLFFSQT AHHFLAIHNRGRFVDIQKRKLSSDELQAVQEDSQVELKKVRRALDLIKQVVIENGLEG RISLVCRGGEMKVYKRISDDSCLPENAFKLFEA JR316_0006994 MEDVASVDFKHGRQFRRDLHQRWNSSPPFQKLMMNLTIYWTISA VIYCGVTAAVSWATPLEFAFAWTLGQLFVWARLSALGCRVLSKRGLRKEREWKIPLPN DMYKWLLSSASTM JR316_0006995 MRRSFISLVALSGIAFAIPSPRSSHVLHEKRAMEPTDWAKSRRV DPDWVLPMRFGLVQSNLDKIEDMLMSVSSPHSPTYGQHFTPEQIRDTFAPSQDTIDSV TEWLVSSGISRDRLRLSHNKGWIHLDATTSEVEDLLKAEYHVYTHTSSGVEQIGCHNY SVPAHVQKHVDLIKPTIQFNHRIGANAMSKRFGGIGKPSIGNGPKKSNKAVTITPTLS TCDKMITLDCLRALYNINYTPVATDKNSFGVVEFTPQAFLPGDLDLFFRNFSPSLVGV RPKSVLIDGAVVQNTSQSFDFNGESDLDLQYAMGLTAPQEVTLLQTGDLSQGAGFDNW LDALDGSFCTFDGGDDPEQDGIYPDAAGSPASCGIINPPNVVSISYGQDEATASVHYA TRQCNEYAKLGMLGTTVVYSSGDNGVAGFDNICLDSNHNEGTTANKVFNPEFPASCPF VTAVGATQVNPGASVNDPEGACEQVIFSGGGFSNIFPMPSYQANAVKAYTAVHLTPSP FLPGQFNDSGNARAFPDVAANGANYVIGIDGQFGLVFGTSASAPVFASMITLVNDARL ALNKKPLGFINPLLYDPIFAPAFNDITQGGNRGCGTPGFTATLGWDPVTGKEKMKFYD DYPLSKSTLKASAHPTLRDFSVFSANLFPDLLVGLGNIFIGI JR316_0006996 MTSVSNPDFTNAMDRIDSGETNESPFGSNLVTPTEEDLEAYTNR GIHQRFDVAKTILGYFGNKSMNGEDELGYEEPCSDVIRLQPMKQSVEEVSITVEQNHS LEDVVRPKEEDETAYGQDPDESKFTSLNDDTIDTIPSPISECAISSLSSTDSIRPRKG SIYNLPNTHIEVEAPQTSGFSETELLDVADVDSQAKAQHLLHTDHKIESDPRALLIGA ISECLVDFLFFCIRVSLFLPWCVAVGGALILSPELLEPLAFGTGYIEPLSGIRRYSHW ATYGFQHITSFFTLIGALVWVFPSIGYLAIGGMLAQFCFTWHGFLFDSTIPLGENDLQ TVYLLATTTWLNHDDTINIKKVNDSYYYSTRSLTSDSVNELLEG JR316_0006997 MSESSSEEHDASVLGKRARNNGNDDNAAEDSEPANKKVTVDDDS DDDDVGPMPLSAAEAGSANKKKRKVLPHERLYLNHLPDANQYYKSFMHRDVANFCVVT KTEFLITTSIDGVVKIWKKQEHGIEFVKVYRAHLVPVTAVSASADGQLFATVSEDMTA KLFDVVNFDMINIIKLGFKPQSCCWVHRRGQAQGLLAVADATSGVIRLYDGRGGDTPL ETVQSIHRFPVHVMTYSDRYDTVISADEGGFIEYWQPTEPYALPKNVKKLWQYKSETD LYEFKKSRSTPTCITLSPDSSSFVTFSLPDRQIRVFNFFSGRMSRKYDESLEAIQEMQ QAGTAVYRVEDMEFGRRLAVERELELPGPDGYIPGRWSNAVWDESGAMIVYPSLLGIK VVNTFTNRVVRLLGKDEAVRFLNLTLYQGAPAKKSITTMAMAASANPILADKGQRDPT LFCTGYKRSRFYLFTRSEPEDNKSGERDVFNERPTREEQTVAAASVAQRTGPSPLANS ATIHTTVGDIHMRLFPQQAPKAVENFVGHARSGYFEGVIFHRVIPKFMIQTGDPLGDG TGGTSIWNKEFEDEFADDLKHDRPYTVSMANAGPNTNGSQFFITTNATPWLDKKHTIF GRVLSGLEVVHTIENVKTNKLDKPYEDIKIINVDVE JR316_0006998 MAPVATSENNHNNTDVQKYDIFEDYKGSYRFAPIEEAQVSRAMI KRYFNTMYERAISDVVIVGAGSAGLSCAYRLANDRPDLKITILEANVAPGGGAWLGGQ LMTPMVIRKPADRFLREIGVDYEDEGPFVVVKHAALFTSTLLSKVLAMPNVVLMNATA VEDLIVHSDFEGKQRVAGVVTNWTLVALNHDTQSCMDPNTITAPVIISATGHDGPMGA FSAKRLVSAGLLKELGNMRGLDMNRAEPAIVNGTREVTPGLILTGMELSEHDGSNRMG PTFGAMIGSGIKAAKEAQRILDTVEVVGGKIVGRISA JR316_0006999 MPSLNFTTGEDPLSLDRIRSVLVRLEDTIIFSLIERAQFAHNPR MYQKDAFKELTDLGFHGSWLEWFLKEIETFHAKARRYTSPDEYPFSSDLPAPVLPLLD FPKILYPNKINANPSILSFYTNAIVPRITRRATLSLAAQKRAHGITGSAEFEDDGNYG SAATLDVEILQSISKRVHYGKFVSESKFVENPAAFIPHIRSRNREALEGLITKPEVER KLLVRLHKKATTYAQDIVGDGEAPVNGVGKSSASSKIDVDCVVDLYESYIIPLTKEVE VDYLLCRLDGLSQEEINNLSKPKAS JR316_0007000 MFSFRRKPKQAPESPRIRTSPSLPELNSQGIPWPEDLVDITAIR QESLPDAVPPQGAAKTSLQSEATIPFHKPFRPSTGRATQGAGAISSIYMAGPPATFDK HVPPPPAGRYSQRRARIPPTFNLMVVGGKGTGKTSLLRLLLETADTSPGATVDQKAAV ERFLKGSTKTTQAIQTACIEICESRFDRVLFSVIDTPGLDFLEGHELKLERQVNNVLK YIDAQYADTMSEESKVVRQSKGDQHIHLCIYMVDPDSIMTAAARGESLSIPAKTRSAT TLSARTPPDLVADTSSGDESEDEEDSALTMSPAEIRVIRRLTARANVLPVIARADSLT DEKLAAVKEAVRTGLGEAGIDFGVFGPTKKAEPKATPKRQTQFALPDEETNGNGHASP IPEEADSDEEDEERKSRPVIKLRSTRHRALSRSRSRRDLSQAVEDDRRPVSPDVNDKD SVANVRFSAHIVAKTDLSTLLPFALIAPEPTKRRARRQSPEHSNLALPSSPIVQPSED GHEGVPETPASVHSVRNYTFLHSPPEDLKGVFVRKFRWGTVDVLDPNHCDFAALRTAV LSTHLKLLKIHTKEVLYEKYRTEKLLARRATSNITDEERQRLLEDEPK JR316_0007001 MNNSDHRIRHDDHDRSTLQGVPGQYPGASTAGSVHKHEAPDNIR PEFNLLVAGCRGGQSPNFQFRPGVSCERESCKSVAQHGLVVMKLRGSVLNADRSVCAR ISVVSQRVTKDQLASVAKFVQGCSGHTSYIRAASIDILLDDGTGQHQPLGLTLIDTPS LDFRDEVAADRILTETLRHIDSRFAEGLDDEWKAQSGDRYVHLCIYFLDPDQIVPPSV PGPPAPLIPRTRTNSFSQPDHEPVILEPPVTTNPLLARPTLPQADIAAIRRLSGRVNV LPVIARADILSNDRLAAVKLAIRRDLADAGIGFGIFDTDSTPYPQDERPNSANRPDSS NGYGHGPNGASAANSTPPTSPTSPPLLRLPYALISPDMYSHSDGVSRRTLSRHELVQQ YTPSTHYSIPSPYPRGKFIRSYRWGTLDVLDSAHSDFLSLRAAIFHHMETLQRYTRLY LFEKFRSEYTLQRPTSRHSSNISHLSHIPTMQHTSRPILAIDTAPQPLAHPRSITVQQ QPPQQQQQRHDGYPGDVRSAPPPRTNLPESIPASSAGRAAMATRKLKCDGGRPTCGQC LKRNNPCDYMPQNKRRGTQRQRKGDESGSDSGDERSAEADEPSLSPEIPSQTPSRRSS NVGRHQHEGYTPSLPSMSTMSERRDDSSAASSSRLKVDSASMGESSRRYFPDNEVPHI ATLPMTEPPTPAPMSAPNLPPIRPASDLQAAQRKRAATVPGKIGRQSTSSGPKVVACN YCRARKTKCDGAHPACASCARRQLDCNYVHESAYSNGPGQKKSRRSSTSKPDSPRSVS PPSSRMIPTPSTGNDLHDSRDVEMHFGDEVDLKRPMEHGDISRAPKKMRMDNHPAPAG IP JR316_0007002 MDRDQFRQSSLPSSLIPHLYTAPQQPRDSSTRTTLLDDADHPVS PPFTHLYPPQSRSPTWADGEPGPSSLARSATHPYPDYYPGRDRLYPPEAHDRLSERDY YTDRAFYPARDHYPTREPYRQSSTERLPYPEREPYPERLPWTERQPPTESQFSERRPY HDSAQQQQQLQYSDRRAPSARVSEQNFLERTDQYWPSAVPYNINQHPSYQFESLPPAQ QQFTMLPQEDASMFNRQDAYTYAPVGSLSLSAPSSAYLRSPNLNSSAYRSSSIPRSVD TDPDTAPGGAHEGKRRRAESLSADEGARKSRNIKKTAVACNFCRGRKLRCNGAKPSCW NCTVRKFQCEYVSNQRRRGPGKAPKGTRSRRAMSTSRNVAHRPSSSVPEHELDALAPE VRPYTSVMALDNFAFQPPEVSPQYMTPPPPKEDHPGLYASRERETDTGDRTDAENIHR SMG JR316_0007003 MPNPARFVRAFSTAAPCRHSMPIMNRYSRLVTQPKDQGASQAML YATDGIASDEDFNKAMVGVASVWYEGNPCNKHLLGLGQEIKASLAQSNLIGYQFGTVG VSDGISMGTKGMSYSLQSRDLIADQVETAAGGHHLDGMVVVPGCDKNMPGVLIALGRL NRPGLMVYGGTIRAGSCEGAPQLDIVSAFQSYGKYLQDGKTPAAETERYNTVRHACPG PGACGGMYTANTMASAIEALGMTLPGSSSFPAESKEKHDECASVGPVMYNLIAKNILP RQIMTRSAFENAMVLTMVLGGSTNAVLHLIAMAHSVGIKLSIDDFQNVSDRVPFLADI KPSGKYVMEDVYKLGGIPKILAFLLKNKMIDGNNMTVTGKTLGENLEEWTYKHGELDS TQDVIRPLDNPMKATGHIRILKGNLAPGGAVAKITGKEGLGFVGKARTFDTEDDFVKA VESGSIKKGEKTVVILRYLGPQGGPGMPEMLKPTSLIMGAGLGLDVACLTDGRFSGGS HGFCIGHVVPEAQVGGPIALVQDGDIIAVDAVKNTLELRVSEEELARRRKEWKAPPLK VSQGTLYKYTKVVTDASHGCVTDA JR316_0007004 MTSLTPPIVPGQFDDAEEGNEPTNREGQAYIDTYDPATEAHVLD WPDTEDEDEIDDEDDEYYDNRVEDEDWENAERDFTKQYNRLRQHTAVRTGNAQGVSSA FNRSEAVALLPPVNHPKTSTVSSSNLSSKVAGGTAKDRTNDQLAALAKYNSRLAKIDV PYVMGVGVNRKGPSAHANLKDKSDRATNEQVLDPRTRLILFKMIGRGLIDEVNGCVST GKEANVYHALTPEKTHLALKIYKTSILVFKDRDKYVTGEFRFRKGYSRNPRKMVRMWA EKEMRNLKRLVAAGIRAPDPVEVRENVLVMTFVGDKDGWASPRMKDASLPPENVGQLY EELVLVVRRMFHVCKLVHADLSEYNIMYHEGHLWIIDVSQSVEHDHPSAFDFLRNDIK NVEDFFGRLGVTCLGLRRCFEFVTKEKLTEEEGVSDEEVLKKLLAERAEDQDAETEDR AGEDGESGFNDVSTSQKTKSEDTAHEDAVFLQSFIPRTLNEVYDPERDVEKYKRGDGL IYADTIGLVSSSDKKEEISGGGIGSNSKPKAPKTSVNDSDAAPISSVRFDAGEGQDVK NQDTVDESSSEDEDDEDEDEDGGENGEKVFVEKKPRGHRHEDKEAKKERKKQVKAEAK EKRKHKMPKAEKKRIVRKTKS JR316_0007005 MDTLRRRIPFKLSEDDDTGLENDILDEQEQEELIDELRRENENV NHAYSIALKVLVGLSCILHVTTINHNPLFSIFPIQGNEVSIPLPAIFTILALYIHFNL VLLFFSNEIRIRFNLSGPIDPLSFQLLYSLSAVAPTLCLFLQKPWQITAWWCETGLIV FIVHTVMDAIQQSNQGIAELETMKYTAPGA JR316_0007006 MVYKRGRTHRKVPDSLHDNSDDYSCQSTSPSARSLRKKVRWEGR PAATTTTTNEDSEPSSEEEPQTNEKVVYSTVNAVLEQVNPDLVLTSSHADDDFIDMLN RHMESADGTFQIRPFKEFLAAKGRDRLLSLNLFNHYTPGGDDLPPSSDIDSHSSSRPT NAYDFMTSRRAVTGDPAARRWSASVRLANFTSVEFSPLCMASIGALLDHIVRQKALSD CDDEGIGGLDIRNIETLAIEKSMQINADALLSLQVFETESHASVHSEKTKEGLSLFSI LNGTKTTAGRALLRGWLLRPSLSPSEISSRHDAVECFVRPENVAVANVMHKHLKGIKN MPRIMSLMKDGKARLMDWQGLVKFTFSVTMLRDSLSELYSPDHVEIIKKLVAALDIPT FKEIGIKINEIIDWEESANHDRVCVRPHIDEDLDNRKFTYHGIDSVLSSVAEQISQTI PADYTTSLNVVYFPQLGYLICVPMLEEWRGEAGIQPLEGWTFQELLEEVLVIFEAMTK ACDVCAELDCLLSFGEASRLFDYRRPEIIEDNLVEIIQGRHPLQERVVDTFVANDAHL IGGAGIGANPNYPEDGRQWNSVLLCTGANACGKVGCFVPAESARLGIADKIFTRVATR ESVSKVQSAFMIDLAQVSFALRNCTSRSLILLDEFGKGTLSTDGAGLFCGVLRHLLNR GSECPKVLVATHFHDVFNEELLNPERVPVSFRHMQVMFTSGTGMISEAHSLGHSPSTS SSAPAPSFTTFGDGKDPVPVRPSEKITYLYRVAEGLSLDSHAAKCAHIFGIPSRIVER AQYVTNLLTTHKLSLLLDEQMSSDERADLEDAEAICRRFLKWDLKSAEEYGDGEVKAR LGKVLGRDTDANDPQN JR316_0007007 MYQAHFDVSRNSEISLQCYIRAEEPKCGGDGLADDLGNDIFLGG LKFVPNFDEMGNHDQWYNFVGGAGKIQIGVQYQPRYGQSVTMDDFELMTVIGKGSFGK VLQVRKRDTSRIYALKTIRKAHIVDRNEITHTLAERLVLAQVDSPFIVPLKFSFQSEQ KLYLVLAFVNGGELFHHLQREHRFDEQRARFYSAELLLALEHLHELDVVYRDLKPENI LLDYTGHIALCDFGLCKLNMKDNEKTNTFCGTPEYLAPEILCGNGYDKSIDWWTLGVL LYEMLAGLPPFYDEETEKMYEKILNDPLIFGEEFSPEARSILTGLLNRNPAQRLGVKG AEEIKKHPFFHKHIDFKLLAAKKIQPPFKPSVASPVDVSNFDVVFTEEAPVDSFVEDS KLSQTVQAQFDGFSYNGGTHLASP JR316_0007008 MSWLRSKKASVNNLKADESARSKTPTPSNPDGKPQKRTFRSGLL TIRVMGAEGISMPPGVALPASVQSALSSQQAKVAASVSPSSVNQQRLASRSRGSR JR316_0007009 MVDSRPSSTDEKATVVADTKTKGFFSRPKSSQLDAVTDEKNKEN DVDAAPEAAPATSDVPAISFTELFRYSTKFELFIDFIGIIAAVAAGAAQPLMSLLFGN LTQDFVNFSQVLAAAQDGDAAAAANIPQAAADFRHSAGLSATYLVCIGIGMFVCTYAY MYIWVYTGEVNAKRIRERYLRAVLRQDIAFFDNVGAGEVATRIQTDTHLVQQGISEKV ALVANFLGAFVAGFALAYARNWRLALAMSSILPCIAITGGVMNKFISGYMQLALKHTA EGGSIAEEVISTVRTAQAFGTQRILGGLYNSRIDESHKADMKASIWHGGGLAVFFFVI YSAYGLAFSFGTTLINQGHATAGSVVNVFLAILIGSFSLALLAPEMQAITHGRGAAAK LYHTIDRIPDIDSANPDGLKPEKVEGEIVLEDIRFSYPSRPNVEVVKGLSITFRAGKT AALVGASGSGKSTVISLVERFYDPAAGNVKLDGVNVKDLNLKWLRNQIGLVSQEPTLF ATTIRGNVAHGLIGTKYENAPEEEKEALIKEACIKANADGFITKLPLGYDTMVGERGF LLSGGQKQRVAIARAIVSDPRILLLDEATSALDTQSEGIVQDALDKASAGRTTITIAH RLSTIKDADVIYVMGDGLLLEQGTHDELLKADGAYARLVQAQKLREGKEHTPGKDAES DSESEDMEKAAREEIPLGRKNTGTSLASEILEQKRKAAEVAGGGKEGDYNLFYLFKRM APIVRDQRQAYFYGSICACLSGMVYPAFGVVYAKGIEGFSARDPAQRRFEGDRNALWM FIIAILSTLAIGVQNYLFAASAATLTARLRTLSFKAMLRQDIEFFDKDENSTGSLVSQ LSDNPQKVNGLAGITLGAIVQSIATLIAGSVLGLVFIWKVALVGIACTPLLISTGYIR LRVVVLKDQANKKAHEDSAQLACEAAGSIRTVAALTREDDCLELYSKSLEEPLRKSNK TAIWSNALYSFSQSLVFFVIALIFWYGATLVSRLEATTFEFFVGLMSTTFGAIQAGNV FSFVPDISSAQGAGSDIIKLLDSMPEIDADSTEGKTIDAKAVRGHIRFENIHFRYPTR PGVRVLRDLSLEVEPGTYIALVGASGSGKSTTIQLIERFYDPLHGAVYLDGERITDLN IQEYRKHLALVSQEPTLYAGTVRFNILLGAIKPHDQITQEEIEDVCRDANILDFIQGL PQGFDTEVGGKGSQLSGGQKQRIAIARALLRNPKVLLLDEATSALDSNSEKVVQAALD QAAKGRTTIAIAHRLSTIQNADRIYFIKEGRVSESGTHDQLLAQRGDYYEFVQLQALS KRE JR316_0007010 MVKPKKKVVIVGEGLGMLNGLVAGVSHDADDAGLRDHVVSSFLT SHHRTRNNPPPPTTTNMSVSLNPSKSLGFHRPLTILVKRSLTITNNNPQPVAFKVKTT APKGLREEPPLNTQCKDKFLIQSTIITPERELLPLHDLWANPDTNEEGKIFQQKLRVT YLPPEGQTVEEEDENINAGLTSNITANESQHYDTVRQTLGNGNLSTLDSHYLPATQSQ EHPDERAHTPNQEPLEREVSTDTEVLQQQQVPVIVEPGEHPSSPAHVKAALPDTQESR EPSRSADAEPVRETTIPSSSEPAHEPTPAPAHEPIRLPAPAPIIIHKENPVNEELYAK YNRAQEEIERLKSQIAELTTPAAQELRRRTRKLSDADSVAASDVQTVVVEDAPLHQEG VPLQVVVIIALGFGQTLREIITRNGL JR316_0007011 MDSYTKQKSSARPFVIALAFLGTVFLGYKLFFQDTPVAPVRSAV VVLNGESHVTGTVTFQQSSPSGPVQISGKIQGLSSDSLHGFHVHVSGDLSGGCLSAGA HFNPFGKTHGAPSSSVRHVGDLGNIKTDKSGTANIDFSDHLISLNGATSIVGRSVVVH AGTDDLGLGGNEESLKTGNAGGRVACGVIGLFSIESLI JR316_0007012 MKYERRSHREFAWAVSSDSRGCNAYPPELISAETLTHVNFAFAL ISEDFLLQEMTVNDNILWLRTTALKQKNPALKVFLSVGGWSFNDPPTQHIFSNLAGSL QATNTFIENALAVMQAYGFDGIDIDWEYPVAPERGGVPADKENFPLFMSRVKAAFKPR GYGLTFTAPSSYWYLQHFDLPALLQSADWVNIMTYDLHGTWDSTDPYIGPFVLAHTNL TEIKDTMQLFRNVGVDPSQMVMGIGFYGRSFTLVDGQCNTPGCAFSGGGDPGECSLSS GTLMFSEIEKLLDGANSIIPVFDQEAAVKYITWNDNQWVSYDDAQTLQMKLNYANSIC LGGTMIWSVDQDDSNYSALSGLYPDIDINKPSSVESGNQCQVTGCGQQCPPKYDSLTT LTQIPNGGSCPKNNPARLCCPKGNEPQNCSWRGGGGTSCNAQCNVGEVVLALDETGDD GHPTCIQGYKAFCCSSGDPQPGACFGGSCFASSCASPYVVETHVKQGSADNGISCESQ NGAVCPEICQSNTKPVCCNCKVLFLMTHIVCISCLVHLAGYTNCRWVGDPPNCLNAVC SAGQVAIFSDMQGDASTQCVGKNKRFYCCDPPAGTSFLPVPLSYVFPATGNGFNIDQP ATFTADFDDNTGTSDTSSRGAGSSGIDDDGDENDSAFGEVFISSPNPGSVSSMDIASD WVITDCSPTSDKPQTVLAYCSKGMEDEDSGCGHVFIAQAEHTLIRMPKSCGLGPYARV VSLTEHPDQNSLPQEHQMRKRSTEKVYSLSFDYNFLAVPDSNGPILDAIIDSPPDSGT TTTKRRRDFHQPSEYNKRWFGPFDSWLQRLNTVRSGDTVSRNYHWSDTYTIFHAEQQC PNFSSSLDISVTGTAQITSQFGYYLEATIVPPAIQQAYVFFDAGAGAQASFTITGLAE AHYGSGRRELATFGFPGLYYPGLLTLGPSLHLYGELVGQLSLSGRYTATIGYTFPPIS YAFGLADDNPDEEDPSGAVGSNSNNVGYDFSIGYNVNLDGNLEAHIIPSLQLGINVLG GSLIDAEIFAEADMYAGVSISGSVSQSSAPQFCINPHYGVNLNAGLTGSVLFWRPNPL VTNFFSADYPFGGSCFGSVNQGSGSGNSRRGIAEPFYTYEAHGSGQLGSNISLNHDFD IPAYSVLEKRSSASKKIWKNDAVIQQPTTSQIPNYPSKNATEVLDRRAIPFLPGFLTC PTVGNEISGPSGSIDCLCYSDNNLDLNGATGQYADILAREFNDIPANLSATYEERMIR DFSNATTTSDDDEQDIHIFRRAGGFSTMGTCPAYFLDMSGYSSTSIGTFFDVKPVDQL NPTLGTYNPWPPNINVDANGQPILTSAETNGAIFAREHVYEVSMAALFIDHLQQFTDL WKNSAQGGLSWCKWVQQYLRSGSNSLFEQVQNCYPGEKFVLTPLFFFLYWVMVGGSNG ATSMVMLEQQANVFKNYAFYDTERKLVPGNGNTIRLVDPTKWASMCPTKQVARLRAAA GLPSYLNNFSVQRNFKADNTCIRNAWVNWYNAYLQNPNANTNPGNVNIPQIYDNFVYN ILAGVVPYLQSQITTLIQSFNPGKPDTDTVDVRLSFAIELDFWTDHLNGDPNTQWDTT LAPKADVQISRAQLTTSILNAMPSITWLNTMPTH JR316_0007013 MAARAVCLYLHIFLPLLLFLGVVEGSDFHQKLRGKDKAHNDAFH SGLLKQLADSRKGTTGTNDTIASTHKSLDLEVPNAFITFATDAVVGLQIYTADTLPTN PAPSTACASALTATIPYDLDLVCTNDCLVGLESYRAGVVSACGNYVVTDSSNNQYPPT LALDYVSGPYTVQCLKDPVSGAFCGPIIKSYNTTNGLLSLPTSQLCTYCTLETLNATL SNPTSYSVDLAGLLTSAITTCGANFNNYNVTTAPSDQVVLSVPFGVNATDAPTIDCTL TGRNITASQATTCAGVAAQYSVSEYDVFSSNPSLNADCTIPAGSVLCIPQQCTTYTIA VNDTCQSVAKLAGKVPGTNFDITASQIQSFNPDLGTYCQLMSLRVGKKICLSPNGGWP SVGATTDGNPSGTPTAVAPIPTPTVSGTTSACGRYYLVKDGDICQTVCLANSITFSDF LILNPEVDANCTNLWLGYNYCVAPYPPLSTITAAPLPTTNYTSATIFSYPVPTANYTI TYTTSRVTAAGVAAPTNIADGTRPVACGGYYDIQAGDTLDSVTALVGVNASLLATWNL ELASGSLPPVGSAICILFPEGNYTLPVAPRPANAYANAITSCAQFYTVQSGDGCGSIE SSFALTNSQFDQLNPGLTSDCTNLVLGLAYCVLPTVPFSPPSSTGPPDNVAPGTITDG CTSYYTVQSGDTCSVMENNFNITLTQITTWNPEINSQCTNIQLGLAYCVASSSSPPST GPPSNVAPGTITDGCTTYYTVVSGDSCSAIESNYDITFTQLITWNPEINSQCTNIQVG LAYCVASNSPPSSGGPPSNVAPGTITTGCTSYYTVVSGDTCSAIESRFGITMTQLTAW NPEINSQCTNIQLGLAYCVASSSSGTSPTGPPENLASGSLSNCTSYHTVVSGDSCAAM ESTAQIAAADFFRWNPEVSTDCSNILVGEAYCVGGGGNPCQKFYVVQPGDFCFAITQS QQITQQQLNALNPFLDSNCDLSVGESLCVG JR316_0007014 MTTPWLTGAPVRSRELLWPKDDELVSSGSWDREGMSTVHGFVLH WFSFIQDTERTVIYIADTNSDVSSGPIILTPIANELQYASDRVALVGTTRFATAEWIV SESTLKFRVHQLDGVVERESSISVQAAFGDLRVLDSKIHLCISSGPTNASNAVMTPHP IRLGSDIEDSDTTPVFADQILIWDFASGAFQRISIPEPMRHGAEYTFPSDHQVVLAGL VEDHNGQEQLALSCFNINHEPQTQSVARSFSPGGSSHERVLKGMGVASEDNTNSSDII VHSFDRIAVFNKAFFDKEVEDNPVSGRLHFLRSILLQKDVRVLPFSLSGPLPAVANNR VLGYRRIQGKYQFFVLDFDEQRVSALLNMKPEEREALRLQLAEAGSRVTVVTRQSYAQ EEGVGGGAVDAEYPPRRVPPAWMAHLRALAEEEKQGGALIYDEAPEDRREGRWGFVQS ELHLGNPITLVRVGRMGLAPDRIVLIPEPGDSGMIWYFE JR316_0007015 MSDNGPTPQDDADRIRLKRLEKLQRPAVSPSGSSGTTTPAPSTP TPPPAAVKPKPIPRPSPAATTASPPPAPRPASGLAKKKPQVPKFDLPAWEHITLQNVL KVTLSKEVADKSGYDIVWLKHLASELAAEETPVDKLSIDLLDRLLIARLELNPQTMTD DLEYLPVLVSLPPQQTVFEYLVGCWKRLNAARSTVMKTGYAPADSLKALDQLEKVRQL VISYSGYILQDPEMFPQPTGRELGPAELIKPLLSLSALSAPLLSNASENPYSLSPSDI DQFLQDFARRFEPDNEIDDILAPVVRGLLFHESLFRPEGLGGGDSSWRGVISGLEVLV SIKSIAGMITRMEEWNPPNATAATFERVSLLGPLCRLGVFWTEWPAIGQSYFSEPLQR TREDVESSYSSLRATLKSLQSSLFQIFNALVRASGETREAVLGYFARVISLNVKRAGM QVDPTTVASDSFMLNMQSVLLNFAEPFMDANYTKMDRIDPLYYVHSNRIDLSDETRIK ATSEEAHKWEEENKNSLAPPPNFISNIFYLTVAMSHYGYLRTIQTFNDLSKSSDELQR QLDMVQGDGSWMTSPMRPRTEALIAMIKKEQNKVRIQQFTFEAGLMEQDLVFRSIGFT NFLATWLIRQADPKKTHPNPPVELPLPKEVPMSFRALPEYIVEDIVDYLYFAVQTSPD KFEMAGKIELMTFVLTFLTSTWYIKNPFLKSKINDVLFMSIWGYGRERNGILGNMLNS HPLALKHLMPALMHFYIEVEQTGASSQFYDKFNARRNISHILKVVWNNPTHREALNIE AKNVDKFVRFVNLMINDVTYLMDESLSELTQIHNIQVEMDDKATWESKPLEYRREREG TLRSLERHASGYTTLGRSTVELLKIFTAETKAPFMMPEIVDRLAAMLNYNLQALAGPR CQDLIVRDAKKLKFDPRALLGEILQIFLNLSDQQQFVLSVAGDGRSYSKELFDRAAAI AIKRSIKSQTEIDALRAFVNRVEEAKATLEAEEDLGEVPDEFLDPLMFTVMKDPVMLP SSKTILDRATIKSHLLSDSKDPFNRAPLSIEDVIPVPELKTRIEEFLIERRNKDKILE PPPALPEEDVVMEST JR316_0007016 MFSFGRQLLWSAKSCLRAQQQPWQSAASRLFSTTQPVEGALYKM KTHSGAKKRWRSLGSGSSFKRGKAGHQHLNVSKPPGRKNRLSTTAYSNSAQTHKLKKL LLPYGSG JR316_0007017 MVTFILVRLSLLFYIRIHSISQIRHGESKDNLRQVWAGWKDSPL SNHGMRQAEALADDFCRLNINFDAIYTSDLTRAKLTAKTVKDKQETTAGGATDVPFVE LDLLREQSFGAGEGRPFGKKEKGLSLVAHYAKGKFPALHTRQQKFPGGESLDEMALRA EAVIDGVMRLELLKEGAEGKPRTVAVFSHGLFIGELVAAITRRDVEYQGNIDIRDLRG MRNTGWTRLEVSLKPESDHASQSSDIQTYFAVRLNGVNRHGHLSNLHRQKGGIGSSTH DPAQKDIRSFLGGKQKSAPAVSNVIPRPKPY JR316_0007018 MDSSSSNQVQERDTHILDIGQQCSHPSCLLVDFLPFKCQHCKLP FCQEHFRVEHHQCSEYDDSKYIAPSCPLCNQPVFIEQGRDANISMDLHLENNCSVVTG RVKAKTLPTCARVNCQKALISPLQCSSCKKKFCPTHRHPDDHRCQPVPTAAPTTSKPV ATSNTPKALANFNAGAKNLNTKATAAGTAAMDAVKKSINTTAIPAARNAINSAKASAS ASKPKAPLPFSKMDRSFSPFSDHRAVSPKSHMIVTATSASASSLTNTTNETTVNAITS ESDCTTDNETAITTTTNSNETIKPAPIIDPMSFIPRSIFAFA JR316_0007019 MTVAMPGERADEHAFLSSVEGEISFFRSIMRARPVGIHRYFHVL AIRNAILKETGRSVHVETIWEKLRECYDLDALDAIDIEAQGYLSGKSNSSPVSIRSPS PSENLAAHPFFREEFSLPYEEFEPIIAQRRLRSTASLPSTPATSPAPMSIPTAATAAP SPRASASTSGNKRGAGRKRGTKSARSKLNLAGLVGGDSDSSALTLESGDEGGAPETPR ESVVTGTDAGTEYAEDEDTEMREPSPAAEASPKPTRGRPPKAGRRGRAGAPRGAPSTR GAKKRKR JR316_0007020 MFAKLFKIAVASAVAVGVMGTPLPQSNKLAARWMSFDNWGGFSS MNGFDNFYGAENFCGHIQSQTIIEQQQEVVCHSQSIEIIQQRLLVLQEMAKRIISEQI CEVETQTIVFEQFYSSLGDFSGDLSRKSGRHVGYDSNIVSHFGSLQNSDGSLSSNDLG FSGSDCGSSTIVPSGSNWSDESSPISVGNAYNAAQSAITSFPSSS JR316_0007021 MFAKLASVAVLASALFAGAAAKPLPTNRLDARGFHSFNNWGGIS SFNGFDNFYGADNFVGHFSSETVVEHESEVVCHSESIEIIQQRLLVIQEMAKRIITEQ ICEVETQTVVFEQFHASLGSFSSDLRRTSGHQVGYDSGISSHFGDIVSSDDSLSTDDF GFSGKDLGSQYVVPSGSNWNPSTSPASVGAAYSAAQAAI JR316_0007022 MASSSRLNDPERFIKVTLHGATGLVKRELLSFPDPFAVLTVDGE QTSTTAIARRTISPVWGEAFDVKVRQSSVIAIQIFDHRKFKKRDQGFLGVFNITAAEA LQLAANQTGTVQKDLTMTSNNLPVSGKISFEFVLSVHSQPNTTAIERQPILNPTHNQP TPHPHAPPARISAPYPTPQLNVPEDITRMQNTISTPSLRPSASHTTLNTTFTPPRTET RPVTSSGPPTTRLFDDEAGNPLPAGWERRVDPQGRTYYVDHNTRQTTWHRPGTQPSQV IRPPSQITQGPARASTSYPGTSVTPPATNTPVSQTGPYADIPLPLGWEERRTPEGRPY FVDHHTRTTTWTDPRRATQPAPVAETRPVTNPNLGPLPSGWEMRLTNTGRVYFVDHNT RTTSWDDPRLPPNLDDNAPQYKRDYRRKVVYFRSQPKMRVLPGKCEVKVRRTRVLEDS YGAVMALTGEDLKRRLMVNFEGEDGLDYGGVSREWFFLLSHEIFNPSYGLFEYSTHDN YTLQINPASGINPDHLSYFKFIGRCLGLAIFHRRFLDAYFVPSFYKMILGKHMTLADL EAVDADLHRSLVWMLENDITDVLDETFTTTEERFGELVTIDLKPGGEDIPVTEANKKE YVEAVVSYRISRRVKEQFDAFMEGLLELIPRDLINVFDERELELLIGGMSEIDMDDWT KFTDYRGYEKTDQVIEWFWQCIRSWPAERKSRLLQFATGTSRVPVNGFKDLQGSDGPR RFTIEKSGDPQGLPRSHTCFNRLDLPPYQDYESLESKLLFAIEETEGFGQE JR316_0007023 MVRYAAAALATNPEKTARARGEYLRTHFKNMREVAAALSGLKLT KAYAYLSDVKDHKRVIPFRRFSGGVGRASQAKEFKATQGRWPEKSVKFITRLLKNAES NADAKNIDVEDLTIKNIVVEQAPKTRRRTYRAHGRINPYQGHPCHVEIILSASETEVE KATDVPASVTLAGLNRRQVARRRIEAARA JR316_0007026 MPVTHLAIPSHTSSNVLSTSITSTLVNSPGGEPVFSFAQLEGKP PAEQLDLLNRQLVLENRIKEGAENLLNMGLPDTPRFQVESELETAKSKISAITKRIEI QTSRLRAKRKGRTPNGSATGVKLDDKGGEDFRTALQNATNHIRDLESLTRSESSQSSP LPSSSSSKSNQSAPNDLSHQRIEIMGKLIDILRRNMRVRYELDFGEVLHAILPCFADK CSKQCRAAAYRVVRYSLVDEETVTRLGVSLDWYFLKTLLRDNKHAVEKEQALKLIRAI VEVGTTRRDSETKSGPGIVPLSETVVRSVVAVAEHPEDPFRLICIQTLAEILIIDIDL AARTDVIRFLLHVLGEGPVEITPILASVFLHLVDSPRTRAYLQVGSDLELALTAITDA YGKGPDHADRMRSCAKVVQLMLRTWSGLMFYCADDMRAIRSLINTLQIPSLETREIIL DMFFDLLNIKTPAWYQTFIDGRRLTMYRKSRDAADYQPDIERNTQTLKLTDQYLALLV AILTKAGLLQALTAMLEESTTGTNLTRKATLLMAEVLAMANRVLPLSLAAKIQAMPDV FLMATDYKQGENRIVGTTALSAIDSFNRNRTRLEPNPPVKGSRQRANSVEDAVRRGQR QVEQVKLKMSMQMDDKTFQASLLETLVMTTKDHLKWNFDTLQELIEGPLLNPKRMEEA IKVSRFVRRLMSFFHPFSHRFSDIKRTRASTRWVRLGCSLLTTLMASPDGVRYLSTED QFLTQIVKSFAQLDPFNGVPDSDPIFSKKRVAETLTYGYLEMLGTLSKFKDGIELLEK FKVFTAFYHLSELRSREDLIKGIIENLDYSIDGHPRIVLSKALTSSYKHIRLYATKHL GSLIRSSPNANAWTLRLLLTQLYDPAPEVCELAVEYLEEACDSKEILQLVVEMQPTMD HLGDIGHRLLLKFMSTPMGFRYLYDAGYIDREMESWFNERNIDYVVEVEVFLSKVFNL SNSDDADDLLEFDGTVPAHFYGEMAKTELGCQVLQEKGHFSEFAQFIKHHSHENEDVD LILKLKSILWAVGNVGATEGGLHFCEEEEIIPAILEIAENSPIPSVRGTCFFILGLIS STSQGAEILDDYNWEATLSPLGMPTGLCIPADIDRFIFLPPWKATTPSKPDNRLLLPI SEPEIETITAIQNLANTVIANAASRSLARIKSRPETRAIFSSPEMFYRALHIMSTQRY RLPVRRYIVELFNQELNEDLVAALSDAAKRLKPSPSYKPPRTDTIRMSVFGRAGKSRG QSESDESDEDDDIDALPAQTKPAVEQPMITLEPLQKIVGFDVEL JR316_0007027 MMCAERPVPPPGMAIPLKPLLGPSNDSLKQIKTNHNPRSNRWPR KRLPSIAIIMSLLRVGTSVASVSRRIVGKAPPRISRGVATEAPTVVRKEEEKSGDIAV QPTRDVLVADVISGAPNELRHRSVRIYQPTRNTMQSGSGKSERWRIDFDTLAGAGRWE NPLMGWASSGDYMQGTRLSFRSKEDAMHFAEKQGWDYYVQQETVKRIPPKNYAENYVY KPHTLRIMRTK JR316_0007028 MGTLFWICNGAFRLRASQLRAAAQRAEEVAANTSVEVDMTNYEH PHPQYPFKATPSSLKNESNKGLRLRTIMVSNLPSSLRNEKDLQEYFEYYMSRKVEKPS MGLTSSTQPGFLNKSFAFLFNRAKRLPAHIPNPLAGRQEHSSEDSKEERRKSKGNQDF PIIERVVVARKMTELASLLARREEILVLLETAHIKLANKALLAVKAAMERKQAHKPMP QITSRATEIARQRRSMGVDAERGVPQEDGALDEEERMEQLIEVLGPFVEQFDTQRPLS TRSKKAVSRASRQAFRKLRAHPSEDSDNSDSPVNNYTFASSRNVPDNGEKTIWDALLS LPRTSLDAYQPLVNLSHLFRGKIVPSIDYYTAKLNLLTSLITENRAKAVTDYDPVSTA FVTFADPADARRACKYLAVHPNNPLACLVTMAPAYHDIDWTRVMKSTYRGEFVKDWVV NIGVWGFTLFWIFPVSLLVGLVSIQNISLFWPSLKSYLDRHAWESEVIQSFIPTILVA LLALLIPLILLLIAKKAHTITTLSALHDLIMTRYYKFLIVNVLVFFCVGTAALNSFLN VFRSTERPNIINTVASSFPTAGPFYVGWLIFTTAMHGGFELALYWLPNHTLLIHVYAK NYEGEGRVLIIRIIRYTLDGLILSQAVFLAYMVVLKKSVNVGLAGFLIVFTVFVKLIM TRMCRAQFEHDDVIEADIICHGHRVEPTNTEDPGSLELISNPHQPLTSDVIDFGRPQL SALTWKLPAWVNFSYKTMNRRGPRVQRRGENPFRLPQDKEDSEQPPSTAVTTPTVRLI SAPSTVHTSKEEGTSSAVNTRPDGYPWDTQRHHRSDSIPSPGPVVKCPPPIPWDDQDP MDLPYDNPYYTKSIENVLWLPRNPMGILDLDDTVYLKCSIPVEVSAGRLGTWLGLGET ASPDELSQISQQPSPPENGPRSPSILHTALPTLPDVDGTEDIDLPFGIAKRVKAKETD IENTLRPRKSSMFLRKASGDKASIASASIRQRRPSILDRTPNLSPYQAQPGRARSASV LSAFPLPSSSAVERAHTQDEVGVRPDAHAQADFIAANNSSSHVSLPLPKISRSQNVSA AQAIYHEVLEEERQALHERIEEETLEATQMQTSKSWLTSWAFKKTE JR316_0007029 MYIRSFLLLFITVCYTVKASTIPIRRIRLPPNIERSSSENYELK RRHARAFAKRQDAINLFPTPTSSIVSSETPQPSESSTVDPTAQASQLPTDANSPRKYV VAHHMVGNTFPYTVQDWADDIALAHASGIDGFALNMGIDDWQPDRVSDAYTAAHQSNL DFKLFLSLDMSSFPCASPNDAQALRDLVNAHASHPNQLQIDSKAFVSTFAGEACNFGQ GSTANGWKTQFTQHPDLQGKIHFVPSFFIDPATFKDFADVMDGDFNWNSGWPIQVTTS FAQSAEASHSASESASGSSGLLGIVTGAPISALTSSLESKLETAVASVLSKLQLALTK FIGATETDDQHLAGLAALSGALQARDGSSSKKTYMAAVSPWFFTHYGPDSFNKNFVFL SDQHLYSKRWDSLISQRDQFDVVQVITWNDYGESHYIGPIKGDQPNSQAWTNGMNHTA WLDLTQYYATAFKTGQYPTIEKDKIVMWSRPHSTNAQSSDPVPQPTNFEIFEDIVWAV VMTTAPSNVILSTSPTNSMTFEVPAGVSKLAIPITPGGTMKGVIQRDGQTVVELAPTA DEFTFQGSPQTYNFNAFVASATAD JR316_0007030 MKPVLQDIYLEILGDFAVPVQRTQALQGRQDASTTKFVVAHHIV GNTFPYTIQDWADDITLAHASGIDGFALNLGPDDFQKARIADAYQAAQNSGLGFKMFL SLDMSVFPCATPDDAQALRTLVNTYVSHPNQLQFNSKAFVSTFAGESCTFGQDSVPDG WRSQFTQNPDLQGKIFFAPSFFIDPATFVDFTGVMDGDFNFNSGWPIQVTTAFAQNAE ATATPADKDPSNLGIVTDSPADAVPDPTISTLENAVAAVIGDQHLALSKFIGSTDTDN QHLSGLAALSAADGGVKKGYMAAVAPWFFTHFGADSFNKNFIFLDDQHLYAKRWDSLI AQRDLFDIVEIVTWNDYGESHYVGPIKGDQPPQSRVWTDGFDHTAWLELTQYYATAYK TGSFPEVPKDKIVMWSRPHSTTAQAPDPVPQPTNFEILQDAVWAIVMTTAPASVVLST SATNSLTFQVPAGVSKLAIPIAPGDTMSGEIQRDGQTVVSLNPSGFSFNPNPQTFNFN AFVASATAP JR316_0007031 MEALFFNVDNGFLEGIVRGYKAGILTQNQYNNLTQCETLEDFRT QLSATDYGNFLANEPSPISTSTIADKATQILVDQFNYLRNNAVQPLSKFLEYITYAYM IDNVVLLITGTLHERDTHELLERCHPLGEFDTMAALCVATNVEELYQSVLVETPLAPY FRDCLSAADLDDLNIEIIRNTVYKAYLEDFYNFCTTLGSPTSDVMHKILEFEADRRTV NITINSFNTELSKEQRAKLFPSIGRLWPEGNNQLARADEMDQVRIACESVSEYRSFFS EAGSSQGNGTGNGYDEHAAASYLEDRFFRTEVHLNKQAFLQQFQYGIFYGYMKLKEQE IRNLTWIAECIAQDAKDRIQDYIPIF JR316_0007032 MATKAASEKGSDTTINDFDTPEDRALVRKIDLRLLPILTLLYLL SFLDRTNIGNAKIVGLTTDLHVSPPEYNTALALYFVAYVIFEVPANSEALQPTNLAAY AYVVLGNREHMSGPGREQGWVVWDTVPHERSWRVAIFFGGAALAGAFGGILAYAIGKM DGVGGRKGWEWIFILEGILTVAIALVAYFIVPTWSHKAKFLTESEKARLFQRLNADSD AALIEKFEWVYVKQALTDHLVWGYAMLFHGFAFVLYSLSLFLPTIIAGLGFESWQAQL MTVPPNSLAAISIWVTVWFSSKYNARAPFILGAAVVAIIGYIILLTTKTAGAQYVGVL FAAAGVYTGNALLLRQVNPSYSFLSILC JR316_0007033 MSNSIPDEVLQLIFYELPDPSPFTRVSQRFYRFSQDPYVRAHYF LNHYGPAEAMYYAMGRGKVVTERVLDILLTSGAHISRYLIQVAGHHYFHTQNHFVKTT WVRQMPLQVVAYFLKLAEQRYGQIPWGKGEDDGSIFMTFLKESRLPAQIKSVTWETIK TLMDTYNFIPFCSRDPIMSQFPLALAIEPRLLPYASANGFSLDYKYRDFVFRKMFERP SPSSDIRAEDIAQNVRELCKLDSAMFVTRTVAAEVCMEAKTNELGYAALKQLDKSGDL RFDLSILVEDLLRTFLTTRSICSVTTGDILLHLFTDFPSTDVAVRLVVLVLVFLAADN LHMSTTAIRAKLEALGVMPLTRKDVFNVLINPFIERYNYVLDFAKQEVGIMDDGTKGM SAADIDSLVEDVALKCLEIGCKGKLLNRLQKAFPSLLKTITDTIIQKYSVELEDLPCW EETPVRQIFVAKLCRDFLRFGLGEVHTLESLQPDAKPTQEVGKCVGAEAVLAQPLDEE DADPHSTNKAQSIVDLGAITQESLTAMIRHDEITPVRSRRRIFYNYGPSGTEAKLRYP QDPLHVGKWAKSIFDPKSIVMSIFMTHAIINDNCNMLHHYVMHSDYFGGIPSHSSSHI PVTLKHFQLLARLGRCPNPYLYHSIEAGAPFYVDEKEYISKSDLSQTASFKQRVKSES PQSVSMPPNSPSSPSTSTSRGRKRPRRSAAVSVRSYAVPDSDDEAIAEDRLIDDQEDK KAPRESHLQLWIKHLTQLLKVETRKCAEIKKRLESCTNPETRSRFNKNEFVKSLTNSL RNLRKQEAETRQKYPHDDLVDDYSDNEDDDDYATSRRPKKRKTATYA JR316_0007034 MIGKMRMQFWRDAIKGISEGRPPKHPIALALYETSQTSKISAYH LKRIVDARDAELQTSSHLTIDSLTSHAEATSSTVLYLLLSMLSLPSSTLSHAASHLGA AQTFSTLLRALPFHAKHGRMAIPAEITARHGVSQEDVFRHGGDAAGIEDAVYEFATVA HDQLNTARDMLMKGEGTDGRVPKEAMPVFLSGVAVSNYLSRLEKAGFNAFDAKLERRD GLLAWQIWTSFYKKQF JR316_0007035 MTQGITLFIDDQDPQIQYLCPSIHQRVSGSYYNNTWSSVQDESC AKGWFQYTFYGTGVHVATAIATADASYSVKIDDGEFIPQSGTGSYDSPTLRDGKHTVT YAIGTSTSPPAFDYLTVAAGKSTTLKDHTLAVDDADSSIFYSGSWSNAPHTPAGTDSS LSLYRDTVHWTSTVGDSLQLQFEGSSVSVFGIASNISSGGNITATYTIDGVTKAAALP RGTLDTVPMINLFHADVQPGIHTLIINITDIQAPAALGIDLITYNASFNSIASVPANA SPSFSTHSDKSLNARAKVGIAIGTIVGVVAVASVAYVLGRRFLRRRNPKFPLSDTR JR316_0007036 MLSLTKNASRQASRPLTRSLAQVVNLKQSNVAGPSRRRKKEPAP PPPKENSFVKVAVREDHGLYGFFRRQPNSDNLTGEERYEVFETPENGQLLSGRAWEAS ELRNKSFKDLHTLWYVCLREKNLLATQKEEVRRMGVSHVDLQVPMAKVRHCRKTMARI KLVLNERRLAYEGARAIAEKDHAHAVEVAKAIEADKLQYDEEDLKVVQYRSQPKL JR316_0007037 MAHLNKLAIRGIRSFDDKQIAIIEFFSPVTVIVGHNGSGKTTII ECLKYATTGDQPPNTRGGAFIHDPKMANEKEVKAQVKLRFHAANGTRMLAVRNLSVTV KKTAGLTMKTLESILAIADGNAERGGKRGVISTKCAEMDTEIPQLLGVSKAVLENVIF CHQEDSYWPLAEPAALKKKFDDIFEATRYTKALDSIKSLRKDRMAELKAEKERLVSLS REKAHADKLKERISELKSNIAAKEVEYEETKQEYDTLVESNKKFRDLYENFRETYMRV ESLQQNKALQMQYLEDLKLKYRAVPGTLEELETRANQFQQRIEQQKEKRKAELRNKEE LEEEVNSVQATQRDLFTQQGRLEAEAEEQRKRINLREKAIHEIAEAHRIKGFSQSPLE REQVLNFLSKLGDVQRVSQNDFEKLQSDLKLKEEEYHTKLRKLDNELASHKSQQERLR EQTIQNNDKVKKIERTLGNMEDLPTKMRVLQANLHDKTSRLQTAKSTMDSSNFEARMA ELADGRRRLEDERDKLNNELQGLTLQAESRAKLELKRGEINTKTVEIESIADYIVTKL NNVASLDVTANDIESQLNKLVSAAEDEQKRLEKIYKDAQSQLQMSDAAITNFKEELDG KINDAKILEANVKKRTFLGGAKNLDDAIDYASHLLQRLKVQKGQLLGSSKLYEQLLED AKTTNACTACRRKFKDRTELEVFKQELDTLMKAGQTESLDDVNESLEDWQAELNSLQG LRTRQIQAEEIRAKDIPDLEKKMAKIETVVPEQRAAIEKVGEELEMIKTKLKEIIACN QQAASMSRLRKEVDRAKQEVEQLEKELTRTGSTKTADDVKGELTRITDDLRKNERENK NISLEFDRQSRQCRDYENEIHQMQLDERDLSSKLQEKERLEEEVERLKRGNGTYATQI KEIDGKISEAQAPILALQEGHQRIQRDLNTKIGEAQRRFEELNRSMDKLVDMNKNAER YVKEKKARALEECSSSLEQCEIRLNEIHTQIEGSRELIASIDKEINESGATESNLRDN IQVQKLTRDIAKTQAEIDSYDMEEAAKARRNYEDKYEPTKRKEVKLSETVHQLTGELG TLKAQSKTLDNDLKEYKDVYKNYTDQLIRVKVSDMANNDLEKYAKALDNAIMKYHGLK MEEVNDTMKHLWNKTYQGTDIDGIKIRSDVEGGASKRSYNYRVVMTKDQVEMDMRGRC SAGQKMLASIIIRLALSDSFGQNCGILALDEPTNALDTENIDALAASLVDIINERKTH SNFQLIVITHDENFLRKLGQSDVMEYYWRVARDSRQKSVIERHRFR JR316_0007038 MHPQVSTRDPLRDLPPSDKALFVEFGVGPTVPSPFQCVHHAFES HARSQPDAIAVEDFEHTITYSELDRRANCLASRLQDIGVVLDSRICFLAERSIDMVVG ILGILKAGAAYVPLDGNIVSDSTLKHALRGSGTSVVLVLQKFAHRVEGRQTICLEEII CKESNSHCTKPKDLSTSKHGVYVIYTSGTTGVPKGVDVTHGNATNLLCLAPGNLGMRP GLRVSQLMNISFDMAAWEILGSMCNGSTLCLRGKTSKQWREVMKRVDIVVATPSMLSP HKPSDYPNIKTVAVAGEPCPKALADEWALQAQFYNSCGPTEITIVNTMQDHSSGEFLT IGKPTPNNTVYVLDDDMKPLPIGAVGIMWAGGAGITRGYVDLPEKTAERYMLDPFTRD GKMMFNTGDLGRWLPNGTLEHLGRIDNQVKIKGFRVELDGVATAMETCSGVHAAVAIL IEGQLWGFVTPSDVAPEDIKAAAAKIQPYYAVPTNILCMDSFPHTANGKTDRRELEKM ARLHMSPPEVAKELTVSARPDTTITPEVVKTPTKVDAITVPIASYQPNSKIEDPEAVL SPSFGSPVEGAASVSDLGSEVSSLSAAKPEYPWSGYQDDVIPSKTQGKLVRNLRHQIF TLYRRLFGVVFITNMAIFISVIFKGANAQQIATIVIANLFCAILMRQDYVINAFFNTF CAVPPSWPLAIRRVCARVYHIGGLHSGCATSGVVWLVLFTGQATKEMVQEQKISIPTI VITYCILTLLIGIVIFAYPTIRSKKHNTFEKMHRFLGWTATALVWCQFVLLTNDYKEE GQRLGMVMIKSPPFWLLVILTGSIILPWVRLRKVPVRSVVLSNHAVRLYFDYVTPIPG SFTRISDDPLFEWHGFATVPVPGEKGYSLVVSRAGDWTAKQIQNPPTHLWVRGIPTFG VLRIVPLFRRLVFVATGSGIGPCAPCILEKRVPIRLLWTSPNVRETFGDEFVDAIVGA SPDAMIYDTRAHGKPDMVKLTYRLVKEFNAEAVCVISNQKLTQKVVYGMMSRGIPAFG AIWDS JR316_0007039 MPSFRIPAFFRPRHSFNRLRSFHLSPDQVSGLATTQAPVGGTIT PELKQNSNGIVEKMRTTSIKSKRSIALAPRPSNLSIPLRGSTIVKLAVVTSLFFIMSI SMAFLGADQDEPFFKRTLNDVATNSPGVVLVGESVDVDIDEPSITIRWSIVACGEAFM LPGTAGAHGSGSCGLPASPIHFFVDSDIAPTATYDPTTIPFNKDTGLRRSIQNLVQFD SDHVLDVHEARLYPFDNYVLSSTIRAASFDNQTIPIQKIATIDVTSSFDILTIDVESF STTGNGAQQVSRDIDMHVSRPNGARFFTLLLFAISWLLTHVTIGHVMVARRLFGMRNI LPHLVSSGAILIAIPQLRNSMPDAPGLDDCIGFFPQMIIVSISTIILLLILVVREMDN MSHPPPRPAPVVFPPRPPPTVFPPIPRSPSVQTQFSRPPRSPTANSSSKEISQWEMHR MLKHLKGEFVFPPVKPSHRIQPSDKSSPSHRRIKTMSKIMEAGEVSHWSEDE JR316_0007040 MPTPPSQTPDVQYDADYLNHEHGADVFGDGANTPDATVKISTPS TAPLTRTVAIIKPHALKHRFDIERRIQEASFEIVKERQMEFDTETDPDTLYDLFGDDT DALAEGPVWVYVLERRRAVEVWNTLMGHPDVEIARRETPNSLRALYGISAQQNGLMGS PDAQTAEIQILSLFASSPPFPTSDLPAEEHEQYPSMRSDDSALLESIRRGLTIEDEGY APSSVTNPSTAGGTGPRLNANGKVPFKARAVPATHDKPDIVPRMTRSAALRAGQPVEK PTSTGPRAPVSKERQAETFANVPGHKRTSTIQVASTAAPTIAPRMTRAASLRLGQPLP PPVTRQRSTGDEQAKANTFEGVPGHKRRESIAVASIKAPTVAPRLNKSASLRAQKEQT PPTSFMFRAGSAAKTPTLSRATSTQTLSSPSKAPPAPRPASQASNNPATSRYTVPRAS SVASRPTAQPPKRAPSRSATAGSSSAVNGDGSTEEPAAAAAPAKAKPRPSSIAAPSIA PRTNKSAALRAAKKEAENAAALAAAAKKAAPRPSRVAPPPSSFKAIPT JR316_0007041 MSSLLPSVRSNVSKQVCLRLTVVRSYATETHTSSSSSTTTTTTT TDLPPLPHKANTTKPRLYPRPRPAVSHRHTPLPKLPASFGKNQLLPVSNSTRALLESI VAGFDAPIRYAFAYGSGVFEQDGYTVPSQLTSIAQGKTEIDPNAPMLDFIFAVTHPAH FHSINMHQHPNHYPLHARLLGSSYVSRVQEAGPGVWFNAYVPMNGVTIKYGVTTVDTL CSDLLNWQSLYVAGRMHKPLRIIKDDARVRLTQQVNLTSAVRAALLTLPEEFSETELF ERITGFSYSGDPRMALPIENRNKVGNIVRKQGPQFKELYHRLVVGLPGVHWPVDGTRI QQDLAPHARAAHLRKLPSNLLRRVKENYARKGETNAELEADESAYWVHLAGDQNLPKV ITDEVSNIVKGPATIQSIKGVISLGLGKTIRYGGAKMSKWWRG JR316_0007042 MDADELSALPPEGSWKRSTRIRTTSLNPRYAYTNPSPATTRAES PFRPPYTSPDITHSDVPTILAPTPRRHLLESSASQHWLNTPPLSPLTSARALSPQSTT STAFPFPFPPSASSSAHNTNNSSVRRRISASTHRRSFSALFEHDIKAGGASGPVSPGG RAVGSTTSTHTHTHKGHEPDERAMGRRWIRWMHKRGLKAWVVPAVLATSLLVKLAIGL GSYSGQGTPPMYGDYEAQRHWMELTVHLPMKQWYTYDLPYWGLDYPPLTAYVSWICGI VAHWINPAWVALDKSRGIESAESKVFMRMSVLVTDTLVYLPALLMFCRIWHGSRSKRT QELALLTLILQPALLLIDFGHFQYNAVMLGFTLLAMNFFATGQDLLGAFFFVLSLGFK QMALYYAPAIGSYLIAKCLYLGPTEGSRLFVRLAAVTAGTFIVLFLPWLPPFAPFTAI AQPIKRIFPFARGLFEDKVANFWCASNVVFKWKAWASASALVKLSTLLTAVGFLPGVV GLIRSGFKMQSLTKNKEPTPFLPLLPYALLTSSMSFFLFSFQVHEKTILLPLMPITLL LSGSPIDSAVYSWGALVNNVAVFSMWPLLKRDGLGVQYLAMLLLWNRLIGYNPIRIPP KSFIQLFSLAVYGAAIALHVLELTISPPLRYPDIYPVLNVLISTPVFFLTWLWSIKCG VEVGWAVGGLGKAGPARQAGDAGGGEGVGVSGVDRRNRVVSLAERPSVET JR316_0007043 MAACLRFTRRLQIHRAVPGEVEVSLKVEQYNLHGGLVLSLTDTL GSLAVASKGHYMTGVSTDIGTSFVRPAGRVGDVLHAKAVLTGIGKQLAYTRVDFTNPA GELVAYGYHTKYVGKSSSHEANVKFSEDGESVIEGVDKE JR316_0007044 MSSSAEPSPTASSEQSDQRNPTTSSNLYLVTFLATLFLLLFVSC AIVMRSYVLRRRFQREQDDQLAAGRFLAPRTQGSKRKRFGAKPRLFDTWLADGGVTWD HIKPISAQPVFVKRRYRDGRVPKDGVVISGAPQQPPTIVQPPAPRPTAIRRFFSRTRP TYPVSQETDDTEALVDAEAIATTTDIPNPAITYKVRVEMLQVSVLIAMPCQNRSIKKG KALDPITNDIETEDEDDDEDAPLPNLVFGVTRVNYRQPKSQKTTDTNNTSQQKPQMPI VPEEEL JR316_0007045 MATIQLPNELNLSPHLSAHKYFLVCTLTVAAWDSLVLSPRTWRL WKTPGWPTLKILFHFLRIWMPVEFIIVSVAFFDTKWPVSSCEKFYLFEPIATAVLLAA NSAVHVIRIHAIYDKNRMVLILMGVLFFIQVVVTAIACGFYRSVPLLEGQGCIAGPKH NWVGIYWVGPTLLYTVSLALALMRSINSLKQRPLGAWKLMLRDGLNLYGAIWIVNMAN VLFWFIITPDDEADPIKTIVTSMAAVLTTSMTLRIILSVRGTLDHGGSFALSASTGAT SSRTTHVISGRSGNNATHISTHTPHTYTLDDLRSKPEGDWPSADGDTKSSVHEDAVKG LARSDSHNAGVKITIDREIGYDENGERYRK JR316_0007046 MDFSNFNPAEQAHMTKVIEKRQMQDFLRMYSNLVEKCFNTCCND FSSKALSSKEETCIMNCTEKFIKHSERVGARFAEANEEAMSAQNR JR316_0007047 MALTFKDALSRLENSRVKSTRPLIPPQILQEDLPLTLSAAQTVL QGRLAVERVLRGDDDRLVVVVGPCSVHNVEAAIEYAKLLKEYADTAKDDLLIVMRVYF EKPRTTVGWKGLINDPELNGSFQINKGLRIARTLLLDIAKLGLPAGCEFLDTITPQYT ADLVSWGAIGARTTESQVHRELTSALSMPTGFKNSTDGSIGVAVDACRAARSGHVFLS VGKEGLSSIVETTGNPDVHVILRGGAQGPNYAAEYVRDAGQKLAKAGLPQKIMARQLE SEDTANIIMGVMLESNLVEGRQDIPNSGPAGLKYGLSVTDACISWETTVPVLDRLREG VRARREGVKNRAKNE JR316_0007048 MALPSEVVNQAFCVVSALDGGHITAPEDLFITNPVPDYSNRITL PSLCFLIQHSTNGHKFLFDLGIPPNLDSYPPAVQKMIANPSTSVDATHHCVDSLAKGN TRPEDIDYVCISHIHWDHTGDPSAFTKATFISNEAVRPFLAEGYPTKPDAAHFSDLYP MDRTRFLDFTECPTIGPFPRGHDFYGDGSLYIIDSPGHLPGHINVLARTSPDGAWIYL AGDSTHHWKIITGESSIKVGAPWDPTWCLHIDKNLAEKHIDHIRELLKISRVRVLIAH ELDWYADNKGGSVFWPGSIPSL JR316_0007049 MSLPQPAENQAYCNVSALEAGLIDLLDTMFITNAVSGMVTKAPS LSFLIRHSTRNENFVFDLGIRKDWENYPPAIVEWAKKTYPVDVKQDVVESLQKGGLQP TDIKYVCLSHLHWDHTGNTHPFTNSTFLIGTAAQSLLQESKYPDKPDGRYSHDLLPSD RTLFLDPGEWKPIGPFPRSFDFYGDGSLYIIDAAGHLPGHINVLARTSSDGGWIFLGG DSAHHWNLITLESDVAVGHPGHLHICAHADKELAEEHIRRINALWKLPRVRVIIAHDE PWYSKNKGGDAFWPGKIASL JR316_0007050 MAPNVSRIFSRRKPTKTASTDSGKGDADTTLSPKRSPSQSSSSS QSSTNSHLISSLYNEAGKFEKILLSSGCISPRDSPNGTSGFDDLVMRDLTKSERAYAN HDARILAAGNSWVSLADLDESLFSDIDSNSAEIASVTTASTTQSSSDGSERPKPAIHR ASTIDSQSLESMEPEDIVNILIDEFGVIAAPGEEEKLILETDGALLHDVAIVGVIHLT THRLTFHASLQATRPDLSSLQEPIKAGPAIMHRKGWRTKRRVWVELTHDMLCAYSSSN EDEKARPLCSLLLSFVKDVVPYDKSAPRVIRFNLDQHVTSVNEYAEFDTEESAQEWRR EIKGAIFSYRHLRRELFASPTPESSGVRFHCPLIQIDSLKWVHESIFASVVSMKVKLE TSEFRFDNENLPQSQVFELGPCETIPAWQRLGDYVAEAKEAHRERDSYPPVVVDFGPY NFFETDYGPIKSPGKLSARGETAVRVALGFSPESTVWIVRARINRSISCTGYFALSDT HLGFWCKNITQADLRYRLPLSSVRSVKPFSMNWISVEGITITIEGKPDLNFTFKTALI RDQAIKNITSSMSSLQIGQLNLSSVPSSPTSTKSSFSFNSYTPPSSLSGKLDPVSFFA PLSRSLAAAAEAAAHSTLPRMDRLNQIPKVLNFPREVLITEKYLHFVCLTIGSRGDVQ PYIALGVRLIKEGHTVTIVTHEEYRDWVVGFGIRHRTAGGDPGALMKLSVENKMFSPE FFKQSLMNFRPWLDQLLVDSWAACRDADVLLESPSAMAGVHIAEALNIPYFRTFTMPW TKTSEFPHAFLSPPVDSPTFNAASNVMWAATSGQINKWRRNTLGLASTDMGHLAQSKI TFIYNFSRAVVPKPLDWPDTTIVSGYWFLDNPDIGWTPPQELLDWMQKARADGKPIVY IGFGSITVPRPNKVTATIFKAVLKSGVRAIVSKGWSARMSKGDDKDPVVPTPPECFLL DKVPHDWLFPRIDAAVHHGGAGTTGASLRAGIPTIIKPWFGDQFFWAARVQVLGAGLK VSSLHSNALAAAMVKATTDRAMKAKAASVGEAIRKEDGVHTAIYTIYTYLHRASLNRA SLT JR316_0007051 MSYISSTREALTTALKFAKQAVELDSSTDSKPNDTIAAYSQAIM LLSEALTRIRSKVDGVKDVSAELELRRLQNVHDTYADRMATLSVIYDIPVAPISSSSS TTLASLLTSSTSSSHSSNIPRSLL JR316_0007052 MPTGTPYNSFIAPYRIRVDDFASISNTDPEATPLLHLLTHTHSD HINGLSAKSFGYNVICSHDAKEMLLNHQVQAERDLDSKDLRAEKKRTYSHLRIEPMAN PNARQYYNGSRDLLRPLPLNTPTQFELDANNSVTITLLDANHCPGSVMFLVEGDRGAV LHTGDFRAEPWFLESITRNPILQPYLSPTVEPQNDLSTESMSPVLSRSLEAIYLDTAC VMSKLKIPTKADATAGLIELMKLLPSSTYFYINSWTWGYEDILKAIAREFQSKIHVDA YKSKIYQRISDPFLHILTTEDEKSTRFHACERFSRCDFVSINDDDTFNTEPTSAKGKH VVYINPVNMEHDKWMEYCREIKNFVKSGQKIYNLLVPLSRHSSLPELQEFVKLFRPKR VVPNTLDPRLFGLDWACINAMFSTCISPPHSHVSDVFPTSQHLGILGKHKLSEVDQID GDVDLKNLIGANTHSIAKKWVDHQSIISKFKVIRDYVSNRENDMIDQLLGIARPRGRH PLEAPSSDPPQYLDKGKGRQIIISRYHDKDSDDDTEDSHDERGRTADFLFGAQAGINI HDKENSWLSSEASQEGLELIENVEHTNVILPIKGKQGPAQDGAWRLNCLTPESSPVQK LKKASGSSPTTPTPMKQRPPVRRAGPGSISNPSSRHILTPKVNQPVRIPNNANSGGSH SFPICLVSSSPEMSFKSRSNGLSHSSPTTNGPINGRISGTPPEPSSRQAVKRKITTSF KASIEYAERSTISSHSNANAKRRKLDPVLSTITSKREQKCAKPPATPSAKHHTRPLLQ SHSTIPFIPSENEQQQRERIRTSDNLAMLYPDRVDPSYPTKRTKQLARMERKRQTPAN ETAVTSNSLLSTPRRQFLLPVPTILDMDI JR316_0007053 MAETVIVLSTTELEGTFGVLFIGYILTMVAYGFTFFQTYTYYSR FPLDHRGLKAMVLGCDLITASGVLLIPKPLMGQRSLASGVYNIFFILGANLKQKSVFS TL JR316_0007054 MARLYALLLLTSCLSAVSSVSVTDIQGSSYLSPLNGQTVQNVTG VVTAKASNGFYILGEKSADIRKSNGLFVFSTSSTVLKSVAVGDFISLGGRVSEFRLAS SPNNLFITELESPSNITVLSSNNTVTPVILGRDRSPPTQQFNVLDSGADGFLSVPNNR SLVSTTNATLQPDKFGMDFWESLEGQLVTIPKPFATAFQNNFGEFWVRGDWKVTGKNS RGGLTINFGPGGIPDGNPETIIVGSPLDSTKNPQTAVGVGLTDITGVVHYQFGFFYVL PLTAPKIISTPDPTVPAASFASSKIDFCSVTFGDYNVENMAPTSKHIPDVASHIANLL RTPDIMFLQEIQDNSGPTNDGTVSANVTLSNLVNAIAQISNVTYSFTTIDPVDGQDGG QPGGNIRTAFLYRPEKLKLVGNAPAGGALDATQVSGHFLNPQLSLNPGRIDPLNPVWN ATRKPLVAHWTTKLGANLFTINLHLSSKGGSSSTQGDARPPVNSPIDARTGQVTSVAT FVKAILAKNPLANIVVAGDFNEYIQTRSVYQPLTKLLTDIDEVAKIPVEERYSYVFDQ NSQQLDHALVSDAIKLRGAKFEHIHVNNWSPSLSVRISDHDPSVGRIRIC JR316_0007055 MFQNLVTLLTLACTLSSTLSAPVYKREVPQEHSHEQFLTTVRTN LNLNNPNQIQDPVFALLGNAAAAAGAGKITDIDCLQQATADQAFTNAKARGDVQGMVA ALIYRALERNTGRIGQTSAKCNSLKAVNPEIAAIQQHQDPASTNAKAVNKAITLELAK QIAKVGGNPQDALKSGTFAPGRLDDATAKGNTCDDLNDPVGCIFTQNRLVEDATAAEI NAAVAGTGTGTVDNSGECPVVTNIVTVTVNAPGATPTAAAPAGNAPATGNGNLQAFTG KLGNVSAPAVTAGGRGFIVAGSDSFLNKAAAIGRSCDIQHNQCANVANSAAGRASGLT VGQCDAQTNQCRAANGV JR316_0007056 MTSVARLACRSRLVLPVSQRCGIHTTAVARSEATSTVGAVQVQK KPVGGFRGGIVGFLFGFSLASSFAAYHLLDEYQKASAALQASVEELKLSTEKVTAHVR RIESVEKDLKALADASATKEDISRVRTEVKKLYDGLHVEFLDLRAHVWGIQQDLQKLS QKESTAVRI JR316_0007057 MPPKRRNGEDADAITGREKKKLKINAARTIAVQPSSQRESSAAI GPSNSATNHSNSLTGLPTALDVEKFVEARAFEIDAMHTAMKTASASSTHRVWQTLPRH LRRRAASHDVRRVPLKLRDRARAEMDPVRKKALGRSLPKLGKGKGMSRTEAFLRRQRD KAWLETHIWHAKRMHMENMWGYRLAVTPTEKSYRPSHRASVHGSILHDASYYSTYEIK GPEEALIALLDLCCDPQGPGPSSKRYLNGSRILETSMYAVGLYPYGLIAPVTIIWRPY LQASSAINTTGSSGNEGVKDVRAADIRSVWLRFHPSVQTAVFNTLKDATSRSLTLYKA RHSEEALVEVIDIKRQLNIFEIMGPKSSQVIKGALTPIVSEKSREFLQFWKSLAELQS SGSVPRGMIVGFKVNDPRLNFPPKNAKPATIPSKGVPSIEITFPSANLANSEIWEESM RNSLSKPRYKKKDIDTRRANSDIPGSALKALRQDDCIPILLIQRSVETPNSSGSKGLH GWTLILPAGWSMAFFSSLIYTSTRVAGQQERQTQAYEAGTTYFPRDYPTTEPYQAYAQ DREFKEKETWDRKPPAKRVNFEKLGTENPWMSDWAKVLGIPGRDDKDEDFVATQREPE PSQDNEAHIAIKPWLLRGSEVPSILSKLSSVFNVGAALLSEINRLRLKRSHQVLAEDI TGAQLLHGALVNVEITMCSRGSPQDLAEIFIIPDGLSIQWERFLQTRNRKSVDDEEHP DELELASHIPPKSSIVGHVTTGHYSLARGEGFAIGAIALARLMELEQQARR JR316_0007058 MDIPTAKRHYSSEEKRQLIANLDIEVAHRTRQFEAWLTDRLENF TIHQEGQVSRIPKQVRSMTMREFGQKYEGNIQLALRGFQKERLAAAGADATLGEIDKS MRKRKWVASQETEGEASGSGSSQPKDSDSQRFLKNARTHFSSPKKMAGSSTGPGTAQR SRLLSNSKTTASNLSRTMGRPPSMSPQKPRGPFNNSTTIHNPNRPSRPTSPLKQTSSN PDIGGVKSRVPSSSSFNPTLPPKAPAFPSHNRHAASHQSTTMRLPRKDENMLSINGSP LANPYEFGLGWFKGVEMAQMDEEDELLDADAAQKTGVKGGGGGQALKRSKSSIIIRRD PSVAFPSGLHSRTDSQASFYTASSSQTNSSRPNSLSREHSTQLEPQSHPVLGASFRFP PTQPTNNEMTPRPATKITRTFSALVAIPTKDGHMLEFDPLQTSPGSLDALEGISDSAK KQAKAEMGRLIQATVDKWKIR JR316_0007059 MSQTKTRDVYMVIGGNGFLGRHIVQQLLDRGDIVSVFDIVERYN DVAFYAGDISNQESVASALRSSGTTCIIHTASPHATLNNPALFHKVNVEGTKAVIAAA VETKVRKLVFTSSAGVVFNGEDIMDADERLPFPDVPMDAYNDTKAQAERAVLEANGKG GLLTVALRPAGIFGPGDRQVMSGLYQVYERGQTHFQIGDNTNLFDWTYVGNVAYAHLL AADKLETPPPAPPVSQLEKPPAIADEVPPLNDAEIAILDYPILPVSLSTGQHRVPTCE ARPLGPYVTPPPNAAKIAAAFEDPNFAATPRPVIRTRFDQLSDHALKRAKVNYPDIHP LQVAGQVFFITNGEPTYFWDFPRLIWNELDKYFPEKRKPRGLIKLPKSVGIAAATGSE WYGWLTGKDVTFTKFKVTFSCAMRWHNIEKARRILGYEPQVGVQEGAKRMVEWWYADY LANQKKSS JR316_0007060 MMSDLFPASTPSYVLAFQFSSWYPTFAKHSIKSTIVRPLSADFQ EYLHADGVFVPEGSDNVPAESTLSDEEDAVEDDSEDEDAQPRRHYTFPDLDQRIRECI KEYEAVFPKLNFSSPKDASWLLPSSSPLKCMSPADVYLLLKSSDFINHDLSTESVFEG TKYDPSSSISPTYELELVLRKWYPVDRGRELRCFVRGDKLIGISQRDVNFYEFWNDTD TQAKVASAVSEFWETNIRPHWSAQPDYVFDFLLTRDLTRGHIIDFNPYMPKTDPLLFT YEELTDIFALSSSTKKDIPVLRVIDSASHPAAASNAPANQHNMLPVEAINMSSGRDIE EFADLWKQSVKESSS JR316_0007061 MARKDDDEKVTVVDQGVYPVPDIPIKDLLDAIPAHCFKRSAFRS GLYIAWDLFVIVCLYKATVYANTFVDPAVISLPHPYLYTALSVSLWALYGFWAGLFAT GLWVIGHECGHQAFSESKTVNNTVGWFLHSALGVPYHSWRVSHAKHHASTGHMTQDQV FVPTTRSGLGLPPLDPAREDLLGSRVTEEVKKEMWEALGDSPIGAILTPASYLLGGWW TYILMNASGQPKYPKGTSHFNPNSPMFMPHHYNQVIMSTIGILIWLGCIAASIYYKGF LEVFRTYLVPYLWVNHWLVLITFLQHTDPLLPHYRAPEFTFARGALATLDRNLLGDLG SVMAWIGSHATNGISETHVLHHVCSKIPHYNAWEASTALKKRLASAGIPTDGAPGGWA EVHRVFKECKFVEDEGDVVFFKNAYGLAQMKPAMPESTASDSGVEVDKEN JR316_0007062 MPVVESNASNSEDYSSEGSETGSEGSIDAPPPPIPKIVANWWLN ENLGSGYSGSIFKATHLHTHQVVALKVQYVNHECPTNRYERHLYPLLQGGVGMPKLYA AGVQGAWDYLAIDLLGPSLDSLYRKSGRDTMDLRTVCSIAIQLIKRLQFMHHRGVLHR DIQLGNCVIGLPPNDKIIYMIDFGFSKRFIDPYTGRHIPDMPSRRDDLEAAALMFIHL LTPRGLSWTRNGVPKTTDAHNRLKAEKRKATPEHLCRGLPSEFEEFLSYTRRLAFKET PDYDLWANKFRELAIDEGFKNPEDFIWPPPPMPAASAKTINTPLRMRTPAIPRDEMAD ILNNLTNLNLGAQPILGDRTNIQEALRRAKEDAQFDSTTELEKQRTQSKDTIVISSDS ESGPAPIRYQAPKALRLNQLARRGLNATDNAALSKLVKEFVDVLQMNSSRTLTREAFT FLDVLYKQLDDPSVFVTPQRHQSFNEQVPEKEPAHVKLGVVARLRREVMLVQSNKALA ALVADFAKVTNKSTGRTVTKDGFAFLEGLSERLKALQ JR316_0007063 MSSNSDNSLKAEVDRLRLELQALQISTGVKGITIGKYLLTRLSQ LGVRSMFGLPGDFNLGFLDVVDDFPDIDWVGNCNELNAAYAADGYARIKSSSLGVILT TFGVGELSAVNGIAGAFSEMVPVLHIVGVPSTIQQKTKPLLHHTLGDGRFDAYRQAAS HFAIKNAAILDKADAAKQIDDLLTACITKARPVYLNLPTDMVNVEISSERLKIPLSHR PPSNPEQEEEFVIELIEERVKEAEGDVVVIVDACVIRHDCREEVKKFLKDTGFPVYAT PMGKTAVDENYERYGGIYVGSLTAPLIKEKVESAKLIISIGSLMSDFNTGNFSYNIPK RHHIELHSDHTLVQYGRFDGIGMKNLLPKLTEKLKSFYPVSSQIKVPDYIKQLPQEET SIITHKWFWPRLGFFFKPKDVIVADTGTSNFGILEVPLPANAVMVSQILWGSIGWSVG SCLGAALAAKEVGLNRTILFVGDGSIQLTVQEISVMIRKGLKPIIFILNNKGYTIERM IHGKHRKYNDIADWNWTSLLHTLNDGNKHETASYTVHNKRELNDLLDDESFASASKIQ VVEVIMDALDAPLALVRQAEMTGKGNTYGDGS JR316_0007064 MSELLPAPNIQLKKAHHSPAYGPADLPHLLAFVNNPLVQNTLIS DHIVPRNHRFATKIEEIANEALLYVIIEAIDKPTGPRVIGAASITVANVKNRDVNFGI GLVPDVWGQGYVSEVTEFLVDYAFKNLAIHRISLGVLDSNLGAIKLYKRIGCVEEGRK RQSNFFDGKWQDSIHMGILESEWFAKKREQSTRAVQA JR316_0007065 MARLTPSHAFQWGKDKEKKNTKKEERANRRMAMGEFKRRCLPNE RHIKQVAECFGLTKELSIQLSIFVFRRKDETSDTNFEMRVEIQRSYSLVKAVDEVM JR316_0007066 MSFTAPQPPPTWEHTTKDIHDLTKDAIDKYKATMDRIGALDPKE CNFTSTALAEADEVFDKVTEPLAFYQNVSASKELRDASNEAESTIRDFGVETSMRIDV FNAKVAAEKNVKESGQWDKLSPEEQRLVEKLVLDGTRAGLALPKEKRDELTNLKKELS QVCLEFHKNFNEENGTIAFTEEELKGVPKDVVSGYIRRTEGDVELYDVTFKTPDIFPI FKFAENPETRKKAQEGHEARLASNVPLLDKALNLRRQIATLLGYKTWADYITEVKMIK TGKGVEDFLNDLEEKLLPVGLKDRDTLLAMKKKEHEAKGLPFDGKFYIWDYRYYDRKY IEETLDLDDSLVKEYFPVSVVVPAILEIYQNLLGVRFEELKDASIWHPEVQAYAVWEK DAKDESGFLGYCYLDLFPRPGKYSHAAVWPIFSGYELPDGKRSYPLTAMVANLAKPTP DKPALMRHDDVVTFFHEMGHVFHGLLSRTKYARFHGTSVARDFVEAPSQMLENWCWEP KVLQKMSSHYQTKEPLSSELIEKLVKSRYVNVGLFYLRQLFFAKFDLKVHTDQTADDY TRLWCNLREKISLVSHDKELPGQGTFGHITGGYDAGYYGYTYSLVFAADMYSTVFKAD PLDPTRGKLYRDKILRPGGSREELDTLTDFLGRPPNSEAFLEELFGTVPASKTAANL JR316_0007067 MSADNQPTSQRRGWSSFIPKAAGSSSRPSTPKTSPPTENRASGL LESPISSTPDPDSFVIVPSSSTIQHAAGDAAPPSRPSTPKRWSSKSILTYSPKVSPQT LDNQATQLIAHALERTNSQQQNPSSAKSPDSTKNGGSFGKSFSSMMGGLSSSLSLSRT STRESTIVEDKDKDRGRSMLKVKRIKSASQAPGDDGKQSRSVSRARSQSPFTFRRFRN REPSPTPEPVRMSQSDADLSDTSSSVLPRTTAYTDDESGDEFTTDAETDYASSSDQED TFDPITELNTERNAIIPPVVDPSTAAHEIEDPDPVGEGVNVVVAPEPYFPSSLNALGT NSRGKRNPRRRKSVKTHEPLPYNTSRPVFQRDRCTITLTQGDPEGKLGDRRKRRYVVA SDLSEESRYAVEWGIGTVLRDGDEMTIVTVVENESKVDPAIPNAADRAVKLRSQQERQ GLAYILVRQVTGLLQRTKLNVTISCQAWHAKNSRHMLLDIVDHIEPIMLIVGSRGLGQ LNGILLGSTSHYLIQKCSVPVMVARRRLKRPPRKSAHLSTQRTHISLAEAGIDRVAAK VDQDVQIMRDEIQKDDNRRDGGPGSRNDRRFGPVNEGEPVEEDENEDENDNENDLDDE SAGVKVAG JR316_0007068 MTTRAAASWLVSPPRCIHASSIRSGEPSLTRSASYLHSLVESDN ALKSSDASSPALTSKSSKLRPRHKLASSVSSDPGPSTFPNPSTKNLKRKPHVPAKPLS KPNLVVETLRHKLNQMVARDPPSTEATSKPPEQTPKFAANHESPSSSGEDYSPMSKFR YSTDSQVQKSQLITSEIDRPPPPHAPPTSFLSEGKSVEETGSVLKDVAPISEHNPIAT LSHGLDRVLFNPGVHWLQDPRSHVYNFTPWLEKIPKVNDFAFERLTGFISSSRDKDLH IMATREQKKFAGSTSSLSGMLSHIYFLLTEYKEIDATILSQYFKHEPTTFTPGQRMPA MVKLNYEDGIYAIDSMSTEWDDPDRNILTWMGTLLENFVTKSPEEFNAFMRVESSPVE NEAVPPPKKEAYRYAKSDKFVMRSQLDCVDSRLPGTGVFDIKTRACVSIRMDILNYEE NAGYMIKKQHGVLESFEKEYYDLIRSAFLKYSFQVRIGNMDGVMVAYHNTERIFGFQY ISLDEMDERLLGSVPGVGNKLFNHCIRLLEAILEEAVKCYPGQSLQCVVETPQPGKLL GIYIQPAEWSGEGEKPIKKLHVTVEHKLNGVPARPNAALGAIEAKWDINYKIERVDLS QELLWSDYNSMLKRKKRTLSLPSSVSLEDAEKYWENLSFNKANETVSKAFNADSFTLA QESVEKYRRLARAGRKEMERKEQLLAGLPKIVYGQGVYIPQISPFFPEPSRVKSEQVA VERQVSSTEQSFVPQESSTGNEKGESVETQPPVEQNTDLPVESSKDMSVARPAGSDIQ NK JR316_0007069 MPGFFASIFQWISGLFFSKQAEIAVVGLQASGKTSFVNVITSGQ WSEDVVPTVAFNFRKIRKGNVTMKIWDVAGQPKFRSMWERYCNGVDAIVFVVDSVDVR PIHYSIRFIVI JR316_0007070 MDYDDYSLDERPSWGVVSPREGGGDLGVVRDTLRKEQVLNAFPN GQILSCNRFCSVAMLAKVQTVQKEVDKLVSGNETLQMYIDNLTVQMAKRR JR316_0007071 MSLANAEVLLAFKAGRAFRREGTNFVDPSSTKGAIYITNGEDGL QHFIWKNRVTGDIEEDLILFPFDASFVKVSQSSGRVYVLKFSSSNQRHFFWMQDASFA RDTEFANNVNGLLQDPEYELRWGTSNAPQASTSSAPAQPAASSSTSATSSNTNFQATP EQLAAITQMLSSMSGRSGEATQAPELSLTDILTPANLGPLFTGHPELIPALFPHLPPD LPMPPSAEVLQRIIQASVANFDQALRTGLLGNLVTTLGLPEEAGTGVGPFLRAIQEQA DREASDSMDTD JR316_0007072 MPVATSSRRKPTSRRLNSEDIEDVRNTQASRKTDDVSDAEDRPR TGKKVSVKREKDLRRRSNGKQRADPEAEDENDEDEDDRIDVENFPDQPLRRDDLQKLQ GLSKEWITMRDRVSKRSELYEEVAAAMVGAGEKDVASSKELQKLDNGVKEFMDVVAEM NCYAQSLDALYQKAMSGTEIRNALSQYKAGVEERKDEYASKTTRQKYLNKVTPTMYSD FHLAIWNANNPDNPMPPLTEMIPKEDGDDSGSDDDVEMGGVTQNYNCPITLTLLENPC TSRICGHSFSASSIKDHFRSGPSTKKCPAAGCNQTFKLDDCQPNKKLAKAVETYKRLK KVNERVDDAEEVVD JR316_0007073 MFAGLRAFARTTERWAPSLARITLQSTLAPSLLNFRSFHATSTT LRTINQSMRAKRVPKKQEKSKSPLLEVSPQRKGVVTRLIIATPKKPNSAKRKVARIKL TTGKSCMAYIQGEGHNLQEHSVVLVRGGRTKDLPGVGYKLVRGALDFAGVVNRATSRS RYGVKKPKRK JR316_0007074 MASTSAQGEQSISSLPGPSVGVGPVGSTPNDFHRRIFIGPMPEK VIAHTEGQGKKAKLTVGSVLSFSFDNQNEHTKADKTEEVTQILKENAFRFFVHHGGNP DEWDDEEEQNLIEHMSKLWKESDWGQIWSRRHHRRKHDPQGANSRWFGKSFEVGSLLG MNVMHDADHIVNKSLKTGSERVSSKRSHSHVDPDGHSTSAARSTTSGMETFSTPRSSF MALPESDGVQPKESGSPVLNEATEAGSSTSSRTGLLLKTRAETTNSGTPQRTIEIIPQ SEVQQSSLVDPKGKARMVHYNEDTDGLTPTPTPASGPVPPETVLQRTKDTVDPNTSLA ATLAREPTSGSSEDLIWGDVVLRDRMLVRVSYTKDEGITTFDDAINRTTRNLHYEDWG EFLVAWRRDSIEIYRDHATPGKEWLTGHKHLSYVISLKSSRTRLSLYSFADMTFCITC APTTTRLNTNTSRWIFTREKEGTNIFVFKLKSRSRAYDWTWQLWRHMGGQVPQTIDIH NPRLNTKVTIDVPESIGLDSAALYTMFKRENVIALCMSLLRTVPDWQYLVAGHIKKGK SLELAWRQNANLDWVWLENDVTGMPRGWSVLCGLPFAKASRPPVLEIRLADHAPTHIH LSNGRRIDEPPAIEGYLDRIRPNTQTKQQTYISVHHGNLFILNTNSAFPPMPPGLTPI SDVYPDSHTLRHAEIRRGTNQIINAAGVCDLRTIIAVKRASHPTSAHMHTEKEQSYDE SWFKAWELPESRTTEDEEDEGGDGGLSKISDRTQLKVRRSFELLLNTGHIIRFEAYSC SVSIEWVERLRALVFYWKNRHRLDAKQEIELAHARRPRLTPQTRVCQDDHDIPPEAPE GPSSPYAAIDNLFNWCVLEGCRPIIKAGRLYMKKGLHGQYKLIQLFVVAGHLVRFRIG SQSSMYPALPKKINLLDAYVCSGYFAAQALPAGQYMPNAASAPRRYQDGLETDDREED MLFMIWYRSHTQMANADQDPTTTPQNTKSVASLSAKNKMLVFKTRSLHERDSWCWALN SEIEKIVRAQKEREDKLRATGNLVKLAC JR316_0007075 MIRTTLIKSTASPALRLGLSSSPLRSRSVLALTLGSHTHVSRQL STSSMRPNESILTTKQSHNESTEGHNLKHEVREVPLKEGTGSRLTQHDAVSTVPVMVR GDWVLFHPVYSPEELKAVEVLHRNPKTLGDKFAAGLVKLSRRIFDFVSGYKHNTNPPA PGMTLEELRKAGYMLSEKQWLERILFLESIAGVPGMVAATLRHLTSLRLMRRDSGWIH TCLEEAENERMHLMTFMTLRQPSIFFRLMILGAQGVFYNLFFLSYLISPKICHRFVGY LEEEAVVTYTRCIADLEAGKIPEWTNLPAPEISIDYWRLHPDAKLLDVLYAVRSDETT HRFVNHSLANLNPATDVNPFALREPDMHVKGAKIEQVILIWP JR316_0007076 MQTPYDWYAPPHTYPSTANDFGGRTYPSPAPSIDPSPGPLPHLT LQEPFAHHNRMSVAYARRQLEVDQAAARFPEQRDMQLPYHTHSPSTSMASPYSPYPSR NIDPLLDEARIPSPALSSGSGSGSGSGSGSVSGSARSPLSAGASEDASYPRAQSTAMP TRRIQKHTKHRLLAADRKAICLYHLNAKHARQEDIANRFGCERSTVSKILKHKDKWLN ISTAMEASNSAKSRGSKFPIIELKLSEHYLYKWYNNNTVITDQLLRTTALQIVKDENL GLTNFKASSGWLENFKHRYKIRRGEWLEGPIKNKLKYGTEAPTQQPYPTPDNPHAPVP LSDQVEVYNSLRPSQSSNAIDTEPPIDPPSPGPSLAELMKRNTTGENGEELLSVSDII VQNAPPDYGKRLYPLFLPAEDARERWEQLRAEPRQPTISLQHIMDSADKLASFFDNEG RGIIKPAEKRVLEHIRSALMHLSMGHDWER JR316_0007077 MASHSNVRYHRAAAAAAQRRAPNPQLGFQPTAQFTDPANAGPTG IFGGLPDIFPPRPGPQNSISDDLPLTPSATSSSSSSSASSTSSSSSSSATSSSSSTTL SSSSTISSTSTTPTATRLSLTTAPVSTAVVTVSIPRSSSATLSAPSASNSADNADTSS GMSTGAVVGGIGAGVVAIAVIGFAVAYFIRRSRKRDSEGAFDTQNFRRSAVLLDDPPT HEDTVARGYNNPPPMVERYASPAPTFGTQYGHPGPAVYGGDEHYDQYGAGGYAAGNGA GYGSAATYQSFQPGQIMNVDGGIPPAPANPMYATPAYTQNPFSPAISVPDEYRDQSNY NYHSNGHQNYEYSQHQSDPSFPAPVSIPQPVLTRQPSASAPQYPNPNTGEIASNDPHR PSPLQLKRESAPANDYVDLNRSSVSPYQAAQYVEISRRLNADVPAGLATADVERELPM PPPPVAGSGNNSPGPFADPGTPHTPGGAQYAIDRRYSGDADIDARAEPQARDFPAPPS PVHTPGSASRYRIDSMPPTLPEIHVESRVSVSSVGVDGAALHSQGLAQGGLTTGTRSQ FPTTPSPLASSFGFPSPAPNATEFTDPKHPAIPPAAASPSTATPPSAQPAAPKHKKQA SMQSVYDADDAYGGF JR316_0007078 MQHDIHYPNQQQGLVLPTPSMQNQIHELAKTLPPPRKQNTACDA CRARKVKCNRLPGQDKCQHCLSKNYACTHFVQQATSEKKRSSATSRRPRNLSSAGANS STHTPPKYASPGPDAPSSPSTMTQQNQPLMVRYGFFPPLTLSTPTHDVLKFVFAPPDQ PGSSMSSSPSQGGSKYDIWGDMAFKLEDAKFRSEFALDLVEVFFQIVHTRLPLLNPEQ FRTRLQLQGSAVSSNTPPLHPALVATVLAWGTKFSEHPLLVADRRRPGGQSLLAKTLI DRARDLAEALKVHRIPSSDHVVISLLLEPLQNQNPEDPLGFHGFWLTSATRHLLDLGI NHKSVMANIQDPESRGTMIFAWWMTCISDAYASAYYRRKPVLDDDDYDIDFYTVDPVN MEVSDGQAPMPSPREQLEGYYRAAHSLARTARQMSRQLWRPATDSDGISFENLCTFAK ALTEWREEYLNLVGVPSNFEGEWDFVSAVSSCASDATYHVMWIILFNALDDFGIKELN AGGNLPHNHAAIDDVKRKVADEALHGALRIAGLAGVLTSNGYLRLDPAVMHISCILAG TLLARLGRPEVANCIAGLKQYSYAYEEAGDQANEMNRLFNRVRMGEQELHHMASVAPR VGGSPPSSSSPHSHHGHGMMVDDTTHLRTNGSSSHVFSQPAGNFSKPSIYGQ JR316_0007079 MVKVQIVEEKESNSPYASSSSSRSSSSVSLSSVESDVSVDESFF DRVAALKDIVPPTTRHSIATRISKTASFVKKSSKVIGNIVWVITTSALLVGLPLALVV EDEAKAVAQEKEMLEQQQGAQQMMAPSLYPSQPGAESGQPKGLVPPGF JR316_0007080 MLRLQRTVVIGKRAYHDLVTLPGNKPIVSSGPPGYSGVSGHRVT VFGCTGFLGRYVVSKLGKTGSQVIVPYREEDEARFLKPMGDLGQIVRMEWDIRDEKSI AECLRHSDTVYNLVGREYETKNFDFRSVNAVGPERIAKLAAECGVSQFIHVSHLDASK DSKSKFYQAKAEGEELVKAAFPSATIVRPGPMFGYEDKLLTNMAVWPIWWKLNHGQTK IRPVHVFDVAQALVNLLTHPQYSKTLNLPGPSTLSYEYLLDLVTSVTLQPPSRAPVVP KALANLLAKLGQNVWWPTLSPDEVTRRYIDDADTPGDWEAVGVEPSEIEAHAITYLRR YRSA JR316_0007081 MVQGKTKGLTAKAANSRQAAKAAANTKKGKRSIAPKKPLLVKQA AMHKELTAKINKSIEQQMVSAASSGPLTIMKNTAPQTSSGSSKTKSKTGK JR316_0007082 MPRRRVPKGRDGGSKPKAFKKSDSKVKKWNTRDDIPMDEEDQYA SLHKVHSNKDKILLEGDGDDHFDSDEDDDEVFALKGIESDSDDDVDNLEDEAEFAAHE EPVVEKKKASKTKKKKKKALEDSDKSEEEEEESWGKGRGAYYASNAYELESDDEEGNE LEEQEAKRLQKKTREELKDDDFGLDDKPEVEKRNETEDILEPAPSILPSIGTDKKSLL RHLEKTSPEALALARDWDETARNLQKTRDKITKLEAEDPDTLSLGMIHLHYQALLSYS TTLAFYLHLRSSAKYSQRPALLQSHPILQRLLTLKQSLQTLEDLDFAASDSEEDEDED EDYSGMDMDQILADGEKIWRMDDGEEDLDPDELADLIKDAEAVQISEQKVNKPPKKKR KTVEKDKDTEPKIVTPIFDLVEPQFTTSKTSSTRRQSAADAVDAYGEDLSLHSVDAAD KTARKKSLRFHTNKIESASARRQGARNAASGGDDDIPYRERKKEKDARLVREAAIRAN NQVGAALDDEDSEPQVGEKRSRDDDDGNDSPDDYYELVKKRTKEEKSKKKAVYEEAHG LARVPLDDTEGAGGPRSLTRAILTNRGLTPHRPKSVRNPRVKKREKYEKAKKKLASQK AVYKGGLAESGGKYDGEKSGISKVVKSVRLG JR316_0007083 MNVNAKGKEKAIEEEEEVSEESDSDISSSSSVTSDSDSDSSEDE EPITQEYLNLLFEKARKNAEDAEKRSQAISDETGKEEEVITLDDEMQIPLPKLNPGKL PAPYIQLGETRFDGPSSIRDPEVERTEKATASMSVPAPPLPPAELSKSGKPLTKKERK QIKNKTAGPDWFDLPAPSEADLPRLYREVEALRLRNQLDPKRFYKKDEGEGKGIKGLP KYFAIGTILPSKTPFGTPSGDNLTRANRKRTLVDELVDDAEAKRYAKKKFEDLQSVRG ARGKNTLHAKQAARRPKW JR316_0007084 MLRTAIRVRIGRPASSTRFNHSLGSQQQSSSPQPGGGADAKPKT VSFGFKTVPEDLKETLVKNVFDSVASKYDLMNDATSFGVHRLWKDSFVSSLRPGRKGP LKCIDVAGGTGDIALRILDYAKDNYYDRETTVDVVDINAQMLKEGYRRFKQTMYHNTP QISFHESNAQDLGAEKFKDNTYDLYTIAFGIRNCTDIPAVLREAYRVLKPGGTFACLE FSKVNNPLLSTLYDQYSFTVIPLLGTILAGDRDSYQYLVESIRKFPPQQEFAQMIRDA GFSTGKDADGGAWTDQWGGIACVHTGVKL JR316_0007085 MSTPNAPFPMPPPSADLATTWAYLEEGVDHIMTKLQTGVSYSKY MSLYTVAYNYCTSSKMHGTASEAIGMGGRSGANLMGSDLYNNLIRYFVTHLKGLREET ESLQDEALLRYYAAEWSRYTTGANYINRLFTYLNRHWVKRERDEGRKGVYPVYTLALV QWKNNLFIPIQQKKTKLASALLRLIEDQRNGNVIDQGLVKKVVDSFVSLGLDDTDTNK ACLDIYKEHFELPFIDTTEKYYKQESESFLAANTISDYLKKAEERLREEEDRVEFYLH TQTRKPLISRCEHVLIREHSELMWESFQSLLDFDKDEDLQRMYALLSRIPEGLEPLRK KFEEHVKKAGLAAVSKLVGESESNTDALDPKAYVDALLEVHQKNSETVTRSFRGEAGF VASLDKACREFVNRNAATGQSNSKSPELLAKHADLLLRKNNKLAEEDDLEVALNRVMV LFKYIEDKDVFQTFYTTKLSKRLIHGVSASDESEASMISKLKEACGFEYTNKLQRMFT DMSLSKDLTDSFKSRMEQNHDDMDISFSIMVLGTNFWPLNPPSHEFVIPAEILPTYDR FQKYYQMKHSGRKLTWLWNYSKNELRTNYLNQKYILMTSSFQMAVLLQYNNNDTLSLG ELVAATSITKELLSQVLALLVKAKILINEETDQYDLNPNFKSKKIRVNLNQPIKAETK AESTDVLKTVDEDRKYVIQATIVRIMKARKTMKNQPLIQEVISQITQRFAPKIPDIKK AIDTLLEKEYIERVEGTRDTFAYVA JR316_0007086 MATYYYECAVQGLPRQYSAITESTAQGQTTPCIMGLAALVSLLA INVPVITGPPSNKGRRTRLPVAGQDVIQLNRLQPTTAAGHDVIQHNRLQPMTDAVNHE TLANIAEAVDRNASKIYSDKDSGKDIQFRAPNNSVESLFDD JR316_0007087 MVDVDIYNKGCDHDETGQHYNETEIHRVDTTTTQLLTTIRTVWS DILKHFEIGDYVGVDAGVNAGRSGWVVDIKGDEIQLIDKQGTTKDQQINTADSMLEAK PTRYDPSNPFKKIVLNRDDVLEYSTFEPLSLSKTYDSNAVHQSRNFANPSIIITTGTE SADSGRHTPLPDTIEDTSPAWDPSDHSEDLTSISIGTVVVNSSDGTNVASLTTPCNLL LKRELIGVPLTVEEATVDGHVSPLFVIVQEDVDGQLCMMRFTPNSKRTLRIESTHIKP KHPTIKHDFGLLAIIEGEHAGKCVRRVHSRKDTNGVVLVVKQVLPTDRGADQIVDGEL LTPTENCCVAYETQKRKNANRNQMRHEHDIYISTHLP JR316_0007088 MFHRTFTAIAITVLLSFTAPVLATNHMSGLAVSNSPGGTTTYGC RSQAQVCKCILLNSMPVSNQVIQWNQLANDAKSQGFKIIRIIGFDCNALDLASSAAAS AGLQIMAGIYAQSGTIAASMTQINNDVQTFRAAYTKYGAGRYVGLTVGNEVQDSVGNI MAKVYDVRGYLGSVGVTTPVSTVHTWVDIRNNPALCGADFVGANAHAFYDGGVNSGQA GSFLYNTVKPALQAACPGKKIYITETGWPSRGSNNGNAAPSVPDEHNAISSINCAARD TSMTIFAFEYDDQLWKTGGAVEQSFGIFGKILPGDALNAC JR316_0007089 MATYYYECAVQGLPRQYSAITESTAQGQTTPCIMGLAALVSLLA INVPVITGPPSNKGRRTRLPVAGQDVIQLNRLQPTTAAGHDVIQHNRLQPMTDAVNHE TLANIAEAVDRNASKIYSDKDSGKDIQFRAPNNSVESLFDD JR316_0007090 MHNRNQNVLHKRASRERQRQAGAESANSDVDMLNGDAFVSTSDS DHTSNDHVFPPQPPSKLLIEEIINGFCADSSASLLEEAGCMVCGLLQPLHNLTHLSMF DHPLDILAVEGATRVERLHPDDPVKDIPGPVIDPACNHLQDLSFAERMMIARIRHNRC LVRVSSGRAKMTANVIMYSNPTLKVYHELPPSKPELDEVLAFIFTGPTQPTEEEFKRS PMLVRRNKVADALEWLKLNHKDYIDLEISKKNLQSYPLIGVPVAVDYRQRNGTNRMES TMSLHDEGEDEGTVDGPCPFTVHGLSGTDYGNMSIQALKAKALQHIENEGKMLAIGHD PKPQSMYDNPQAYPQMFPWLFPYGYGGIGQHCHKRKLSESEHKRILLMHHDKRFQRDL YFPIIAFNHEQLKGGVTGSFLVAKRRNFDAISKRLLSIDKTVLQDISSRLVNGDHVSP ETDSEKDCYKLLDDLDHVGGYVKGSITSKKYMRNEIWLPNMVYNLVTCR JR316_0007091 MVSINSAYAPASPKPTYADVVAGDRSPSAEYKHRPAKGIRNVII HVKPDNKEDSFSVATDSDDGPLDSVASRIAAAKVVKPGNKTVAKRDIEDAALVCSDEE LPARISPPKKSRTSVSANVDATVNHVSYSSDSSVEVIRVVDNSANARYQKGISDAILV DDSSADEMVSVHPTSGAKPNKQKGKKPQKTMPQTVRIKQEPGIVIKQEASARPTSVLP SEVMVKKESVDVHIPLAPDNVASTPTKKDKGKSSVSKKGSVGIRRSARRSEAAIERVP LSVSPLKIGSEISGSAVLSPPITLQGDADSDINPFLPSPEVILGTKIPGKGKSIRIVL PSGPIDLHEDEAMMFAHAVRESRMHQTTYPSSNALPSGPSSSKLLKALDDAYEKYSEA DALKTEHSTLDPPVQFKASVISAKSIDAHSDVVMASLSEQPKTRQVQFQSSLTAVPIN AAVEAPPTPVTPVRVPRKFKDVVPAGPVNKTPSLGLNGPALMEDTMRFSVKHLPKKCE VTKTDLQDSLLESTYVDLPNLQHGILKQWNSPNVMAPVDCVSFSLCGENFPEMNFENA YDAVTFVRNKNFINPSRVSPLDVSILYMGNDRKRATLQCNSVPAICLSAILTRDSYLL EPISKGLCNKFLSGHMMHQEWERFAGFACMAFGHQVMVASIRDKAITFGTMGTLTQIA ERESISPSKPPRTPGILSGQKDAKLQGGSRKALKSSTRFKTMMDSTDTIPIYDSRNHA FNFNTDLDRLDELLPRWRRGEIPPNSFVMVAYTMTQYEKERSFHLCTNIQYAVVFGTE HDTSDIEAEQESFAGFDED JR316_0007092 MDPNYNQYAAQALMDQGYCDARKSIHDAMPVVDFQLEDHRVVYV EQPRSWRITRTNSTEEQNFYVYGAICRNELPPIKLSDATPSMKKKAIYLRQGVRITGL RSNGFNDDAVSIKHVHEMMKTYLKKEDIEVKPWNLSMYEGHWAVDASTRYFTPRKHAP TEAGLAFDMGVDPDGVLAHMRGDDLIHTMDNKVDYLREVKNDNGTSTSRVVPSVFKVG DIVRATIAFIGVMHKDKAVAMTTVLRALTLIHDVQDVRNGPAEFIENDAEIAKIPVMK RRRVYDEDREVRQKMEDMTIHRE JR316_0007093 MAEPSTSTSASAKKDKEGKDGEAEAEANERSLRDHILEALAGVP GTRDFHLHVLVSSPRKAGTNISVSGTTTTTTTVFPFAKPRPPRIYVQDILLLLSEQVA DGPRVLVSAVEAYTYVVPSTECVVLYVSKVDSTGHGARGEMPSPTRTLVQALLGYYLD PETRPVEARHVWVQLFARAQTQYLFPNSGEWEGKKPLSDKRLCLWWRRVLGEAARAVG KKEGVRTKMYYVLPGYSAEEAAHALGGAGEGWMYGHPYAQKEIPLPCARPSEGHNLGH YIPSFEDDPKARFMDEMAYTTDGEIRSPVRKRARTETGTTAHTTEDSRPLGELSTVGV DEFWERMSFRQECVAGAVTGFFTVLVSSGCKKRAGGPLAPGRGQVSVGLKKRVLSTLM TGVEFSTAERAIAATETVEGAIRGLCEDMSRARTPPPRTNRRLLEPPKTPPRKRGLAE EVSPNPFPEPVASVSTYRESIYGLVSTRNAVAGRSESAAGAVRMLTAFSSHIDGNALP PILMHPSKKRTSDDVRVKDESPPPDDLPNQDPSPSPSSTVPLKKRRVTLSGPTAPHPL HIDTTAPAPPPPSHQQRNDHAASTPISPVVMGFTIQRDNPDAIEQVRSMISLKQKQKA LIEERRGSAAGILSPTATNPPPNPLQSDRTRRSPNNQSRRPPRPASPQPTPMQIQQQQ QQQSLHPQSQSSQPQPPPPPQHSLPPPPISFARRRAALLGGKKKPADIVISPREAHTR DQLAPAIQSAPPVPHAGGQSAFYTGRFQMALPRLPNLAPDGNHGPSVRRIASNVPPTP TRLALHQQQAHGNPSASSLMVQQQQQIPTRSPPAASIPIATSLSSAASIPIASSLVPP TPTALHRPGYGGDKAAFLAPFEVFYDALADSKQLKSWLAEQLAKSTALAQGLAQQQDR MQELVDSAVEKRVSGLKAEMAVLRRRVEDLEGALRVSQPQQGYAMARRGSIDPAIYKN GMSMGAPEPYTFPPIASSASASAAEGSSGSSRLRLERPESTRRLSSPGWAQENGSASG SGAGAGASGGASTPRGGGGASGSGNSTSMRSPPHVYREREREREREREWEREREGSNG SRPPPLSRQGSSHSVPMVVVTSERERERDAERERERDREYERERERDRDRERERERER ERERDNQTRRAESRRNSIVMAGPDEEERERAS JR316_0007094 MSFVSHASWTIDITLGPPYYSAELRIVVQRQVVHGQTLYYCRVC QDTKGRQKHHLNEHFNTTKHQTALQAFHTKEKSSASSQTSLPPVNKSLLLEDALRAIL VSASRQPHQPLYPANDPRLSRVSAAPSSTTLSTVSPLTRIDWNLFNDDEIMSDPSPID FASAQICQATLDFLNSNNESDGSDDGGGSPPLSESSNEQANSDTEQVDNESLPRKRMR GIHTDPAEARKWYLWIDKILDLFLWLLRINGVNDVPSVKAMQGINKALQNLCGIETKE YKGKLGNVYFVNSLSQILAQEMSNPQVRPFLYFYPEDTGKSISETYQASGWLREINPE DGTPMIRLNNNDFFIFEPTMLIDGRCCIPIQWFLREGVFYAKAWLMEDTPSGWVVSED REIKITQSQLLKNFIQLSKDHLLYNLSHPSRIFVNRCAIHSGPGLSKWTRTDPTIGNR WRVLAKGYRVYSLPLWMYCDDTSGNQSKKWNKHNSYLFILAGLPRKKSSQEYNIHFLC TSDIAPPLEMLDGVVDQLQLAQKHGIWVWDCIHKEAVMIFPPVFALLGDNPMHSEFAC HIGMQGKYFCQICWAKGVDSQECPHKNLPHDSCARPNFPTLSIHSDVDSEDTFTRPSH KRRRYKESMESMLRRIGKPRRKKETMATLDSFLEQAKMIGTKSKLRAAKTETGIKDVF QDFFIEKLFKSYKGKVSTQAKEKALKAAVDKLPGDIKSPVWKLGLDPHQDTPVKILHV VLLGFVKYFWRDLVQNQVTPAKKQTLIIRLNSLSVAGLGIPTLNGSTLVNYAGSLTGR DFRIIAQVAPFVIYNMVSQEVYDAWVSLSTLVPVIWQPAISNIDEYLPRLEADIKYFL LKTATWTCAWFNKTKFHIILHLPEHVRRFGPAILFATESFESFNAIIRAKSIHSNHQA PSHDIARGFAQGNRIRHLLSGGFFLPQELYQSWKKDPTNVANSEWRTAGPGGLHLIDA PDSTPASYLGLQKPAASKAGSCKSNGTDPQPFHRTLCGQKLPNIVLNTAASQQLYVTN SQVYLRNEDLCTIGQFVIAQIHPSQPPLIGCVREILQQVGSPNHLQNRPDGILIQTAL HQPPSHILPAGQLQPVFMLRLILQQEWSFIPWSCLLCTVNTQHDCQRHGCQANGLQYI YQEQIQTDQTKATILHQNSLDDMLLLNMCQMRDAAHLQSFHLHSAPLNEDAIIQRSVA QAIVQRKVGEASQSSTSKPTKTPTAALRQHAQSPLGTPTLAATPSGGVSLPQHASAGT SSGGVSSPRRSRQASVVYPGQITLDFR JR316_0007095 MFAYSSSAHSHVLIPSTDDTSTPSVHNIWTPSIHDASKNRSTDD TWMQFTNNTSTPTALIHDVSTPSTRESMTSIHDVSTPSTRESTTSAHNASTPSTCESM LSAHNASTLSTRESMLSVYNASTPSTRESTTSAHDVSIPSTRESTTSAHNASTPSTRE SMLSVHNASTPSTRESTTSAHDVSIPSTRESTTSAHNASTPSTRESMLSAHDALTPSV DNMLTPPIVPSQSSTKLSLSLPQRDPSVQTASLKRPYNGLSNSHRSRKYQRTLQYNKH GHTPKPSLLSMLPSCQPEALNVKLDTLPATQGAYGAKPTKPHKSHLYSLDAIKALGFR IIPWDRCTPVPFVSEDGRIFMVLAGRPKDPAYERATEEAFDLLREAGHTTVFTHKDYS ENRGHYPALNIGVTHGVGTHSPLNRVQRHPKITAKLLQSESIQQMASFASSAFATWSP KVYNHYKLYMDKIFANDSTLVRLFRRSIFPAATFNLGSVVCTIPHFDIKNCPYGWCAI QSLGKFNAKKGGHFVVWGLKVAIEFPAGSTILMLSAVLEHSNTSIEHGEERASFTQYA SGGLFRWVDYGYRTEKELKRTNPKLYQEQMELRPTRWKRGLGMLCTLQDLISKAAFEV SGQV JR316_0007096 MSTNTLDNCLPSRLLSPKTPSPKKSFRKHNQDEDDEAPKKYLST LNAGDTPGSTCQSDLEDQPVVSKMPEKNDHSSKVPRNKTTISLNRAYPRSRRDLEAEI TVWREIAIEATREAVAYKNQL JR316_0007097 MLACQFGAEVRLHKALTATQTHLQGLGQLSSFLADYELQKDENF VLSNAQKMAIKSTCIKELLKPSRQSFKTLHIDVEAKMKKKPADNYLDNILNRLGREAK WMAHIQTVCTIQRNTLRKEIIQSITPGPKFTSVQEFAAGLIVKYCLPTSESGANSNTA YLSKHVLLWRFFWDHSSMFSAFELEKDDNNSEEENNDQDFGTPSTDNSSDSGHSATKN VNCKKQTFPLGKLAVGWLMAKTIGPKLINGSRGLTMSWEIIQLS JR316_0007098 MQSTRVLAFTSNVARRYAPKYPRPRPGTSERPAYHAKDPLINNP HAVVTNLEEDNLTFIHRPPPTAPSPFSLTTAPASPLLRPPTTPAEGPLPPLTRAPPAS PPHRLSDEKLEMLRNLRYSDPTTYTRKKLARMFKCTQTFVGQVAALKKPERKRVLKIR DEKHEVARQKWSERHSIVKAIAKKRRELW JR316_0007099 MSAFPLSALSSAAARIPPYFLNTDHRQRVLSQLRGKHNGLEKYI YLNGLKERDPNLFYEVLLGNMLEVIPILYTPTVGDACSNYSHIWRRPEGLYISIEQKG NIKQVLRSWPSGQAARIAVVTDGSRILGLGDLGANGLPIAIGKLDLYIGGAGIKPSTT VPICLDLGTNTEKYLTDPLYLGVRRKRPDTEEMDAFMNEFMEAMQEVFPHLVVQFEDF STDNAFRYLEMFRNKYRVFNDDIQGTGSVVLSGFLNAARLASSASGTPLADQRILFFG AGSAGIGVAKQLTSFFTLQGLSEEEARSRIYTVDSKGLITADRKGLQEHKKYFARTDY QGPPLTSLIDIIEYVKPTALLGLSTIQNAFTEDVVRLMARLNTRPIIFPLSNPVSLCE LEYQDAIRWTNGSVVFASGSPYKPVEFEGNIYEPGQGNNMYIFPGIGMGAILSKSKHI TDSMVEQAAIALAGSLTVEETKAELVYPRLTRIRDISAQIALAVIRAAQKDNVDENAL FRNLSDDALLEYIKAKQWQPTNTNSIPRL JR316_0007100 MQPEATTSAGAERLNPLGCLVKSTTDRGRGVYASRFIPRNTIIE ISPVLLFSKKEYEAHGKYTLLDHYTFIWTEGRMALALGLGSLFNHTDPPNVSYIRDAN TESIKYVAARDIEAGEELCIFYGQNLWFSPAGQLPSNNEADLDDGWGGLSAVEDNDGS TSLNPSTMNPYIEGDPEEIIPEEDLPFVRFKLPPEEEEPHTIRTTKAWVVDVADPRLI TTLLKWIKQAGLEGPELGHLKRIRKHEGTTTLLLSMAPEPPQLPKDLNLPDPYLLSVP SSSALTLPSLNLKSELWPTMYTPRRKDEPEPWTRHKLRWAWDAMKKTVDTAINAQAEN DELPVAAHIPTPYVFPGATEIESSALSFLASDTRRSTHHPLRHSSINAIRYLADYRAS QDPRNDRLEKDAASSESSLGEPQNGTNYLLTDRTFFVTHEPCIMCSMALLHSRVKEVV YLYPMPLTGGCGGSACLPTLKGVNHRFSIMQWKLGSDYAKFSNKTIEIDAKTDA JR316_0007101 MPVIGPRPLSRLLLFSLVPVASWFILRPLLEPLPSIPALYSSIG FSLFAFLATIYLVPALGPTFIKARLSGRDLLKVYDSKIPREETLIPESLGLVCASIYI LSLILFIPFAFTKPILEQVNGNKSHQGITVVEFPHYQLSVYLSSLLSLLVATILGFLD DVFDIRWRHKIPIPIIASIPLLMVYYAEHGNTNVVVPIPLRFIFGTLINLGPLYYIYM SLLSTFSTNSINILAGINGSETSQALIIAISVILNDLLYLPWPVGFRIPLHLLGNHTE VEFGGVWSAGMAHGSRELVERHLFSLYFMLPLVAVCLGFLYHNWYPARAFPGDTLCYV TGMAFAVVGIQAHFSKTLLLFFIPQIFNFLLSCPQLFGLVPCPRHRVPRFDGDTNLLY PSTASEVEFPKPPTKLTTIVLNVLALLGLTKLTKDPSTGVITNATNLTILNVFLLRLG PMNERHLVQVLICSQVAGSMLAFFIRYGLAGLVYDGDRR JR316_0007102 MASEQARFAEEVAQVEQWWKSPRFARVTRPYTAADVVAKRGTLP IQYPSNVLGKKLWSTLSEHAKRGTPSHTYGALDPVQVTQMAKYLETVYVSGWQSSSTA SSTNEPGPDLADYPSNTVPNKVEHLFMAQLFHDRKQREARSRMTEAERAKTPAIDYLR PIVADADTGHGGLTAVMKLTKMFVEKGAAGIHVEDQAPGTKKCGHMAGKVLVPIQEHI NRLVAIRLQYDIMGVENLAIARTDSEAATLITSNIDARDHPFILGSTNPSLRPLNTVM VEAEAQGKNGDQLQAIEDQWIAAANLQLFSTTLANALSKQGESKSKVDKFVSRVANVS YPDAVAIAQKEFGLKSVPFWDWDSPRTREGYYRYQGGTQCAVHRAIAFAPYADLLWME TKKPILAQAREFAHGVHAARPGHWLAYNLSPSFNWEAAGLTDNDMKDYVWELGKLGFV WQFITLGGLHSNAYISDIFAQNFAKTGMKAYVELVQRREREIGCDVLTHQKWSGADYA DNLIKTVTGGVSSTSAMGAGVTEAQFTAKL JR316_0007103 MDATDRIKAASQFLLQSPPGEINDVLNGAVQSLQPLHETGILIM NATSDIRYIISDDDLLQEGIQPALREYNIEQFTVVDVPETNHQSIISEAARVGPSESD GEERFLDPRSKTEASDPRPIEVVEEHEPIRAALEAASLNYLKAHFTEGVTSVFAPREG SDHFVLQIVANKYNPSNFWSGRWRSEYTIDLTSKQVSGRILINIHYYEQGNVQLATTH TVTFELPPAAISATPSTTASKILALIEVEEGKYQMSLGETYQEMGEKTFKGLRRALPL TRQKIDWDKVMGYKLGAELSGNKNALPSGQADE JR316_0007104 MSFPPPPPGFRPPPPPGMAAPANEASGSRLPAEVIAQKSQKWVQ MQRKRYGEKRKGGYVDMGKQDLPPEHVRKIIKDHGDMSNRKFRNDKRVHLGALKYVPH AVMKLLENIPYPWEQVREVPVLYHITGAITFVNEIPRVIEPVYHAQWSTMWLAMRREK RDRRHFKRMRFPPFDDEEPPLDYGDNVLDVDPLEAIQLDLDEEEDSAIIDWFYDPKPL IDTASVNGPSYKYWSLSLPVMANLYRLGRTLLSDQADKNSTYLFDKNAFFTAKALNMA IPGGPKFEPLYRDMDTFDEDWNEFNDINKVIIRQQIRTEYKVAFPHLYNSLPRSVRIS PYHYPKNVYIRTDDPDLPAFYFDPLINPISLRGHVPKNAPLISHEDSIFGPNDQDEDN FVLPEQVEPFLANKDLENDLTADGIALWWAPEPYGRRSGRMRRAQDVPLVKNWYLEHC PPGQPVKVRVSYQKLLKCFVLNELRTRPEKAMSKKNLFRQLKATKFFQTTKLDWVEAG LQVCRQGYNMLNLLIHRKNLNYLHLDYNMNLKPVKTLTTKERKKSRFGNAFHLCREIL RLTKLVVDAHVQFRLGNVDAFQLADALQYIFAHIGALTGMYRYKYKLMRQVRMTKDLK HLIYYRFNTGPVGKGPGCGFWAPGWRVWLFFMRGIVPLLERWLGNLLARQFEGRNSKG VAKTVTKQRVESHYDLELRAAVMHDILDMMPESIKQNKSKTILQHLSEAWRCWKANIP WKVPGMPTAIENIILRYIKSKADWWCSVAHYNRERIRRGATVDKAVVKKNLGRLTRLY LKAEQERQHGYLKDGPYISAEEAVAIYTATVHWLESRKFAPIPFPPLSYKHDTKLLVL ALEKLKEAYSVKGRLNQSQREELALIEQAYDNPHECLSRIKRLLLTQRAFKEAGIEFF DTYDKLIPCYDIEPVEKITDAYLDQFLFFEADKRGLFPAWIKPADTEPPPLLVYKWCQ GINNLTDIWETSEGECNVLMETVLSKVYEKIDLTLLNRLLRLILDHNLADYITAKNNT NMAHTNAFGLIRGLQFSAFVFQYYGLVLDLLILGLQRASEMAGPPQMPNNFLQYRDSA TETRHPIRLYSRYVDRLHILFRFTADEARDLIQRYLSANPDPTNNNVIGYNNKRCWPR DCRMRLIKHDVNLGRAVFWNIKQRLPRSLTTIEWEDTFVSVYSQNNPQLLFSMCGFEI RILPKIRTMSGEQFSLKDAVWNLTNEQSKERTAQAFLRVSDEGVQQFNNRIRQVLMSS GSTTFSKIVNKWNTALIGLMTYYREAVIHTNELLDSLVKAENKIQTRVKIGLNSKMPS RFPPVVFYTPKELGGLGMLSMGHVLIPQSDLRWSKQTDVAVTHFRAGMTHEEDQLIPN LYRYLQPWEAEFLDSARVWSEYSMKRKEANAQNRRLTLEDLEDSWDRGIPRINTLFQK DRHTLAYDRGWRVRTDWKQYQLLKHNPFWWTSQRHDGKLWQLNNYRVDVIAALGGVEG ILEHTLFKGTYFPTWEGLFWEKASGFEESMRYKKLTNAQRSGLNQIPNRRFTLWWSPT INRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVFDQ ELEPLQIETVQKETIHPRKSYKMNSSCADILLFSAYKWNISRPSLVTDVKDVLDGTTS NKFWIDVQLRWGDFDTHDIERYTRAKFLDYVSDSMSIYPSPTGVMIGMDLAYNLWSAY GNWFPGMKPLIQQAMAKIMKANPACHVLRERIRKGLQLYSSEPTEPYLNSQNYSELFS NQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRSGQLFLKIIHTSVWAGQK RLGQLAKWKTAEEVAALVRSLPVEEQPKQVIVTRKGMLDPLEVHLLDFPNIVIKGSEL QLPFQACMKMEKFGDLILRATQPQMVLFSLYDDWLKSISSYTAFSRLILLLRGLHVNN EKAKIILHPDKSTITEPHFVWPTLSDEEWIKVEIAMKELILADFGKRNSVNIASLTVS EVRDIILGQEIAAPSVQRQQMAELEKSTEAQSQITAVQTKTTNVHGDTIQTVTTTNYE QQVFSSKSDWRVRAISATHLPLRLQHIYVSNDDVKDDAASFTYVLPKNVLRAFITAAD LRTQVAAFLYGVSPPDNKQVKEIKAIAWVPQRGSNNDVELPSQLPKDDFLLKDLEPLG WIKTQSLEIPHLSPKDVTAQAKLMADHPEWGSSSICLTASFTPGSVSLSAHSLTVPGF EWGRKNQDTSMNPPGFNPNMSDRVQLLLSDRILGMTLVPEGRVWNYGIGLTQLWSPTL SYSMTLDTPLLFWAEEHRPAAFLTFANLEQGEDSADVENSFA JR316_0007105 MSSGELLSNGTTVLITRTSAPGSPTSPTLPGTPSPALDLFPLRR GATQDGQRGMKTAKNAERSIGARTPPHPKPTDKGKEPIRGGHTDTVSNFGRETYVSAY SGTSPYSSHGKTRPSTADGTLNGRSKFRGSLLVAASDALGFKFGRRRPQSVRQPSSPI ILPDVIEISARRDEEDEERNHLRQMAAQAIGLGPFLVSPDSQSREDSTTDEDDDGQPP ASELRLGYPSKSESVQNIEARSPEGSSLSVGLISQQSPQGGRYRSGSVAHARSNSMTI APIPPYPSTVSALMSFKQCNGVFPKYYPPSSLRIFALSKNWKSRYLILSTPATLVTRG QGPAVSYLHLFKTSGLEEKELERLEINEDSVVFVSEEEVGGKRHVIKVGGADVGAMKK EYTHEEGGHTMWLLQIPDQVEAQKWITDIKNAILGQRTVRAGLIPAHTLGHNEPRGDM DVMLTIRAQNLITSPNPNTRSPPPITPINTSFTESKGTYASSISSHSLRSQTTVPKTP TTGAVSTLKGLFSNSRPRSTSRAASIESERQAEREGYEESFTSMGSNLLNMLRSNTPD TQSINTTISTPITRLPFSGPVSPLDRRIDRKILSEHPPIQWASVEPNPSSSVPVNRTT RGFSVGAMSLQPPPRKRWTSTTSSIANQDATAEAIGNDRSRRTSLTQSVMSTERAETE PPSSPGHLSAFQIGTPEQRPRAPSLQSVSTYASADNAMSMEQSSLSTKRSSGARSARR WSRQGVLPTRLTPPSDPPPAIPIVSIPSVTPSSTSERANTPSSAQSSDKSVVSNLPTF NKRASGSSVRSFVSYKTSHSTPSSTTANSNFNLGTITARGASHRASMPPPRPAPTSAL PPAPIPVEPSQDILKPLENVAISSKSSFRNSVAHRGFRLSTIAAPKPPPSTTLPPRPD EPEFQSRRRSSSGGSSINVIHTHSPQLETIPASPIPPAKLINPFPPPNGPLPPTPPIP PSSHNTPQAIVTTKRATSIKERLRKISAPSGSGNQQQAARAQFTRPPSVSISAGSPIV STSPPPTPIGEKITMFQNDPSFLQMHTPTIPPFIPQALLSLPVEDEEIAEVTSLSPPP RRGSKQLLETEMESFKISVPSAGEIQNDLPSTDAPRHLSLSRPGSIISTRSRLSQEAI DADWESPREEISPEQPPFQERLPSPIESCQISLSRPGSMISLGR JR316_0007106 MSDPSPIDFASAQICQATLDFLNSNNESDGSDDGGGSPPLSESS NEQANSDTEQVDNESLPRKRMRGIHTDPAEARKWYLWIDKILDLFLWLLRINGVNDVP SVKAMQGINKALQNLCGIETKEYKGKLGNVYFVNSLSQILAQEMSNPQVRPFLYFYPE DTGKSISETYQASGWLREINPEDGTPMIRLNNNDFFIFEPTMLIDGRCCIPIQWFLRE GVFYAKAWLMEDTPSGWVVSEDREIKITQSQLLKNFIQLSKDHLLYNLSHPSRIFVNR CAIHSGPGLSKWTRTDPTIGNRWRVLAKGYRVYSLPLWMYCDDTSGNQSKKWNKHNSY LFILAGLPRKKSSQEYNIHFLCTSDIAPPLEMLDGVVDQLQLAQKHGIWVWDCIHKEA VMIFPPVFALLGDNPMHSEFACHIGMQGKYFCQICWAKGVDSQECPHKNLPHDSCARP NFPTLSIHSDVDSEDTFTRPSHKRRRYKESMESMLRRIGKPRRKKETMATLDSFLEQA KMIGTKSKLRAAKTETGIKDVFQDFFIEKLFKSYKGKVSTQAKEKALKAAVDKLPGDI KSPVWKLGLDPHQDTPVKILHVVLLGFVKYFWRDLVQNQVTPAKKQTLIIRLNSLSVA GLGIPTLNGSTLVNYAGSLTGRDFRIIAQVAPFVIYNMVSQEVYDAWVSLSTLVPVIW QPAISNIDEYLPRLEADIKYFLLKTATWTCAWFNKTKFHIILHLPEHVRRFGPAILFA TESFESFNAIIRAKSIHSNHQAPSHDIARGFAQGNRIRHLLSGGFFLPQELYQSWKKD PTNVANSEWRTAGPGGLHLIDAPDSTPASYLGLQKPAASKAGSCKSNGTDPQPFHRTL CGQKLPNIVLNTAASQQLYVTNSQVYLRNEDLCTIGQFVIAQIHPSQPPLIGCVREIL QQVGSPNHLQNRPDGILIQTALHQPPSHILPAGQLQPVFMLRLILQQEWSFIPWSCLL CTVNTQHDCQRHGCQANGLQYIYQEQIQTDQTKATILHQNSLDDMLLLNMCQMRDAAH LQSFHLHSAPLNEDAIIQRSVAQAIVQRKVGEASQSSTSKPTKTPTAALRQHAQSPLG TPTLAATPSGGVSLPQHASAGTSSGGVSSPRRSRQASVVYPGQITLDFR JR316_0007107 MFAYSSSAHSHVLIPSTDDTSTPSVHNIWTPSIHDASKNRSTDD TWMQFTNNTSTPTALIHDVSTPSTRESMTSIHDVSTPSTRESTTSAHNASTPSTCESM LSAHNASTLSTRESMLSVYNASTPSTRESTTSAHDVSIPSTRESTTSAHNASTPSTRE SMLSVHNASTPSTRESTTSAHDVSIPSTRESTTSAHNASTPSTRESMLSAHDALTPSV DNMLTPPIVPSQSSTKLSLSLPQRDPSVQTASLKRPYNGLSNSHRSRKYQRTLQYNKH GHTPKPSLLSMLPSCQPEALNVKLDTLPATQGAYGAKPTKPHKSHLYSLDAIKALGFR IIPWDRCTPVPFVSEDGRIFMVLAGRPKDPAYERATEEAFDLLREAGHTTVFTHKDYS ENRGHYPALNIGVTHGVGTHSPLNRVQRHPKITAKLLQSESIQQMASFASSAFATWSP KVYNHYKLYMDKIFANDSTLVRLFRRSIFPAATFNLGSVVCTIPHFDIKNCPYGWCAI QSLGKFNAKKGGHFVVWGLKVAIEFPAGSTILMLSAVLEHSNTSIEHGEERASFTQYA SGGLFRWVDYGYRTEKELKRTNPKLYQEQMELRPTRWKRGLGMLCTLQDLISKAAFEV SGQV JR316_0007108 MSTNTLDNCLPSRLLSPKTPSPKKSFRKHNQDEDDEAPKKYLST LNAGDTPGSTCQSDLEDQPVVSKMPEKNDHSSKVPRNKTTISLNRAYPRSRRDLEAEI TVWREIAIEATREAVAYKNQL JR316_0007109 MLACQFGAEVRLHKALTATQTHLQGLGQLSSFLADYELQKDENF VLSNAQKMAIKSTCIKELLKPSRQSFKTLHIDVEAKMKKKPADNYLDNILNRLGREAK WMAHIQTVCTIQRNTLRKEIIQSITPGPKFTSVQEFAAGLIVKYCLPTSESGANSNTA YLSKHVLLWRFFWDHSSMFSAFELEKDDNNSEEENNDQDFGTPSTDNSSDSGHSATKN VNCKKQTFPLGKLAVGWLMAKTIGPKLINGSRGLTMSWEIIQLS JR316_0007110 MAILDANTTNDHDLMQQLWTVITELGEQLSQNRSMSVSLYGLAG KLKANSTTQAINSQTGFVLRRFNMDKSKEEYDAELESMNNAMTSENQSLQHDNKQLNT LIKEYEQTLETLMSAFRTRAQHVQERELSLIREYEAKLLAREEENAESSLDSSTAISN SLVRLSHLLRQVLRSQNGEDADSPVTRTDDVEDREPWTAAAASEYALERDIELARLEK ENEELRRLMGLLPSYPRKDSGSDFRPIFEAPHPMRLPSMQKVGPGASGKPSPM JR316_0007111 MSDYVMTIDSDPEDIPIPSTSKPSKSKSKNANVPADDANLDPDF VFDVAGDPYIDLTNEAMGLQDFVKTGSKPQPISVDEIIARRRLNRKRKRVEERSESED EDEDEDEGDALDSDEKDTDTESIQGEDEEDEDPLATSDEEVENENESDSENDLEYGSS DEDSDSEEETQAQKERKAAFFDSESGPTESHDSFLSMNLSRPLLKAIATLGFTKPTPI QAATIPVALLGKDVVGNAVTGSGKTAAFMIPMLERLLFREKGKKAAATRCVVLVPTRE LGVQCFDVGTKLAMHTDVQLALLVGGLSLKSQEIALRARPDIVIATPGRLIDHIRNSP SFNLDAVDILVLDEADRMLSDGFADELSEIIKSCPNSRQTMLFSATMTDSVDELVKMS LNKPVRLFVDPKRTTATGLVQEFVRVRAGKENERSALLAALCKRTFRSKVIIFLRSKK LAHQMRIVFGLLGMKCEELHGDLTQEQRLNALHAFREGNVDYLMATDLASRGLDIKGV ETVINYDMPSQLAQYLHRVGRTARAGKKGRSVTLVGEADRKMLKAAIKRSTGEDQVRH RIVPAETVQVWVEKLAALKSEIAEILEEEKEEKQIRKAEMELKKGQNMIEHEAEIYSR PARTWFQSAKDKQKAEDLSKLVHENGNKLKAAQKAPKRDKMSGLSRKAKRRKLIAEED KEFNDSKAINASIRSAKKANRPAKIGLPEQKLARPSKKKNKSAAKISKGKGIFESDFG QKFKSTNEGIRAKRDDAIKTSKKIGKGGKLKNKGPHKRK JR316_0007112 MLRKFIINSKKMFALAVWCHHVYNYDSHFSVLIRRSAKVGQRRK KQFNQGPKAKYLEWQKSSGSSAGTSLNKLVTSTETSLDAPSAGVVSTVAITEVPTTNP ISIPGTVVRYAKTSPMVDTVLEKPISDHISNKSFGLEGSMMHDDDDLSPLKRPRTEAS LLEHIHKKTKLNVIQLPVSYNHEEDDHHRFDSISKASSLTRGREQQKAEESQNICEAT DEGGSGFRQQKHDPLDLPRPIAKRFKAPLPKQAMNSTICASRTIMSNSNTLPTSKNIA SYLANGSRYLDFYWSGDLPSLPSISLPPTVSQRKQVARLSLVLSAISFDDLKSCSLVS RVFRYSAYLSAFHQLLRKFPGKRLQTVLSRYSVNMTNFWPYLHFREKEMELRKRIYEQ SFLPSALKDGFDIDERLWSSPDHEKQLTVALRWFLITKLYFVVSVGNCTDGFKGAGNI LGKVVDAQEIIEDEIWKVTYCSSTSVETFYVIQETCEVIGGSMAPVSSPMQQNKLRID WGAYIRKISDRSDDVGHIGLSKRLRWTNHEEYEQGISKAWLKRIQGEGQIGLEKLSVA NRYVLACVTSNSISGPWRTVSEMMQEFDGRPSISDTRKYGAQNLNLFLPAHHHVESVH FTTTYGEPLHPALAVVQTPAREYFILKDNGMQVGCEEEGIALVWIELIKCTSTGRLNL JR316_0007113 MPLADVFDDAIAQREAIRQSTQDSEHTITPNTPTPKPSQFNAHF DTDHPIPNDVSDAEPRLRPEQTSKSLSRLSAAPDTPSARTGPPRSLSFAYVIAGSHTP ANHAGYFPTLSEQPPDTSVIETDYSFADVDTEFRGALEHPSFLEDRKGKRRQRDRSNS DDGWNINPMKWFQESPKDEKPAMDFPSVTPTAPTHDSPEAERTAAASETDESEDPPLT FLRRPKRGLSVNDPASPSSPAAKTGALRRAFSVPYSRENADTANKENEKTQDKEPTIG NVKWAKLRSLLPHIVHPQENILPGPSMVTSQAVNITDELITGGLSTLMLRLWIERDER GNRRIPILFHRLRIRVSDSLHPMHRHGSVFRIECEYANGAARWVIYRELRDFLSLHAH YTVSNVYNRNVDKMPEFPTTSLPYFKFLKKEGREKGSKVDRADFARLQREALENYLIS LIRAVMFHPSSNRLAGFLEISALSLTLAQSGGSQYKAGFLRIEAINNGGGGFGRKSVG WKEKKESRWCAVRESYLVVLCQPGELDVWDVFLLDSDFRIERPKRYYRQGLGNLLHVD KPDAEHPAQQRNHHHDTTNGEKAHSSAHSHLQSHTSTHLDTERKSIMSSIKSHVSKIF HSDNLSANGHTNGHANRQSGDTDDDRSVSSGSVGSSDHSRPPTPMLDPSTNTNPLQGA DDGHDQGDADGAVKKIKSGAKKVSGDVSKHIFYVVNSQMRLKLTARNERQMLQFITAL EKTASTSHYTGSNRFDSFAPIRLNVAAQWLVDGRDYFWNLSRAMLLAKESIYIHDWWL SPELQMRRPNKERYRLDHLLERKAKEGVKIYIILYQEVSNRTTPTDSNYAKQRLIGLH PNVMVQRSPSHFQTGTFYWAHTKADGPDRWDTPQHVLTDDTADTDREEIWPGKDYSNP RLSDFYNLNKPEEDMYDRTKVPRMPWHDVAMQIVGQPARDLARHFVQRYNHSRVMPFL LPPPEFRPGELTQMGLTGTCELQICRSAGPWSLGTPGRIEHSIQNAYLKAIQMSEHFV YIENQFFITSTVVNDVKVENNIGDAIVHRILRAHKDGVPWKCCILIPALPGFTFPVDH SDASAIRIILECQNRTIARGPNSIFSRLRKEGINPDDYIAVFSLRNWAKMRGDVLTTE QVYIHGKVCIVDDRLAIIGSANINERSQRGDRDSELAAVIRDTDMIDSTMAGKPFKVG RFAHTLRVRLMREHLGIDVDALDEEDLMMNDPVKPEHEQEVWDPDKEQAYGEEGGVTH IKKSKQKTRTGALASDVKDSINQAADAGAEATSTKVANVLRAMSLVEKQAGHNVGDDT INEERKDYNREGQKETGFASSVVPTLEEKVVAEQRAGMTHTDGSSITDGTGESFQSRG KSTSNGRAAPSDSSSDLHADDNTLYGAPANAKFPASDDEPPSRSLKSDLDDEEQAAPG ARAIIRENLGGKSTRRRPWTVPTTKPHVDPQDFEDPISDSFWKDKWVASAVHNTEIYR KVFHAVPDDLVTTWKQYKEFVAHHDRLKKPTRGSSTGEPVARVPSESGDENTHESQTS DTVDGASQASKDETEDAPPTPSYTVVNEEPPKPRRPAKGSEPFEKWEREEMEKLLRQI NGQLVVYSNRFLEGEDIANNFLFNADRLLPLPIYN JR316_0007114 MSAFHIDAEYDCIVVGSGHAGSCAALAAIDFGCKKVLMVDKCPE EWRGGNGYFTAGAHRTAHAGLLDLMSLLACPPSANEMEQIDIAPYTEEEFARDIQRLG SGRADEDIVHALVHDSRGTLQWLRESIGVQFTLSFNRQAYKVDGRNKFWGGMALSVED GGKGLMKAHQNALKKAQVEIWFETRAIELLVNDNGSEVVGIVVQKKEDYLRLKARSVV LAAGGFEASPEKRAEYLGPEWFNARVRGTPYNTGDGFELASAVGAKFTGDWAGCHSTA WDANASPDGGQREMTNQYTKSGYPLGIMVNLNGLRFVDEGEDFRNYTYAKFGRAILQQ PDGCAFQIWDSQVLNYLRKEEYGDDVVQKAWAQSVEELADILSGAHWGLKDKTQFVKT IREFNEAVRARGKESEGPWNPAVKDGLTTQSKNIRLAIPKSNWALVIEKGPLMAVKVA CGITFTFGGVAIEAETAQVVSAKTNEAIRGLFCTGEMVGGLFYGNYPGGSGLTAGAVF GRKAGRGAGQRCDVRRE JR316_0007115 MSVLQNSETDNTQPNPSLDLTEEEATRYDRQMRLWGIEAQQRMR NATILVLNLRGVTTEAIKNMVLAGIGKLIIVDGENVSEEDLGAGFFFRDGDVGLNRLD VAKSRIESLNPLVTVEIVTDKAFAEGERLESLVKDVDLVCVADWDRDNLIKLNELCRK HSKPFYAGGTYGLNGYIFCDLLSHDYLAPDRSAPKEQPRSVKATTVYTPLSSAIGYRW SGLSKRQTKEVNPALFFAILAIWQFQAVHGHLPTEINQTEELEALANKFISEADVNKQ ILPTEPRSVIESLSITASHEFSPVCAIVGGVLAQDILKALGGRDPPIANFFLFDGSTG GGTVCRLNIP JR316_0007116 MPAARPKKENISATPPSDDGHLKESLSENSKSKRKRQSQSCDAC RARKVRCARENPDDPKQSCKHCITLGIPCTYDYQPKKRGPPNLYLRRLQEAAAAAAGG PTAQDNVPDAEIASSPISTIASPPQSMVSPSIGSKSPPRSFLEPSLNQHPSIPSVPMP PSRYPIAADTYHSHYSQQQNSVPSSSYNGPRSSESNGHGVLHPHNQAQPKSEEQPYAP SPSDSFSTYPLYNWSYKQHQVLPVPVPTTVPPLSYYYRPRRLEEIAPRDTISLIIALF FDFVYPLTPCVHKPSFMADLHSRREERDPLFFALVMSTVASTLVQVPRSYLPMERPVV RKLAQTAHEASRHITIASYDPPTSMHVVIRYFDCVYHFCEGHDATQHAAFGEAAHIAV TLRMHEEASYDQLDPIECEVRRRTFWLLFGADKSMSILLGRPICLRDEDCTVHFPKEL DDEYITPSAYLPQPHGKTAIVSGLNYTSRIFALLGEILVRIRVDKRSPPQGQFATARL EEVQSLQARILTALSHTPEPLRLKQTPSQPNVPPEYGGAGFRQATFAEVKDFFDNPNA SRANALNPFLVMQANLYVTQQLVRFVIEQYRDELIMALQGNLDEHQVAEDREAVASDL LNILHSIPIQSIATNGPSLVHKVRFVASTLLDAVRKAETAPASAARAHAYLWDFLSIL SEIERNYLLDDDRDGNSSGDGMMV JR316_0007117 MGAGQSTSQSHVPTRGLHVLRVTPSSPAFHSNIEPFFDFVVGFE GDSLSEENAIDASELERIVESHENRTLNLLVWNSKSQLTRGLSMRLCQPETASDNVWH VLDVIEGSPAESAGLVPMGDWILGWSGGVLTAENDFYDLVEAHIDKPLRVYVYSYDFE IPPQPEDRVPGTIPPELQEMGDEYGHQDLFVPADIDESQHTQGRIANRQHNSWYQDSI PKSNDAHGETRAVHKQHSHDHGGSSLQ JR316_0007118 MDNIDINSEYSESSSITFEWTLSGLKSLFDSTKGDKKSKVTKSI RFGNNRWQILFYANAGPTKDGTEGGGFVSLYLSCEPTVEEKEASLWVREGVYKFSFEL RNVGKNVLYNAKEAHNHSFTYKTANWGWAQFARRDSVYYQSLPVKAQDAFVIICTITS SPAAPSQTLPRQPVPKALLDTVGSLLDDPLYSDVQFIIPNRHQSLSNARKIWASKKLL QRSEYFETMFNSNFAEGVSDPLEQSIGTPQTISHTSRRSHRRLNMILDEFEDSDNDDE EFSESRSRRLSSSDGTDDFALSLPDSNTEDGDRSETQSTISEAHFASPPTEPFSEPVK SIQETIASVPPKMTIVVRDAAYNTYRAMLYYIYTNNIVFAPLSSSFLAMPQDGTLPPS ESIPSTPSEGCQVPGAKRISSRDQSSSRAEWIKEWMAANPGRPAPCSAKSMYRIADRL DMSDLKERAAQHILKSLTVDNIGYEVFSPFAAAFEAIRKVEVEFFLSHWHEIRASETM KNVWLQIRNGRHPGFEEVWPLIAQSLEFNPSTGSGTSTSPKAIDT JR316_0007119 MDESESLDALGNALNSVAESPYDISRHVQHIRVAQSRGLDAEVQ SAMEMATQFLAVGDEVWLPLILAKERSVDLNTEEGVEELLALYARAENDYLSIPILEK HVKFLIERHTLYNSGEVIKPETLGEIFTTSWTRKAVNVVVQKGSYKLWDLQRDWELGM LEETPKDERSELIEYVQNLHLERLHQPHSNIEDTLQSYSSFTTNYLPPHEYESILVAA TKIRSQSVRNFDRRDRLETAIKTTEGSLESYHQYIGYERRAKHPDLFVTKGVFERAIA EAAKLRFAGNQAAEGALQMFWVNFCDTLRILDVEVEQELEIYKRAVRSVPGSGEVWAR YIRFLERASEEISEEPETISEIYNRAIDAKLVQSDLEQLIPLVLARGAHERRTLEAGS EDENILPTLIGVVESGLELSRRASKSIDSKLRLEKFLVSIYESVGLVDSVFDVWKAAA KHSKSSYSVWLNYTDAMIKHQMFDEVRKTFLDIHSKQLDWPEAIWEAWISFEHLHGSI SDIETCLDKIETAQYQTNMRRAKEAAKAQIVAAEAFLETAIAPTAVTVSDSTRPVTDT PMDVDQPAQERGTKRAAEEEPSSDAHKKPRTEPKSGPLKRDRENSTVFVADLPADTVE DDLHNLFKDCGDVREVKITRLPAALVATVEFSDRESVPAALTKDKKKILGQEISVHLA WKSTLYVTNFPESTDDAFIRDLFGKYGTIFDVRWPSKKFKNTRRFCYVQFTSPDAAQK CLELHGRELEPNLPLNVYISNPERKKERTDQDANEKEVYVAGLSRFTNKNDLEKLFAT YGTVKDVRIALDDNGHARGFAFVEFEEAKDAQNALSANNHELKKRRLAVTLADTRVRA KHRSDIGISKVAEIRNRSVRIKNLPPAVQEGLLQQVLEKIVPVKRLEVFLDKREALLE LENPADAGRLILMTEPIEFGGNTLEFTEDIPTPRVSGTTKQEGVNALFKPRKLGPSKP KAGLGFKKPLPTSKSHAEEKSPVASSSAPNVSSKGQDDFRKMLAKK JR316_0007120 MVHWYMQIVLPHVRRWRSLDVNFAHQAHNLGKATLLGLSSPAPL LEELSLRYRDIDDTQEFLLFAAFTPRLRRLTVDGIRLVWMPSLFGNLNFLDYTHHGFT SGHQAVQDMISVLAVTTRITELHVLFPRGHLACLPSRRQIVTQHVVLPYLKQLVLKVD GSDIPFEIAHLVTLISSPNISILRLIDLKRAHQSYQSLKSFFYVYSLPQSLRSIYIGH AWYDPSMVNAMINSLPHLCKISIRRSRSPAQALEMHPRATAMFGPANHTNRRIFYPAR FDDFDIQCFQPSK JR316_0007121 MSGPQSYRPQTANDLSGRVALVTGGGTGIGLMISQGLAAAGAKV YITGRRVEVLDKVVASWDRQIGGEILSLQMDVTDKASIEAAKKIIEEKEGKLHILVNN AGQVGPTSNFLNNTSGPQHKDAETLGTALFNNETFQGWSDLYSINAASIFFVSTAFLG LLAKGSEDVTGFWSCIINITSISGLTKVAQEHFCYNSAKAAASHLTRMLSTELSLKGI PVRVNAIAPGVYASEMTVDVVTEDLVDKIGKGVQPVPAKRAGTGQEMAGTAVYLASRA GGYVHGQEIVIDGGYLAVNPSIV JR316_0007122 MSRPTRRQVQQPVPQYASVPQQSPSRANSYSRTSYQQQPTVSQV SGPRPVRSTSASSTRRSSRQQHGRNVIARNVANGNIGAGYGPYSYHPETAQESGVYNS NRFSATPSETSLTTAPPPREKVQPTVMPTTTTVPQYMWDKDPDIDDALHNPDPRGDSQ FTLFSLRGWVNAIAIFLIIVGLIILFAGYPVIYHYTHLPPPIIGFNAGGINGSGQIPV LPNLPGLIDPETPQSAMTRIGSDGKTYDLAFSDEFNTDGRTFYPGEDAFWEAVDLNYW PTNNVEWYDPSAVTTENGKLVITMTEQQVHGLNFQSGMVTSWNKICFNTGYIEVSISL PGSPSAPGLWPGAWTLGNLGRAGYGATTEGTWPYSYDSCDVGTYPGQVGKDGVPGVAT TSGNGGGILSLQPGQKLSACTCPGSDHPGPSVNVGRGVPEIDIIEAQIDTSRMQGQAS QSFQVAPYDVGYKWNSNPPAATIVDPSQTFFNSYSGGPYQQAMSGLTYVDSQFYNGTA YAPYGFEVWSDPKNRDDGYITFYYNGKETWRATPATVGPDSEAQISQRLISEEPMYVI LNLGMSPDFQKPDFKHMQFPTKMYVDYVRIYQRRGTKNGITCNPPNRPTTDYINRHIV AYTNANLTTWAMANATFPRNSKYDGC JR316_0007123 MPSPQSPSELKKRTPIPIHVPTNRPSGQNFKSQTSGQNPFTTQY SKKRTVNEDVGNPTSKRILTNRSHAQEQGPPSKRRKISNSASSMFEQGSSKGRIFKPP PPPLAPDDEEPTYDVDSDDAHAMGKQSSPDPLNSLFQESGPSRISTKHRSSINSRSNK AKPITFDETDFDISIIRGPDEEEHETFDEADYDLSTIRGTSTYVPIIIPDGNDTAWAR KRFQTAEDPIEDSSDSSFSKFPGTTASEQNNLIDPPLKIDLASKLKKTPGVARSMKGK NQRVPLPFEPIGRLTSNTDRRQEGFAIEEAYVGEQLHKASPGQKLDMYLDQQALRIIA NDTTLLDIPLTPPEHCKEIIFTDSYSAHFPPVIQLKKNDTVLTTIRLDKKWDQLRYNQ LRSTLRVKKEQVISTGINPLWQYATAGAPPQNALLNLTYKEQQEERIRRSLSLTYAER EQERIKRNAAKLAGWRTARNGHGGDVPYSGNILTIGSRGKETSSTRQEEVLKSPVKPR PIARPEPRRSMRNTPSKEQPDELILVYPPGPGAVNINISDLNRLEPGEYLNDTLIEFG LKLWLNKLETKNPQLAHQIHIFNSFFYKKLNQGKDIQQTFENIRKWTSKIDIFEKKYI IVPINENLIAVSLHWYLAIICEPKYILYPKTPKSSASRKQTRSTVQPSVVANTPAASE DKDDQTVTSSEAEVERNLNNEFQSSCVINGEGVVDSNLPIRTAVDEHDANSLTSTVSA ANKVEDGVFSSSSHASRPVFERNELQSLERSPSASESRMSVDSIEEIDEDLNRRPIST EQFYQSHRTDRHWRERAPREPDILVLDDSVNEVVNDEPVEETFIFIMDSLGTKHRQAV NKLTAYLKLEAKDKKGVSDAGTAKSKLASVPVQPNFCDCGVYLLHFAQTFMSNPVKYS QSILTQKSNNIPNHERQKIWNDSEVAGMRVTLAEQIRRLADEWKKAKSHEMQNDEGKQ DNDDDDEVKIVHVQVEGKNKGAKLLKTPARRLR JR316_0007124 MIIRPSISHYTRCFSSSAAVYRNVSIIGREPIKYPPSVTILPSP TQVTITGPLGTTAIPLKEYMKVEFPVQDTMKITVENSAEKLQRQMWGTTRTLISNAII GMTEGFTVPIYLVGVGYRVALEEDPRGTTDGGNGRRLNMKLGYSHSVLVPIPAHIKAE VPSATKIVLSCTDKHLLGLFAAKIRGYRKPEPYKGKGVFVGNETIRIKSVKKK JR316_0007125 MSIQSAISKLRSPVRDLVNAVTREGQELGGQNEADEKEVVGWIE KTSQGTLVNENNLKDLDALLVPKTYIATNYLTAADIALYGELHPFVAKLQPSEYYAVP SLTRYFDHIQSGAAVRASAASLNDAFKKISFDLDNAPQLERKAEPSKKKDKAPKPPVD STSHKVSTPNAEESSTSTSTPSVEKQKEKKEKKKGAVSEAGGSKEGKKGGVAKTQPAE DAEPSPSMIDLRVGHIVDVVKHPDADGLYVEQIDLGEETGPRTVVSGLVNYIPIEQMR DKYLVAVCNLKPANMRGVKSFAMVLCATSKDGKDAGIELIQPPVGSKPGDRVYFEGSE YENATPLSQLNPKKKIFETVQPGFITLDTKEAAWVNPTTKSVHKIRTAKGVCVAPTLI GASLS JR316_0007126 MDSRRRGVPTRPASVEEMACWGHAADSLKHLSSIYTKSSTSDVV SRVNRLINAWPADDTSTGEGLGTLKSLQTKLITGLTDISTASHSEVRAIDDALESIGV LIALRKAPESALPPAEKRKRPRASSPSGTPAPTPATGVRSMSITVPPRTSSVGPTAPR DARAKKDSTPKSQALLPGRKVAYRPPKGTETEEGTWIVAIVRRCLSHDKQGARYEVQD AEPQENGQPGLKYIANPKAMVPLPDPEAAPGSPGHLGAHPTFSVGDPVLALYPDTSCF YRAEVISVPTPDRSSPSTKYVPAYNVKFEDDDDMIHSVSAYWVVQFPQHLL JR316_0007127 MFTRPAIDVSDEGEKYKVEADLPGVPKENVEVRIGDNGRSITIE GKVVEKEESHANKSSAKTGASGTDSKAVVKPDESSTTQISTERPFTRNVSFTRTVWLP KPVDTKHVSAKMEHGVLTITVNKTHDKASTVVAID JR316_0007128 MSSNLNGLSLFANMGANHDDDTDVEAELEVDQLDSDTDPDEPAD SAKSRKPKNGTHRPGERVPGHTLLPAQKLENMIQAEGVTGNMTLSKEGLFVLSIATEE FIKRLVQAGHREAIAHCRNQINYTDMAATTGQYQEFMCLSDTIPPPISLADALALREQ KERDLIEDNPALSTPFVPSAIPSPEPEMRNGVGSATTNISNSASRSRNGKPSVAEPLL PTDSVESASSSRAKAKKHPVPEPEPVHSRDSENISASTSRLRHKKTQPGMEPEPANVS ATSNRGKNRRPPLASESDSPPVKEAISMPSSTNRSKNKRPPSNSHGPDNAMNVDMIDD SREMDYHGSARNGSYAASSLPTLSASDHLPTGLSPSHSPPYYSPTYSREESVEPASIP SRDPKSLSHSPPYDPVWTDAVMSAPGTLERLPIIDIAPLLNDDPANSGKRAETAAALH AACVDYGFFYLDIRAYVDPAEPEELARLAKQFFDLPPEVKDQLSLRNQDNARGYARLN QNVTNGKADNHEGLDFYKPVEHPDKTKPVWGENQWPTSIPDFRTKYEAWVEKMKTLGL ILMEA JR316_0007129 MVFPLNRERKRESGLTQILFLGVSFATSVKRRCRIPFFFEPNFD ALIKSLPVMERIRSEGGPVAAKAQLTSKMSVVYGDFLVRKVGNNFAADGKGKYD JR316_0007130 MESNELEHINKLLDSDIELREKIKEQVNELDKKTRIMAGLLNKI HSTPSDAMPALLESVRPILFSCRDVTSKLSEIIPENQFWRWKDMWSNSLRTAVYAAVL IEYLSKRTLLTIAQTAEMLGITAECSDRMALPAEDYLHALISLVNELSRLAVNAVTLG NFEEPIKISTFVKELFAGFSMLNLKNDALRRRFDSLKYDIKKIEEVVYDVSLRKLTSV PPPKTVENP JR316_0007131 MQTMGPPPAKSPEKPLLITPSASPPPTRLSSRISDGASPTKKPR FNSIARLTPSDASSYASRTINPEDVQKERAASKMRLFDVWSSLAERYTVPVEEDDIIN IQTGEITRDNGYLRRSKKLEFGSIALGDVPAEDYDSPEEEEDEYDIDELDAFADDASE ISYQDMEGHPDDEHIERDVPPSTILTAADAEDLREFMNAERLRRELCGSDLEDEEVEG YTSPEEERTDSVEQAEHSTFPKSIISEEPELSIGDEEDVASQEERKTTDGNTLENLNR PSTETVSEDELDNWNIDDANVVYPIAKEEQFNDHGRSNTDSEIEIIEHFNPSSSIQKS TSRSQQRIFTDETPAILRSRRQVHEPLHQLYTPPESSSSSRPSATPSRNVIDLSHSSS SSSSPSKTRSDTPRNVNDLNYISNTAPDTPVPHINLAYLKKGKKSRSPSKLSSGMSSK SPTNTSTKLPTAQPFVLITPRQYSTQLKSETRHPTAPVRRFGETGTSIQKDEDPKLSR TSKGKNKAVDLSEDSQQQQSRTQCLPSSIKQGKVQQQSLQDSIPSSDESEAPIESSPI TPLRFGVPKRKQNTVRVSPKSKNIKATMVKDHNGRKETYRQFQSDNSQSSPFKKRKRA SSDVNSDFSDYAVQERESESSPLKSIRAPSRPSSSSSFHAPANNEHHRQKPRSRTRAG GRRANEYSASEDNYDSESSESESGDRHQRRKSDSGGVPMPVPHHGYHHIPYASSHYAP QPLPDPRAQLIISQAMQQLSALVGATWTPLQQYMEGSVPNTPHRRHDVRLPNGPFITP THHPHPYSYMYDPALSNATLPPESSECDSSPTKLSSGRRKSLVKRSRSRGRRVSFNLD ADARQRMVDEVDGYSSPPVSMSSKNLSTDVRQASSSRGDKSVNTKQVGQKHKREKTPG RRQELTDNDESDVELKRRGRTPGPSIESCSARKGSKKRP JR316_0007132 MGPGPVRREAYNSKGRQSTAGSRKKGKARKKDKSDLPPEEPQHD ANAAILTPKTPEEKEAERKEKLLQELAAQSESKWTSKKKKRLEKYIDKKLKKEERVLL FEKLSKSQAALSSTALQSSATLGTGKVVTHHEQQAKAENVLVKKALLGKTGKRKRHQD IYAVYDYDSGEDEDEEHDQPCVGEDGEPVAKRRRAASPRPQPSNEVDVIDSSANDPSP ISVKSLPVVGSALQRNPDGSVIAPKIRVRSKTKQSIKRGWGRMKAVEVQPESDTSFDS SDSENDESVPMNDNEIESHSRDSHDSSELEDGHEDTGGEGEDSELEPEPVTSKKSLGF KEWAMKQLGAAKGFEPTREVSSEPIYPPPSSQRIEKKRRLEGNNEHPEFRGPLGEELH LPSTSFAKELLSSGPSLKSSSYVKVVDVKRPLEVEEARVLLPIVTEEQPIMEAILLNP VVVICGETGSGKTTQVPQFLYEAGFGTPGSDNPGMIGITQPRRVAAMSMAARVGHELS LTSSRVSYQIRYDATVSPSTSIKFMTDGVLLRELATDFLLTKYSVIIVDEAHERSINT DILIGVLSRVVRLREDMWKGGKDGIKPLRLIIMSATLRVADFADNKALFSAPPPVINV AARQHPVTIHFSRRTYPDYVTQAIKKTAKIHTRLPPGGILIFLTGQSEIAGVCRKLEA KFGKQALDARKQRGLQSQLAFMDRPETAQLKVVNPAQADVEVEDMDLGSSVNEALAFD VDEHLGDAIDDEALESDDEDRLNEEVGLDVDVDVPMHVVPLYSLLPSDKQMLVFKPPP PGHRLVVVSTNIAETSLTIPGIRYVVDCGRAKERHYDISSGIQSFQVQWISKASASQR AGRAGRTGPGHCYRLYSSALFEHHFERFATPEIRRMPIEGVVLQMKSMHIDAVINFPF PTPPDKSTLQKAENTLTYLGALQPPSKLIKGFKGNEAQITDLGRVMALFPLSPRFSRM LVSGQQHGCLPYVIAIISALSVGDPFVYEDTVGQDDDAEIPAEDAAALSGIEKEAVRE KERRQVLRKAFFQSQYIHSSLGDHSSDLFKMLSVVGAYEYAGGGQRFCAEHFVRPKAM EEIHKLRAQISSIVHTNFPSTDPGFTPHLPPPSSQQIKVLRQLLTAGFIDQVAVRKDV VDKVETSGTQYSNSRNIPYRALGIQEDVYIHPSSLLINVVPPEYIVYHEVVRTSRVFL KGLTKINPVWLSTLAKDTLCTFSKPVRNNANVLMTIPRFGPDGWELPAIKAPASN JR316_0007133 MSTNIHPTPKKMEGPGEDFRPPWVYVGSGLVSWAVIPGIVLYSV FLYDFGDQEHVFQAPRRWARRKINSFFTLSPAEEKLLLLDNQQSNPADTEETIQG JR316_0007134 MSPENIYTRPHNLFATPDISPDANKDEWRQPGDVQILLYPYYSK FDAHELIKIARALVNPRGKGIYATDEAPYAMDDLLASLDEDPKLNKTRSEEDKRERRK KWRVCMYSAAPTDYISGVILHDETLRDFKLAEILSEKGIIPGVRANLELTPIPRSEDE FIVQGLDDLLSKMQAARAEGARFSKWRAPIACSSVEGGFPSRISLETQAETLAQFAAI SQQAGLVPIVEPDVDFSRDADLTRSAEIHEQAIAMIYERMRAHGVLLEGSLIKPSFPQ PGLRHPSRSNVTPEEIAVATATVLSRAVPAAVPGILFLSGGLPSSQATQWLAAINALV LASPPTSPFTRLPPLTFSYGRALQGEPMKHWVKGDEEAAKAALVKWSKACWHAARGEV L JR316_0007135 MDKVIAQPAADKLKVLPHLQYVTKDHLTPSNAASSPLDQFRSWF KEAVEGDKVQEPEAMTLSTATPNGIPSARVVLLKQVDSKGFVFFTNYTSRKSQELSVN PHAAIAIYWREIHRSVRVVGRVEKLTPEENAEYFQSRPVGSRLGAWASKQSTVIQEGE LDARLAKVKSKFGIQDEKDGAEVPTPEFWGGWRVIPDEVEFWSGKPSRLHDRIRYLRT GEISDEHPTWKIERLSP JR316_0007136 MLHSIYDLTTFCRKTTGDVPAKLVGASTTVVGSKMYLFGGRLVT ERRMVSDLYVFDLETFVWEQIPMYPDDDVPRARYFHSADTWNNHLVVFGGMSNQLDSS NPDELCVLNDVRLYNLSTRRWLPSPPPPPEPDPLLPRARYAHLSSVTADRLFVIGGQD FYNTWLDDVCVFDLVTKTWVQKRDYPRHCGTYRSVAVSSNTTVRFPQEEMQASLSSSL EASGRRFKPSKSTASPSDDVTPPEAMTHLAYSVEPTEDHPSDIYLYSNYNFTDVKREL EVFSPLPDTDFTIQDRSSSMTGTTSPPGLRFPTGAILGTHLIIAGTYLAHSYQSFSIW VLDLTSMTWSRIDPGKAIETGSWFRGCLWADANKFLIFGNRHGNLVDDYNRRLLSWDH VAVVDLEAFGIYQPPPLKLDVRMQELGLAALEERVLTDFEVVCDDGVRIPCSRRILED RWPWFKEQRKQFLRKATTTLESLPTSSMHLPLPEVAGDGVLDEPRVDPRLTPRAFHLS EPYAVTMALLQYFYSLALITPLQHTPAVLSQLLILATNYRIPHLESLVKHAMHRSLSN STSVGVYEVATLCSCRSLQIRALKTVMSYTQKRPSRRTEKENGGSSRPSDSGGDGGNG SSSKYVSRPRGTSDAKWSTIADRGGGSSSSYNTMQELPTNEQAPSTIVVNMNVNVGTS PADLQPLRHHSSRPRLSSVADATSTAPGHHHRPSVSYINRSSSLPNEASSPLTPKTSS NSIGRSYIDYQNSATSDSDANNTTDDEYHINRKSSTPKLHGMTPVVWPKKAPNVRWIA PAELNGQTAPPPHFEPAPNSQLSISTSSVSNTASPSSTTSTSSAFARFLGHHEITRKK SQTDDSQVPNAFSTGQRSQSALDQLKSQILEMEEERKRSKKESHIHALVDQAGKPLTL SGAMTM JR316_0007137 MSQRLSPHSSPHRHADINQSPSQVASTSSLKRRASPSFECPDDN SRKKMKEGVADNIHQTDRISSENSATFIDDLLEELQCGCCSGLVYNPVLVVPCQHFFC GSCCTLWIRNGGTSCPACRGGATIAMPFRALQPIIERLLRNAPERARTQREKDQADEI YKAGTPLRIPPPREASPPPDVNRSTEYVHPCPHCLPNNPYDWRCPQPIVDPSSDIDHA WHIDDGVPPGHGNCGNCENLLALRAPTTTKCDLCLVSFCGIGVQDRCMALPLLSQHPH NMSTHSDLIQSAEVYECFDGNTVEVEIMLEYLDTQGISPRHIYREIVQHIQKQPRGFA PLLELELFTDIHSVAPGPEPSADSPRTKACRLCAAEIFLWGLREWWVRERKKGCLDQS IMNRKDCPDAARCVRQKNDLAHAKECPPHLSLDNHIFSAPDSEDEQNQVPAPEVVDVT GADNSHPANVEDPPVQPMLGISSPSLPSIPNEASSSQSSLALQFLLNEVGEDVEMMSP PPFHPPVSDACNTD JR316_0007138 MSRPLWYPRIATHSPFKRTSTRFVLKGKRFYESGSAPPPDAPAI PYGPGIPPPLKGVRVLDLTRVLAGPTATMLLADLGADVIKVEEVSRGDDTRSWNPPSA PLLASAPDASKHLPPESAYFLAVNRNKRSITVNLKTPEGLEIVRKLVEKSDVLVENFV AGKLASLGLGWEDCQKINERLIYASITGYGQTGPYRTAAGYDVIIEAEAGLMHITGEP DRPPSKVGVAATDIATGLYAHGAIMAALLSRQQTGKGVWIDCNLFESQVAGLANIASN YLIAGKEASRHGTAHPSIVPYQVFPCKDGFLMIGAGNNKQFKTLAEKILEDPSLATNP KFATNDARVANRAELLEIITGVLAKHTKDHWLQRFTGLGVPFGPINNIEQTFNHPQAL ARKVTVEVSVSGSELVATIQQKPKLELQHARAGKIKLVAPAVAYNGQKMAIRLPPPWL SEHTDEVDLFSRDNTAGDLTR JR316_0007139 MSATEHAPLPRPLPTSAPKDPSPSPRISHQEPLRPIQPRSLDVE PEQKEPPSVRSPVPPTSPLPEPTPLDDKPEPPSQPKPEEPTKEAEDKDNDDNDDDDNA SKDVVHMETFEQILELDEDDTHDFSQPMVWEYFEQAEKTFNDMNEAHENKDLPALSRL GHYLKGSSAALGLARVQNSCEKIQHYGQLRDEVANRDLTPAEALEKTSKLLRRVRKEY NEAQDWLKKFYGPQEGA JR316_0007140 MDLHLPTPTHFPAFPYSPPYDIQTSLMRHLYQSIEDKKVTIIES PTGTGKTLSLLCAALTWLADERERAKKGKLKEVIGDDGVKAKDWVVEQTIDRMRREME ADEQEYEQRLMRARKREEAMRRAAKGRVIKRTKITQPANEEKDDDDNLRMFLPETDRE PDDGAMHISPALKALMEKVDKVTKASTGPSAGEEEELTCTKIYYASRTHSQLSQVLPE MSKLKLPAKIKLTDHHTSTSLPLKRGIDSIEEQCSSGPSTRAVSLGSRRQLCINDALR ASALDLDEACRERLGEKEGKRCQYLPPIGEEHRMLDFRDQILASPKDIEDLAEAGRLA HTCPYFGSRRAIPQAELVTLPYNLLLQKSAREALGIDLKNQVVLIDEAHNLIPTLLSL STTRLSYATLLTSFQQVCVYVSKFKTRLSPTNMIHLKKLVLILDSLKKYVEEWKISKA REKDKSEIMTSGQLMERLGRRVAGINLLEIGAYLKESKVARKIAGYSDKVEESNKDGR ITFTLIESPGRENTVEMRYQLLNPSPHFQEVVEEARSVVLAGGTMSPISDVINQLFSY LPSEKVTTFSCGHIIPETNLQTLVISKGPTGGELDFRADKQADPTTIGQLGQVLLNFA NIIPAGMIVFFPSYKFLRTANAQWKKSTMLEKFATKKEVFFEPEESSQVEKVLQDYAL AIKNPAEGKKGALLFAVIGAKLSEGLNFADDLARGVVIVGLPFANLGSPELRERMKYV KSLEEQKDGASKQKGQKDAAAELYENMCMNAVNQSIGIMEWLRFYMLVC JR316_0007141 MGFFSSRKAEDNDSYQVAIGLGGTTTEKASVVQVIRSRFYGKKG KEREDQPISYLSGVAAAQALSPPHIPVASTPLPSSPLRREAAPERSRAYEDRALPPTP SSTKNRSNGKTVSTLGIRTDDSIASSSHKQAENSGSPTSLSPRKNTDPVTATLAQRLN ELALANSEGLLNDDEYRLLRQNLFERFASSTAVPIETPVVPVSPARPRLKKNGTAPSV RPLSNFQVETRPASISSKTSATSGVGSLFRRATGRRSNDTSDAASVWSASSNTSFFKL PRALSKKSSNSSLQTNTSRMQSDSISISSPRRNGSVRDHQMSTAPRSAAGSIRRMAAP PSSFNNRAVGQDNRITNSIYNVFDEEHLTTVKDIMQEILNVEAECKRLMDAFNGLEVT TLAKSQRRQHPVRPALKPDSGSNGNAESHWGVESDSRSQRRINIADDTISMRSGTSSV APSLARSAYSNRKARTKVTPMNSPIGVITTNSRPGSLHRKNSASSVSSERRAGKAGAA PPMPALPSSMSHGHFKGANNSNISLVRSTGNPPMNTVHEDDKSSTGHTLRMDAEEYET ELEDIRARREEVSQRYEARLEYLRAKLKGAQLHEKLMRK JR316_0007142 MSNIPSSNNDDGDSFGLSSTPTPQPTFPGLHRTLSRSSQWSASD TPAHHNQGTSYFPTQPSSPTAQFHPSPILRNSSVHSNRRPARSTSVSSSQATNRGYRD DDVLSEANEDEDEVDDENEDNRPHVNRRGKAPAAPEEEEEGDEDESDPITTLKERQSL INVQHPFGLPIWKPALYKKSRSVTRHADEALHSIPSAQAERHLLPGNLFWAAFFGWWL GLICIFISIILYLIPQGGQQYSNLVYGLGWYLFWPFGKYVEGDLNVVDDTKDDDDESP HSARRWSDSNNTVRGVSPPREDTDTVRRPVFSATSTITPGTVNVPNVSFRAETPGETT NLLGRRTSQAPLPIKSYGTAMVPTLTASSGTAVDTFGEEAENGTLIGKICFWLALISL IAPLMLLVCIICWALVITVPMAKLNWALIKHLFTQPTHIRFCSAPPVVVVSTSSTPED NVESNGDANLPTAAQFSIKHPRLSEGQQAPSGSPTSTVLLCTYRAVGLQYYKYTVGGV NILFVNLLPVVFFVIFDGFVLLPIVEKKHHHGEHVPGFLAFLASQAVIFFLSLTSVIP LSYFIGMAVASISAQSSIGMGAVINATFGSIIEIILYSIALVQGKGHLVEGSIVGSLL AGVLLMPGMSMCSGALRRKEQKFNAKSAGVTSMMLIMAIIGALAPTLFYQTYGNFQLV CSGCPSSPGNPGDAPWICDHCYYKHPDPVDDPFYQSTVKSLMYFCAAVLLFSYLIGLW FSLRTHASQIWQNPQQLLQPMELPTHRMSLYNKILHPGHTNAPSGSQPPAPLHRKPSN TNQTGAGASSRIGTSLPREGSSSGINPPLTPGGRYASASPTFQRKASYPPVQPAQPPN FLPVLESVDHAVKNADLRTMHLPEPLTTDDFTRAVAVATVSALRHQQTQSHQRIRSAE HEGGHGHDAPSWSRTTSASVLLACTALYAVIAELLVDVVDVVLKDSGIDEKFLGITLF ALVPNTTEFMNAISFALNGNIALSMEIGSAYALQVCLLQIPAMVAFSAWYDPVNMGEV AKTFSLIFPRWDVIAIILSMFLMTYTYIEAKSNYHRGSILILSYLVLISGFYFAPATA LDIDQGHFVQTSGLQEFPGVSILQTVRWYLHSLF JR316_0007143 MKVVSTFLQSSSVVSSVKCRLASRDLEYLVVAKLNQLSVYSLRP HGLQHECTLNIWGKICSVKALPLSDSGYDTRSNIALMITHPEPELIILAYREDENGEG KLVVNKQISLYERLPRVAEFFTDFLVHPSGRLVVVSCYASKLKIVTFKGGNYQQDFDV SLPEINVFSYAFLPTLDDEYALAILHFDFQERLQLCARDIDLDAFELSAQFSILLQPT VISDKVVPSPLDSPPRLIPVPPTTTEDGDIPEDAFLGGILVVGGKQIALYELASKESQ EKERGKLKRLDSKKKSNDAVEVSKARTKEMERANRRRKATAMVDWPWSEITAWCNVDG TSRFLIGDYFGRLSMLSLDNIKEWGMILIPLGETSQATTLTYLTNQSVYVGSHAGDSQ LLCISETPTTSNPQSTLVTPPEIAKTTPDNLTKISSKKGKQKALPDDSAMDVDDEQSV SAPDYAKGRVVAPQGSFIKILETYKNISPILDAISVDTDGIGQNQIVTCSGLANTGAI NIVRNGADFKALGFVPGVLNITRIWSARTDRAQQYDTHLLASTVNETHWFSIHNSPNL SLKFEEETTLCLKRNLPTLAFANFSKRNNGGYIDSGLFVQVVPTGAFLFEWDPSVETF IEKDSWEVKNIVTVDNRPLEIVSASVNSSQVGLALSGGKLVILCIETGALRFRKLMDH VTHSEISAITFLPLDPTKKFSTYLTVAYWGSNVIQVFALREGRLVTDQPLRSPPLPAV VRSLLLYNFGTDTSAKGADYHPYLLAGLGNGSVATLHWKGGVLQDLKVISLGNAPVNL TPCEVDGKKTVFAAGNQATVFFCDKNRLTNSAIMLKAISAASSFNTGTFGKALVLAAP TGLFIGCIKDLDKLHIRSFPLGLYNPRRITHESSLKAFGVACTRTVPYRLGNIEPNES SFHLYDDTTLSELGRYNCDTDEEITSVASLRIQVQGEEKPLFCLGTMIYKSEENEPSV GRLLVFTAYTPSNSTKTSTLELSLLASTKVEGCVYALSIVNGKLVAAVNSSIVMYHVD VTTEDVTVPTYSLKKLAEWNHNYLVTSLGTFNNRVVAGDHISSVSMLKVEDNKLLSEA RDYGPLYPLCVEALDESNVIASNDTLNFVLFKLVDSLRGKMLETVGLYHVGDMVSKFI RGSLSTTEQSRESMIKPEVIFFTASGKIGVISDVEDHTLSLHLTELQRNLASALPGVG NQSHTRFRAPKNTRGLSDSDTAAYGFVDGDFLEEFLGVLDSPDILEKVMKGGSAPEKL KLTSDEIIKILQQLQSLH JR316_0007144 MSSLAKEETQLQTEDIETTEPELVYDEEEEIEAQESDTELPVAV TEVQPKKREKREAVELEREPGKTLLPFSRVQKIIKADKEIPIVAKEATFLIALATEEF IKRLCEASQHVAHREKRSTVQHKDLATVARRADEFLFLEEIMLWTSADAPAKRTKPKT TVGGKTTMLDKFVTKDADEDGEEEEVIMNEDGTMYAANAAVEYEL JR316_0007145 MTSFIIKAHEDRPPHSTWKEDPECAFCRIIRGELPASKVYENDK VIAILDIMPLRKGHTLVIPKAHISRLSELPSELASSVGEAVSKVAYALTQALDNTALN VVCNQEYAQAVPHVHYHIIPAPRLNSSGRGVEATDEAVGGKAPLTHREMHQKEFEARE ELDEDDAKDLLKGIRARL JR316_0007146 MLRSSFTLRRQFSTSSALRNAQRPIIDHLPQPQDAKAQADANVP EPGPSQSAQTLRREHTPLQIPSDAKASEAFESSQTKTTTTDSTLSKYDLELVKTRIRE WSEQAAIALRNRADDFTAHTKTRFSQLGSEINRVTGYEEIEALKRSVVEHEERIHVAR ESARKAKLAYEEAVVQRTNSQREVNDLLQRKSTWKDSDVGHFTTLVKQDHLYEQEEAR AKAAVEETENVVDREFSNLLRTILARYHEEQVWSDKIRSASTYGSLAALGLNMLVFIM AIVVVEPWKRRRLAQTFERKIEELSEENAAKVDAAVLSIAQQIEQQVNLIGSLKDELS QLPLSEPVEKPSIPTTMERESNTSDLETRPFVGLEYLPLTRRQLEVAAVGAGAFALGI LSSLTLNLLMK JR316_0007147 MTDPNRTTFSSALHPLAVVSMASSSSDVFVLGLGVVLAALYIFR DQLFAASKPKVAPVSTTKPANGSANPRDFIAKMKQGKKRIVIFYGSQTGTAEEYAIRL AKEAKQKFGLASLVCDPEEYDFEKLDQLPEDSIAFFVVATYGEGEPTDNAVQLLQNLQ DESFEFSSGERKLSGLKYVVFGLGNKTYEHYNLIGRTVDAQLAKMGAIRIGERGEGDD DKSMEEDYLEWKDGMWEAFATAMGVEEGQGGDSADFVVSELESHPPEKVYQGEFSARA LTKTKGIHDAKNPFAAPITVARELFQSVVDRNCVHVEFNIEGSGITYQHGDHVGLWPL NPDVEVERLLCVLGLTEKRDAVISIESLDPALAKVPFPVPTTYGAVLRHYIDISAVAG RQILGTLSKFAPTPEAEAFLRNLNTNKEEYHNVVANGCLKLGEILQIATGNDITVPPT TANTTKWPIPFDIIVSAIPRLQPRYYSISSSPKIHPNTIHATVVVLKYENVPTEPIPR KWVYGVGSNFLLNLKYAVNKEPVPYITQNGEQRVGVPEYLIAGPRGSYKTETFYKAPI HVRRSTFRLPTNPKSPVIMIGPGTGVAPFRGFVQERVALARRSIEKNGPDSLADWGRI SLFYGCRRSDEDFLYKDEWPQYEAELKGKFKLHCAFSRQNYKPDGSKIYVQDLIWEDR EHIADAILNGKGYVYICGEAKSMSKQVEEVLAKILGEAKGGSGPVEGVAEVKLLKERS RLMLDVWS JR316_0007148 MVLSFLVAIACALYYRNISGGSSSNTKEKEYGQSEPMFHVSDIP GKGKGVLAARDIKQGELVLQEYPLFTVPLHIQSSPSALIAERLQKLSSVQKEAFFNLS YVHFPENLDPKEHVDRLALAIFETNAVSAGKDTVGIFPTMARLNHGCSSAFNVVYSWR NEHEGLFVHALKDIKKGQELLTTYTDTKRPREERRKLIPEDRAYLTQQYGFKCTCDVC ALPDGLSQASDRRLSKISELYRKFATWGNMDIDGIQAINYVREIWEIEDEEGYWSERG QLAADAAWVAASHSDASAAQAWALKAAEWFTYEIGADTPQVRSLITVGDRPQSHNAWG TREKLEVGGPKY JR316_0007149 MKAATMQPTIWIARLAKIVQENRLFGPTYQFDDMSVFDLERAVF LPPKLLEHAKESEENLIPPFSCRVITNLLEEDEVISKGMYSTYREGSDVNDIIMIPGG RFLFTMSEKHGDGVTYTKLWDLGQPNTQPSRITLLSRLAGQERICTTAPTPDGLGIRV ITATTAKEDYQIKVYHIFPLSPKPRFEQTASIKLSEYIRSVNISGNRIICSTSRPPQP DLFGMTPQPELMMGFILIWDFINNTSAVLRPTYPLPQELYLWGKHVITFHSNTLSLWS IPPLTPGTPQFIRSMPASAPKWTSVLSAWSVDRAGAKNNMLLPQTGWIPADSCSQYLI AESIPIEPSDDLPQYAVYRIDSSWAKISSISSTDTHSETEEKQQPQPQHIVDFDPNLF GEDFRMYRSPAPPQIFKKDIFLTFDASGSIVMAAIPLPNVENNEVTQGWKFCCLAIGR LSSTTYLQFCPATGRGCSLWGGEVRVFDYMLL JR316_0007150 MQTTSSSVDGGSARTGKAVNESTPLLNSGSEYQCGIGPSYARTY DGSSLRSSIAQCSPDPDRDSDEDNIQSISRISIQKDKCLAQRSSQHKWSRTNIGDDTE TFQSTGDAQISSKLPFRVLESVSLRLENSGSVARDHLASERTFLAYMRTSLAIASSGV ALVQLFSVTSTLPTQGPPYRLQAYIRPLGASTVFIGILVLFIGVTRYFTVQSALTKGY FPVARIATSFIAIVLTARP JR316_0007151 MAVRRRNATETVPAPSTLQAKGSNGSKPEQSSTVGVSEVAPALL DFYTALTMLFGGCCSNVISYEQLLNMNPRFGSAMTFCQTLFIATQSLPSFLTFSGSGF LPRLKPRQVPLQLWAIQVLVLTTGSLLNNWAFAYNVPITIFIVFRSAGKCFYPTMDAD NKQFCIGLPVSMLFGFLILKKRYSVRQLISIIMVTAGVIVVTLSRATSSTETQTHKLS SDDFSKYFIGISMLTVSLFCGGLLGMLQEQTYTKYGPCWQEGMFYTHALSLPIYIFLG HDIQQGIVSLYNSPSNIPAFQAFGILAGNLLTQLICVSGVNRLSSQVSSVSTNIALTV RKALSLCFSVWWFGTDWNAQLGIGAFMVFFGSFMYTLNSDKIKKE JR316_0007152 MASTANDQTNRRDASGWSASHYNKTASFVYSLAFTTPVLELLAA QPGERILDVGCGSGEVTKIIQEVVERGDGGVVVGTDLSESMITKAKENGIKHAFIADA QDLEYPRDEPGFPEKFDAVFSNAALHWCKRDPLGVLTGIRKVLKPGGRVAVEMGGFMN AIGVRSALHDVVRSKGRDPEELDPWYFPSMEDYVKLLVTAGFEPTHISLTPRITPLPT GLYDWLDLFARNSFLRDFTDEEAREIMRDVEDRCRGDCRDASGKWAMMYTRLRFTAVL KPDEMLNQ JR316_0007153 MSPSSVNVSGIAPTTTKAQLNDFFTISSIDYEEKGNTAIISFEK PNAAKTALMLNGGALDGATLTVTSDVAHHDEDHTSSGPHVEQSDKPRAGIAAEYLARG YKLSDHILQRAIEIDEKQGISSNFLNYFHSLDKSVGERALGPDQTVTAKLQATVDSAA QQARAVDEQRGISKAAHDYYQRAITSPFGQKVKAFYTQTSKQVLDIHEEARRIADQEK AQAASAAGSDSKAHSTPVVN JR316_0007154 MSNPVPAWQTEELQDEWPEEEEGAEEEENNLSYGSRSVSLTVPL STHIHTTADFEPDVTPSGSGRAPAGTFVVREEVAHAPLLPKTPGRNKKNAIKDFFTPL PLERMFEPPSPPVQDGHASRASNPSPLSHVSNAQTVEEVEQAEEEEEEDEIMETDMPN MNSFHGRKATQGCQFTFAMPRSGPSRPSPLPQAQSTPNPPTVANNVAPLTDPRLRLFQ FQYDTYTREHLSALVDSIAINTPSGTGTGTTATPTSFNNGLSRVSEVTGTAANMSHMR STKRIKLSPSSDLYGGSPPQATIARPKIYGKDYVGESLSLMQKIKQARDYSTISTVAS TQTNSPSVVEEESAKKKSDRYLNLKQQQQPSGSQRKPSFLAVPEQTSSNPSSSGTASQ STSYSSSSYRQKAAALMDQIKSDVKRQKRIFSGDTETSHVTTHVEDSDTSIMASVKSV SDIKENSRHSHRRTSSLKKNLISRASPRKQGKQNIEDTDIAHNLSRLSIQQQQPQQQH PIVNVTLIPASQSVLPSNIPHEIGQDGQQRAPPPSSLAPPSYPSNSVRLSTNEDMNRF VSSSTTASGTTITAGSAPSFVKHAGPAHIRTIAPTDLPSLPERFGDMLFDKVMMRWVK NTAQATMDPEKAINQTEELSDDPFGDIESLRDDSRPAEGNTQPAASPSPEPQQEEEQF EHAEEEEGANEDEGPVVVSAGAGVGEMSRISEHSEVEDAEELELSNFSTDASVQSAHI VHLMTGVDTAEYEDETSDSEDDHDLHTATQRAEINDAEFDSEYEDSPSRNNRTVDVLS PPRRVPSVSAPASASVPGPLHPEQQQQQQQQFLTVQTVQVHAVTSFSTPSRGHSSLMA GTPVIKSAMKSNSVTPTSALKNGSYRHNYQTPDHKKAHHRSVSFSDGKREGPIQGIGA SGGGPQSVRSKRIADLMDALEYSDLDEEDSPSKVSSSGRPEELQPLGSRQQRASATSG SISPASNVGGATSPRRAFSRTYSNASSSSNKQRAQSSPGRQQSFAKANGTFLTECSFG VAHDRLVEVITDVQPFEPHWEELASIDLSGAKLESVARLKEFLPRLDALSLNNNELAW LSGIPGTVRTLSVAHNFHLLNLENLDISNNEVESLRQLECLRHLRELRADGNKITSAD GLQRMDGLVKLSLQGNVIERIDFGQYRWSRLEMLNISHNCLDRMQGLSSLQSLIALNA DMNKLGELEVSGTMGRLRILRVSGNRLKNLDVGRMMNLRTLYADNNGLAGLVKVDRLT KLENLSVRNQSNPLKSDFMVEPCYNLVYLELAGCRLTALPEGMARLLPNLRVLNLNYN FLEDVRALEGLTRLKKLTIIGSRLKNSKPLIRLAQKMPEAEMLDFRMNPCTLGWYLPV LMSEGGGAVSEGGRGGAGWQELDSAFRRDLPDGAYIGRLAYRGLIMESCAGLVQLDGV RVSWKERRKAGQLLEGILGRKRTGAKDSEFITDISPSVTPPILLLLLLTTAPPPRPDS LDYDSRRMSSSAASTADTDSSSILSRPEKRSLTPADDPQPKKQRASGDPPAWDIDSAS STHSYEKHSPDAALDHAKVQLPSISTTFEDSYRHDVRRASLPTLRHAPYPPTSLRQNY THAPGTQSSLSSYTFPAPADDHQDRRPRVSTDVPFGGSASYDQYPSSGLSTGTTPSSS FSHFNEVRTPGLSPYSESESWNPSPSGIVRPSSTPGQLSSPAVKYDDGLRHASFSAPM SQAHMFGSARISGQHDRRSMSGIKGDWSFPNQDFVLPSGNQQYSPSLGPAAPNISVSS PSRSPPSMPSSALVDRPTRKRGKLPKETTDYLKAWLHRHSDHPYPSEEEKKQLCHATG LSMSQVSNWMINARRRILAPAHRAASGPTTTAPFPPSGRSASLSGLLDPMGRRASMPA ADALQLYHPMTLQSMPNSPNGHHHSSDYSSRHMMGMSSSRSTHHLGGGGGMYDSHSSS RHMGLYSQGGSHSSGGAHTQSSGYGMSSDVPLSAPPSLSGNPFSSHGGSHGSSGQGMY PSLLPSPRSSSQQQYFNDAPSHSG JR316_0007155 MYLFVFLVLLVALALLRDFLLLLFRQLCSPLRRLPGPPSPSFFM GNLEQMHDMENNNLIANWVNSYGTTFVYRGFIGGCRLMTTDPVAIAHILGNAYHYPKP DFVRESLASMAAGHDGLLVVEGADHRRQRKILAPAFSAGHIKSLSPIFWDKATELRDI WLTEVDSASFDPGRENSITRIDVLVWLGRATLDVIGLAGFGYAFNALTDDSNELARAF SVIFSTARKFRVMTILQAWFPFLRRFRRNNAAMAQAKATMRRIGLELIDEKRKAIQHE RDSYLHEPAKIGPLQDQSTARSQGPKELRGRDLLSVLIQSNLSSDASQQMSEDEVLSQ ISTFLAAGHETTSSALTWCLYALANNRPAQEKLRVELRKLDAADRTQQSPPPKSDHED LTERIARCGYLDWVVRESLRVHAPVTNTMRVCMRDEDEIPVQAVLPRKSNAQVDAIDD RGRTTMDQNVDIHGNRRSTISVRKWDIISVPIQAINKSEAFWGEDAKLFRPERWASPP QEARAIPGLYSNTLTFLNGNPLGGNRSCIGYKFALIEIKIFLYVLVKDLDFSIDPTMV IEKKVNVVTRPFVKSEPHLGNQMPLNISRASSYSSASVLYPLGRSSETPTISPPSFSL PV JR316_0007156 MSAVPDESDSLLTLPLTEQLLATHPNALQTPVTHVRPIDLVHAY CTGSSLALPRHIRTFVHAVRTQQLPREPLNSFHVRPLPFSQGMSPKKAHEVSRMASYI LRLVRHLDQHALHIVDIGAGQGYLTRALKAYLPHAHILALDADNEQTLGAQRWENRVL LNANPSIDHKVIFISPESLLKALDDWVGPRPNPVPVLFVALHACGSLTPDILRAYIHA THNPNTNWRTAGLVAVGCCYNLMNPSVATRHLPIAAYHLAAQIPSQWLTSIDPPTFDP SVELSIRKVTWRALLGKALQRAVPDPNYRESANDAAIKSATVPARWSRRPEMTEETLS TLEPQLKFDAPESGTGLTEPLLRLGRLRDSAYVSWNTFLRAAEQRMGVLFHPDDFEAE GKGEKAEIRDGDNVNERGKGGSDDLRPGRDTTLENDLAAHHVLRCLLGPVIESAILRD RLTWVRRGLCNRVQSDLDHSTRRPIQTLQQAGATEPTEQGSDAYAERDCGDAQRAELV NLFDQATGSGRNVAIVVAPVRYFPSTSAEDGVES JR316_0007157 MKRALSALVAYASSDEESPCVVQPKGTCPDPPPKKRKKLPPPSS TITIPSPVDNPALHQGRIRTTPHVEGQFAAHVYVSLPLGRNSMLYKVVQAILYDAKKA IPALHEIWTSQQRPELHISLSRPIFLRAHQREDLKRAVKKVANRHRAFTVSFAILSEL INDEKTRTFLTMEVGAGHHELRSLTDAIAPTLEAIRQQTYYVKPRFHASIAWALLCSS DHAEGHRAIPSVSNVSSFPETNASLNEDFPSIKCLPPETVITLNERYASQISSPNVGA FEANAVTLKIGKDISTWTLIGP JR316_0007158 MNVQFINNLSNEAENNVDHVSHDHTHGSHTHGGPGDHGHTHEHM ENAGKYSERDLPDYSSRNFEERGFTVGIGGPVGSGKTALTLELCRRLRKEFNIATVTN DIFTREDQEFLIKNKALPTERILAIETGGCPHAAIREDISANMGALETLQAKFECQLL FVESGGDNLAANYSRELADYIIYVIDVAGGDKIPRKGGPGISQSDLLVINKIDLAPHV GASLDVMDRDAKLMRGDGPTVFTSVRQGQGVEDVVALILAAWRQAGSPGKNVAVGEL JR316_0007159 MNPEVYSTLCLRQNLQSGLPLSIRPFNTKLITDPNMLNNITETI IQALRILAAEIYLGISFIHRFGIVHQDVKPENLMVSRDGHIVLSNFATARMLPVVELR DLSSPTKMKRTFGKIKMLDTVINLTPIYAAPELMKTRLDASLEYDERVDWWSLGVTLY AIATGQLFFEKEDNLPACIEELLGEQSDHRKQNGNGFWDFLGLLLVRDPELRMCGKQV KLHPFFDPLIGIWGNIESLRHTPPCPHPPKLAHFYSAGQTPSSNDFELPNSYEFGNVT IYQEIPNAETWSDASETHKNMTSPHISSAWGLHRPFENVLNNSSEPDHLNCGNDFGAI EQRNLLDSFLVPNAINQSIGEGHSICSAKPWLYTASPARICNDGTKRYPQTDFGDMEF ETGSGKVEGDFNDSGLHLPLETFPKTLGPSKETDLQLYTRLDHYSHSKGNMKPQINNP TQHKHCTSELGATWSFEEQLAISLLSAGTTSPNNNGNASAVLQKRGTTMQLFIGISRK IVKAVRKKISQIFQQ JR316_0007160 MQVIDVPTSTLQAPPMATPSIGPDAKSYTIIRIKRKRNEEPLDA LVVESRVRRKKSRGGIGVFQYAQTVEDDVWDDMNRRKDIQENISNLARETAAKLESNA TLPSITEVPPSPISRKTQEEVARRYTIVDQADPIQAARRLPAAPPKVLSSKEVAKNKA LADFKMYDAIPTDQQPAIMEEPSEMDKFLPMLNDYLKIHNLNSTADKALSPLTSSKLN ETKSNSQTSSDDDYVWDVFYHRPATLSEWNEVSNIASVHVYCTGLPPSFGDGYDSDEE SDEEVDEADEDSNAEEYYKNDYPEDEDEDESDSGDEFHDDSDYDDMMDYRNSAEQFNF JR316_0007161 MATSDSKVLGVVILIRHGDRQGFYQDPIDYSSSNTGITALGNLQ SFELGELIRSIYLSPSSPSFISSIDPDLVQDSQIHIRADGGGERGVIFNSAVSLLQGL FPPTPNYNSTLANGTVVVAPLGGYQTVPIESVEPDNDISLEGWADCGTFIEATNAFYN SPIFKETEAAYANFIKSLPQYLDNRPATLTDIVRIFDFINVQLIHNSTFAKQLPEGLL EQVRALANFHEQGVFTSPQLNGIGNIAGQTILPSIIDGFKNIINPTSPLKFVLEAISY KPFLSLFNMTGIARQNPQIAGIVDYASAAAFEVRQPTEGGEPVIRFKFKNGTNDQFNT YVFMNATTDVPVSTFINTMQSVALSDIPTWCTVCNNHQDRGCANYVAVANASSSSITH RDPVNPVAAGFIGATVSLTLMLIFLTLLSFFGLLNFGKHKSSKLLKSTVSK JR316_0007162 MTLEEITSNSSPIQVASQNTSTLLESEPKNLHDPNTPYATLRIN RNRLSWFRQIGSGIILDIRARAPWYMSDWKDAWNYRVIPATALIFFANVLPGIAFSLD LIETTEQYGVSEVLMSSFMAAFVFSIFGAQPLTIAGVTGPITVFNKTIFDILSREPKP PVYLHFIGWVYLWAAILHWITALLNFCNFLKYVTLFSCDTFGFYVSWVYLQYGIQVLT RQFGPTIQDSIEEQGALVSIILAILMLVTAFLFDSLSQTQYFHRHIRRFLADYGMPIS LIASSAMAYWGRFNAANPLTLPTGHAFQAAGGRGWLVKFWELDGKWVGIAFPFGFILW VLFFFDHNVSSLMAQGSEFPLRKPPGFHYDFFLLGITTFLAGILGLPAPNGLIPQAPI HTTSLTVMGPSLKDEEGQGTTSSRTRTQSDIQSQRQSSINEQFSQHPSDPPSGHRREV PVSVVEQRLSNLAQGSLCLVLLTGPFLHLLHLIPRGVLAGLFWYMGAAALRANGITQK ILYLFQDKALTSTHEPLRRVRKSRIILFIGVQLVGFGATFAVTQTIAAIGFPVIIMLL LPIRTFMIPKLPFSREELAILDGPTASPFTMASVGGTL JR316_0007163 MAVVHRFDTLKAVPNITSSILIAHAENDWDIPHTHSDILFQAFL EPHLPAVDMPTDPLTISQEVWDIISKQSEARRFKRNQILSSKTIPNFGTIDQFVDGPR KVALVKTLAGGHDYLGVQEGLIDIIARNFEL JR316_0007164 MDNNAPDRKIQSQPPRLSSTSYVYPVKSLLEGRIQPALPESSVS SRPKFSTSQSSDAIVDLPNEHRDRSARIGAEKSAIWARDVHRSFGDRTSATSDIAVDE FTEVDQALLMKRDKDKSNRPRSNSTSSIPSPARSIEKGKLKEVTTANVGQDKLRKSPE RYSYTLQEDSPYFPRTFSSLNIPIQHTDIQDASAFVNLESSMISTAHVLGHRAPERHS PRSITTSSINSPPRVHQNWNPRDISTPTDKMSFNTQRFNAEDNVTEAVSTDPHHIQPS VSEDSISHETCNYDQLDTPVTLHPASTRPEQIVNDEASTLTFNPSLFGIVHLPPLPSS SSPSGRGSFSSSPAKSGSRGLDFGLVNNALRSSGRSVNGSNSTLSHSGKEPSHTMESA NISSNPSIQDTDFHSSLETKSTTHSEDPHVSVRFRTMQDEHGNHVVIGREGNVTRCED EPIHTPGAVQAFGVLIALDEVEDTLVVRQVSENSTELLGLPPKFLFDLECFTDTLPDT QAGVLWDNIDFLNDPSLRSDDDECSPQVFQLRGWGAPGSGHSQEFETEDGKRLWTCWC AIHRSAATQSSDKSTGLIVIEFELEKDVLNPLYPPISLPPSSASDSPQPSSQTGSESI RGEHMSPDPESDQSTAGQPSSKYASSTPSMLSTYLESGRDPTLPQSLHGLNGDDEWMP SAEDIIRSTTSQSRPLPALERLRRMTRSAVSFGNSVHGNPPKRRRGTKSRGGSSAGVM DVFAVMSQINEQLGAAPDLNTFLNVVVGVIKDLTQFHRVMIYQFDELWNGQVVAELVD WAQSHDLFRGLHFPASDIPAQARKLYAINKVRLLYDCAQHTARIVARDQADIDNPLDM THCYLRAMSPIHITYLQNMGVRASMSISLMAFGQLWGLVTCHSYGSSGMRVSFPVRQM LRLLSQSISKNIERLSYAQRLHTRKLINTVSSPNHPTGYIVSNADDLLALFDADFGIL VIGEGAKLLGPNQHGQEILIMAEYLRLKQFETIQASQAVVADYPDLELTTGFEVIAGL LYVPLSSGGKDFIALLRKGQPRQVHWAGKPFKEGEGGSTSLEPRKSFKIWSETIAGRS KAWTDDQLDTAGVLALVYGKFIEVWREKENAVNATKLTNLLLTNASHEEMAMNSPLDK ETHDNLQKSHAASKSLLFTINDLLDLTRLESGTETSFNEAFDLRTAIQEATLIYRKEA KRRNLQFILDLAESPTMVIGDIKKIRTVVQNLTANALKFTTEGCITVSCKTFGEPEGL RNVDQIAVEILVSDTGCGISASRLESIFRQFEHVEPSQPKSNGDPGVGLGLAVVSRIV EQLGGQLRVDSEAGIGSKFSFLIPLSLSTGGQSMASSKSDGTSRSSNSLKISPVGSRS GSLFTESAGRDIEFMVNALSSNHMTSPRGTSANSLDDRENSEQLQRPGLGRRNSSQVI FTLSGPRSPSLLPPAGNVEYDRKTVEVISPLPTPRGDDTPSSSTTDVASPPLPLHHRL EHVSLKVLIVEDNDINRIILAKRLSLSGHKVINSTDGREGLNKVISDPTFDLVLMDIQ MPIMNGFESTASIRKFEQEINLAQTTQCQSQILNGRIPIFAVSASLREQQREELLHHG MDGWILKPIDFARLNEILKGITDPNQRHKDVYKPGCSWESGGWLKRHS JR316_0007165 MSTPPPTRREILIVVALLALFVFFSSSSSLSTINTVLVERPQDH STNHTSRPISHLQRPAESLLNWGSTLPPESTIVSHVPGWTLFDKLYILNGTVYIVSDR PVTVPDIQFIYSKGIFIEPGQEAELSRLPTEEQIRIISTKEAKAMFGTNAQIIDGVTL LVNDPPQFITHYYHWSAELWFGFWRAYSSLDPTITAEGKTALPPARRILFNRLDNFHW RDYASMNQWVVRASFPSVTMEFIDDWRDRAELGKAFVFERVLVADRSAAMLSYNYQRY QRTAAAAFALPGSMNWWQPIRNNVIGFAGLDPEIGGGTTSNPVITYISRQKWGRRMLI PEHHDKLVSELYKLRDTYGYEVNVVEAENMSRLEQIQLAARTTIMMGVHGNGLTSLVW MKPSPRATVMEFFFPEGFAHDYEYTTRALGMVHYGFWDSQYFTSPGIPTPRYVDGFQG NAIPINGEVVARLCLERLSLNEEVDD JR316_0007166 MGTEVSSKEHITKHNSKDDKRDKREKHKKHKDDSDRKHKKRRKH EDDGEEDGGHRRHKHHKRDRENKRGRSGESKMEIVDDNPSDDNLWVEKDIDMGGERIL ASDIPTSESLKLTSSADATNSSVPLPHPTRIESTLKRDDWMMAEPSTPVLPVGARPQL SRIDYSVEIGEESLDDGYGELSGNSRPVGGGVDFFSSLGTEKKKPSRPDRPDPDKPKV HYKELNQDIKEGRPIDFDEPPPPPPSITPGGPGSQWRMMRLRRVYENAEEDGVPVEQL GIERFGSLQAFEEAKQERRILDEREGKRSEARGRTSERPTHYSRDGEKRLMFSDVGGS GGSSRSSSFRRPGGVTDSVPSTPSPPTGVARPPTNRRLDSLRLPSQASSPLAQAHTPI PTVMTPPPMASGSKSRPLSPSSLNKLQAKVIRAKLMDSPDAARLEQEYEVEARKAQGT DDGGVKKKVEVLPTLDARGRMYDVGHGKEDDRKTGEVLRINPDDDTMTLGEMLRQERM GAGMSDQKDLDAQYARAVMGDGKFENDLDYIDDNAEKLGRQKMRSDGMKRQFAINDYK RTQKVLASCNFCYGEDDSLPKAPIIATGTRVYLSCTLTDELVDGHCLIVPIQHHLNML EGDDDVWDETRNFMKCLMRMYAEEDKGVVFFETVLSLRKQKHTYIECVPVPWEQFDLL PGYFKESILASEAEWSQHKKLIDFSARPGGFRRALVPNLPYFMVQFDHKGEKGYGHVI EGAADAQDEDGLEEGEKGGGEFPWYFAGEIIGNVLELEARRWRRPRRIDLRRNNERVA EFKKKYTKFDWTGML JR316_0007167 MEVPDAANVRIITTLGHVDHGKTTLMDALLAANNIISPRMAGKM RYLDSREDEQERGITMESSAVSLKFQVMERSTDGGIKPRTYVVNMIDTPGHVDFSSEV STASRLCDGALVLVDVVEGVCTQTVAVLRQAWHDRLRPILVINKFDRLITELKLSPIE AYHHLARLIEQVNVVMGNFFASERMEDDQRWHEERERRLAEKKDAIADEADATVNDTE EFQEKDDEDIYFAPEKGNVIFASALDGWGFRVGKFAQLYAKKLGIKEANLRRVLWGDF FLDPKTKRVISYKHLRGRALKPLFVQFVLDNIWAIYDAVVVNPNPDKVAKIVTTLELK IPPRDLKSKDTRHLLSLICTQWLSLSTCIIQAAIDIVPTPPVAQATRIPKMLYPYLYE ATLQPRNKLEEDLFTSKSGSKACVVAYVSKMFAVSSKDLPENKKKPLTAEEMRERARE ARAARSAEAKEEQKPTPQENIPISEAKQLEVEEDSKKTDGEVVLGFARLYSGTIRVDT SVYALLPKYNTELAPTHPNNVRYLLTAKVESLYVMMGRELVPVTEVHAGNIFAIKGLE SKVWRSATLCSPDDAGIVDGRASEDKDCLINLGAVNRSAAPIVRVALEPERPADMPKL INGLKLLSQSDPCVETFQQQTGEHVILTAGELHLERCLKDLRERFAKVEIQASKPIVP FRETAVKASDMAPTKTPNAPRGTIRGTSSQKVVSFTIRASPLPPTIMDFILENLTTWK TLQHDRKVKQGQSVDEEDAGDNYGDVIRKPTVTPEQFWDAFDQKCKEVGGEWSDAADK TWAFGPQKAGACLLLDARKPKPYSSLRVRMQKQIIDEDGAEIERVIRDFDNHIETGFQ LATFQGPLCAEPVEGMAYFVEQVEVDTESLEKELEQNRMSQVTGSLISAVRDACRNGL LDWSPRLMLAMYTCEIQAPTDALGKVYAVVAKRRGRITAEEMKEGTSFFNVTALLPVV ESFGFADDIRTKTSGAASPQLIFSGYELLDLDPFWVPTTEEELEDLGEKADRSNIAKG YMDAVRDRKGMFVDRKIVEFAEKQRTLKR JR316_0007168 MDGVTPALYSHSFSDSHKTAAASNTSPSASSNQVQATQQEEQQA VDEPYTTLFCRALYDYEAQDASALSFRTDDIIEVLTQQPSGWWDGLLGEERGWFPSNY VTIISDEEAELAFSQAESAAAETQALTAQPKSTNGVSHASSEPRAEEQWPDNEASYRN PISVHKAPAANGTQQSDFWVPEVTAGNQIYYVNTQTGQRSRDLPQETEDEVSDGDLAG LTSQTSSRSGTSAGLAFGPTDSAEISSGGEDGHRLNGSPDAWVKKLADDGFSHYYVNK LDGRVQWTTPEGMGSSTRSISTMPSSTSRQPDTSRLSVYSDDSDVEPFDHLQNSRARQ SNGKSRPIASPTTRTEPNQSAVMELTSAERIAKAVQQALEPPPPTFVTELSEIAKGAI QAVVDNVQATGSGRRPEDDGKMDMLIYSVVLAVRNLLYISTSPSIQLSQDATHGKNRD DRTNSQAPLKPAQRKVTATLSRLVLSARAMQYDSGSVLTDTLTRIETDSEELERAVLS FVLEVQRNEHQSKSGSTHIKRLQGVFSTANIGLGLVGGGSAGSWKGFGYVASTSETGM PKKVLGSEAKLEIATSLERLQERNDALNQALRLSTNTSVQVQARVQEFITHISSFLNV VADIHVARHVDIDGIRQSGDLAVHDQYSETVERARGLVRTLETVTQAIYDDSSTLLLA AQTLHDGEKSSHSPDQVEAYDLLHRLSSSLGSNLGVIKSVFDGLLSVGQQQAELSQGD YNGSIDWRMSRLSVINDHFDDPSQKSGIDSYHSENEDVVDMELAFNRPGIRSQKSTAE SSYDSYRTLANSNDTVLTRDSEISLDNTLVTQSPTEMRDSYADNDMGLYEDEVPSTKP TSRPSGTNKLKKLLGDEYADKVAADLQPWYLRPNYSPSDIIIEADGSVRGGTVPALVE RLTAHEQADTTFSKAFLMTYKSFTTLDELFDLLVARFRIQPPDNLTQSEKEEWTKLKQ HVIQMRVINTMKSMVVDDGVLEKEDMYILDRMKEFISTDEVSRFAAAKHLLIQIERIQ TQGGEAKQLVNTNPGPPPPAIAPKSSKKLKLLDIDPLELARQLTIMESQLYQKIKPME CLQRSREQKTENIDNITTVIQTSNRIADWVAESILTKEDSRRRAQVIKHLIAVADRCR TLNNFSTMIAITSGLNTPPIRRLKRTWEQVNQRFMSQFGACEMTIDSNKNFTKYRSLM ASVTPPCVPFIGVFLSTLQFIQDGNPDNLPGGLVNFRKRQKASEVINDIKRWQAQPFN FQSLPPVLSFINDSLNQFQDTRASSDRFWALSLEREPREREDEKMARLLQESGFL JR316_0007169 MATVAPTRMSPSANTIVESVTQNPNGHLQFDNAQPDIMFCRAKY DYTADVPSALSFQTGDIIEVLTQLPSGWWDGLLNGERGWFPSNYTEVMTDEEVERVFS GALEPVIQDVPTIVFPPSQSSTLSDGRLNAPHQDMDNSTSSHQSDFWIPEVTPDGRQI FYVNIKTGQRSRDLPRESDNETSDDSFSALKPQKATRSGNSEDLGLGTYNNAMSTGGM EQTSDDSKAANALTSSPPRSSQTTDGKVSSADSDTSRSDHLFPPRERPAVVSDPDIPQ FLPQVQANSMSKISIAQLTSDERNARILEQALEPQSPSPNIITDLAFAVRIAIQNVVG NVKSSGLSRKPVDDKKMDKLICSVVLAVQNLLYLAAASPIHFVADLPHPRGFSVTQPS TAALKSPQRKVTAILSRLVLSARALVYNSGSPLSETLTRIESDAEELQRDVNSFVFEA QRIHGQTLGANKNIKRIQGYFAANNTGLGLVGAGSAASWKGFGYHFRDVRNGVPQKVL DADVILEIDLSVDRLQRRIISLQEAILDRVSSAVQELVTYTSTFLTFIADVYVARHVD IDGVRQSSDASLNNEYTRMVNDARLLVRSMEAVVQAIYDDSASLLLTTQNLHEGVRNA FSSEREDSYETLKGLTISLANNLSHVKRIFGNLLSLGYEQAEAVKGDYKESIDWRFSG ISAIKIHLGTEDGHTSYNEELVDIELALQQSNQRNSLYPELYPPSPPGGSSPEDSPPD MPSNSNKAPQSNFSPKGFEDDDDTESIAPSVKPPPRPSGSTNVPKLEQILGIEYADKV AAGRRPWYLRADYKDSDIIIDADNSVRGGTLPALVERLTAHDQADTTFTQAFLVTYKS FTTLDELFDLLVARFHLQQPEGLSPAEREEWTKLKQHVVRTRVINTMKTMVDGMLDPE DMYILDKMKGFVSSDEVSTYAAAKNLLVQIERTKAMGDAKTLINTNQEPPPPPIIPKS AKQPKLLDIDPLELARQFTIIESESYQKIKPIEGLQRARMQKNEHDDDIAAVIQMSNR IAEWIVDCILSKEDPRRRAQVVKYLISVADRCRLLKNFSTMGAIISGLNTPPIRRLKK TWDQISQRYMAQFTACEVTVDSFKNFGRYRTLMASVTPPYVPFLGVSLSTLQFIQDGN PDKLSGDMINFRKFQKASEVIIDIRRWQTQSFNLQPLPSVISYIKESLSKFSGGSRSL SDHFWELSLIREPREKDDSEKFARLLQESGF JR316_0007170 MPESPHVVHGRAHVLDNQGIPGVEVGVLLGKGDVAIHVQFLIQG RGQGSDDEDFRPGLDLIQGTEKKTGDHRGRALVRSSDSEEDKYHRKREKRKDGKRSKS KERKRDKKREKKERKEKVKGKKKKDKGSVPHWGKYGIISDIESFSIFTKGQEFHTWLV EERKTNPETISKEQQKKEFSRFVEDYNTATLPHEKYYNMEAYERRMSALRQGEYLPPT DDIYDFNADLKAVSSSHKKKLTEQESYMSKEQLQELRRVQQERSEVGRMKLLGMDVKQ NFGVRMDGTMFDG JR316_0007171 MSQQDEEQSFFERERDRLSREITSGFEELLSSTNALNRKLEEVL GMTKEYDTIAALWHSFYQLMRNSGQDEEVEEELPGLPGTGSHVVAVKQSTSKND JR316_0007172 MVGITERVYASVVAKPDKLQGMAAPFGPNSSATLRRSLRRPTSI MSQEIDQKIAETWKHIQTERKILEATQLIRQATSNQDVLRKNEIKIRDAERSLAYFED TLRELQARKAQLAQRDDPAVPPKGKQYTNLDLIKADTPFTPKKISKMLHQLEFKHQVE MQYKKGIDKMAKLYQADGDKKSKADAESKRFESDKKIHLLESALKRYKNLHILDDVVE DDENDGTGNDGERKDKLRSKPLSGTLYVTVKGARELDHAPVIAASRSRSASKVTETHV SIKVEGTQLAKSHPSRTDRWNEEFEISVDKANEVEIVIYDKQVSEPHAIPIGLLWIRV TDLVDALRRQKAGMDGQGGWVTAGAMPGDNPAGMSIHPQHGDMNSPLGYGANAAGSNP SSGFSGQGSDGIDAWFAVEPAGAIALHLNFVKENVRKRPLDGAGLGGLGRQGAVRKRK GEVHEMNGHKFVQRQFYQLMLCAFCNDFLLNALGYQCEDCRYTCHKKCYEKVVTKCIS RSGTGEGDEEKINHRIPHRFEPLTNIGANWCCHCGYMLPLGRKNSRKCSECDITCHAN CAHLVPDFCGMSMETANELLKNWKDINQQRSKAQTSRPAGRQYNEPAHTASPSLDSTM DRLKLGGPEVGPPPIDPYGRPISATPPDRYQPQDPRMYQPSSPPQQQQQVLQPYGQPA RPPPGARVPIPPAYPQDPMLNRPPNQYDVGPPLQVDPNYGSGGYPSPRMQQQQLQQQQ QGSPQAMKGALPPTPQGRPMDRPGSAPVPQSQRIMQQQIPARQASRKRKVGLDDFNFL AVLGKGNFGKVMLAEEKKTNGLYAIKVLKKEFIIDNDEVESTRSEKRVFLVAARERHP FLLGLHSCFQTETRVYFVMEYVSGGDLMLHIQRKQFSLRQAKFYASEVLLALEYFHAN GIIYRDLKLDNILLTLDGHVKVGDYGLCKEEMWYGQTTSTFCGTPEFMAPEILLEQRY GRAVDWWAFGVLTYEMLLGQSPFRGDDEDEIFDAILEDEPLYPITMPRDAVSILQKLL TRDPARRLGSGKEDAEEIKRQPFFKDVNFDDVLNKRIPPPYFPTINGSADTSNFDEEF TREQPTLTPVHGQLSSRDQAEFNGFSWVAAWADN JR316_0007173 MHLSSHLDDDAKWSIASLVSCTGARPFPSTNDALSVTLVGFDLD YIWKIRNNVPAEKTGFQAAAKLNPFSRSVLSLPYLTAVNSVIERFVVFQLVKRYPLIF GPWCQGTSTICIPEDVGDAVLLEHEVDDKNEIDFVSSLSSSGILESYSEQYNFDSDGG YSYRESMHQKSSEHTWPHFEFALAPPVFSSEEKRMNEDGIEDDRHLNSRTEDNDNDSE LRDRLGSQYTFRWYKNYATSAELDLGDADISSSYCDWSGDDEDEVEGSQEKLNFASMG IPLASNTDNLQPHNLPRSRLARIDEIRLDHVCLVSRGHQGSRAWLSQIQKEDANANHG NCLFADVDVDMEQFACGANFDTKAKDNGKSVEARSAALHLDYDFGPNYIPLDYEGSPP HAVALSLGKNNSTITLGSQERVPTTISLSAESDSSFSTQQELVDRTCEQEGFRKAPSD NGFFAIEFD JR316_0007174 MNPFQRNKVDISNLNPQEQQLFAKYGKLPTHKSVLMKMQKDRKY FDSGDYALSKAGVAPQSTVGTAIPNPENIPHATPGNGHNNGAHPISISPTNSTSPVNK ESGLATNENTNVPDEDQTSVEPEANAESTTVVEETTTSETVVEEIKAAV JR316_0007175 MDSQLREELERVFRDNGHIIDDKLIPECVSICKMYVISPEDFRF KVEAMNYKPSTTRSEIMPITMETLSGVKTQIQQNLAKANAPRAQNASRGLNTAQVDRN RFITGMGRGFSNKTVVQPLPVKQEGTEVESGWVHRSNTVQTSNVSFVGPSSDAESRKK RAYRYMFEKISERSDSLDQLIDDFADLIRAHYEISEFGDPSATTDEEVVVVGRITHDA EAGSIAKLVEGAITIESSRMLSSGARVLLTLDPAIKVRGSVKGVGGFGIYPGAIVVLK GKNGGGGYFLATEVLSIPPLKPSPAAQRLINPKMDPLTSQNQINMMVVCGPFTTDSDI GYKPWRALLHQIKAQKPDVLLLIGPFVDAAHPKIKAGELDVSPANLFRAHFVDPLKAF LIASPGSIVLLLPSVRDLTSTHAAFPQPELDADVFNFNPRIHLLPNPARFTINDVSFA ATSVDTLFHLRKEEYFKRGVEVEPLPAEANDLPNDVMANLCRHMLLQRSFYPIFPPPP DLSAEVNLDMSHFDGARMVDEGDLDYAPDVLILPSRLKQFSKVVHTTSTLNPSFLSKG TFGTILLGPRSAGTPLERLKLEVAKLEVPTPASATATATKIEV JR316_0007176 MSFSDSDDEPPSLVEASDISQPNPSSAPPSQSVRVPLTIICGFL GAGKSTLLKRILTEKHGYRIAVIMNEFGDTADIEAKTINVSSADDPTAEQTEEFLELA NGCLCCSIKDTGVAAIEKLMQKKGAFDYILLETTGLADPGPIASMFWLNEEYANGLAD IVLDGVVCVVDAVFGLKQMEEDHDTDDLKIGESVRQVSPQIAGSDVILLNKSDLVDPS LLAETEATIKQINPAAPIYKTVKGDIDLKHIIGISAYRLPPPDMSKPDGNADDDTHVH SEDCEHTHELPPNHYELRGISSLQVSCGILSEAQLDKLDVWIRTALWENKIEDGGGGT SDLKVLRCKGAFTSEKGVHYVLQGVRSMYEISELPSTDSMGVPDTGKIVLIGKGLDDA VRKSLEHVLAG JR316_0007177 MASTMSEEQLRSVIQGPIDVEWRYEMRRECQEILPGLLLGPFVA SKSLETLLSLHVTHIVCIRDAKEAFSVRPRFPDNFKYMTLDVQDNEEQNLIRLFPAAK AFIDQAINEGGRVLVHCNGGISLSPAFVVMFVMQHYQLSWEDALHLVQNRRYCISPNG GFLTQIKEYEAIYKASVAHAAFPTAQRTVARRKREDEDDEDNERVDDRKRPLMQADSL DENAMQT JR316_0007178 MCVTPFYSPDLCSSYSTVSVPFAHIDGKSSHKFKSALFMGTCQS ALSSVSALLYILLRRKSTDTLSKSLGLEHTEPVAVNGAANGNGKANGKHHHDKPSTRF STKALLLRYLQCSVFITSAAPFGFAALSYISYPAMVLGKSCKLVPVMIMNVLLYRRKF APHKYLVVLMVTTGITIFMGMGDDKKKSKSHGGGNGQAQTPYANLIGISYLLINLALD GATNSTQDEIFTRHKVTGQQMMFWINIFCTLLTSILSVLPLPYIPVIHPSEDGQSELV GALTFISEHPSIIVPLAQFALTGALGQLFIFETLQHFGSLTLVTITLTRKLFTMLLSV VVYNHTLTMGQWLGAAVVFAGISVEAFVKRKDVHAKRVIQEKEKAKIKSL JR316_0007179 MHTGDLYTASSSTAYLASNASWSHLPEHTHPRPIIVEPPCESDE DAQRSNPHRSYRAQSLDVNQKATAAILSQNSPDDAGPSARKTPSPRPASVAASPAALF LSSFHSPVVSTPKPDDEGQVISGYTLGGIIGYGSSSIIRRATSSSGAVAAVKIIRRSD LVKAGNAPQARKRLQHEAAVWASLSHEHVLPLFSTVHSTYADYFFTLYCPAGSLFDIL KRDGNPALPQDDTGMMFRQVVRGLRYLHEVARYVHRDLKLENVLVDEMGVCKIGDFGM SRRIGSLEEEEGEELEQPESDHPFAENSGLHRAVSLAAPTSRRHTAVFTTHSHHLARH NTTRHRNSTSTNEPSHAFQPGSLPYAAPELLLTQTSDALRPHPSQDIWALGVMLYTLL TGSLPFSDSFEPRLQMKILNGTYQVPSGIGRGAERILQGCLDRSVASRWTIAMVDDVA WGVGWGSEGDDVTPSDTPKESITPHPPSKAHSRSRSRPGTIPIPDSSHDCTWDHNSSG GGEASPSRPSLGTASRRSASRVQRSLSRAPALTDTSSSARSASRSASRRPLDPLTADA YMPEIIAPSTSSSTASEPYSAFLDDSALDVDVDVDVDVVASPAECSPMRRGRRQSKHP FLTSRSPSSSVVPTTPPDGHLVLSPDGLEQPEEMHLDPEAAAAAASAPRGRMGMRMGK SVDSMQAGLHRGGVGRARGRRESSFGEEEEESEEWAAQDIIEPALSSNRSAAALWRSS LQRDSGAGTSVSGDKSTHGERSGTGRQRPSSSPPIAARRLKSAETSAHAHAQMQKSAL EAFLKSSATPVPASMGMGMVLRSRSMETGSGRRQV JR316_0007180 MSASFQQAQQDGLCLRYPESLPSQRELTYDNAINLLVRAAHMAT TVPFAWSYIDKPADGTLLLLFLPPNSPFPNDGIRYQEPEVKYTLPTAQRVSSLPHQPL EIPLTPTQEVEVHEIKCGFIPNSQDTSASRVRRRYRLLKTTGHQLVLVHYTRGPATPI PPALMSQPVRAYPLMPVNEPPVYVLGEKTGQKVYPGGVGPVGGGSSSVGVGMGGNPMH PGNPVQGGLPPSAMGMAVGGMSFTQQQAALLAQQNSTMESLERRRERERAAAAAAAVA QRPGPDPAVARPPQQRVVEDEDSGDEMDLFPTRTLAMARYKRNHDWMNDVFNQAAFGN VIQTDPKVRPYSIFSQSDIDEKTAKLQAEVEALQAKSAQRRAEYIRSMQSSIQEHTDM NMVGDTVPV JR316_0007181 MPSVASSTPLDVLRLIFSCLPSPDLAVWCRVNRTVHPVAKEALY RALYPSRRNVLPLCLKLATEPDIALRVRAFTITDDTVDMFLGVIADALLKLPRLRALF LFIGPMSSWILPRPDACPFQLHEFSTSFFFDEPLQSFLQSQRSLLHLRVSAPRDLSLS RAIRPPMFPNLVSIAAPMSVVEAVIPGRPVRNVTSYHFNHHPPSVSCFARSTSPAGVQ RLMLNFTYLQTLAPDQLAEFTPNLKSFTIDADAIKPDDYEMVDQLTEWIEEYLSYAKH LNCLIIRFYPALSSVPCQEIDFSDMITSIFAASVHLEHVIVLFNGVKAKYVCRQPPGQ DWYIVND JR316_0007182 MGTNTISHIKASLFSAPAGTILVHACNTHGAWGSGIALAFRDIY PAAYGVYRAHCQAHGESLVGTCLLIPGDDAHDIACLFTSRAYGRRKDAPAQILAATRA AVMDLLEKNVSNKPLHACRFNSGKFGVPWQETEAVLKDLKVTMTVYSTD JR316_0007183 MLSVTLLSLIVGACAAVAVNGIDQGHGVGVRVPTSNSPVSDVVI PVNAGDRITAQFHRTSAGYTGPDPSDPIDPTNKGPILAYLAEVPSATQSTVTGLKWFK IGQIGYNATTGQWGSDVLFVNGGNATFTVPTCIASGQYLFRAEAIALQAATSYPGAQF YMSCAQISVTGSSSPKTPATVSFPGAYTPTSPGIVTSLFGLSSYTPPGPAVFTC JR316_0007184 MAISKLLALTALLFSIGGVSGHTIFQELYVNGVSQGRFNGIRVP EYNGPITDVTSKDVICNGGINPYRTPVSTTVIKVAAGSQVSAQFHYTLTSKTGDHSDP IDPSHNGPVLAYLAQVPSATQSDVTGLKWFKIYHDGLDSNGWAVERLIKNQGKVTFTI PDCIPAGQYLLRVELIALHAASSYPGAQLYMECAQLEITGGGNTSPATVSFPGAYSGS DPGIRFNLYTGATSYTIPGPSVFTCSGGNPNPNPVTSVSSTPTSRTTVSSTTTPTSAN PGPSGTVDQWGQCGGIGYTGATSCKAPYTCVKSNDYYSQCR JR316_0007185 MASTLVTDFPELAHLSREDLEDLLSDPVYFQAVFHSLSYVKDLY KSQAELGLANEAIAKNNLALQQRLYDLRSETKDAFDEAKRLEARWKELEKEQKEVYQR FSPQFLLMRLRHSTTSQDEESEALATSFVQQESSLPVESGTGTPKSGRDVDDFVKEFK ELRKVYHKRALWGEKWANGQVIWRED JR316_0007186 MSVVFPGDYVPAQHVNLKLGPGLLQTSSIKSENTDSNVIATRAG ILNHSANRSKWWIESNSRRYVPAAQELVIGSIIQKVGEGFRVDIGAAHPASLDSLAFE GATKRNKPNLKVGCLVYARVSLAHKDMEPELECFDAQTRKSEGFGELKGGFVVRCSLK MCRDLLDPKHFLLPLLGGRFPLEAAVGVNGRVWVSAKETKQTIAIARCIEAADPDGGS LDAEAVKDFLNTLDI JR316_0007187 MADPAAVPTADDRSRYETLKKELMQALPKKRAIDKQLAQIEVQI YNLEATYLTETAAHSGGNIIQGFENYLKNQGSGRRRNEVHDHDRIFSNSSMTFQKSLE LMGEGDDPAPAAEEFVKQPTPGVTTIAVPPATRNQELTVAQQKKIKDREYQRRKRASV SHRSTGDSEEELVSAASASSRRPTKRARLADDD JR316_0007188 MSSAPPSSNPPPNPEKKPEPSTSSDAPAPTEGPAPMDVTPDVPP EETWADIPADILALSSEEIAQRTKLIDNDIKVMRSETLRLQHEQSVMKEKIRDNKEKI KQNKVLPYLVGNVVEILDIHDEDEIDGANQDLDSMRKGKCAVIKTSTRQTVFLPLIGL VPAEKLKPDDLIGVNKDSYLVLDTLPTEYDSRVKAMEVDEKPTEQMDVIGGLDKQIEE IMEAVVWPIKNSARFQKLGIKPPKGCLMYGPPGTGKTLLARACANSAEACFLKLAGPS LVQMFIGDGAKLVRDAFALAKSKAPAIIFIDELDAIGTKRFDSEKSGDREVQRTMLEL LNQLDGFGSDDRIKVIAATNRIDILDPALLRSGRLDRKIEFPLPDEVARARILTIHSR KLKVAPDNVNYAELARSTDEFNAAQLKAVCVEAGMIGLREGATELTHEHFLSGIAEVQ SKKKNTLMYFA JR316_0007189 MSPTTTNFYYPKGIHSLPIELLSSIFVFGAGFDNYADSPFLLKP EKEYEPVPSSDFQVVVSHVCHHWREVALSTQSLWTTLHFGHPSHIPRAKAYLARCSNS ATGLLDILVNTVSYETHARDPLRTLYDSALIDIFELIVPHVKRWRAFHLKISDHNCKG TARRFLSSCGPAPNLETLQLYHFEDFRTSQRLFEAIQKPPVTIFSNDLPRLRNVSLIG VNLGWDSSPYLSNLRTLELALHSENVRPFYVSWDRILQSSPGLQTLCLHYSGPKATTD DPSSQWPNADQKIQLKVLKELSLTSLDPDYLYDLVQRLYFPNLQVLTLDLPDLEYTSF ITLLANPGISHIVTGTSTYICPVPPMGSLHNLQTLVIRALDCSLKSWIEFLHSMPELR NLQVDFGRVGDRALFWSVFTQPSTAPASGREFSAKGRCVHAGIVLPRLERFKFGGILG RDIIAALAYRYKNRTKLTPSNQIWIIGWNGHVKADIERESLIERGFWMPDDEDKEEAK IIIRKYDDPEEEEIESIDEGYGEEEEEEEEEEIFED JR316_0007190 MGEEERCKLEREILGEPCGVDCPLNYGQELDGTNKEVDGWVIPA VEVKRVQEERDSIATTSQDYYSRENSESVYTFDTADLSPLIVDIHGVLLLRSSSSSII NGPLIPTPSSINSLRQIALHISSRLPTLLTSPPSAGKALLISHIAKLLHPQSQNQIVT IHLADTSLDPRALLGSYVSSAVHPGTFEWKEGVLVRSMREGKWVVLEDIDQGSNEVLA VIKPLVESLTICKWIGGRAQLDVPGQGRVVAHYDFMLFGTRSLQPSRAGRFQPPAFFG SHRFSEVIIESPTFDELQTIISAKFPRLAGSPARVIIELWDSIKKLSTHNSSRDVGLR ELQRFCHRIDSLLPPSYQPMDIAWDGQNTFSLADIFPNISLREDIYLDARDVFFGAGT LTASSLAHSKLVAQTIGDRLGLDTDRQQWVLAGKVSELEMETDANGQTLAIRLGRTRL PARANKQQIWQPDARPFALHKPALALLSRIGKAVSHNEPVLLTGETGTGKTSVISYLA TLLHRPLISLNLSHQTESSDLIGGLKPIDARIPGSVLQEKFIQLFGSTFSIRKNAAFE AEVRKSVNECKWKRAVGLWKESVRMAIERIHAKKTEDTRLPEALDNDAPRKRRKTHAY EPKSSEYAWSTFLHDVEEFEVQHVHGKGKFAFGFVEGPLIKALRSGDWVLLDEVNLAS PETLECISGLLHGPTSSITLTEHGSLEPVPRHPDFRLFACMNPATDVGKKDLPPTIRS RFTEIDVPPPDADKETLLSIIAQYIGHIAVGDKRIIMDIAEFYTAVKEVAESRQIADG ANHRPHFSMRTLVRALTFAADTASKYSLRRSVWEGCLMAFTMALDGESAKVVTALAHK YLLSVVKNFRSVLAKDPAPPSDGIFIKLGPFYLEKGPLEDDLVEEYIITPSVEQKLID LSRIILTRRFPVLIEGPTSSGKTSSIEYLAKRTGHRFVRINNHEHTDIQEYLGSYVSD PFTGKLIFKDGLLVQALRHGYWIVLDELNLAPTDVLEALNRLLDDNRELVIPETQEVV HPHPHFMLFATQNPPGLYAGRKILSRAFRNRFLEVHFEDVPQIELETILCQRCRIAPS YGKKIVNVFHELQKRRQTSRVFESKQGFATLRDLFRWAGRDAVGYQELAENGYMLLAE RARRPEDKIVVKEVIETIMKVKIDEDMMYNLFRADIDMSTYLGHPVPATPSITWTKAM QRLYILVCRGLKFNEPILLVGETGSGKTSVCQVFADASSQRLLALNCHQNTETADLIG GLRPVRNRSALQAEAVRQVSLILNAYGINDVPSSVEGLAKSLSSIMKNIHESDSHSRH RLQVAHQQLLQLNSIFEWHDGPLIEAMNEGDVFLLDEISLADDSVLERLNSVLEPGRS IVLAERGGTNLEQAAVRASDSFKLLATMNPGGDYGKKELSPALRNRFTEIWVPSVDDR DDLELIVGSLWSCTSLQSYTTCLLDFVEWLCSRVGDRSLMSLRDILAWVVFTNSAYKE NQTEGLQSDELFHHAAHMTYLDGLSSLPQLAAYSREAMKRLRSDAIAKLQEIVPLHQP LGSAVQTFDSTKFIQLGSFAIEKGKNAQMCQSFNLNAPTTMHNAMRVVRACQVPKPIL LEGSPGVGKTSLITALAQLTGHTLCRINLSDQTDLIDLFGSDLPVEGGSAGEFAWKDA EFLRALQEGHWVLLDEMNLAPQAVLEGLNAVLDHRGTVYIPELNRSFQRHPSFRIFAA QNPLHQGGGRKGLPKSFVNRFSKVYVEELTPADLYTVCSHIFPDLGESTLQAMISFNL HLNDSVTVQRLFAQDGSPWEFNLRDIIRWGTLTSHSHHTPLAFLRSIYLHRFRSIKDR QNACSIFNKVFSVNTNELENSPPWMVSASEMRIGHFQTTRHNKGPMSRPTRFLKSQLS ALEALGNCVSQSWLAILTGPKYSGKSSIVRTLANYSGHRLCEVFVNSATDTMDILGSF EQVDLRRRLTTLLDDLVDVIDIDIRSIAGSKVLSKYRHEACDLRNSCYTTSDQDFQRL YQKVETFISRLVALGPPSINQYKRIFTSLADLSSFSSIGQFEWVDGPLIKAMKSGDWI MLDGANLCGPSVLDRLNSLCEMNGFLTLSERGFVNGEVQVVKPHPNFRLFMSVDPHYG ELSRAMRNRGIEIALIDGPISDDQQILQDHYRLPRVLPAASSGGYISQITLFDAVRRG LLQAEVERSPLLTSTGRCLDQDSALSNLLDQAPNLIFSSLPINVEVPWIPFLSRTLVP AYIPYVLRYVSHSRYQMSCQPILVDFLEAFPQQELRHALANIRTFYLQRKQTSDAFLL AQPMDFFWIEGTSFEKSFGTHGETLRSLTFEILSLSAVLFISKRENLVEMDERIPQKE KNIQAHHSVLKLSQYMIDISEHIIKSASVMDSGVDSVKLASKILGYRTHLIAALNSSA YDFSALYAVSNWLLDNLSKSPPTFDLLLQESQTLHSIVSLSSGRGLFNLWSNMYIEEM SGTILQDVRRTDEMASLLKQSSDVPNLRRQSFDLMSMETLPPSLKSPKSTSLLGLKKL LDECLVSNSNASIAGSFYIESNSILLELFIVASSATLGTDRQLVISSMQQILKLAFDN AGTSLLRLVPYQHLLWSQEVGAVSAGLIAKAQIRLLEGLWEVQPHIPISGPSILLHPF SLYKIIKTCDMGQVDLLSLAQHEYALHQQGRLVVLESKQNTDRVVQLIHLFFQTLHIV ATSFRPSSDTSYEFIKNVKIGNRTQLLDFLHQMMSLLQTSSDEIFATSIRRQFLPLMQ RMSDSTPLQTLGLAWIGVSRVLSDLAIPDTPIDPAVVQNSKYNWMRSEEETLNASIRL HQQLEKLLTGNQDNDVSKHLTASLVDIRAQLEKLPILPPRSDISRLHLFWSEIVQFQT NVLSHSKIDALIDSFIQSNQNASLRERVTQESLAGFYHRLDSVYPEFADISILLKLSI QYMRLGLRLVAESNSSSDNVTRTKWVTSLISFPTACSSDRIIRCFGNADTSLVPASHN TLLTLAALSVQNSLGIQTGAYWHLVETAYEQILRLWLIDRAKEKQQISDDSTLYRKSK LDHRAVTDADLEEHEFLSLFPNFENVFDEETRQQDSNSTSHPGLVVATDMETLIQIHY NLMDSPHGISKGTMRNIFQKLRDSSVQNLFITSSESLPDTLDRISYPFQFSLLRDSML ALESNHKMDRLSYNFYMDSNYKELKRAASVILALKQRLEGILEEWPDQMVVKHLMERC DDILTTSSKTSVAKMLSMVEQVLVQSQDWEMYSNKDNSIKSNQEEIVRLVVDWRRLEL SCWQSLLDSQAKTFTDELSEWWFRLYDAVIRGTLAAFNQDLEDPEQAVNRYLDTLIPL LDSFITSSPLGQFHARLKMLNSFETYITKILIHKSSKERTILSRVLRILHATYVYHSL FSENLRKRLADEKASLESEVKALIKLASWKDVNVQALKQSAQKSHRQLYKIVRKFRDV LRQPVTEDLQVQSPSDSEHQALSMEAPIDSSSSMSTSRVSLNGRLKGLKTPDYLVNLE VTFEKYSSLVENMLRPAVQCQSAHAVDSFAVEIITTSKDLASITVPLSLTAQVRQKHQ KALLVRKRKAFSDMLKALKHAGLASNVKPEILRQNSSQQWVREQPILRQTSMMDLDIQ KGNMYFAKLCGTLPALRALLPNHHADVTTRELQRGLMFLESGFSMAVDLRARLANALP HHQKLTDTLKRLHALKSHTDLVVAGQNVLAHVSSVYDLLSKCSHALSELRDNVIIFNQ IDPPDSGIDNFLQQVCVLASSTDTMCERVFHVLGSLNSTPISFLTQDESGLLMEAQRH ISRTQDAISGWHREQPSFAHLLLPILQWLSDQHIVPLDSTISGAVANPNQMRVDQFIS SLLVSVQAMVSKVSSNTSGKEEEEAETEKYLFRGYQLIRDCTHVLNLERISNQLDEVL RGLSTSADLHDTLDNLLPFLSVYLPLVADQLFSLNNWTKSIFKLDFVLCSVLQTLSQQ GFCIPPESEGSEAGGESSNVPGVGIGEGSGTENVVGIFRNALQGRKGRQGPASLVMPP NLRACLRSNRD JR316_0007191 MKHIYTEAQNFTAKDKENYLKEYGLRNVENVFWMMNGSDVYKAL SWDRLHAYHGGLFSDHLWSEFKAIIDSSGRKDAEIIDNQFDQIPRWSGLNHFASIIKT GEFADGTKYEDIAKIICYASHNVLEKSDRGYCLLKLMRSFVELDMYSSLIIHSSTTLH GLQKELAKFCSILEEYIQLHPDKNWNFPKIHSHVHMIQDIVAKGATRNSNTKPNEKAH GLLKLWYRFHTNFKDVAPQECALIHILKMNHDDLIAMIIRMDINAIDDLDMPKEDGEE SLISTPQVSNLGNSLGNGSSNEKRAQSHISIGSLQAIITFADVEKQFKENMAFERFRI KTGKYLAAASGSTIRLKANHKITPFELARIHYQSEIDWSAQRDMVRVSKSFHKRPRND YVLLALDHQKYCFAQLLFMFIVSLDDKTEWPLALILPLDEPVDQRTNLARRRDKDLEF LRVRARRRTNSAIIDLDPLHSGKMMLYQGYSTALNMRKGSVAGESSISGASFFRGATL AELEQKQRCRIQQDTIFNLESKVRELKEENASLKMRLINKKGAVRPAVSDTSDCAAEI ALRKDLIRLAKYHFIFYRIIVPKSLFGNPRPSFSSNNASARYKDENTSKLGNIAELYE CFPAKYHSIISENVEMAVNVFVKGLSEGRSTILNKIRTSAPSIFPNIPSELFTSPLSF GLSSHAVVQTLLGASDRVKVMTGTKEIWKLIYPNDTAHTFPPVLFADSDTNNALGLFK SDFLLKTARIILLGPSALKAEIMPRTREDSPSAHIDSRMNCTTPGLIAASATATIYIL SDDREFTSSGVGPTTGRLYLAQHDMYKHYLITQQSSLASLFKYWDNILFPHQKPIIPT SITQQPTEILTSSHSRMSDSESSPSPHPVYQMIANFSRQTTITPSSTTSIPGPIVNVE DRDTDSDVEDFAPPLQSTRNLPSNTQDITEDRSDTDEEPTPAAFESALHVTNTTVNAP LPVFLPVPKTQTPTSPPLSSNTVSVPNASTSISPLEAPVIKPKRGRKKGLAPVQPDAT VVAKTRSTRSSKRANITPL JR316_0007192 MANRRQPKDQLCPICNKMFSIQGIAQHIRSCSGKTPSLDAQNAF GDFANRVYENNSLHYTSILNAAISHSPAIPNEDSTTASYSAIDMAQMETQDSLNGHHI SLSMEPEDSIEPVTNQSSILQMLDEISNNHLPPPLPHQICIEFHPSANMPPKFIPTHQ YNPNSYQTSPTPHSTSTNPWHPFRTRLDFEVAELALSSHMNRQQKQILLTLIDRIKEK PEQFTIDSVSELEKTWELARSYRSTGFQKKEYLVPYQNDEIGYEVYIRPLIEWCNSLL QNPSLLSQFHWIAERHYKQNDGKRDRLIGEPWTANEWWSIQNLLPNGALPFFIILYAD KTRLSTFGTAKAYPVLARCANLPANLRNSDGIGGGILVGWLPIVDEDAGESGKKIFVN FKRIVWHKGFHEILKSVQEYATTGYYAQCADNIRIMKSSK JR316_0007193 MPDNFALAVPHHCKAIPKFPTVSKEIEDESQIEGLKGEEEQKAP EGDHEDGDAIEMDNDFGGKLEDVPDPASDKEDDRDDKDDGSEHDIDETLGDLNDLDTD AVDEKMWGDEKGPEGTGDKDEKTDKDHSKEQAGPSEVVAKEGKEQKKSQDQESDHQPE PEPQAPLEDHEEHLEDAGNDEPSDPDVNGGKMDDYVQDAETLELPDDMDLGLDGGEKE GEDDLTKDDDPMDGDDEGLPDDNVTEGLEDFSMDDKTTNTPSADVSKEDDSERNDDND MFSEAPQGVDDDEANREEGEDEEADEKVEAVARPDISHDGGMADPGDIANPEAGENSS TGETGTSQGGLGQAASKNEEKSANVDGSLEATQLSESVPEDNGGTGSASSGVQQGQKE SQNKSRPIPNPLRSLGDALKEIQQRFDEILNSESMDAPRDKMGESNAKSQVEYLLPED IDDEMQALGPAGEEQVAKLDQLTIVDDNMEVEEPPFSMDVEPPLVPEVHDQSIHQSPI EEQEPTATGREHGKDVEGAILKIGATQAEVSAVHDPSLPKVDDIHDEDELIETQLRQW RAADYPEDGAEKIWRLYESLTHDLAYTLCEQLRLILEPTLATRLKGDYRTGKRLNMKK VISYIASDYTKDKIWLRRTKPSQREYQVLISIDDSRSMAESHSVHLAYQTLALISKAL SRLESGDVAIAKFGETVDLLHGFDEGPFSDQAGTKVINAFRFTQKATNVLSLLQLTLK VLESARERKAMSSASAADLWQLQIIISDGMCQDHEKLRTMLRKAEEQRVMIVFIILDS LQGTKTEPGSSKGATHGSILSMDKAEFKMVEGRMELQLQKYLDSFPFEYYVVLREVEA LPDVLAGTLKQFFERISEE JR316_0007194 MSASTSSSPTPKNKTFSPKDAEVEERKGLLIDADENLDDHDEYF EDDIPTTRSSWSRRKITTTAIVIIGLLVTGALARTVLFGSPGRMTNHSAFSGEELRSN GTALFKRTVVVVSIDGLRADYLDRGFTPHLLALSKKGLRAKSMKPIFPVSRAYNHWAI MTGLHAESHGIVANNFWDPVTGEEFHYNQIKSAWISSWWFGEPMWETAEKAGVTAANL MWPGPPKTTSGSSATYFVPWKDKVPLEDKLKQILNWIDLPLDKRPQLILAYEPSLDQA GHATGPYSERVNHTLVQVDVFAKELHDSIAARNLTDIVDIVFVSDHGMTDTSNPEPVY MDDILGKEGIAAIAHEDGWPSMGLRFHEHANLSKHLDALLKASDNNPEKFDVYTHETM PERYHFANNERIAPIYVVPKIGYILTTHAEGNTGMSKGNHGYDNEEVSMQAMFVAHGP FSHQAKAQHQRRSKIAHLSSRAHEGWHSTSDDTYIMNTFQNVEIYNLVMKLLGIENIA NNNGTLGFWDQYL JR316_0007195 MSMLDKFRKGAQKAGMQATAFVQSSTTKVASGSREFVQTFSLPG EAEKAANILDSFLADPERPESALNAIPKAVLQRARGLAVFQVVKAGFVFSGKAGSGLV IARLPDGSWSAPSCIATGGVGWGLQIGADITDFVIVLNSEDAVRAFSMGGNVTIGGNI SASAGPIGTGGSVQASLAHPAPMFSYSKSKGLFAGLSLEGTVLIERKDANRDFYGSPV PAKDILGGRVPPPEVASRLYEIIEAAEGLDESGLPEAAYVPSPIHDNHMVFDADHGH JR316_0007196 MRFYLLTSLCCISAVIAQSSVVEKYIATQGPIAKAGLLANIGPD GSKSSGAKAHPYFLPILKALQQVTNPSGTVSTGGLGEPKFNIDGSAFTGSWGRPQRDG PALRATALTTYAEWLIKTSNSSFVKSKIWPVLKLDLDYTATFWNASAFDLWEEVSSSS YFTSAAQHRALREGAELAAKIGQKSVVAEYRKQADNILCFLQTYWNPVSGYVTANTRG GRSGIDANTILASIHNFDPEAGCDANTLQPCSDKALSNLKVYVDAFRTEYAINKGIPA TSAVATGRYTEDVYYDGNPWYLTTAAVAEQLYDALIVWKKQSSLTVTPTSLAFFRQFS PSVKQGTYRSSSSTYTTLVKAVKDFADGFIAVNAKYTPSNGALAEQFDRNTGVPASAA DLTWSYAATLTAFAARRGAIPASWGAKGLKVPTVCKPNPGPTVQVTFNVIATTVDGEN IFITGSIDALEGWSPDTALPLSPANYPTWSITLTLPANTNFEYKYIRKINGAVTWQSD PNNIQSTPANGTLVTHDSWR JR316_0007197 MRSKVLFGVILSISVAFCLCPLPTEFQIYAKKLYEDHLNEYKDQ AVALWIGILRTLNNFPEVKMRTAILTAFAFCATVFAQSNVESYIAKEKPIAKAGILAN IGPSGSRAAGASPGVIIASPSKQNPPYFFTWTRDASLVIKGLIDEFTRGDDSSLRTTI DNYISSQIIQQKVSNPSGSITTGGLGEPKFNVDLTAYTGEWGRPQRDGPALRATAIIT YANWLLSQSNNTFVTNTLWPIIKTDLDYTAATWNLTGFDLWEEVSSSSYFTSASQHRA LREGAALAARIGQTSVVSAYTKEADNVLCFLQSYWNPSQGFMTSNTGGGRSGKDANTA LASVHNFDPEAGCDSVTFQPCSDKALSSLKVYVDAFRSGIYPINNGIASNAAVATGRY TEDVYYNGNPWYLTTTVVAEQLYDALIVWNKQGSLEVTPISLPFFRQFSPSVAVGTYS KSSSTFSTLITAVKDFADGFIDVVAKYTPADGSLAEQFIRNTGAPTSATHLTWSYSAI LAAFHARDGITPASWGAKGLSPTASCGSGGAGSVQVTFNVRATTFYGENIYITGSVDA LKNWNPDHAVLLSAANYPTWSVTLPIPANTAIQFKFIRKANGQVTWQSDPNNAYTIPA SGTYVINENWR JR316_0007198 MVFGLLCLFYISALLFLTIASPVELGSDLKFEAKSDRTWLWGWA WGTESTVSVVDRTPAVSFPSRPAAFGAEINDPLLGYVIPLSSFTTPCRASLNASDITL PFNSGCPDLCLNGSERPTETWIALVQRGKCEFVKKVREAQRLGAKAVVVGGEDPEISG FPDTLVNMYSPEDSSDIKISATYIKYTDYKELFSLISHSNTTHSGLRTLSLLITAEYS AWEWYSPIITFIIILLLPSALTFITLLIHRIRAARAAQRDRAPEDVVRNLPWRVWTGS GWEKHEGGEDANAFPDVTPSSADLEEVVVSKDRSTPETTAGPPIEENASTSLPAIPPD NQPWFETQVECAICLSEFAKGDKVRVLPCHHIFHLHEVDEWLIQRKKLCPVCKADVTQ PKPESPTTTTHPSDNDQSQSEQPQSLRPTPTADATERTPLLTTRPEEHN JR316_0007199 MSRKSQSQKKRHTKPCKFFQYNKCPHTADVCDFAHVIVNPVISF PIDTNSAYCRYYYAGHCANGTLCRFRHGLEAPAVPFDPAAGTPDWSSSSIDATILKSP PVTATYASFAHSWPYSSMSPTISPPPVSPLGNSSFSFSARSRDSIDTVSTAISSSSLG SDEIVTDDPQYQEHHHSHQSQVRVADDSPVIHVPPFLPMPYINSAGGLTPTHGYDFTY NAMPDNIKANTPFKSRSSKSSLKNKSLKYKTKPCKFFPTERGCPNGNACTFIHDEPSP RVPTPPATKSASAKEDGEVRKNFVPIPWRVIGGGVRVGIQRNEDEDDPESDSDDAYYP PEPPLSKKPAPIKSDTTYRPRSNSIPSTPSITQVKVDHLFSAESPGGL JR316_0007200 MPNIDYSKPLATLLRESTHEAHDQVAMSQGAKLLLSGGLSKEDY TRVLERALERHATNQSLEPTHNPALLARAPSLSADISHLLQVDEGSWKDHPIHVQLLS ASLSPLDAYLRRLEELSKSSDPSALLAHSYVRYLGDLSGGQVIRHTLAKAYGLDESTG LGLSFYAFKELRSSKPANQGEMKRIKEWFREGMNTAGERGVEIKTAVVEEANTAFKLN AGLFELLDRDWKVESIEKAKTHVVFDATQPTEKAYPLSTVVAVIAAVCLSHFVLTVGG FTGDKGYEKLLSIEQWFASIWQQTSQ JR316_0007201 MRSLIPLSLLLTIFDNPVHAYIFPFQVRVGNGVNPSSRRRSPYA LGNIGNAQYVTNMTVAGKTMPILMDTGSSDLWVHFADSAPTSQMKDTGKSVTLAYAVG KASGHIQTTQVSMGDLTVSEQAMLWVNDTSTFTADIHTQGYDGLLGLGPNQGSVIYKN LKKTTAGNTFLQNVFESNRSTDNYITILLDRKNDPGEDFTGQFTISELVPKFQDITKM PKLDVETVNRILKADQHWQALTDKDQGIIGPDGQPIRMKSIVPKAPNGQYVAVIDSGF TFSQVPRDVSDAIYGRVRGAFYDTTHEYWLLPCGQYLNISFNFGGVNYPIHPLDVVDD NFAQVDSTGKKVCIGSFQPITSAFSILGHYDMILGMSFLRSTYALLDFGKWIGTSGDQ DKPYMQLMSTVDPVAARKDFVTVRLGGNDTISDPRWSILPANETVHSPVSAEEKKKKY QEMILSRWPYIFVGCLAFVILTVGLCIWKCCCRKRGKKSDGGIGSTGDKKGFKAFFSK KTARESYVPLEAQRSTADLSAPYGYSKQQQAHTPSPSYPSYPAYPNGQEGYRQSQQGY HQGHHQV JR316_0007202 MAWSFVVLLFFATALIDSAIQALHIPIQGTRASVSNFRRQAGSL RPGTLALVNSGDISYYAEIVLGNQSFRVLVDTGSSDLWVSGSVLKSIDTGKDAAIQYA ANKVEGSIKQATLEFAGHVVPDQAFLEIPSNSVNKEGQGILGLGPGSSSFISDQIGLP GGAPALDRIFAQNRSSPNYFTILLGRSDPTDSFNGSITVSEVLEDYKAILNEPKLNIT TVPANMIEDQHLQVLLDADGLIGPDGHPIRFESNVTQTENRKQATVVFDCGFTLPQVT RSIAEAIYGKFVGSKFTQLPGLGGVWVLPCEQEVNITFSFGGKLYPIHPLDMSFEPSV VNLTDFQTTSGERGCIGTFQPFTYDRGSNPTYDMVLGMAFMRNVYSLFDYGDFIQNST LLETAYVQLHSLTDPAEAHADFVSVRLGGVDTTGDRVLKDDNDARKKSIYYVIAIVAV VAILTIAVVVYFWRRRRRGIR JR316_0007203 MEFDPIASSSELPSYSPSIPSPSYSFELACGERLLEQTPRTRST RPAADSVFIKRAGKTTIVLNDQEEGATIPKYGRQGIISGSVFFDHTENIVDVTLKIKG KMDLTISEAGGESIKLVESAYKLWSQSSSPSTSRTERPTCPGQLVFSAILPTTFTIEG KQVPLPPSYSVNHYNVPSLFVRCSYTIHLVITQTRYKKMDIWPKTKQIMIPFNYVPRT RAHRPIIPSPCFFSAVKTSPEEWYQAVTPLKTRPNVQLDPTYCHLFIPGARIYGLKDT IPFHVQLTGNVCSLQKMFSVQLERVLSADSYNTVASKKYGEEKPLLKVSLLRQVSVTM KGLKSFKNSIIGEGSIRAIPPDLTACPMGTCREGHVDWEGELRCSEDIVTGGFDATSV HVKDFISLTLTPPTQQSPLLALQITIPIRLVTDSCDVSSFELASR JR316_0007204 MSSTSESIQQPQPAAIAAERRPQAEPLPSKRGEIGYIEGVHVPL ERADDAGLARLPERHPADRDNSPPSAPPLDTGSAANPSPSSSESPSSPSSITKSPKGL KSLTFGGLQFITLLIFTIQLFIFAGTIVGWVFAARLMMRTSSSGDSNSPSGQSTSVFV HVVFVLALLGQLIYLERRLFRLRGERYSYLHPGEILPRHRNIPRSPDTALAFAPWNRP PLPTYAAALAQSGVGTGDVEDHIIAVSPPPAYGNTRGSTLLLAGYLRNSLRAQRPNSS YSQAPPESPDRTPSRLSYVSRGEAREGRENADRAQRLEATLSRLESTPQTSTPRQQ JR316_0007205 MCPNCRNTLSVVPSDPPDTGDGRLSIPISALNEPPFFLYCNHCR WDSAEVKITFEKPTGLAAQLQKYEDSAPDSLEFERLKEHFEPFIRASSLSSSTNLSHP AAHGSSTAHSHHTHSNSITAAAAALARDIPGVSKYNPLTRTTSGRGSGKDKSVNKDEM PEYRSRVEISKASVLGTGGGESDVDFMSHLEDIGQISTLEQRWVNSWVTPLQTSELKP LRIPLHSKRSKRCPACTHILIKPEQKAQSVRYKIKLVAANYLPAITVSLPHAQRLTAE AAKKSLGRSVSTAVEDSNAGAMHAGRTYPFHLALSNPLYDQINVRLSVQRIHVSTVQP KENGTTEKAAPPRPPFAISLPNSHFSVAAYAEAWEYEDDEDMFGDDDDDLGRLRETDA RGKVRTVGVLEKRANVTLVGGEVVIGKEARGNVKFNMLVSYTYHSDDPAPADSNDIDG PSKSSKAEVKTFAFYTVVDLGQIIPREEPKADSDL JR316_0007206 MSWLKFVSNKYDIVPETEKISTCQLEVVLQYNDEHILLCSPRDK EELFAPLRILSYDIETHVPADGTFPSATKDPVLQIGNMVTTHGSHEPTIRAIFTLGTC SPINGAEVRSFKTEAEMLLAWSQFVNEVDPDIITGYNITKFDTPYLLNRATALSLPKF AHLGRVKSWRQTLPYPFMKVEEFSQCPGYNGRLLLDVFQHIREGNYSDFQGPGKSKLN YVAQVALWDQKEDLPYKQIPILQAGTANDRRTLAVESTKAKEAHVSLNSKRKKPFLKD LSRDCRKALREDYIIPDSKLSSLLDQR JR316_0007207 MATGLAPAASVSDVLKELSVKENDVQKPWERPPLSASYAAKPCI KFQKIDIAESSYDLGSPEIHLFGITKNGHSILVRVVGYEHYAYYLVPKGFSKDDLEPL RNNLNELIVESYEEVSSAPISRIDLEKGKGELSYLKIMVSDYHDLDEHSKAQIFQLGR CKYRDMFPNPQNPCWTRRYVNAMSWLKLTAKKYKIVPAENKISTCQLEVSIHDEHIML TSGQAEMGPLRILSFDIETDIPDDRNVFPTARNYPIFTIGNRISTYGRANSDDVQIVF TLGSCSPISGATVVACEDEAALLLAWKSFIIEVDPDIVLGHNITRFDMPFLLGRAIVM EDPYALPAWNAFDKCPGYVGRFVLDIYKHASDIGITGRGRSTLNSLSERFLNDKKENL SFDKIPGLQAGTADDRRTLATYCLKDTLLPLHLMNKMECLPIQIKQARKAHLPLNAMR ERPSLKDTSQICQKRKAEIEGDSNTNYTLDGERALKRQRSDAY JR316_0007208 MSSKNTITPFQINITEERISLLQQKLALSILPDELEDAGRDYGV PLADIQRLLARWQSGYDWKKHEKELNQELPQFQTDIDIEGHGTLKIHFVHKKSNVEGA IPLLFVHGWPGSVVEVRKILPLLIQGSPAFHVVALGLPGYGFSEAPKKKGFAFDQYAE VGNKLMIALGYDQYVTQGGDWGYMVTRRIAHLYGGTHCKAWHTNYEAAEPGRVPPELF SQPAEFFKNLSPDITPEEKSGLERSVWFRTQGMGYFMEQSTQPQTIGYSLADSPVGLL AWIYEKLVNWTDNYPWDDDEVLTWVSIYWFSNAGPAASARIYYESVREAPTANPLPTI PHGASVFPKDIFVAPLSWTKSPHRVFETAHNKGGHFAALESPEVLVGDLRKMFAQGGP AYGVVEGRISDAIPSSLKVTKLQLTIHAKHKPFFLVPTSPKVEAWSFSLKWLQVAATQ GFQTSTISGGLPSYTAVKNQMNAVTKPFQISVPDKSLELLNKKLALSILPDELEDAGR DYGAPLSDIQRLLARWKSGYDWRRYEKQINSELPQFQTEIDVNGHGTLKIHFVHKKSE VEGAIPLLFVHGWPGSVVEVRKILPLLIKGGPDQPSFHVVALSLPGFGFSEAPRKRGF AFDQYAEVGNKLMLALGYDQYVTQGGDWGYMVTRRIGHLYGRNYSRAWHTNYEAAEPG RVPAELFSKTDEFLKNLGPEYTAADKAGLERTVWYRNQGMGYFSEQATQPQTIGYSLA DSPVGLLAWIYEKLVNWTDDYSWDDDEVLTWVSIYWFSTAGPVASVRIYYENRHEKPY IDILPTIPHGASVFPKDIFVAPLSWVNEHRIARWTESPYRVFATVHPRGGHFAATEQP ERLVEDVRRMFAKKGPAFGVVEGRIGY JR316_0007209 MASNVVPASSIRPFKIHIPDERIAILQYKLASATLPDELEDAGR DYGVPLADMQRLLGYWKSGYNWRKHEEELNTELPQFQTDIDVEGHGTLKLHFVHKQSD VAGAIPLLFVHGWPGSFIEVRKILPLLIQGTKEQPAFHVVALSLPGFGFSEAPKKKRF AFLQYAEICNKLMLSLGYDQYVTQGGDVGYTVTKTIASLYGGKHSKAWHTNYEAALPG QLVSKYVESAPPELLSQPLSYLRNLVTRYTPAEKAGLAKTAWFRAEGMGYFMEQTTQP QTLGYSLADSPVGLLAWIYEKLVNWSDKYPWTDDEVHNSWTRTRYRVFEAEHDSGGHF AAHEKPDELVADLRKMFGRGGPAYAVVEGKTGY JR316_0007210 MAPFGTAINAKSIPFDVQLLPSPRDASEDAQLYAGIVDPEWTIG RQEFQGVVKIMTHLIFGIIGRHPKDKVNLTLNPPSTYARRLPLYTHPSTAVINPMSQR WSFRRHVTWTDEPELRAKNHAYSGNRTNSSTVGGGGLEWGSWLTFNDAHDRITNPALC FLVDMCINTPTLLPKSERPGLTTSWFPTMVLSVEFKNKIPLPSSKHAVRTVGIYSSGR FVTPPQGRHEAYVEVWTAPSNIGEGQEVDGWRDEQFCLAIATQMALTLPMEVNEKKGK PKL JR316_0007211 MHQPVIFLTHLVATYTLTFMAFSSLIVCLARDPGPANAPPSRVK NANGEEAEMSLTEALMPDRDFSAPDKWCRKCWAPKAERTHHCSICNRCVLKMDHHCPW IGAKCIGHRTYPAFVHFLLSVTLLSMYISSVSFRALWFAFHHPFVVHEFTPVHELGLA FAGAVFTLVVGSFAAYHIYLIFTNQTTVENIAPFMLLRHLPPLPRTGHSLSDPPLEPE LSSVQRRIVKDAHGQILIYDLGWKKNFAQVFGWNRKYGWLFRLWCGGASPGDGKHFPR NPRADEMLAKLAHELVKADLNT JR316_0007212 MPAEIIDVHSLSAEGFPIADIPTQIMNGLSRPFGHKQLPTMLLY DEQGLQLYDDITTAAPEYYLFAAEEEILKNNAHHIVQAMHRGAQISPDEVIVELGAGS LRKTSHILLGLSHFVGKEAPSSAITYYALDLDKHELERTLGDISRSEVGKKLVGKVKT KGMWGTYEDGLRFIEHGGLFARRLPSVDYQFSSRERSPISPISSASSTSNASLTSMSE SGSSMPSTPEGSKPPLHVVFLGSSLGNFSRTDAVSFLLSLPLRAGSGDTLLIGLDHDN DAALIEEAYNDSQGYTRRFIFNGLRAAGRNLGDENLFDESKWEYVNTYNSDERCHEAF FRSKCPQIIREPRTGKVIRFSKGELLKIEESIKFSDVDAYSLFTASNLRPVQRWTDKK SQYSLWLLERPPFSFPLLVSPSHPSTKGTRLSYSPFGVPSREEWNELWSCWDFITRQM IPSSMLYQKPIDLRHICLFYLGHIPTFLDIHLSKLLQQPHTEPESFKRGIDPDVDDPT ECHPHSEVPIKDEDWPSPINIVKFQASVRARLMRLYDDIDSGRITLTRKIGRVLFMTL EHEAMHAETLLYMLIQRAGSGTIPPPGFVAPPWESLAVTWQEIPAPASSTVTLGPATV SLGHMDFESDDETNSDVETHEFGWDNETPRRDVYVNQFRIEWRPVTNGEFHQFFFGEG KGKVEFPASWVEDDEGIKVRTLYGPISIDIARNWPIVTSYNNLSTYAMVKGGRLPTES ELALFYDKYEAGYEGGANVGFRNWHPVPATTGGERAGGKGINGGVWEWTSTVFDAVDG FEPSKLYPGYSMDFFDGKHQVVLGGSYATIPRIAGRRSFRNWYQRNYPYTWAGARVVY DVSV JR316_0007213 MAYLTRTTVYNIEDSNIALLGSNIEKHVREEAGEGESAWEDAGK SAGLQIWRIEKFKVVPWPKERNGSFYDGDSYIILHTFKKSPEAKSFSYDLHFWLGQNT TQDEAGTAAYKTVELDDYLHGRPVQFREVQGFESPRFLSYFHQFLCLKGGVETGFHHV SDTLPPNIRKLYRVSLFKSREGRSSLVVREVPATADSLVAGDVYILDKGDNIMQFNTN GSAGQERFKAAEFVQSLLNERKSQADVVVYDEGGSGAGIFLHEFGEQTKLKPADLHSM NLTEVHPILYRISDATGTLVFEKVAPVSKDSLSSEDAFLLDHSDGASYPAIYVWIGRN ASLNERRLSIQYAQRYLYDKKIKSASESVRVAIPVVKMQEGEETDEFMEVF JR316_0007214 MSNIASNLMSGSKNDRGTKSSGEQGDSGVTDKLKNLAAPESHAA GMMGMGGMGGPGGAAASSALGSNVPSMPSRSGGMSNMESPNAYAFNRDSSHSGFGGHN PASDNYGRDTTNSETYGKSSANMGGTHDYSMSNDRDQVRRNLNQGSGMNASAGMDFSD LGRAGGTLTGSDSLHQIVDADSRLRTDSTRTGLNSGAGIHAHQYNESLSGIPAMAGAS LATGHMKDLKDEHHLHGQSGTGNMEAHCSKCHPGHYYVQDSSSNTASMPSLKGHERTE MSGGSMGKYETSSYTGMGGSTGQERMNMGDSMGKFGPSTTSSGIGGSRTQGEGLSSSY GTSGNSLDNRYQSAEGMKYQHPSKGPVGSDISAQQMQGGRPSMTDKILGGAEKITGKM TGNTDMANEGEMRAAGNLSGVSRN JR316_0007215 MSNSKQLEILRAFRESLYAEGILHNGDSIGSDDETLLRFLRARK FDLAHSKKMFRECQNWRKTVGSVGVDELYKRTDPFDYPEREAVFECWPLWFHKTDKQG RPLNVHVFGGMDLTKLYKVCTPERHWETMIVNAECLTREILPASSRAAGKPIGTTFVI VDLKGFGIGAFWQMKSLVRSSFQMSQDYYPETMGQLAIINAPSSFTIIWNAIKPWLSK ETAQKVDVLGSDYKDVLLDLIYAENLPDFLGGTCKCAEEGGCALSGAGPWQEGRVGWG PKAKAKEKVVKARNDDGFSEKLTPLVVNNGPLPVLEEF JR316_0007216 MDVLLEIVGHLSVEDIVKTRQVSRKFAFVSYQWSTWARAYERSK FLLPEGPLSSQSSVQLENLLIQAAKIHRNWTSSTTTVFKQRRFIRELPTYDFDANVIS GRFLQLALHDGISWYDLDSDDMNTPILTYPCRTVLPMSGYLNHQDNANGEGSDSVWVT FACQRPKRIIVLKLNFGKSGYKVKLHAEISAGNITSVKMAHDWILPIREFASPDDPMD LYHIPSRSTFYFPMHENVQHLSDLSTMNYAITSRHLFLLFSLRDETLVDVYTLPKRLD NTPSMLLHPRRLTRSHSGVYPHAISKIQFLDRPRLSNVALKGDDIRVSFLALVYANHS RTSWTSKIALHVIEAHLNRTGLLSFITQSGRTLNVGMTNTMLALSTRSGRCLAVTHSM PGSVLLAHTITLEDSGCTMNVKAISLPKGFQSRDVLAFDGFRDCA JR316_0007217 MGGSAFNAILAATSFPRISPPVYRALKTRLVPRLQELYGLVAVP IEAPEKKDHGDLDLLVCEPKSQYGTYVPHDVVKRTLHADYVVPMDGNRTSNYAVPIQR GEWDPLGYAADEAQKRELANNGEIYYQVDVHVCLDKAEWDRILFFHSYGDLGMILGVI SRNNGLLWGEKGMKIPNPPNPPFELSDSFHAITKFYELPLEPFYEGFQTKQEAFDWAA SMKYFDSSKFTSTGPGFTKVKPERKMYAEFVEWVKATKPSSHPYNQLPRQERFDRIRE DALRFFNKKKEYEDLAKLRAAKTRLNDLFSGTHVRNWTGLGGHWIGVKKIMDEVRNRL GGEEGVLKFLEGHTEDDLKAVVLEVQLDLGMASSPTTHLQSSDDSLEKPSIDNEEDVT KDTNVSSRL JR316_0007218 MSKYAPHGGRSSNQPRATANTVCQKCLGRGHYIYECKGNRPYVS RPSRTQQLENPRLLAKLKADGQPSVEVPEEFKKPAGTANKILEAKEKERLKEDSKEKG KEKAAASPSRKKRRRRSRSSSSSGSSDSDSDSESSSSSSSTSSSSSGSDSESSSGSES ESSRSRERDRKRRVPRRRSNSVSDDSRGRKP JR316_0007219 MSLCPPFAPFFGFAGVASAMVFSTIGAALGTSKSGIGIAGLGTF KPELIMKSLIPVVMSGIIAVYGLVVSVLIAGSLKHTEYSLFAGFTHLGAGVACGFTGL AAGYAIGFVGDSCVRAYVHESKVFVTMVLILIFAEVLGLYGLIVALIMNSKVTKSVCS JR316_0007220 MVVQLLVMSAILGASTFAVGMLPLSYAFSKGHLERLAALGTGLL LGAALGVIIPEGIETVVEANPGDVPTERISLSLIIGFILMLIIEQLISPNAHTLDDMP MSLQSKSNGSNLATAASVEFDAELGDLDPVNGRHNSGGDAVVGGGGRERAFTLMLGLV FHGCADGLALGVANLAKTAPGATNTVSFVVFLALILHKAPTSLAFTTSLLSTNLPRPD CKKYLAIFSLSTPVIAIVSYLLFSFFGASDETNLIGTALLISGGTFLYVATVLQPVSH HAPVSGDLRPISRIFFIALGMFIPVTLSSLIGHGH JR316_0007221 MSLSSLLLGQTKTLIDKELDSLFQNPVVKPAIPGPSKSTPTPVK KRKLDDVKEELDSSVKRVKSSIKTSDSNTNDVEKPKPEAKVVKIQKPKKAGKGKAKEI EPDATDSEDDEKLEAAYLKGQAASKTGDKEVVSEEEDEEDVDPSTLVHESIKKSNKKS RAPKVKYAPSEETPELRDQRTVFVGNLPLDVASKKPLSKQLQRHILSFLPTAKIESIR FRSIPFQAPTTKLPTSDDESNISKPKSAAKKETRQHIKDRTSTWRSTLDEKDEDGLQK DEKRFLNPSQKKKIAFINHEFHSTADSVNAYIVFAHPPNLEGRPANLPPPPPTMDPYE AARLAAKKCNNTLFMKRMLRVDLVGENAHGKADNEAVKAPANLGTDPRLSVFVGNLDF ASKEEDLRVFFEGVVSTERGPPPPLQEQDGEDTASKKPATWVTGVRIVRDKDSQLGKG FAYVQFADRECVDEILALEETKLKFAKRKLRVQRCKIGGKQAPQSKAFSTATAIEIPK GDPRLGERLHGLPKEERKQLKSSDADRVARRLAKKKARNAMKPSVGKMAKRKGGKDRK DRKRVRSS JR316_0007222 MAQVFGNENDTSGKGRQMPVHFGSPEHHFHTISSPLATQIPQAA GVAYALRRMPNRRSQNIAACYFGEGAASEGDFHAGFMLASTIPSPVLYIARNNGFAIS TPSSEQYNGDGIASRGPGYGIDTIRVDGNDVLAVLTAVREARRRCLEQGRAVLVESMS YRVGHHSTSDDSFAYRARSEVEDKKRIDNPITRFRLFMESQGWWDADAETELKARLKA DVMKAFKKADSLKRPELGQLFTDVYGGEEPWNIKEQRQELVGLLKKYGQIWEPWRKEL GKFRNTGQDLLNPQGR JR316_0007223 MIRTELTRSTPAFSRAFSRSYTSRRDLLPTTQSPIITKLDFFNS VGGKDNPIPTYRLIDGVGKPLQGAQLPDIDKDYARKLYEHMQLLPTLDNVLYNVQRQG KISFYYGEEATIIGSAAALEKDDE JR316_0007224 MLILAGLLLWVIALGMQVVPTSGLRFNFSEVQQCQQFTVSFAGS NLPNTSIPASLSFLPINSTALSVQLPNPSLVSNGILLSAVPFPAGSNFLTSLDDATGE NLIFVSDLIRVLPSTEKESNSCLPVGNSDAPRRFTISSEVTQCEELTINYDTSVVSKA PIVRLFNPKGPSRLLNMTSDDNTHGSAKYLMNFSRGKEILLLMEGGGFRETSAIITIG GDAASSSACLRRPINMSKVGSSNGDGNEEKSESSPIPSKAIIIGSAIGGSVVALVALS IIIFIIRDRQRRRKMIAADVETSQWKKKISEEWKTQQISNSKRNSPIQGGIVANPIYA VHSSFLSPTNSKFQRISSDSWVQVASVDRRLPESNQHGSPQPSSRILVQSPRSEDRVS LQSLDIEGMLNMATLQSENNQSRKNSVGTVQLLSNLPSSPSLAVPVPTYLSTETSSFH RRDPSDVPAGPVSMSFSGYSVNPFDPERNSVTPPGLENTLRSSRPIGGVGLPGNPRDV GKSSKGRAASTYSGRSSSDWYGIAR JR316_0007225 MGKSAKVHKRIPKKAKSSATTAVTSTPSASSRTQIQASKKHSAL KEKAGKGKGSSSSKEGGVLGGADYLALLTGSRKKIAEEAKKLPKDDAKMAIDS JR316_0007226 MADPPSPYDGFMTMSLEDRFALLFRAQQVRFDADKKVDDRLSAI ESKLERLTASLPKPPAAPTPSARASRARRTSRKERPAAPTSTPTTGPPHPQSIRSTTT KAALEKIVATLSIADEQAGHVIGRAGTGLRQIHDISHAKISVSPVVTSGLRAVTIRGT AREVGDALSAIGKRIARRRIRNPRSKKPKQPPAPTAAPPTLVVEPPSPTPTSSSTPTT RTSRSGTASPHLPTPTAVDTRSSPSSSLAPGSPMEVDALRAPQQHSDGYSRPGPVQPR EGIQTARRGGGPPRVFGANRPR JR316_0007227 MALPASAYSVSQDTRMPKCSPRPTSVRASPAFSYDLPTPNNSVI HRYGQRTPTAGELLVLREELEEQFRDMPDMELLVYLFDSVLSCHSEWGWRGRFIRQFF YDLFYEMRRLGYSEYELLRSLFLAP JR316_0007228 MALPASAYSVSQDTRMPKCSPRPTSVRASPAFSYDLPTPNNSVI HRYGQRTPTAGELLVLREELEEQFRDMPDMELLVYLFDSVLSCHSEWGWRGRFIRQFF YDLFYEMRRLGYSEYELLRSLFLAP JR316_0007229 MALPASAYSVSQDTRMPKCSPRPTSVRASPAFSYDLPTPNNSVI HRYGQRTPTAGELLVLREELEEQFRDMPDMELLVYLFDSVLSCHSEWGWRGRFIRQFF YDLFYEMRRLGYSEDSGDRDLESGGRKTEVSGDEVERVSSSKSLPGERGTQKCENQIL QAVFYAEVRRDALLVAETRRVGKKAAKHASAVLLFRQSSNIHVGWYMLGRQLGGAHP JR316_0007230 MALPASAYSVSQDTRMPKCSPRPTSVRASPAFSYDLPTPNNSVI HRYGQRTPTAGELLVLREELEEQFRDMPDMELLVYLFDSVLSCHSEWGWRGRFIRQFF YDLFYEMRRLGYSEYELLRSLFLAP JR316_0007231 MSYVAKGEKEYDATGAPVPGAKIHKIRITLTSSNVKNLEKFSTD LINRAKDKQLRVKGPVRLPTKVLKITTRKTPCGEGSKTWDRYELKIHKRLIDLHSSSE IVKQITSISLEPGVEVEVTISA JR316_0007232 MMKTRRKSKAAAAEQSSDGPEAPQTLHIVLPDDVDEDTLTDLLP DVNLTALSTEDVVNLYRALITQAVNLDATERERDEVKADLERKDVELDQALQDKESSS KDLESTVEKIQEELEKSQAERSKLAEEKMELESQLTRLSTSQSSSSTEVENLKRRVDD TEREKRELVGVISRLKDEGSQRDEEIQALRANLKEARQEHQFLEGQVRELRSTETATK FKIDSLTQQLQLAQAEAERANNELTAKSEEFAKYRRSKHAEIATLQASYDSATQSQSS LEASFKALQSSHTSQGHQLSQALNKVQTLTGQLAEQEARYSNEADGLKRLVSMMEERE KQAKDIVESIEREWATVGEKAEKREFKLREEIEREKRRREEAEKRLEELEGVLERIGR GELPTPGRTVPSPPFRTPGTADMSIDGIMGLSPTVAMASRSQRSGKTFTEVYADYVRL QEEYAKKCAENDHMDRTLSAVLAQIEERAPILSQQRIEYERLQVEASQLGSQLSQAIA DRDSQANLAQEQTQKLNKLTRENQLFEKQLEDLGRQVQALTRELTRRDDPTIPPDDYI ENLPPSSESDTQTLITENLVVFRSISQLQTQNQRLLRIVRDLGEKLESEERDYREAME KEQAEAIREAHEAMQDLAAQLERQKQSSEGIIQAYVKERDTLKAMLARQEKMTGSSTL AITNGDSSHPPETELAKELQEIQSQFEAYKTETDVDSGRLRDQLANSQREVNSLGASL AKANAKIEYISSRLRNAEEQFEVHNREINDLGKRNSQLHEQNIRFDIECNRLSEELQD ALGSLEQFRNECANLRAEKKIWESVQGRLIEENRSLAMERSHLSDLMSNVQKMHNDLE RSGENDRRRLEGQLQLLESQTQDLRAQVVQERDSLRHLTLQKDLELKELQNRLEKKSQ ECSTTRESLVEAQTSRKHLEEKVEDLAKQLKGNEEKLAVYERRPSAAGAAQPVDQDAS REQQLETEVAELRATLKVTEVDLAAARSHRDQYQEISQASEAALASLNSTFDEYKASS EAQITRQESEIKALQERLDQATQELATIRTQLNDAQKSFEAERTSWINDKKTLEDTIV DMSTSEKHSESDRNSREHELRNLEDRAKAAEERYSHEIIAHAESMKTIEGLKKEVSKG QAAVREHITAAETAKAKLASSENSWRQQKDALDKEVADLNARCQDLSQQNTILHEHLE SVSAQAARIRQAADAPIETTEGDTPVTDKKVSELMSVLTYLRKEKGIVDLQLEMSKRE NEVLKSQINRVTQTLEETRATLAEERERAVENTASAAQHAELVERINQLNILRESNAT LRAECESASKKARDLETKLNQLSQELEPAKEQARSAQAELEITRGQMQRLEQESRRWQ ERNTQLLSKYDRIDPSEVQALKDEIAQLKSASSSSDEKVKEKDAEILTLSTRIEALEQ NLRAHRDSASKNTQAFRAKLGELNQAKSALTEEKRQLEAKVASLEQECNVLKTSKPEA APTSTAQTEELQKANALITTLQAERDRLLAEKEAQSKTLTSTDAQTPAANWEAEKAQL VQARDEAIEKLKTANAEVQKANNETRSIKFQNDKFQARIQDLMKTKAADAEKQAALVS EVEKAKADLASGTESSVQEELKKRHADELKALEDQLKVKHEAEMKARIDSAVKEALQS QPPPQSAPTDPKDQQAAIDAALAQYKKELEAQHAAEIASAVDRGRMEAATKGKLKDSQ LVRAQKRVKDLEAQIQEWQAAGIVLPQIIATSPAAPPPAGAQATQTVPTAPTASTSAA TTTAPATQAPPNAPQARPTPVGPAATAPNPPANANAANLPRRPPNPAMSGALGGRGGP IRGMGRGGPPLGAGRGAPLRTAPVKPQPSAPISGGVSIMGAAKRPREEPAAATEDSLA KRLKPAEPAARANTPVRRPPGADQ JR316_0007233 MSTVSLPSYIAPSVHRAPSYSAEPNQYEQRLAFVDRLRARPSSD FVKESKGGGVRLRLSAQDDNASLPVYGLSDVVEGTVELTKTEAVTCVEVKIEGRLKLK EIAEGGTAIAKLCLDTALLWVKDQSRTECPTSLQFALALPSTFEYEEKTYPLPPTFGV KLSGLPGFVATIDYTVTAIVEKPNGAPVKLKSKALGIHVGTTHVTTPFVYFPRSRPAS PIPHPLQYTPNGFVNTPDWKLFESVLYSKSPARPNINVKFYIPAARVFCMTKSIPFHL SLESSSVSLAAFLPLSPSANTIGRKATRVQLMRQTTVDVRNTVIAGVKTDMWRVDCIG EGIFKHAVGDAPTCISYSGEIPLTGDDIKVPGFKAAGLSVKDCVLLTMNPTDPSKSPF SEIREVIPVRLSTDPWMANGSGLGARRESLLQPPTPPTPSSD JR316_0007234 MTIPLNTPDLLSLASVSEKSEQWAAQDSIDVRNPVVESSEFAYR ATDLVHINDDGVKNAAKYIRQKLLVEKYTPRTWRTHALHICPSEPYNPEDPLNKSVLD WIFLISSLNFSFWSEKEGRPDRYGVEWRAGWDSVETKIWTGYWSLVAALNRALEEDIP ITDPHFYSSETLCPDSLIEHVFRKAPQSTESIPLLKERIAVMRECAPGIARVLIILRK GYGGSFKGFLDDFQRQHDGQGTSLDLATMVANTFPSFRDEVYYEGTKVCLWKRAQILV AETWAAFYPPSPAEPHPLFPGSQGPQISRLTMFADYRVPQILHHLCILTYPASLLRKL HAHTPLAPGSREEISLRASSIIAVERVREEILRLIKHEEGGDSDTVSRAGVVSSVVID FYLWDLAKKVESGEQKIENIDTAEMVPIHRTRSIWY JR316_0007235 MLLLKSERAISEDGTIRRYDWRQGGAPPSSQDIIQTENEVTDVK FHPTMEHLFADSDGSGRVFLRDSRMAFGSLPKRSNEGIVRRYNTKLTKKTYSHLSHPE ASSITFDKDGSCLLVFLSLAYFFLLSVELTVFEWQHYLPTIFSVSDPNPLAVLSGKNL PDGTPVPSNQTTYSNSCTMKHGSFGGPGLDNDDMYAAGSDDFYCYIWRIPPLPQLMDQ REIYTEKRWSVYGDASTIAFTEGRHEPKIAPVELSTPLDRLTGHNSIVNTTLFHPHFL HIVTAGVENSILLHSPTPSSPCTQNLQRSPENVRVLKDEDAEEDRRLYYRTLLGAHSP HAEDNEAIERNERRTLRIIIREEGQVDCFETRPWRSDGEDSSDEDNDEEDEDDDSDTL LLDISGEEVFPRIRMFLN JR316_0007236 MAADNDKQATRPYKCPYLLCGRAFSRLEHQTRHIRTHTGEKPFV CTHPSCEKRFSRSDELTRHSRIHSNDSHPQPKKPHLDDRVKKKARSRANSDDEGESYA RPTAIDAPYEPPLPRRPAFPLSSPFNALSSVAMDELYALERQEALRRAEYEARHAEAL RRAKSSSRQIPIEHHHHGHRISKSATTSPIMRSARVLPADSAPPTDHIPVQTGSKRRL SGPAWVAPPAAPAEPPLLQSRSSGQLADALYPYHPPHPHHNHDDSPSPISSDSEPLPT LPSHSPSRIFHLQPPVRSHPHSPPARPAQSSFTPSTSPFLGPLRTLNIHSTNPSRAPS PILLPPPSSRHYDHHADGVYGSPPSNPFMRGYAKPGHTSRRSEGAYSTSSFGYTHPPS QITTPQLSSGPSSNGSSPGSVPHVIGASALSHGHHSGTLSASSSRAPSPLHWSRGSPP SHSAFNHHAHHASGSGSHHLAHSVRLAFGMTPIHSVPPSRKSSPPPPVLPRNTSWTAP SNSYSGHYSSHHYPPSQQHPLSPLYGGSGTASMPGSRSGSPPIRLPPLKTLPAPMLSL DDAKMKTEEEEDEDDGLTFVGGGKDREREDRERERDRERERVELPHFSEFEAATRSGQ PLSPINLPLPPPLVGIKTMGIASPVPVASPSSVLGTMTATASSASASASTVSTPTTAT APTTTTAATIGSDARMSIDFVRS JR316_0007237 MATTAPPLYPQSSVYQRPAPIVPAMPVAVPNPPRAPLPTCVQAL HSTYASRLRTGVSLLVQPIFASTSTSNLTRATRRGGVINYADPGSGDDIPDAGALDTD DSDFVASGGTRTSIRQSRNRSNVSMNVYNAVTGASTPRAGAASPRLQKSEVDQSYLGL VPPARFIKSRVMPPTAHEYPPPDVMEHHARNRTSLVPIRVEFETETHRIRDCFTWNIN ETLIKPENFAKIFCDDLDIPSAVWADTVAAQIRAQLEDHEGVATMELGQDGALDVDAA PPNGEQLPECRVILSIDVQIANHHLMDHIEWDLLSPLTPEAFSIKLCAELGLTGEAVP LVAHAVHEELMKHKKDAIEWGVIGGDRDERGEEPAATGEKRDRSGFGLMKDKTGLGLG WGRAPRDGRGPKTLKSVWRDWAEAEEFKTTFEELTAEEIERRENERERATRRLRRETS KFQSSVRSHRRR JR316_0007238 MLARHSFFTRYNASLLGNQAFTRKSSTLLKSSVNQPIIDLLNKH REVEQNKPASNIFRIQAYDNAILAISQLQIPIRSANDVLKVQGIGPGIAARINEHFLK DQTLSEIDPPLYQEMLKARAMKEISALPGIGSATAKKLVEAGCMYPEDLKLPQFSSML SSKQLAKIKYSDSSTPIQRQDAQDVLIMVTHPHFVHVPLPTTPPPDSNASPTKRPRSK TKKVDENPNPLHTSIIPALQQRGLIAETFTSTTKSWEGIIRLPGPQSNWGSIADRIAA INNTQGSFKKMKIHIVSQKSRAASLICLTGDGDFEKDICYRARHRNMLFNEYGLWKWT LSPLEATPTLMPASTSAPTSTDSNSTTNDTHSFWSLVNCSTEEDIFKQLGMESIDPTR RNFSFINSKPRKKRTSVPPSTY JR316_0007239 MSQNSSRETHSGLTRRIRQQSILSNDTATTRLAPNPFENIPIEI FNEIVTMVLQLEAQSSPLFISQVSRSWREVVHNNPSMWDSLVLTHRRPGAKAQFWMAR TQGKLRVLTVRSAAATKARIEAWEPVLQQLHWESLQALRVQRWFVVDYLRRIGKVDAL VSLQVLELDRTNSFSSFRSIQSSLDSSLRHLTILSQGPWLANTSIQARNLTTLSLNQV SVQAGHFYDLLLANPLLVHLSLDSVCYNGMPKNITMHHLKSLRLCNYAHVPVDGLKLP ALEAFQAERFNVTMRENNFLARLASGYSGVLLTVLIFSSCHAYFNVQDVIPFLLSSPN LKNLEVTDTPSGGVTSLIEFLSAPYQPSIVQSDHASSFLVCPQLTYVNFSGCSGVQTG PIMRLVKSRLTVTDQHGNKFGWDGHSPAPQAEPILSVIIDFCPQIDPSWVSWLRYRVP RVSYILAGDN JR316_0007240 MSRKHFQLGLSYYKKGDYQNALQQFTEALKHDGHNKYLILDSRS AVYLKLEDTKAALKDAKATIEAAPDRWQGYARAARVFFEAKKLDASLTMISGALDRLR PEETQRRESLHILRCEVEAAKLEVERRRRLSEDNMFKLPIELFSEIAKLAIQDNDTSP ITLSHVSKNWRNVVVHLPQLWGSLILTRRHPAKKAKLWIKRSKGKIKELSIRASVMEV PHWAGDCLDTLSWEYLRVFKVQQWDVTGHIDQYRTF JR316_0007241 MGVLVSVIRQGYPPKSRFSVGDIPDLSGKVMIVTGGSVGIGRET VKALLHHNAKVYIAARNEEASRKTIEELKNETDKEAVFLKLDLGDFQSIKAAVTEFQS KESKLDVLFNNAGVMAPPVDLVTAQGYDLQFGTNTLGHFYFTTLLLPQLFAGAKSSPD NKARVINTASAGAELASGIDFDTIKDGPKRKKKGTHRLYLQSKLGNVVFSNELARRYG DKGIVSVALNPGNIESNLQRHLGYWDGLLVKSILWPLQYGALTQLYAGTTPEGAELNG KYLIPWARIGNNLATATPKLGEELWNFMEEQIKDV JR316_0007242 MLSLKHQLIWGASEAFRTIVPEPQHRIPIKWSTAVYPVLCYAPF VFLAYLTRRPDTYTIRLLMLPSVITSILVAAYRFTWTIPELNVYNWGQCLFAAVAIAK SLEFALNKEGMLKVGESRPGVKKGKAKDTLNGTVNGYAIESAGHPYVATWFYDAMEVA HTLRGLQWKFGQGVHIPKHTRPLERSAFLKATLDSFIKNFLVLDFLESLLKLFPGVGE PLGGSMFYPELAPVARYTVSTIIHLLTGSALLSGFGMVYDLITLIAVGLMDGSPLSWP PVMENPWISESMHEFWAKRWHQLLRQTFLVFGGYPGKWLAGNVGMLFGAFIASGLFHE CAMYSMGRGFDHFPTLFFAMQGPILIIERLWRRFTGKKVGGWPGRLWVYFDMFILAQP MINAWHRRGLGGGLVIPPILSPTRLIVLPLVKSILHLIQS JR316_0007243 MRTPRLTLFGRSVLLVTCEIAANAICWIVAAILFRKHNESHSIL GLALLAWTLGLRHALDADHISTIVIIVNIAIAISADVYNRINGVGEVGGIVGAAVSGS FLFIVGLANTVILWRIIRRRKQQKILEKRRQNGEEVEDINHNPKHDHMLMMRILGPII TFVNRPWKMYPVGVLFGFGFDTASSIALIAVSALAKKRSDGSSIPSGQIIILPLLFTA GMTLVDSVDSILMLYSYTGFPERTLLIFAPTSKENSTEATLQSEKTDAIKEKSAEIVQ QAEEKTSTDNNSRPSVELETDSTVERDTRVKMNVMSGLSIILTLMSILVAFSISLITI MSLIGEQCGACVSAAEADDGHGGGLAGRWWRGWAQASEQSGYIGIAIVGAFLVIVVGW YSTRWVARKFKSEALPPSLHA JR316_0007244 MTYLGSFYPSYHPIPIHLKLPIFNGPDPNRNYLRSICYSASLKY PWRLRKVFNQILSLLQIGLIFEFTLFHFGSYLEIPYIRLFIKFI JR316_0007245 MPVVEFVWWNGTEEFLGNLDALFKPTINHVSKADGCLRRSMPQI ETILFGGSFLAFKKTKAFFG JR316_0007246 MADSKISTPHPFFVPQFTGKDYTSFFLAGALCCTISHGAMTPID VVKTRIQIDPTFKGHSFVSGSRKLLAAEGPRGFLTGFGPTAVGYLAQGGAKFAGYEYW KKTFVQVAGSQENAVANRTAIYLGAAVVAEFFADILLTPLEATRIRLVSERGFATGLV SGFTRIAREGGIRELYAGFLPILCKQIPYAVGQFTVNEFCHELVLRSTTEDQKRRIAE SSTLRFGISLGSGIIAGFAAAILSHPADTLLSQINKGHGPTGSMAHRLTVLAREAGFK GLFAGLGPRMIMTAGLVSSQFLMYGAIKDALGAPAGLEIHKE JR316_0007247 MPILQFAPFSSLVQPSLWHKLSDLKIDVLKLSDVAVPITGSYSI GRTVIDRETGQEITLPCNLTVGTESFDTHFKPPHGSVSAHGTLKNYNTIEEFKAADKT ALFNQEAQLIWDHILKTRDTSQLNRFFVITFADLKKYKYYYWFAFPAFVSKPAWEIHE DGWKNAEEEIGGDTLSSIHSQLRSHNNPLPYFLLKQNEGNIVVSPVEEYEQFYASTPH QERTIGFVDPSSDPHNPGWPLRNLLAYLRALYPEATSTIRILRWRDTEIPSPNSTWKS QIGLLTVEGAESKAATETTLRPSAVGWEKNPQGKLGPRVADLAPMMDPSRLASQAVDL NLKLMRWRILPSLDLDKISSTRCLLLGAGTLGCYVARALMGWGVRTITFVDSGKVSFS NPVRQPLFEFSDCLEGGKPKAEAAAEALKRIFPGINATGHTISIPMPGHPISSNAASM AQAIADVAKMEKLIDDHDAVFLLMDSRESRWLPTVISASKGKIVLNAALGFDSYLVMR HGARASSLSIGKDGKPHQKLGCYYCNDIVAPADSLTDRTLDQMCTVTRPGLAPIAAAT AVELLASLLQHPDRLFAPAPPPNTNNSSNSEPDEGLNGVLGVVPHQLRGFLGQFKTMP LVGAAYDRCTGCSETVLKAYETRGFSMLLEAFNDAKYLETLTGLDKLYSEGDELLESV DWHGDDDEEDDF JR316_0007248 MPIVEIAHWAAVKNVKENGCKLLKPALETLKKQKGCLGVRYGFV EEHHKLFVYLFVVWDKYEDHAAFMKQPDYPGVLGIEQCISEGGIDVNHVEFTGDFNKA LNAPVMEVSVINTKKGTVKELVIGYIDTLAAKVAAKNVKYEPTWGTARENDNRFYVLI GWDSVDAHKHAINDPNYFHPFDDDLKAAASLKTFHIHLEKEKT JR316_0007249 MPVVEIVKVSASEAYKADPSILNPVAKFLSYSGLAEEDGVTLYL LVVWETLEHHRALQRRPGYPQIVGLGPAIDGELQMHHVEFNKDISSALNAPVTEIVRL ALKPGVQTATKERLYELLKDGGEKIDAKNTHVPAIWGQSTEDTQVFWFLCGWDSVKVH TDIIHGDLANFAPFIGGINEIGDTTVLHAKLLKHSTD JR316_0007250 MASIPPTTSSSSGLSSQIPPCASASEPKQIPSIALSVDVKGKES VITAEAEAEPTMALLGAVKDQESDSEGDEKALVDHLGLDARCRIVVVSSSINAARAFV DSVIALSGGEMASSDKATDKEKDAEKEPKTPTAASTEPVVIPHTLTNRYYTAQVHFAV YTCAGLGRVLGAKEGEGEEDMPLFKSEVGEGGVDVGFKREFAEEFDGGSGSEITRAPG VVYVWADGEGEYWKELGGVVRGMERVGWEAEVCLAVRVGKGGGEDDEDVDGVVMGHGF EYVDGREEAGGDGDEGDVGRLPRVVDALSTVMWVSMQSKGKVNSHTVDAERDGIMREL LDAEEEETERVMERDMLTPFGRVGALGRKVSLGFEDEFVPGGYRSLGSDFGGSEGYPE LDEVDDWDEPTQEEIEETAGRLFGGFRKAPEGIDLSSVLESLQSVKSDIAALPDGERR KMAARVALGLAYGLDIE JR316_0007251 MAPSVASAASVPSSTTSRERLAVTPWEFQSLQEDSERELPVPTE PPPSLVRRAPLPARKGGMGVGMMGKGADAIEETGTGTVEDVTPWEMYAAPSPVPTPPL PSLPVGVGVSTTSLDSQSQDRASVGASKSTRGSGPGPGALGMVYLPSSTSAHTSTSTP VSASAAAASRARSGTDASTSTTHSAMSTSNRSIASASSASNLSSLSAGSGGGGGVGDQ HTTGSGVSLTGSAASVSGSVASVTGSGASASGSGSGSVNMPTPTPYTLGFLGIGKVLH RDRDRERKEKEKERDKDREKDKEKDREKDKERDREKEKEKEKDKEKAKGQGSSIGIGI GYGHQGRASTAVFARRPRANSYQSHGQSQAQGQAQAQDVQAQTSIDLNLDLDLDLESV NTTLSGGLGLGSASRSTTLLPSSFPSSFPHAHAHHPHPHLQHNLNQNSNQYRPSSSHT TMTVTPSTVGASRSGSASRSASRSGSGSGSGSRGGSGSNTPTAGGTRPSTATSVSSAG MSGAMSGGYGHAHAHGYGHGYAEQEKEKAMERDQDAILTPTTPYSAVAAVAMGVSYSG GSSVSYSSSVSGSGLSSAGLSGVGGVGVGGGGSGMQMQSVVTKSSAYSIASSASAAGM GAGAGMGAGMGTSVSTGSVEDVVPWELMPGPPPLPAPVPVGHGGLQRHDAASVKSGKE RDKKEREKEKEKEREKEKDKEKEKRASRKSLVAALASSSSVNISGNVSGSGSTGAGGS TGAGGSGSHRAPSIVASLASGVGSGFSGGMSGVSGGVGMTGPKEEVTPWELEEVLPPP PPPPVPALPLGSSASIASGGGGGGGDGVAAGGDATVRGRERIISTAAVPTSTPLPVPV PVPVPVPVPAYAHATTAGDTTEEDRERMLHHSQSQSQSQLQSQLLSQSPPRVSGYTSV TSSPEMSPGKQRKQSASQNQGTNNVNSNNISSSNSTNSNSGGGKSTSTGTGTGTTKRA RGSMTREQMEEVMPWELYPEKEEEGDGMEGEVWVWFVVFHFISCRFVSRRVISFHFIY IA JR316_0007252 MPYTTDTRERKLSLASFLNPKHFYGEYMRMHASKRAAHAQTASL PHSHHLQQQLQLQHQPPPPPLPQQTVPSRHSNAASAFGDFGGLRRRRSTGGGTKVRSG KEKGKGEKEKDRDRDAGVASSSSTGSASATTKEAKERSRLSGLGISSSSVVYSTSIGS GNSISSDHNGLHTPTSPVASTSSTHLGMGSSSTSGASGGGGGEAGRHGNNASSTSIPS AIAASSSSASSSHHNAHAQPHPHAHPHPHSQTAGSSSGDPRFSTADRTVLAGIREGQQ AMERQFVIKGVGHNVIGGGRSAGKRYHPFSRREVPYPRSYDREVVDFLEIGCGLDIVP LHPNLQNLGSSDLASRITWVQHNFLETLPFQDEEFDFVHIKRIALGVPEDRWDKFFEE IQRVMKPGGAFEMVEEDLFFPGSKPLDEDDVSVASLHGGDDASSVTRRDSVSSDEHRT LNGFDGPERLPRVSEGDSPVTPTSALVAPSSQLPLHVPSRPSTPTRNQQHGSGDAKEV ADAQALAPPSPPRDSQPEVVRLVTPHSRSLVRPPLSVKTHKTQAAAASASAADAAAAA AAPPAYYGSSLGGMGYVTSQDPAVDFIKDQRRAAAQAARKQAIESSPPPPQPKPKPPT LLTKDVTPPPANPRDHTMLEAIWNGMLESRFVNTTPLSLLTTYLEYHFKDVRTHPPLL YTFPPLPDKPDDEDEDEAQTPHQLPSDSETDMDDSRDSSVPKPSKARSTKSRKSVNSN NTAHPEDINLEALYAKQSPYVTLDGSRSFAFSPAMRAAFTPVKEGQQPPLRRMSRLPN PVLNIDLRTLNLHLHLRAREIIACSESMWEWVLERQAAAEKEAAQAGRFRSGSASIEE YMVGVQATASNSSVNLTQSAILEMTRDDFDHLLVNFEMDMHDKASVSHALEERFNWNV FRSPILQDRKAFDNACEKYDKHIAKQKRDQMATNPYRQSNSHRSRNSMSTPGLVQPMS ELLNANDLNMIARSTSSPQPSSGDRSLDDTSSIATAIVSNTRQDSNSSHTHLGSSSTS QLSEARPPQMLSRAMRVFVAWKAS JR316_0007253 MHAMPSLDMQKPAMSPTSPTMRKRQRTVDHPGPADGHPPPAPGP QVGDDAFINDHDAAESGGDDDDDDDAKPKSDKKAGRRKIKIEFIQDKSRRHITFSKRK AGIMKKAYELSTLTGTQVLLLVVSETGLVYTFTTAKLQPLVTQPEGKNLIQACLNAPH GSLPSTMPVGPPLGRASGPMSIPGPQPSANIPGGLSISGGAAPSNVKDDDQDDDHHDD TAASHGGRPNSGDKRRRRNSSTSGPAPPNPNRGATSPHSPNATVPPPLNIPAGQAPGG QHPSHPPQSQIALGSPTSPQQQHQQVAASSPAQYSNPSYAHHPGQPQHHQPHDQMYPP HMMNTGYSYAAPGAQPPQGGPGGQQLGGLAAAAAASQQPHHAAWPNQQPQVGQSGGHY GRR JR316_0007254 MGSPTPPPALPKQDASTLEEFQSTSHDLPPQEEEVFSSYKSPFE FYPRLRNVADAMSSASQPLSPDFVSDLYPTSLSSLSPHSESVDAKSVDAAYHSYVHRP DLKPLKLATLGKNLDPSKRLCRYEVPGGGTCRDNGCEDIHLSRLEGANQLGTVEPNAV LSKSSFSSRNSLLFIIIVQYSMLFSVPDEDTAEYLFNVMPRSWLRQNNVASSSTIISA IRNVRQDIGANSLEYEDRVAKALQSLGPPPKT JR316_0007255 MEASSSSTSATMVSSDPVSSLRAAALSTLKKSKRRKAAAVEKPI PVSLRPPPPSDSFQLDYGQEDNNVKTDPGRLVSEVSQVKEKSPTPIIDTQMREEGEIS DEEGPPNIPMQIDDYGFQKSTKSPIPLKSVTPETYMNTTLHIRPTPPPLSPSPRSLSP EAQPTRAQLPAQLPETFVPVFKKEEEVVKIPMLGVSEPDDTVDIGPDRVRPGIDLNQE DYDTVKGIILDLLGWGVPFEFLVDCGVSKEVIFYVFNELELRLPDDFDSSGLIPYTPE TIAQIQQQPASMPPPPPPPEKETDLLEPRASPITEAPQTVSTPPAGPLESLSPVLESP QNTDLHDMEKQRRQVLLARKAAVQASRKQKQHVPAKTSVEISAEANDPMVTDSDNNDV APYAVTETVEDFLNSLSAVKAPEPEPSAVLSVNPEAQSTSMNVEQQEQSITDQAIGLE RDQVANGLNSAMSEHFSISSSFADPPPTSVGSSTSNFSYFSSSTPPTPVDVFPRRGSK RPVAADFVDFDPAPKRQEHMSHIERINGTGSITRRLTKGTTFQNINSRRCVIELSDSD SEEDEEAEDPLRRSQPQESSMQWRDKRTQPPPRKYSSPAPIKSVTSGTMSPSLEEKEL EIRRLREWIAQREEETRLRKLALARSASATNLNNGGISTSVAQATPLKLEEVDIVISA ESAPHVPGKEIQNIKPATPLPAAESPVGMSSR JR316_0007256 MGHVISAATTEGFPPKPKWGVGDIPDLTGKVIIVTGGAAGIGKE TSKALLNHNAKVYIAARNETLVRKAIEELKSETDKEALFIKLDLADLKSVRAAAQEFQ SKEPELHVLFNNAGVMVPPIEAVTAQGYDLQFGTNVLGHFYFTKLLMPQLIAGSKTSG DGKARIVTTSSSASVLVRSINFNTIIDTPARKKRSNWMLYGESKLGSIVLANELAARY GDQGIVSTSMNPGNLNSELQRHLKGLEGFLVSKFLYPVPMGALAQLYAGTSPEGVNFN GKYLIPWGRIGKNHPFGQNVAARRKVWDWLEEQVAKFEASS JR316_0007257 MTVVHTSDKITVLKMSMPQKLVVDEHVYLRNSGILPSMKHDKFL TNYVVHFNNKVLPAMKAPTMEVTFFKLKKGKSKRQLNDILTSVATKPEIAGSPYAPLT WGDVEGTGDQIYLLFGWESLEKHKMTVQQPTFSQFITDLIETVDFTFCHVEFQTIYFA MASALYL JR316_0007258 MAQKPSLVGSIVERKQPSSFPTPKPFSSSKTGFPTVQHRSKSAF SRNREELRKFGANRAKEVPSVLPSNKPLSPPPTPPTSEPSDWRDQISRDNEERVAQMT EEEREEERRQIIERFGANVGDILKRARLARTKTSQKDASEKVPEIEVREATPNSAQEP IPISHEDRPIERALSPPPSALATPSNSRPSSRTDRKLRFAELEPNDVHVYESAPSTPK KRKALALPPPDPNDKHGAVSLGQWKGKMVPDKAGQIELDPPPIPSEPEEPEEGSAEYI RRHFFPHAPKDDPNLAWMDLDPATRPNAGPSSSTLRFDLHGNPIPPSLSESLPTHLGL HHHAEGSHAGYTLDDIFLLSRSTVPAQRATMLSVLARIASKLENVKQGKVDDMNELVG KEDELRNRILAAGIEALSSRGNVGTRAIEVVWECIVGWNLDIMDLEGVELESPSDTTI ETLPLEFFLPQVTTILSQGGVPPESASQLLSILHRLTQENSTIATSIVTTPKLLSTIL QTFLLTPIPHQDSSSSTLPDPIALQFFNTLALSSRSNAEEIEKLADSLLRFVVFSSFD SPYPPALAMSLLIFTLRLYKVLASYGLYSHIAGTAVEQLAHIEQFVISEACNSTELTV AWANLVEAWTVCATDPHQTTPPHDILWTQVVGWNWNVGISELQERLEARETDWPMWTA SWQAQAAWLEGSKINAIKGGEAERVELMESVKEGFESGKESKVIHGALNGLRKELQGY IEGNIDQLKAMANNASVLASAIRLWLSCIPPHLEGVPSSPPFSLPFVGLSELSRQLLE YPLWALMDSSNSSRGYLYCREMSRFMSYYLLLSRRLPQTSQSLWLAQSFSILQRLGPG DEDFAQLVISELSKILTQQWAESQKINVSPVIWEKGGLSILEPFMSNLLRPNLEAVIG PLTMTPQSIKSSTSQRLPAPSASKKLGMPLRRDWTTSPLDHLLRSGDSEVFKALPISW DSSEVEVARASLFLTKVVQESLLNFSMTSFVVSREEAELSCMKIFMLEHGQTQTDSTE EVFRDSVVEHLMEDILRPYAIGSSDASIVARTNHEDLEKVASRFLGTGVPFFQFYTDF VSLYDAISFSHPLFARLLLPPTSMRYPLDYRKHLWCDFNHVVKTIRVPELQVLSADIR EYFYPIEKDPQVIASYLSSLLKDDVHDFVRLVALHHISSNIWPDLQEEPRRDSSEARA STLLKTVVGQSKLEIIRDVVRYRQQPAGSISLPPHCFEDLVAVKASRLDCIMKWGGQA MFDRLQGLLNE JR316_0007259 MDEEFLDRVMGAEKGVGKVDDFIGELWRGWKRLRENGLAQPLHL GLFRSDYLLHAPSDQPLSIKQVEFNTISVSFGALSQRVSELHRFLLASTGYCNSSPYL KRENFPENDTIAGLANGLAVAHKAYNVSGSRVLFVVQPGERNVFDQRALQYELLEKKR HGIHVIRQTFDELATSASINSDSSALRISCSEDVHPSKQIEISTVYFRAGYMPYDYPT PSHYITRFKLEQSKAIKCPSIALQLAGGKKVQEVLTQPGVLEQFLADKKKYGVNVFSP EEIAELRDSFMGMWGLDVGEDMLNPDIAAVQAGQEEFGVRKARSEALSLVLKPQREGG GNNVYKESIPAFLDTLPPQERQAWIAMQLIKSPENTGNYLIRAGGLDLKSQMPVKADV ISELGIFGWALFGQSVDTQEEEVGWLMRTKGRDSNEGGVATGFSVLDSLVLVD JR316_0007260 MDEKRPLNYNHEYQVVFIEPNDGSHVFGIQLGSPFTNPTAKLPT KNARHFKVLDHGLNVLFSTPFTPINWHNFAVQVDWDSNTLAVLYSKNSDLLRAVTKVI PNPTHPTGPVGQGDFHFGVLKLPLVNPKDSPADQGDVVHHGIQEGTTEGLLYSGIFVE GIQNGISVGGGRFIKPIGK JR316_0007261 MSINVISGKLPTHYTLPSGDKIPSVALGVWRADPGEVGEAVKTA LKTGYRHIDGAWIYGNEAEVGQAIKDSGIPRKDIWLTSKLWNSFHAPQDVEAALNESL TKLGTDYLDLYLIHWPVAFKNNGKKNDVDFDLTENTYATWQKLEEMVDKGKVRNIGLS NFNIRRIQKLASNPLKVKPAVNQVELSYWNPQPELLQWAKDNDLLLEAYSPLGSQEKV KESLNIPEVKAIAKELGITPAQVYISWHIQRGTVVLPKSVHPSRVQENFQVTVLPDDA FQRIEKIAASHEPHRSVNPKWGVDIWN JR316_0007262 MPGMVSTSQPTQQSFNPYTENGGTILAIAGADFSVIAGDTRQSE GYSIQTRYAPKVFRLTDKAVLAVNGFAADGNMFVKKVRQRLEWYRHAHAKDMPIRAIA RLIQTMLYARRFFPYYVYNILGGIEEDGTGAVYSFDPVGSYEREACRAAGAAQSLVQP FLDNQIYFKNQTPAPGTSFPKHLPLSTVLPLVIDSFTSATERHIEVGDGLEIYVVLAK GSTSLQGITSIRGVQELTATSEGERVFVIRRDLKKD JR316_0007263 MLFSSILTVVFASLARTTLAQDQFGNIVYDAIHNATAITGTWSS GSKAVRTGQGFANPANMTFNYPKTTGISYSFTDDGFYEISRYRFNSNGSEPTCITGVI AWSHGKYTLNANGSISMSPFEDGFQQVQDPCAAISNFIEPFTTPEYYKGWRIFMDASQ GPKLHMFQFDGTPLAPMFQVSVTPNMLPTQLLRNTDEPVIQRRSSAQGTSGSQWKAGV VAGAGLALISSTISML JR316_0007264 MVDFSLGFVITVVQEKVKGIPEFRKIISGQNIMAFSSNLALVAQ SIRTGYLLDIFAPQNPVALFSRLLEDLRSDTRTRDCFASVLHLFEPSFDQSFFVNTRL LFHRIESFNQPATCLSFQEHIGLRPVFVHLGASFEIVDPPTQIVQLLVSLLDLVSTSP TPSSHTLPSDIPMELAVALAAIFLDYPIAYVPSVSHQNALSGKPLDFYECSLTYFEGN GRREENPREITHTIMKFSSPVELWTCRPNEYMQLQPQSVIENLRNMFQKRIQNLRGVR IIAVEHCTRTLQHVAF JR316_0007265 MVPVTTSTLLFAVLLLTTQIVNGLPQQFKRAQDPEVLERRDLFR RASAASPCSKLDLDDAQSLPGWPKLEQYARSKWGEGEWTIIINPPGYRKDKPATMCVA DPVKIEMTGDSKCNETRKDIPPVKKDSNHIKVNEGYTNTGNWNITNVTTAAHAEFFSG NFQLPNITKLHLDSLTAVGKFINAPDNSFVTVVSNVTYKNTELTPVPDKHCIGTILEQ ECITPAKGRIQLVASGYIWFKYKTKARHYFFV JR316_0007266 MPLNYSKWDQLELSDDSDIEGHPNVDKKSLIRWKQRDIHEKREM RKHKIQTLKAQIACNKVLLPRITEIEETLKNPPSDMTAPAYFSQLVEKLRTNPSRDCP PGNDPNKLEHTYDGMLLSLLTMVSEKSKEKLKEGHYAGEDIAQKLAKELAAEMGTHVK QLGETIERDQKELEEEEREQKKHITMDDLHDGFESKYVPPIPAPAPLAPVKPEKAKGK KKETTTTIEVLNPKAGESSTSAPAQEETSDNEDEEGLPELTPSLEAFSKIAVGDYEKS FEFIQKHRDVYVPGAADALLVAAFTAQGDGKTKYARQCVHQSLLIQYCEKLGRDGVGL FFKKMVSGDKRALKVFVDDFEKTYEHLANRVKVTKEEQNQAREQIQLVAENPDTIISF NVPEGPPPETLVLEGPGTEGMDVEEVRKALQFRWDVFESFAPEMKEALKSNKLEAVNK VLGEMDVAEAEALVGSLDMAGILNFAEGGIRDETGQ JR316_0007267 MFLLLLSIGLLSSWEGGIQALPLNVFHPLNLRAANNDPLQCSCP NTRSLQDIVWSCLATIFVCTWVSVHPNVTPPGENWFKRTIRRTWLMFWGLIGPELILS WSIRQWISARSIAKEYKKHSWTMTHAFFLIMGGFVLYKGDEDLGTLTVSLFKELEASN EIDFPVVTEEDLADKSKGDSLSKGLVIVQTTWFMIQCIARAAQGLDITELELVTVAFA SMNAVMYFLWWHKPLDVQCRIRVYLKPTSDYAHKNELIRAEEFQVLDNLDAQHLADMM GKVEGDEQIQQSEVSTKPLLRPFAYCVRQSNTILTGIRKRASRFLYLLRQEFRKANVI VVILIRWPMIILIYLFIAPVAELFGTDSSEEEKPGRDTVPTFYSPAMTYQRSQHLTYI FGFSGAVFGAIHCIPWSFQFPTSIEQTMWRVLSLFITLCPLLFAFLQAIGVEERIDNL KVTKASTFDKVIDSLAVLVTLTSMCFLPLYVVARLILLFESFYLLRDVGPSGYLDIDW GNFIPHF JR316_0007268 MAPFVVYEFAFVCCSIAAVALLIWDHVITFGREVQIFWSRKISG PAILYGLLRYGTLFEKIAVMLLASWYMTPHGCNVAVRFQIFPMILRSLGYGMFSALRV YALRRNQWPLAIFVFLLCLPSLVMPAYVYAHQWSPGVNVFGCTLAYKASPVEHTRCYT HDTIPHLIEIGTVRIAGLVADLLGEFIVIIVTINRTFHLRKQAIPLEEEKKRPGLMHL FLRDGSVYFVALLILSLADMLVLVFDHVPSFATRYDYWVVPYYTPVFRTIIISRFLLM LRSIYYDESNDKTDADGEAPLGSLKFQSRVIGTMGAPVDSQFDEYSGPEPWEDEDDLV FSSDPLAAGLLETDTPSHDSSDNIGKESTPSEADASNIEA JR316_0007269 MASTQSTSDSATLDETPLFSDPERSRRVGVFGRRIHGWSWQSFP IGMGTSAVYLVFSGIKDRPIALHRIEVGFFFMNMALFILNTLTLILQAILYPKRAWRS FTDPVASIFVPLIVLSFATIVIGTVNYAVPLGHVSLNFTYALFWLYVAFSLITSFVML MIWFNHSHELTAFTPGYAFLIFPLMLTGVVAASVLTVLDLDDRRCLGVLLTGYFFQGL GFFMTFFYICIYIIRLIMTGFLEGHQANSAFIVCGPPGFTALALINLGKEAQFLLPHN NLVSSGAGDIWYASSVLCSVLLFGLAVFFFIFGALPYGFKVYKRLGLKDILSCWAVTF PNVGWIATLRLLGDIFDIAGFHTLHKVLAIALLAVWTLLFILTITAFVTGRIFISTEQ DVMKDKQRLRRRSRSETSARLNSQEKPNNSAV JR316_0007270 MQSARLSSYLPNLDLFVIFAHILSSGTCTMATKSLASCLFCKII EGKIPSFKLLETETSFAFLDIGPLSKGHALVIPKYHAEKMHELPDEYLQDVLPIAKKI ALAQGAADYNILQNNGRLAHQEVPHVHFHVIPKPDEVEGLGIGWPAKSVPKPELEKVF AELSAKLNAPQS JR316_0007271 MNTQPNESNVSLQSTTTVSSNTPLHRSQYPQKDYSAAFGALQSR YGTGGILPNPKKEASKKLPNSTPSSVAGSSPSSSSALASTLGSSEVTLATESSSSIAS QPRSDASGGTSESAVGSSASGSNSGSSTGGQTRKKKEKKSILKSLLKGKKKKETIQPD SST JR316_0007272 MSLHLPPPACVADIVNDSDSDSVSSSDSEDENDQTWDDWVSDSN EQQETKSLFDETVLPSVERALAYDSETHHFNLDDFCKNLSLDFHGRVRLINYIRKTKA SPSEALKLEGKEPWFQSDEYLVPVVENDPLIQAYALDWSDSDDDADKDPMKMDPNQKV KLLEKKLALARQSLLDYRALIAEKLNISKQVTNVEDLSSKLEAIPKTRDDDTHYFESY GSNELYASTYADIHAIMINDKVRTSTYAHFILTNPSLFRDAIVLDVGCGTGILSMFAA RAGAKRVIAVDASDIALKAEKNVIANGFQDVITVIRGKVESISLPEGIDKVDIIISEW MGYALLYESMLDSVLVARDRFLKPEGVMAPSQCKMEFGLCDASEIHKERIGFWDDVYG FDMSAMATDLYDEAIVDVVGPETLMSEPYTIKDLNIGTITSRELDFTSTFSLTSTAQR RTKINSFILYFDTFFTAHGGPVPPDTQVKLVKEGEPVLAELWPVGGKSALQRRQSMGK EKEKITSFSTGPLSVPTHWKQTIFMLREPITVTEGSIVIGTFMCKKSTTNSREMDVEI HYSVKQDAQSSPGPTTVQMYKVR JR316_0007273 MSLINAADTLDPHFQTVPIIDLTDIRSNDIVKRRNLANEIRDAC IQVGFFYVKNHGIPDVVIHDALEMAKKFFDLPIDTKMEIENRKTPNFKGYSPLLSGNN DPYGAGDMQEGFEFGWEAFNNDEADKDSDTDGVMHGANIWPSETDVPGFRARVMHYYH EAVKLGKMLFPLFAMALDLPDTFFDDKTQHSAALMKLLHYPPQTGPVDDRVIGIGAHT DWECFTILWQEHGIQALQVLNSEKKWVDAPPIPGTLVINLGDQFARWTNDIFKSTVHR AINRSGVRRYSIPLFFGTDYNVKLEPMPSCVSIDRPPKYEVITAGEYVKARLQATYNH JR316_0007274 MDLQVLSQLFATTYNPDPNVRKAAELEIRKIGNQDGMISSILRI IAADNIDLATRQACAVWLKNRIHNSYSIEVSRRPDVVVIGPSEREALRINILPLLAAS PSRSISLQLANTLKSVVAHDFPANWPGLVREIKQLLVSSNVREVHAGCIATLEAVRAF RFRQKHDALPVIVEELFPTLVSIATQMMQQPPSAAQDIPTMLHLILKAYRTTIVINLS AHQQSATSLVPWGQLLFSVVNLRLPKDAVPEDIEEREKCEWWKAKKWAYAVLGRLFHR YGNPSQMPSTLQVDYIEFAKHFIVAFAPEILKIYFQQVELYVSNQEWLSSKCQYQIFQ FFTECVKPKSTWVQLKPHFDSLVSNFVFPQLSFNEQRRSLWESDPVDYVRVSVDEYET FTTPVAAATTFLLALAGNRTKATFLPILGFINSILRVHGPPEQRFGALNMTAALGRQI MKHPEVKNNMEQFMLQFVSPELESPEPYLRAIALEILGTVTKQGLVWSSEETLNKHFQ AVFRALDDNEFPVKVQAALALTEMIIAHESVRVAVAPQVGKVIQDLLKMSDETDLDIL NNSMEVMVDQFQNELLPVAAMLTARLCESYMRLARESAVHDHLEDNADLDTLLSDVDD DKTFAAMGVAKTISTIISSIDSSPEILSQIQEIVIPIIVFTLENRLLDLFDNMYELVD SLTYKLRSISPSMWPVFELTYRLFKHEAVDFLEEMLPSLDNFLSYGADVIKARPEYKQ MMVDIYTTSITNEQLGENDRVNGSKLAESLLLNLRGGADDYLQPIIATALSLLDKTET PALRLANLEVLINAVLYNPSAALHLMEAYKPGMARLFFDRWFVAINTDNRLPRVHDKK LSLLALCALMEMPPDSVPETVRDGWPGIVGGALKIFKTLPKAIEDRKRLETLLQEESD EEDDNEELALNLEGDDEDVWDEDSAYMEMLAREGARLREKSENESNLEEEDEDEDDED EDEIDEELGYISPLENINPYVSFKQALTTFQMQNSPSYQAATTVLDIEQQTLLMEVMR IAEQEQTPSS JR316_0007275 MPPPVIVLDNGASTIKVGLGPEPRIISNAVVRSKGDKMSYFGHE IARCEDYSSLHYRLPFEKGYLVDWDAQKAIWDGIFSDEVLGVDTTQSSLLITEPYFNL PNIQDIYDQLIFEEYEFSSYYRCSPASLIPYGQLFSQPGLPPPDCMIVVDSGFSFTHV IPMIDGKIVWNVVKRLDVGGKLLTNHLKELVSFRQWNMMDETYIMNHVKESCCFVSTN FQQDTETCRIDPKRNPIVQEYVLPDLSTNRKGRIRQPDDIITETDQILVMNNERFTVP ELLFRPDDIGLDQAGLSSTIAHSISLLPTDLQGMFWANIGLIGGNTKFSGFRDRLLSE LRSLAPVECEVVIYECEDPITEVYKSAYNFAMDDGFLSHVVTRAEYAESGSSASRRKF RDWRPQESEKEKVREAVPSKLKGKQQQHREDDRSQAPSKPTKTRSTRAGAGTGSASTA PRKR JR316_0007276 MSRILFTNRDEFLDRPTQDAHFHSFGDESNPDSSAQILSGRDVQ AGGSWFGINRSGRVALLTNITEPAKTYNTSRGYLVSSFLLSDSSHPLQDEIGKIIPED ALFAGFNLLLLAPTLNENGTIRYDSLFVTNHGGGGTLTSRPLHPNELSSGAMSNGIDG EGAELWPKVRHATEDFNATLHTLAPGQSESELTEHLFELLAWVLLFSKSLSEILSEKF LTY JR316_0007277 MTSESFQPLQLKGEIMDLEFTIDTDHRDDPSLDESTRLRNRIAE LESLVRELRGKPHPRWAESTFRDGDPNEKWHSRASKCASMQKRAGLTSPQTGQAQPPE ELLRNGRAVHSLLSPIKTEPVAETNSHLYRFSPSPAPSMRYHTFQADVRGDASPSFET DPRSANNYNNGGTNGNGTTYHGSNGQYSNGNGNTGSAPSSYTENGGGGTTQYPLSNSD DGNNGYSDQYSVNNSPPQGNFACSCRSNPAVNITYVQLSQTLSSSLGSLRQYAHHPSN TQCTLFRRIVELNNTLHSGTDSPGLASSYDSGPASDSEIMTPLSASSGHASFHTGSPG VSPQEWNHMAAAGFNSYFPAPDHHGVYAVNHVMS JR316_0007278 MASASENPDLSPTSPGEEDSDALLSNVAEIEPEPVPGLNGVPAD RTEPYTRITPFQEKHDTSVEFFYKPITLSALACGLVVLAYVATTQDVLEEGRDKRRVG VYAAIASFLLFAMIQFRDGPFIRPHPAFWRIILAVNLLYELGLVFLLFQDLKTARQMM TYIDSNLGVPLPEKSYAEDCTLTVKNLWNAFDIFCIAHTLGMKVCQFFEVKPYEWRGF RQTRGIRSKAKRVLSQFSPHDFTAFKWGTATDFLHFSTVVLLLAVFLAAELNPFYLKS LLWMEPDHPIIILRLTFVFLCALPAVRELYQYINDPRRAVRMGQHVWLLLATIVTEFL VIAKWGKGQFPEPLPSKVKWGWAIGASVLVLYPIFQLIDVRIQFGVPSARRYLRRHQK KGKAKSS JR316_0007279 MPRAEERQKPLIFISVTNLMVVGTPKYVANKMKSKGLQRLRWYC QVCEKQCRDENGFKCHAASESHLRQMLVVGEHAGSHIAGFSQQFQSEFVALLSRRFGT KRVRANQVYQEYISDKNHLHMNSTRWVTLTEFVKHLGRTGVARVDETEKGWFIAWIDN SPQALAKAEASQKKDRATISDEQRERLLIAEQIERAAAESTSEPTPSTSAVEEGLQRD DKSEKVVLTLSAKSAASSAPIGIKMNALKPAVNPLKANPLKRPNVFKAAAAASSDGGD KAVNEKKRSAPMTAAERLIIEEQERKRRRMDRDNMA JR316_0007280 MSHYKSVSLKEDHELSPSPMINSQADLQSDPWNDELLNMYQDDP PLSDRAALHPAAAASFVARAPSQSQRMASIQKRRKNRLIWLAIIAVILALGVVGAVVG VLVGKKGTSNETKSADNTGGSGGNGSGGTGGTGSGSGTGSSSLLSGTSGSKVTMEDGT TFVYTNDFGGEWAVDPKQPFATGGKAQSWSPRIGTEEWKWGTDYVRVTEPFIVPALYE AYIGKTNINVVDEWTLSQAMGSDIATKMEEHYKTFITEQDFAQIAGAGLNWVRIPIGF WAIETINDEPFLTGTSWTYFLKAIQWGRKYGIRIYLDLHALPGSQNGWNHSGKSMPRI LHCGSVNFMNGVMGIANAERTLNYLRIITEFVSQPQYRDVVGIVGIVNEILWDTIGKD AVQSFYLKAYDTIRKSTGTGTGNGPYIAIHEGFQGVRFLTGADRLALDQHPVSDGCVW GHQVCEELIAFFRPNVVPGLYGDWAIATNQSQKVFGVTLGGEFSAAINNCGLWYAYTP DTIAALKNVALASMDALQNFFYWTWKIGNSTALGTSSSPMWHYQLGLQQGWIPKDPRE AIGHCASVLGSSQLFDGNYPATATGGAGAGTVDPAQSTSHPFPPATISPSFSGTQVAL LPTYTPTGTLKTLFAPTFTAAPSAVVGSGWNNPADNAPAFVPIAGCSYPNPWDAVSAA LPTTTCTGP JR316_0007281 MPIPNDEQTCSIFSRAVYTYLDPVIALGYRVSHLEFDQLPPLPD TEEAKNIVKYAFPHLDLFHGAQKRHIFFGLMRVLRLEYTIISVCIILMGVCEFILPLA INRLLKYLETGGENARIKPWFWILCIFCGPFFRTLLFQNYIFVATTTFVRVEGLLTQL VFEHSLRIRVKNDPSTSTEEPQASEDLAVEEASSEDEPTTTAPSLQDKKIEKSGANVL GKINNLVTTDLANIVEAREFIVLLVYVPLQIILSVVFLYQLLGWSAFAGIAVTIVLFP VPGYIAKLIQDTQKVLMEKTDARVQDVAEAINVIRMIKVFGWEEKMSKRIKQSRDNEL TSLWRLKLLDAANSVITYVIPIITMLVTFGVYAIIMKGELNASKIFSSITVFNILRTQ LRKISFEITAAAQGKVSLDRINDFLKNTELLDAFSSQAPSMILKHHLKDEIGFNDVTF TWSLLSNEGSLTPTTRSFKLRIPYKLLFQKNSINLIVVPTGSGKTSMLMALLGEMYFL PSSPDSCFNLPRGGGIAFAAQDSWVQNATIRENILFGSAYDEVRYKKVIQQCALDKDL QMFEAGDATEVGEKGLTLSGGQKARVTLARAIYSPAKILLLDDVLAALDVHTSSWIVN QCLQGDLVKDRTILLLTHNIALTAPIAHFIVSLNSDGTLHTQSKDIKKIVTNDSFISR EAEIDNESIAISHQEVHSLSHKNNFVVDGKLIMKEEIIEGHVTWKSIKVFLKGLGGNH PVWFYVLWISGIALTDWLSTFQVWFLGYWGSQYEFHTPSEVPASLQLAATTIYNSAYI HFVYGTMRASRFINGTLVDSFLSSTLRWLDETPTGRIIARCTQDISAVDGIIPFTFRD VNEVAISMTTKIVVIVLFAPFFLFPGFGVAILGFYLGNMYLKAQMSVKREKSNARSPL LAHFNAAISGLVSIRAYGAEGLFKDESINRINHYVRVGRASVSLNKWIGVRIDLLGEL FTASLAAYLLYGHSIGAANTGVALSLAAELCALILIFVRAFNEFEVQANSLERIQGFL DIEHEPQQTEDGKPPASWPTSGDLRVECLSARYSQVGPKVLHDLSFHIESGQRIGIGE QQIALSSSLTLALLRCIFTEGTVYYDGVPTNQINLDVLRSNITIIPQSPELLSGTLRR NLDPFEQHGDATLNDALRAAGLFSFEELGEACLTLDSNIASAGCNLSVGQKQILALAR AMVRGSKLLVLDEGRLQTIMDADKMVEFDSPLSLLGKESGMFRALVDGSGDRATLYAM AKRKTLNSSHRH JR316_0007282 MPPRPVIKTRQGRPVSAVYIGKGPSPSLQDLSNNASGYASLIDG TPPTLPDLPEPASPVSSHGSVKSGLPSPPATNSTGSGSTGDPATIALRQRPLSHHSNS STSTGGATPVARSSSRSSTRSEDRLSDMKIEDLGGVEGDFDDDYDKENDIDNESNFDG DDTARLDRRLLANKNMSESDLRKSSSEHITALQKVKTLAQRNRMALDKLSRLGSPSPA RSGTPNTRSPAPSHSSSNSSKPSNSNPLRQPRALPPEGFKDPTRSGSETERESTHHSN SNSTHSSQSTSSRNRSSSSSYQHSPSVSSSQLSTTTPPPQPQSNSSYHRRRQVSAPTS PNQSRVSGAGSSESSASTSNIMESPSNRRRNRLSVASVSQLQLADFTEEDDDEHDLGP KTATGTAKDRTRERTLNERDLIIQSALAAAATSRSSPLGNRRRSALPKEFRSDLADEN SSSKHASGRHSAAGRYEIDDKRESWKNKEPVTPFRPTANVGRSSTLRDTRHSGGSNGR WSSDDFRSSATVRDRANNSSLLNEDSRRERRQSLRGGSAESILGWSPGGRSLLGEGLR AAGLSRRKDDESRGPPSASTVGSDVFRERELYTDRDRRVDWSPQDILQEGRRKVFSER ERDKQPARASTSMAHYQYRDQEDDTAEESREREGGRELVKNRHRSDYGLSSREHDSRR EPSISRRERTSLPADRAESALGRYHNPPVPQSPALLAAHLQDRRSTSSPFGSRRYSVS HSNLTSSQSQLEPAKLLLESLSMFESQLNKLPLSVSTSSTGTGSSQVELSRNAQGVVF SAERLSALMKHGSTKALEAQVATEVDSTSNDASLKEIADIWGKVASDYRDGSRVADEL VRGLTALLLGMGRVVRDFSTTSSEYGSPLVHGRHASLDEDRPDASSSTSGVVDGSNSA ESGRHSSASRRSWEPLPRDKEKDREEALRRLAGGGTRPESVLARASPATFQKLKDRAK GLEGSGQSSENRDSVPLPRSSGSIRRLFTPREQREKMLDARAASVNAVLRGGANLATL DSQETVKEQPNFESPTPASKTRPSPPQRQKTLTPLSIPKPLPVLPSETQVRRSSASLA VSANSDKSTSSRDRERRRSTLRGAERPSFPSITTPSNATTAVTPHTVSNSPGITSPPL PRTNSEQSTRSQVTFSRPIASSVSATLSDIHQQHERNRTLSASSSSAEAGNSVIPDSK MPNLSASESERDVKPKTLGSRTLRASLDGQPAKEERSPVIIPRNVNVHAADRSAATTI LQQSAGSSRDRRRTVTDIWPRE JR316_0007283 MDKQPQEIVQAKQGMAGAQLRLLDDTKPEANPETEESQKESPFK DERPGWQGYIEWEKYPEKKELAAGILAKYVFPPPPEFQLGPIPDTNPVLEGVRWKMWH KAIGGDLWSVPEESWLRVIQEKHKDMLHLLQFPYNGEPPKRLVTAKPITPNPLHFVRN HGGIPNISADAFFLELDGLVNNPKKISLAELQNEELFPRVVKTVTIQCSGTRRIEQIS EYAGEGDEMINAPWAEGAIGTAVWEGVSLKKVIKYCGGLAEGGKHLEFYGADSYFKQG QLMNYVVSVPWSKVKSNEVILAWSMNGEPLPKIHGFPLRAVVFGYIGARSCKWLYRIK AISEPSRAPVQSREYLYFNQQVGKHNQAYTRGIQIQEMPVSSAIMEPWNKQVVVHGGY LRVKGWAYSGGGRWPERVEVSGDGGNIWYEVPPENLSKKHKFAWRTWHIDLPVDAEGW IELTVRCWDNSLNTQPTFVRSAWNWGLHVTSSCHRVKVYSVNKSKPDTAARLKEFEER NTPFTPITRPTEFKTQSDEDYEKYWQTHDPRDVDD JR316_0007284 MLSALRQRVSLVPRLAPAQICRVSMISIQKAQEDEAIKVLDEIQ APAAPEEQPMQTVVQQRADLDVMHHHIPPAQSPILQLFISWIMKDGKRATAAKRISDM LLRIHIMTQSQPLPIVEAAVERASPAVWVSRMKKGGGKTIVKPKPLNERQRASWGVYW IMEGANKKGRPGKDIADRLAREIIAVLQDTPGEPKVWANMQKKRIHEEALTNRGNLAK R JR316_0007285 MLPRQSDLLSVCIKPSSSAASLSLFPRFLDLSLPRCTDIVLTTM VLEHHRLRYKPPRSSPNCDSTTFSMHTLPRRPSPAAKDDVVKDEKQLAVVGTSKPKLR FVRVEDSLFKVPESFFQNMPQEIQDHTKYTTGVGKTPEKPILLVGHTNHQFRQFLEAY NSYPSLNPRSMDVDTIFTIGELAYMYQQRHLALWFMPIFKDVVISAESPLHHASNNIF VRAMKLGIAYGSRELCHRVSTRWIARMHRRELDPLSALLFADTYNLRDLLSHAYYIYL MLVFRRIQQSHDIDPRGLLTPGQRTHVMSGYHSLSAYWNHLRLNPPEFEAAPSCTSHL QCLGAWRLQWIAAAEKDYPLPELDILGRLTYIEKCLREEMVLDVVLNRVCKASALKAV SVKREMVARQLHHHFDL JR316_0007286 MKFATILSTIALCTVSAYAQGAVLSYPRDGDKFHAGSKFTVEVD RPNSLSGSTEVGVVIAVNSCNNGPCAPPTAILGQILYNGPFNPQYQASAVGYQTPGQN FSVTLPSDISKGPASLNVFHVALIGAGRAIPLTQTLNTTIIVQ JR316_0007287 MRFISSLVACACIVGAAAQRSFLGGPPEGTTIHPGKHFTVQLIR PNSIEGSTEVGLVIGLLSCAAHPAGCPTPDTQLGSILFNGDFKPTIHEIAGQPYENFT VTVPSGFPSGIAQLSTARFHLIGAGPSPILEFNNVTLNVV JR316_0007288 MVHLYVLNPTQKLRFVSRLILKGSKRILKQVNKQDTSSRASSSS SSSVTDVEAKRRQKISIYDLIKDDLPSVPEGGLTRNAKYYMDESEGGFCVFRVENTLF KVHKCYLLREPSAFGDMFSLPNIPGIREGTSDEVAIPLWDTAQQFEDLLWVLYAIPSQ LFSCNEADEPSLERLLNIAEMTNKYCIASYESWSLQKLYMLAQNPAGFLRTSPASACA RALDIAALCNDQKLLDMICHRLIPRILWSDVEREPFLKVAETRGLRKLQGVLYYKELI EMDRASASSNKQTSPNRLIFPPDMNVRKRMCFFSAHYSLCGLWECVAMDAPTFIDHGC PSHAECLSAWSAMWTEAACAHQTMRHSSVDVLGRLKAMMLILKKTVRESESMTLGCTL AALESITLTRDDIIAGLMDHFQDF JR316_0007289 MVMLRHFLLSIVLHSVYVSAAPTALVSPSVTLGSATFVGETSGT VQRFLGIPFAQPPVGNLRYRLPQPITYTNGSYDAKKYGPSCGQQSVGLPLLSGLTAEA ANYVINSIFGQIFPDDEDCLTVNVVKPATATPGSKLPVVVSSTPLPYNFDPPATGGFE LGSTSMYDGALIVKKSQDLGQPVIYVSMNYRLTGFGFLASKEVKEAGVGNLGLQDQRE ALRWIQKYITQFGGDPTKVTIWGESAGAISVALQMVANAGNTEGLFRGGFMQSGATIP VGDITNGQKYYDAVVKETGCTGASDTLDCLRTIPYAKLKAAINKSPGIFAYQSLNLAW LPRTDGVFLTDNPQNLVQQGKVAKIPYVTGNCDDEGTLFSLANLNVTTDAQFKSYLKN TFLPGTSNAQIDKIAKLYPNDITAGSPFNTGIFNAVTPQFKRLAAFQGDGVFQAPRRW MLQHTASKQNVWVFVSKRLKGIPGLGAFHFSDILNVYGGGDMAGHLIRFVNKLDPNPV FGYQWPKYTLATRKIATYVDGLNPIVTDTDDYRAEAMSYLTEVTLANPV JR316_0007290 MLTASAALTLLFHGVARAQSSVSSATAPGGVVSTAVPTITLSTA VPSPTAPLSSPLPSQVALPPKQAWCPSDIFCAGPLLQTVNVAHLFPDDKTFVDKPTSK DAQAVLADFENIANGTTFQQIVDFVDADFTGEGLELEALALPGFTPDPPFLANVTASL PKAFAQTVHGFWTQLVRGTNESTLCGSSGKCESTLIPLNHTFVVPGGRFREIYYWDSF WIVEGLIESQLFSIVNDTLQNFMDQLDTFGFIPNGGRIYYLNRSQPPLFIQMLARYVQ TSGDRNILKRALPLAEKELKWWSDNRSLQITSPFTNQTHTVYHYAVNNSAPRPESYLT DYTTANDPSVTPALNDTEKAALYAELASGAETGWDYTMRWYSTPNATNGLRSLNVRNT IGVDLNSILYRNHVLLAGLYGSSNQTAASRHTAAAASLKTAILDLFWDSDKVAFYDFI RNTNSRSTVFSAAAFYPFWAGIVPPEVAKSEQTAFSVFSSVNMVLNRYNGTFPTTFVD TGLQWDAPNAWPPHQYIIIQALRSIPANVSKGALPTPSSGQSTFDLIPAGQLGLDEAQ LPGQPVHGGTTIVNSTTTGPSADLNKGNGTVFNGGNATDGEGWSQALQRQLANRHATG GEIPGILPRLSDQELNVTLSIGNTGNMFEKFSNVDIDSAGRGGEYTVQAGFGWTNGVL LWVASNYGGVIEAPQCPNLLDSPEAHGTLPGGGSNGKSAAVSLRGASKELVTLYLVLG AIAMRMLL JR316_0007291 MQPNRLGLGLRPPLPSYGQGPSMSALAQQHQMQFMQPPPIQKQT TLFVGSISGGITDAFLNQLLTACGPIKSFKRLITPANKPQGFGFAEFEDPAGALRAIA LLNNVELPALEDGCANKKLLVKADEKTRAFLDAFSSQQMNTDAEEALKKEAKAKIDEY VADINRISQDAANNGLIDKEKYIIPPHLHDLQEADLPETQRGLVISEIAQFRERAAKR EREKMRDVRESIPNMAALAGAPSGPKVREWGKPQGQGQGQDAAAPKQQGFGKGAQGYS KPVGFVKAEDGKAGKDHLSYDDERPKKTDEELEADRKEQRRQEEELSYKDRERRYEPR ERARISALERAIARERAQAEAERRNRIEMRASLDAWDDDESDEMFYNDRVRWRHTRQR RLEAEEAADEKSRRFEEQEAENLRRESEDFLARQMDEMQALAEEQRKAGLLLDDGAPV RLNVSIAGGGKEKDKAKAGEQPAKEKAKAATVFAQDEEEDEDGVKKRRAPLVKLDFSV AESSEQTRERLERIRQSVPHDKESLFKAKVRWDGLTDLVIDRKLEPLVKRLMVKYLGE MEEEDLIMFVLEHLKDHKSPQKLVEGLEPVLEEEAVELVVQVWRQIIFESMSYNEGLL TDKMLVDP JR316_0007292 MVLFFKSTAVNPPALIYMGKDKVENEELIKYAWPQDIWFHVDKL SSAHVYIRMSEGMTWDTIPQDLLTDCAQLVKANSIEVHVATRENAIVNRLNKTKTERQ VDHEQERIDRIKKENAIKRAAAAEKKKQDAELAKAREAEKQARSYDSLFNVEEDEYET GPRKTGRELEEDFM JR316_0007293 MQFLATFSLFSMAFVSAVVASDEAAFVGSCSNAALMGDKNQNYA KEGCVSSKSKGWMSSHNCVNKGGKAYLCVQGDTTTCISGSTLKQVGLENGECFN JR316_0007294 MQFLATFSLIAFIGVALASEEAPFAGSCSNVALIGDKNQNYAKQ GCVKSRSRGWASSHNCANKGGSAYLCVQGSTTTCISGKSNLIAAGLENGECFI JR316_0007295 MDPHNLSNTISDPTDIPGAQSTELHQNGGVTNPTATALEGLHPQ TSADSEAQQSFANDDDVQMDYDDLPPLQEVSDSSDSESESESDRDANEVEMQAVDQND EDAWIDVDTPPNAPSSSSTPRPSLYSTTSRPTGNRRARVEDDQDEERDRRHPSQRVSS STRNNSSATFSSSQTGANLPQVQTPATNPTIPHIHRHIIRASFNVAGNLDINNNANAL RLFQHLISPPVNTNNNATSNQNNNNNPPNTENPEGGVAPPPPQQPELPQQPGNNGPPI EGMALSFEIGPGFTFPIGVDPQANPPTANPGAHDAHTHQHPPRAGGPLADILGLLGRL GGGLDGETFINFGNMGFGLGMHQEKDDPERAKRLVDGLEVVPVGLVRRLERVGGAGSA SGEEPANGGDAGCAICWDKLLDGDGEGFGKEKKEGSAEEGSSSSASETVQPKIVSLPC AHVFHADCLLPWFARPRHTTCPTCRFNIDPENLTHASMRRRERRERAAARQAEEGENA VDGEAPLEHGPENIADELEENIQPIPAFVDILGPGTLATPEEVSEERRQMMEFIRLRN NGVAHPPQTSGPSGRTPTVRAHSAPPESVATNAPAADAATHTASDASTSNIAHPIPSV PIAASTLPRTPTVLRAPRANIGILPIPVVIPIQIPAPANAAAGNPTGNRRMFIVRRPR RTDHVISSAAPHTPQQNTFFAQPRQEGDFGNFLSHMLGDIRQPVPFNSLFSGFTLNLQ QPPTPQSAQATPQPATSGNAPTGGSMAGNYFRYHHSPGVIAYRPRTPIPLLRSHFAGP QLAGTPGTTAQSQTPQPNATNSAPGNQAMPQAANGIPPNMPRNVMEIRVDMIFGPGGA FSVPVGNQPGGAVQVGGQVPNQDGHNAHAPPNGNTNDALRREIEARLFDLMANRRPGA GQRVPGQQQEGQPIATPPTPQQPGQQQQAQPIPFGDANPPGANPGMNMHFTGAGRSIS EAFSQMLNGLRTQQPRGAPAAQPQPEDGQQQAQPNADAAPQAEPQQQAPPLLHRLGPM GMAQDFTDLFGGGFPEHRAPRPRKDWTLPPAPGPTLRQRIERREREAGLRCHDVSCGI GPSDEDPYGTEIAGAAAGMKQLSIMSKEDKSELCSHTFHGPCLVTAERVALRGADAII EDGNVEVSCPVCRGTGCVSKADWDEGVVALL JR316_0007296 MQPGPTASERHLALKDSHGNTRKSTNRKRSFWDASDEVHGMSIG TSLTVGFLSDSAVRSLRPRVPSEAFLTSILILANCFSLFSFLHKMFKWGKSKRDSKTS IASSRYEPKTPKLTSVSLPDGRYTPIDFQESTEKPISNLLFRHFSPDRRPDVSVSRSR RTPVDTSRARVSSSGHVEAPRPIRPHPSQGAYLPILDHRDRDVIDPTRKPISVPSAQY LEAQIRNLPPTPAVAHNTRQLLNADVDYPEEKRLKEIAKRNGDVVNRDLLKTYPDPKN QKNSSTPALKNESSKRSKVPVDFENWKGVPVSTTPVIPFPENNGKGPLKSALKKSTAT TPPIKPTTAPIKSAMKKESSYDTGKSYYSEDEYSRRKDVTPSKAWLEVQHEKRQDEER ARRSSTSRVPPPVVVDHPAVYGPNAFPSSNSTTPSPTEMGHDYHRRRRASQSSEPAIF NSRNYLPAYIRERVEQQARQDKKDFWDRVEKFGKGTPTHPQLEWPLVDYSIRMWRTPA TPRIYFDAGFNPRIPKYAPRVDRGLYMSPMTREEETMEISYDAAVYKISLVNRTLSNW KIDMHFKYHIRVIDVFRAIYDTFSQPLTRQEYESLGEDVIKECFPAFKQRCMDIPEFA HAEERKGFLRIDLLKGRRVFKGLVPIPNKPNTFEILFDDGR JR316_0007297 MTVTHVLFESASGYAIFESKFGEEIGSKSKSVQESFQDLAKFGK MVSLVSFVPFKSAAEALENANDVSEGILNDVLKNVLELSLSKASKKSNVTLAVSDPLL GKSIKDALGFQIDVSENSQTVIRGIRQHASKLLKGLQTDDLRKAQLGLGHSYSRSKLK FNVNRVDNMIIQAIALLDQLDKDVNLFSMRIREWYGYHFPELIRLVPDNHQYARVAKF IGDKDTLTEDKLPDLAEILDNDSTLAQNILDAARGSMGSGLSEIDMLNISSFATRVVS ISDYRKSLVNYLSEKMNTVAPSLTALLGERVGARLISHAGSLTNLSKYPASTVQILGA EKALFRALKTKGNTPKYGLLYHSTFIGRASPKHKGRISRFLANKCSIASRIDCYSENP TSKFGEALRAQVEERLNFFDNGTPPSKNADAIRKVLDQLALDENDDDDGMDIDDGPAL TTLEAEPKKEKKKKRKSSAMDVDEEEEPSTKKVKLSKEEKKALKKAKKEQAKAEAAAD DEPSKKEKKEKKEKKEKKEKKKSKE JR316_0007298 MANRRGRPKKILVDDKAEEDFDFALSQESEEQRTSALLEAVQKQ YTADLEKKRARREKAFLDRAEKELNKETAETAKIVQDAMNELEELYSKFLLDYAAKED KIRKLWSYIQKEEENLINLLEKRAKEDQEVVQKASVGQLSGLSKIRIACNASQMAIDR FRNPGQETLNRKNRS JR316_0007299 MGQQVSDANSFWVQTLHLWHLPLWQTLIQLRCVYTPRIKARSTP RMLDAPMSPCTFVCYEVTEFFPTWTLPNPS JR316_0007300 MSNAMQISDSLLCLKLRRSFAADLYARYYLYHGCFEGLLTTCAR RLQAHLSWPNVSPSLGLTDGIISQRLKWKPYRAVSNSFLSEQRPTCVSQALSATENNP PNFSNAVQAIYHSHNNSILLRCHDARYIHLSVFYFGSR JR316_0007301 MSHVIIKSIPFTTQIKQGARHILAHDKARAQKLVQGLHPHGPLG HKATAAGRHRHHHHHKHHPVPEPTPNDPPEPAPAPPSGDPDAAKDSIDVTDAGVTYTM SVGVGSPATQYTLLIDTGSSNTWVGAGKAYKQTSTSKSTRNSVNVTYGSGSFSGTEFT DEVTLGSLVIKNQSIGVASKANGFQDVDGILGIGPVDLTEGTVSNTPNVPTVTQNMFT QKLIPTESIGISYEPTTSDGALNGELTFGSVDTSKTTSDVTFVPITSTSPASNYWGID QTISYGQDSSPLLTSSGIVDTGTTLLLIATDAFNAYQKATGATLDQTTGLLTVTEEQF SNMQSLFFNIGGTTFEFTPNAQIWPRSQNSQLGGEEGKIYLITSDLGNNSGTGLDFIN GFAFLQRFYSVYDTTNAQVGLATTPFTNAETN JR316_0007302 MQIITQSGSKISYLKLRGYEFPEKLSNARSLERNFLVPFISETL TTLDVSRILDLPMSLIANSANLKHLHLHYTAPELYHDGVDEDLLKAFPNIQTLEIRPP DAMIGLLLGHDGFPETRSATVYAVMTFCGVDSPAVADITSLKSFRTFNTPYYLTLAQA IIDKAKVSLEEFYLCGESDEEFCQLVNSINLCELSRLQRLYMDIVLPIDVDAIRDLSS VLLTVPDNNSLQHISLQVFNGQYNRRSVPESINSPSWSLLDSQVAIIAQERPFTFHLH ICHQPAEFSDSESDSDGFERCTVTRTPSSRNDEPESIIRLRACRSEREHEALLHKMCK SVYRTLLLENMPKIMQSPNITVVSTYSIRTPYHYWAPRERHVKNIL JR316_0007303 MPSCVSTFPFAFHHLTTKNYRQRNPDTVSKPTVRFPSAAITNTM HRRGSSFTISHTQGETHAGSSSFFLAPNKNGIGKTAARRQGLPTSPLPTNNDTTFVGA TLTSSHTQMASGADDKRPKLAIRTTMPLTEHNDSSTIRQTTPKPRFDPVKAFRNSFRS RLLENDDPGNTSENKRWKGKERADFEPVDELRESKVAAKAREIREWMKGKSGSEIHRS VGYYSSHRSKQGNGEASRNISANTRQTMGGGVRDLFKNKGRLAREPRDRGPKAGQTYE IVNRRIIENNPDRTVEISTWRREPDTPKVPKPKDEDDKMSIYYISADEYPVEGEFASE ASPQVEWRAEDVQEISMTPSNRRNGTTKIRKQEEGKSHSRRYRSEVTSPLSVSREGTI SPSASEKDLKTSQQPARGIQQNGRAFADRGMPGSSTPKANGNMTMNQSTRSNTRPHRD RYKEKELPQCPFHPTESGSTISSIKSEGTVEFESILESCEPSLLHTAPILRSLGIRRV EHMRAVARLTPSTRDREVKEDALRLGITVMEWAIFVDRILSV JR316_0007304 MPTRSRHKGYKRLTLPYPSRNQFPSDPSPAYDDDYGYEYKSKFD LENTHTPMDPYHVVNSLDNSLISKDANFMGASIPVAAKEQKKSGKFGLGLGITMAERK SPIPEHAEHLAEDNESHSLTPPPPPAYLRNPRWDM JR316_0007305 MPPASFNLKQRLAALSLAPSSPSSPGFNQSKNGDAFDYLRSPTS PNTKRKMFINNVTPNWMKKSQGGTPTYREGYSHGDEEKRMVQEVLAKMIFQAGVDFEV VLNASALPDPQVVSYDLLLSRILSYLDLYVEADYTVVFFAAGSKHAPSWNWVWKAYRS LSRKYRKNLKQLYIVHSSFFSKMLFSLAGAIISPKFFRKLIYTSTLSELARHVPLTQI DIPPAVYQENLKYERKITMPVPSRSNVFGVPLEDLMGYDGEKGGIPRVVKDAIHYLRQ SGMQEEGLFRRSPSSSLLRAAQDAYDRGNVVSLEAFADPHLAAVLLKKYLRDLPEPIF PESTYGVVRRCPLPSGSSEYDAAEMAAVRYVRESVLPELVPCAYILFSQVMHILHDVS LRSEYNKMGSSNLAIVITPNLVKSSNPMRDVLMCNVPTPGRGGSTSSVNVQLMNADPS PDLADGKCTLGAVIDLCIRRYYEIFDEVIDRSEAVAPWRTLRTSQIYEGNSEGSGSPR EPMYVLGDADEDDYSYDDENNAASQQQPVGRGPWTHQSTTYVPPNSQNGASRRKRSRQ SNGGGGSRVIATQSLFNGNASGDGPSSSSPSAGWSGTVRGGHNSKARSVVSVGEIGEG ALGTGTLRKGSISVGRGTTRKGSGAAVEAHGVIAEGFFTPPAGAPPVPPRPGSISTST TTTLVQDNTTSTPVPTVKVEQPQDEEEPRLSVGERRRMFESGR JR316_0007306 MFACITLAINVAITGLIVGRLLYHRQFIQDALGREHGSRYMRII TMCIESAALVVGFNLVLIVLVLVKAQENAALILLQMMAQIYTISPFLILFRISHGRVH HNPTLEFRVQNAEDVINRDIPLQFAVANSPESTMISDAS JR316_0007307 MRRFLLTYTVTLFSISTAALVSTLVLDFKYPASNFLSFSTPSIN KNLCTVCWCPPVRQLENICLIASIWAADGFMLWRCMTLYKATSRPRRIGLMSFCSLLA LASIAIGLVYIIPSKRTDLSLTLFSLITLVVNVSTTTLIAGRLIYYQYFLRKTFGPAH RSPYMRIAVLFFESAALIVAFNVILVVFVLLHSENDITSLMLVELMVQIYAISPLMII FKVAYDRVHHTDTSSFKTRDAQDVVDRDLHSLHFITAEPLDNDTAV JR316_0007308 MPSTVKAKPSKSTVPKADKAKKEAKVKAPKSTSKAVEKAPSPPP PAPEPVEEPEESEEEDSDDDDDDEGVDEEGMARLMQLLGDDALDDFGKAQLEALSGGI EGEDDDEDEDWTSEEEGDEEGESGSDNDEDEDLEVDAEELEALSGEEEGNSEDEEEEE AVPLDEIDEDLDEDVVPRQKIEIDNKVALDQIRESIQLDPSLPWTETLVLNYPQTIDV DVNDDLNRELAFYKQALHGANAARALAAKHNFPFTRPADYFAEMIKSDSHMERIRQRL LNESAGIKKSEDKRKEREGKKFGKQVQVEKLKEREKSKKEMEEKLKGLKRKRKDILDN PGANDDEFDIAVEDAIADRPAKRGKGSNGKSMSRSARDSKFGFGGATRHSKSNTREST DDFGPGRGRGRGRGGFGGRGGRGGGGAGRGGSKGGRGGFGGKSRGGGTKRLGKSRRAK S JR316_0007309 MSVFLLCWLVASVVASPLDFYAGSSQVAFNGVQADTGSEVGWYD PRLKGGRFLDYTSKKYGEPLNVIISGLSDPFVLTDEGMLYYTRSLGYSEECLGLHAGT LHNAHLGDGDGWKTQLFIARQHYFPIWGTCWESLAGGHHFRAWKQNGTDANSGAWFIG ASKELDSSKHHTIVPNGYNLGRDWLVERAVTGGHWKGFWWKANVEWRSDLLEEGKKGV NHGIAQDGRVAVLTVFRV JR316_0007310 MTSTILPPPSPTDSQIRRFPRESDDEDADTASQRSISLSSPPRS RQNSIMSDPRQSFATQSSDSHHSHRESNPFSEQFKNLETPDSSAAPSVLSEDDHDTRE FKPVTYPPSPPNRDDAISVSSFASTSSRKARPESLLINPTTEPLILGVALVDFNHLVG PRIEYSIGDIFEDDEVAKILPFLALPDGAHLSSEDYSYFHLVKPGLNPTTIFGISCNQ QISAASLLVKGPDVTRSTVQKAVVVLASKPVFGPIREKLRVVTTALFLQRDFRDSSIL DDFAASLERSLRSQLTESGLYMGTSIRDLVHTFRQKTLILVKALMLQKRIMFYGYPVE KLCTYQYSLVSLLPGLLQTLDDCGSPPLAARAPTLSRPTSLRTSDHKSMMAYMGLPLD IFGKNAFFQPYLPLQQLDMIKDTPSWLCGCTNSIITQQPDIDLLVNTDTGVFEFRDPK LERSAGLTPADRKWMDDILTDVNENWRSDALSNATGMQQFKGSDDYLRAKFEEYISAA LSSVRYRDFISKGENNGVMITGGTGGHPSSTEDFNPLWISEFKNTNAYEVWERTTDPL LFDIVEPRHPCNEKPSVVADIGLRLQEGIQDLKLEQQLAPAREAVARTLTASSTSFFK AVEGVRGRWSQRNTSPAPPTPNEDALNKSSRASTPPVEVSKADFEDVPRTAMQADYTP SSRTLRPFSLTSTNSLPSDSPVTSPVNGAVPSPLKPLSAWSSGIGSFLSAKTARFSLA GAKTPTTARPAESAFPRTPQPLHEEYGEPEGSPLFNGMSPGVSPTSAEVVAPQSISSV LESSASSQTVVPSSSSSSWSTTGAGTGNGNGSTKADGKVEDKVPEKRNAVGEDSSVFT VL JR316_0007311 MNKHFDAKMETNFSGKGWKYQNIPSFSPIQRALPRVLQSNLTHI DLNSDFLLVPPTSAWTFEMLRSSPIVTLTVSIRGRIQKEEFTSYVFPAIAASVPGLQS LRITFLDDDFLTVVVDNLYRLPLLRKMVVGLSFSRGYALSTSIPTIKRIKLDHFTTFT GSSEQAAYFVQIPIMLPNLQFINVINDSYFQSYTFETDYMAIAAHFGIINRRMLEMNI HPSISLCLANQNNIGDSIASTVPADIIGSDCSQHFTIISCLTLKVVYHLPPAETAETH LTYDLLVDYTLSWLNLFQDLRYLTLLVKCNRPIDDSVQSYIVSLIKARFPKMVSVNLV NLTVEPDKQHYHWSNAHSDWGRGTYDIPTTLEYERKSRELCVCSHF JR316_0007312 MTSQENNRPNSSSLSLAIATTEARDVQEYRLPKPDPKQLRPDEI IAQVAYCGLNHIDVNQVKSGAYITEYPYILGREWSGQILHIGSDVKDLKPGDWVLGVS YQVGALQEQIVISRKYLCPKPDKISAEQASTIAHRYAAVMVALHMKEGLNLPLHPTEA EKAQRKIIIWGAATGSGMYAILALKIAGYRTILAVASTKQKDNLKSLGATDVFDRNES QVAKHILSKYPDISIGLVCQADEHGWDCVLEVVRPTEMKKESALLAYIIRLAPSKVPE GVTLRRAVVFSLLHDKELGDHIIQSTLPKLLALPDFMLPKQIKVFKDGSLAERVKAAI CLMEKNSDVSATIQVSV JR316_0007313 MSRHEEVLQKLNPNLDTRLYGEELMRQVSIKTGPGSGYNMDKYL VGIPAVLNNGDVSELSARTNSCIAPAEYRKLYDIVYKIVDEMEKREIEYDVLHERYGT QSLHSALQTFFIQVEKELLRLDKLLKLQMKIVTATAYFWVCVSAKFKTIPDTMVYSKQ LDLHMKNFVHLLELLNENCSALKKSIERKFKGKIPSLTIDSNVAVASTPRQSPRKNIN DIVEEPMTPSKRQTRQSNSDLQAMLATSPIKRVTMPSPTKIAQPSPLRGLPGKKVPIR ELPSKDSPKKRAVEKNGADDMDINSPAITESPSKKRKVESPIKAIAEFSSVVASPSTR KTSVVPEVLITSVKKNVVDTTHASALRSSPRKQEVVVESESSSDESEPEMNSSRRRFR PVYLDLKQWNARDPRLDRIWKKAGKQCRKLAAAGPPGESDSMEID JR316_0007314 MTTVKEPVLEVEAPSPTIGTPTMGSSISGILHIPESKDGFFGYN EEKSLQHIEEKYWAHRRGSDAHSEASHKPILHQQHAVGDVFDEDEENLGKLKQLTGET HAAEVILNAETLHLLQSLQKCLELRDKYMIKSRQRLGDDPRDYDGHFKPLGDDYADVS GVRPDVPALSTSEPKSQQNSFKKWNIYPKPPPPHWHWKDKEVISADGTRKSGDDEFEF ANCEIPGEHKGWSYEIDVKGVFQVYDDTEVTVKEEEMKPEKKPAFDIPDIREYFLDLE YVLGVIADGPTKSFAYRRLKYLSSKFTMYSLLNEFQELADMKKVPHRDFYNVRKVDTH VHHSSSMNQKHLLRFIKSKMKRSPNDVVIFRDGQHLTLSQVFESLKLTAYDLSIDTLD MHAHQDSFHRFDKFNLKYNPIGESRLREIFLKTDNYIHGRYLAELTKELMNDLEQSKY QNVEWRVSIYGRSLDEWDKLAKWIVNNKLFSHNVRWLIQVPRIYEVYKANGSVKTYED IVVNVFKPLFEVTKDPRSHPELHVFLQRVVGFDTVDDESKIERRIHKKFPYPRLWDFP QSPPYSYWLYYMFANMASLNNWRRLRGFNTFVFRPHCGEAGDTDHLTSAFLTSHSISH GILLRKVPALQYLFYLKQIGIAMSPLSNNALFLTYERNPLPDFFKTGLNVSLSTDDPL QFHFTKEPLLEEYSVAAHILKLPQSSLAELARNSVKQSGFEMEVKRHWLGDKWYLPGA AGNDINKTNVPNIRLAYRQQTLLGELDMIKGKSSGPQSSNQSPAVRETILPTADVVAA KTMAKAPA JR316_0007315 MCWFGGTGYACEVSYGLVFAGLDVTASTSPHHQPQLPTQYVSPP VRAGVHGGVAFLAVAYAAFQVKVKQLQQLWPPAKQFRIVVNCAQTEAPLLWD JR316_0007316 MPNLRTRTAPRAGSQNDAAKGASTSNSNARTRRRTQNENNIQGD DKENIGRQARLTRLHIRAGIRPIGSTNNATVLGSGQATAKKAPTKSENALMPKLKTAS KGKGAPPPALVLRNKMPLQDITSQFLPAPEAANRGEDAPPPSDDANETETADPEAKEA TNVVVLKMPPPEPTAIPLPASPKFAVSSPLPPSSPPSDHEFVISASTFRRMTSASNIF DVTVRGPSSSAAAIPEELDDLWQEDNNDAKADKIGSDSDPFGFKSLQMKLQAQLKEAA RTSDHDFEDEDDALLLPVADTSSPRPVRRLKRSLQFDDDDHDDDVPVPSAAEEENDKD NLRPHYTTPCTPKKESPKRRKMTPLVNDDEDLFGPRSSSVLPTSPSPSKPSLNAAKEE JR316_0007317 MSGSSSSSKSETKPRTHVLCFDGTSNEYDADNTNVVKLFALLKK DDFDDQLCYYQAGIGTYYEPGVVSPLFEWGAKIMDYAFAWYLDQHVMDGYTFLMQNYR RGDKICIFGFSRGAYTARALGGLLYKVGLLPRDNQAQVPFAYKMYKRTDPEGIQLCAG FKQTYCQDVTIEFMGVWETVASVGVIMGRTLPFTNSNSAIKTFRHALALDEHRAKFRP NYYHRPAPNNAGSRLDPEHASDPVTQGGSSFTKGDSPSSDEEDATSVKEKKKKRWGFF GVGETVKVKRTGKAIAPVLVEEDQTDPCDVLEVWFSGCHSDVGGGAVSNDTVDSLANI SLRWMVREAMAAACDIKFDAEALKRANIDLQLEPGAPELEMDAVDAVQPIHDELKSNP LWWLLEIIPLQYSWQDAKGVWHRSWSFHLGKGRKIQDPNPKFHTTVMKRMQSPLNYSP KARFTAGSETFVH JR316_0007318 MAMATPIRKKRNWKALQLSVAGDPSPATAPEGTEPMAIRQAPAP VVAATASAPVVGGKRRPPPMVIKAPKIPTSTGAVSAVEQDGNMLTVGGNLNQQPHSAS PNLRRNTYHATLSNTLANLDMNAEIKFDLKNEDLKDLQELGQGNGGSVKKVEHIPTKT IMAKKIVLIDAKPSVRKQILRELQIMHDCHSKYIISFWGAFLADPNICMCIEFMDKGS LDGIYKKIGPIDIDIVGQVALAVLEGLTYLYDVHRIIHRDIKPSNILLNSRGEIKICD FGVSGELINSIADTFVGTSTYMSPERIQGAQYTVKSDVWSLGISLIELALGRFPFSES TSDGESDSDYEGTLSPARPGSISLSGLPPARIKRNTPKKDKRKSKGVSLQGGGMTMSI LELLQHIVNEPAPRLTPEGRYPKEAEAFIDSCLLKDPDSRKTPKDLLKDAWIEQARVS TVNLEDWASTF JR316_0007319 MSSELEDETLLQEEESEDEFDWEEVEVPEHQAPHLEITLQLGPK ASKNSANADKKKGINHAERLVRIDCHKIHTVALIASARQRNLWINNSLLHARLLSLTP LHLQNAFSVIHKSRVPDQNQRGRMFERAVENLTTWWATTFFEVIPDGHIRNRTYDEIQ QKLEIRGLHIKTADEELLDVETLQDIVDDEVETIRSSKSLMKHALMRSGSRDTSAQLF TALCRGLGIPARLIVSVQSVPWQASIGRPKPKYEKKPKGKGKETEVVQDNEAGEASSS SQWDHLKEPGNRLDGGSVPMSEKAKGKQKAKPIIKLRKTKTKGKVLGRPTRLASPDPL TTPPVYWTEVFSRPDSRWFPVDPIRGIVNKRKVFDPTPSTSNVNAQARIPTKVENRMV YVVAFEEDGYARDVTRRYAKEYSAKVAKVQGGSNAPNIGGGGKGRQAWWDKVVSSIER PFRLNRDDLEDQELEAVQLMEGMPTTMSGFKDHPLYVLTRHLKQTETIHPPPPVTPEL GKFRGEPVYPRSAVVSLKTAENWMRNTGRMVKAGEQPMKMVKIRAGTVNRMRELEVLK DELSVAGEGTSNSGPEVLQGLYAFSQTEPYVPDPVVDGKVPKNNFGNIDLYVPSMLPR GAVHIPFKGVAKIARKLGFDYAEAVTGFEFKKRRAFPILEGVVVAAENGEALTEAYLE AEREAEEKARLKREERVLKHWKRLIHGLRIRQRLQDQYATRAGHGQSQHHHGHSHSHV EATESEVKSREGSPHNDPQDTAGGFLAGADKVVYAFHLPKNTHVVLPSTPPPGGVAEG AGAPDARRDAEEEEDVPREAFVTYDLDEDDEEKMEIDYAVNGGTRVQSGGPGVNGFDA AYVPKTMQQMAEDAAAEAEAAQKLQGDGDDVLGEIITTEPPPPPPPPPTAAAAAAASA SAGTGVDKVTTTPTLPGRTTRARARATVSSSSAAATFASTSTSATPNSLSLRKDATST LEDEAQAQAQANAGLGSSSRNAGTRAGAGTASRAKPPPKPKLDANGNGNAKVNAKAKA TAKGRAKPRARSSAKRKRGRGRRTAASESESDEAEEGDEDEEGDEDVPLDDDDDNNTD ESDKDDDEEDEEDFGPSPSKRARVSVKIKPPPRTLAQSAQSVTLTPQSTRTLRPRASK TPAQIAEDRRREEAFRRAVAG JR316_0007320 MWSSARHVAAGDTVIVWLVRPSPPSLPSLSHPLTLPLPPQTRDN IQPLTITPGRDFNTKFGNFKQDHFIGVPYGSKVPSRSGRGFVHILRPTPELWTVALPH RTQILYVADIAFVTAYLGIRPGSRVVEAGTGSASFSHSVARTIGAKGHLYSYEFHEAR YIKAKEEFARHGLESTITLTHRNVCKDGFTVVDAVDAVFLDLPAPWDAVEHAKKALRK DTLARICCFSPCIEQVLRTVSALNEAGFTEITTYETLLRPHEVFQHAPLQSVAAVSER LKASEVRREEKRVRQVEANRVARGGGGGRGVGVKREREDGEEEGVSKKVRIEGAGGGG SGGEGGGEGTGEGTDVGVEGDEDADAEGDVDEDVRGDEDVEMSTPMSVTPTPTPAPIP IPTTGLSSSTSAPTEAAASSTSIAVASVPTSSTTISGSTVQTPTPTSTQTQTQTQTPT QTPAQKQIPTPTQSQSQSQSQNQIQNKTQPQKQTQPPTQKQTPRKINVAKALHEVRGH TSYLTFACLVPSVFNVGSSSSGSGSSSGVFDLGLKEEEGEGVREGEGEGGEGEGEGEG VNGRQSGDKSEVETRETEVEVKTRESEVETENRS JR316_0007321 MPVQPDTTPFGIVDFSQEAKWLFMTESVTELLGYEPHELIGRPS LELVHPDEFPRVRQLHYDTIQQDKAAVLVYLRMKHKDRCKGYVLCGVNSSPQRPRRQR VIRQPRR JR316_0007322 MADPAALSLSLSSLSSLTSHSSASASASGSAGQGQGQAQGQFPL SPTSPAPSTSTSTSSSWGRSKGGSGSPRERERERERERERKNGYGMDIDMDVDMEDVG RDREYTRAYSDRKHSREYSDERNTHYRKRSGEYDYDYINKNRDYNNNNKNSSSDSRHR SDSGGSASSSAEIMHHRGARGCDRDRDHASARGRGRLSASRSRSRSRSGSRSGSRSGS RSRFGSGSGSRSGSRDRSRSRDRSPSRSSLSDLSLSSEREREGGYIRTSNVRGSGSRG SRGYADDEERERDREEAQRRAHTHTRGDSDSGHGNGRGGREYVPAPSSSASPPSSPPH GHSHTRARAHAHASSHGRTNAHKDAHTLTPALTPTPTPTPTPTPAPQIPPTPTPTHTT PAPAPQIPPHLPPPQIPPTPTQQGLITFPPLPTKSLRTALILDRNRIPEPPTPSRTRR GSTSTSHSHSASASAHPYGQGSRRHPPLSASARASRGNNGNASNANGNGTVNGSGSGS GSGYTNGGGSRRQRLNQGSGVGVGVGAGAGGHMGGGVGGGAGEGQGDDCETFKVDAIF SAHSDGLMVILRRA JR316_0007323 MCFSRQSQYPGRKILLASGFATFSFIANAYNDSTLAASSYVNFL ANVKSANLENFKSTFGKRDMFAPQDPHSSMTHDGDHSLIRRVSWLALQSPASFESYGS HVDFAGVYVGLWLSSAVYALNNGVAVTPPMGWNPYNAFFCTTTEAQYKSAAQSLINLG LSTLGYKYVNLDCGWQGKTRNATGGFTWDTTQIPDGIPALASFIHNLGLKFGVYSDGG VFACDAVGGTAHYLGSLGHETSDALTFASWGADYLKASKAFGHLTSTAAIEQFNVRED PARWPASDVGNSWRISNDIGPPASWDNLFRIINQLVPISQFARPGAWNDLDMLEVGNS GLTAAEQQTHFAFWAAAKSPLIISTDLTNPSSQTLGILKNTRLIAVNQDPLGASIKFM RRYTNDHDVWAGPLADGSTVAIVINWQNASRSLTFNLADAGFSSASATDLITGASLGQ LTGSFTATVAAHGSMALKLSNGVRAPAPQFTFYAAAASTNTLAGGASTRVVNGSTTVV GFVGEGGTLTFNNVDGGASGGTKLLSFDYINGDVTFNNNACSNCRNAFVSVNGGTPVQ AQMPISAQSWDILFQGYLLSMPGFKPGKVNTVQISNPSAFAPDFFRLGVA JR316_0007324 MSSQEADSLVLSSDPLHPANLIPELCRSFYQLGWVTGTGGGICI RVGDKVYIAPSGVQKERIEPIHIFVLPYPQAPASPHTDRVFLRRPALNLKESACTPLF WNSFDLRNAGSCIHTHSQHAVMATLLWTRPTFTISHQMIKGVRIAGTGNALSYLDTLE LPIIENTPNEEDLKDSMAEAMIKYPNAPGVLVRRHGVYVWGNDWEKAKTQTECLDYLF EIGVKMKLAGLPTVLNE JR316_0007325 MHGKKPLSGGPTVFLPLLRRDACNLCTKTSNDAKLRICSACGEV SYCSTECQRQDWVSHKIRCGKTDKVDGEAFFPYLAFLAHALRLHSVPDTHHALSHAIL NNPDKREPLVVLSNGLVAKLIVLGEKIPSSKSAQWWPTATCQLDQEILRSRIFNEGLG LPLALSICIGLAAQMYTTTAVPTGEVPAYQVTGRRRVRLAFLGSPISDFGVVRGSLTV PNQTRLVYYSTEQKKFIMGQDPKEHYRIYFTNLNGQEFLLDFGMRVYDFWNEVADPSP YNKYFRDKNHGAFPFYIAQRGDSCLLDNLLYEPHKRVSVLRDPKIHTLIHESDSLEKL ADTSRIYSIMDEIAGYPVSPDDKTLATNFSFVVASLMRETMKDGEYNNFPLSYPVASP YKSTIPQEKEVEFHQYMKRLSKRLRKGKVSPEQWVADFQEAYKSL JR316_0007326 MRLAVLFSAVSSFLLAANCIAAPTVSLIGNTQLDANGIFFVSYD GVVNVNSFQLSGVLTYANWQYAGWYTSSRYAILARRQLPSGSWSTLQLPHQLSTNDSH NVIAIGVSPSDGKIHIALDCHSTQMYYTSSEAGLATSGASWTASRFGSITNTLGNLNI GSTITYPQFVLTSDNLLQFVFRTGISGNGATQLAEYNGNSWSNIGSWASASGTYTSTN GVTSTARNLYIHGFTYRFGTAHVTGTWREQNSAVSCSSAGLTNHDTTYFYSQDKGRSW KNSAGSSIGQSGSNPINVNTGGTIVDSLNADHALMNQESQDVDSSGQIHAIISYVPGR FTQCVSNYETDRPLYARPFHVYRHTNGTFTKMEIPFPVDSVGRSQIVLDSNDNVYVVL PFVRIVTASKASGWTDWSLAYDGVAAGLNAFGEVTVDRARASSGVLSILYQLSSSGTT PSPVKVIDFELNG JR316_0007327 MASFNTPRNSLFWTNAPMASAYLVDDESTEQEDPLVGVDYDGRT PLDKTIDRIGMGTYQWTLLSLCGFGWMADNMWIQAIAIILPRIQQHYSVPDSYIGAVS SSMFAGMMLGAIGWGTCSDILGRSAAFNGTLFFTAIFGLMASFANSFCTLCISLFFLG SAVGGSMPTDGTLLLEHMPKEKQYLVTALSIFFSFGAVLSAAVSLFVLPNNSCTGIPC DVDTQNRGWKYLLITLGLITLCMFVARMVLFRLHESPRFLVHAGRPQDAIRSLQLISK FNGSSLSIELEDVRDHLHESETLEIDPESQKGQGRARATSRTIFDASIIEDGPVSSSP PRPSSIDSNGSASRPALITAYSATGENTQKLDSHTFMTPVAEDFPILPSPVSSEPARK DAPTTAISEHIEHVAPVRRRRLSNASRRSSVYEEKVCRSLPRWLRRPLWAWWDRTKMV LAPEWLRTTILVWTAWCAMSLAYTMFNVYLPKLLETRSGSTEESQTLEGSLWDVMIFT IGGCPGALLGAFLIESSLGRRWSLAGSTFVTAFFCILFVLVKATWAVRLSTVGISLSA TAMWAVLYGWTPEIFGTKVRGTACGIASALSRIGGMIAPMLGGILLMMDRSVPVYTSV VIFAIAGFSVLLLREGEGDSSRGKSGRALIH JR316_0007328 MTLPSKTPTTRYRTSNPYETRFGFSRAVRKGPHIFVAGTTSTDP VTGNVLYPKSAYDQTMQIFREIIKAIESLGGTKDDVVRMRIYVANADSEDGQKAGDAF KEVFGTVGPAATMIFGMKLMNPDMKIEIEADAVVL JR316_0007329 MSTNTTTQRHQTSNPYEAKFGYSRAVRKGPFIFVSGTTAIDTST GKVLSPKSAYEQTLKIFSEIVMAIEALGGTKQDVVRLRMFVGENKDSEGVSRALKEVF GSVAPAATMVFGLGFVNPDMRVEIEADAVVL JR316_0007330 MAKARVNLRIGVVQLAPKLGQVQANIAKAREFCRKISPRSVDLL CFPEMAFTGYVFENASAISPHLELPRTGPTSLFCSEWAKKLECYIMAGYPERLESREL EEIQRAAKESRDHPLETPDGKKIEQVGANSAVIYGPDGSWVGGYRKTNLFETDLTWAK PGTGFATFVLPSPMQTVSLGICMDLNPQTEAWTTEKGPYELADYAKSKNANILVLLNA WLDSKKEVEEASDWQTLNYWAARTRPLWTDGKGDKIDDPEQENPEEHGHEMVVVVCNR SGGENGKLFAGTSAIFSMTQGSGRPKLLDMMERQEEGVRIWNIKIHESSP JR316_0007331 MAVPNTTMDLDPGDECTFEKTAENSTLIDLANKWSKRCNKGNKP KDEREENFQAVVYCSSECLRQDWKEHKQKYCGKDKTDRIDIESYWPFLATITTQLREL HNLYTHPALRHRILNTPDLLVQEAPYNIVRDRFSSEDPLSGKQLFTFPNGVRAVPIVL GEKIDRKTAAEKWWPSAPSKAARYKLEKRIMSEGHLLPVYLCICLALVSEMYTTTAVP DYESVDVEAQATGRRRVRLTCGSSPISDFGIAQGRLYTKDEQRLGYWFADPNSKTRPG VDRYMMGQDPNKHYRIYVETLSGNMWYLDFGSYTFGMAVLVDVQPYRLPGQHNTDYGL EVDFVPGMFYGRQYQKGGGMDSSNFKLDRRFSILRNEKVHDIVQWRKHGVNDVKIHSL MEDVKGSKCSDAEKEMVIDFLPEAVELIHWNVVKREHLNFPKEPAIDIDEDFSGNLRS VCTPEFEEKIAQWARRFRMGKTRLDGLMSYTFPNSVSAKPIVLGSSHPQGSPLEAWWP TAQSDSIRLKLYRRTANEGNHVPIYIALCIGLVAAMYKTTAVACDSAEYDESVPLVDR RRVRLSYDDTLILDFRIILGSFLVSGKDKLGVLVLRGVPCRE JR316_0007332 MGNSASNANNPPRNPPAQTSMRQTSTSPGPGNPHPSMRTKKRSL ELPDLASLSLTPASNNGSNRGRQTKSASIPIPPAPQNNNYPFNHYITSQDVETEQRTS VQLPSTSDMLQQTTGYGNYRGGLQPPSTHQPFPPPPAPRGRTAGYYQSQNESRHNQSA PRGRQQAIPNKHHEQIMRIQELYDKSQQTPSAPPSSPAGPSSMPSIGSGYPREVVRSS IPVLLGKAAKAAAEVEQSAALSPLKEDLLADPVPVKIVWMGGGREVVLARAGDDEWKG RQPMERDPINPNVFTLTVHLRPGTHHVRFLVDGQWRVADDLPSAVDDQGSLANYVAVP LTYGLPGQTQPPVFTTAPTNTIQITMPPPQFASVQPPKKVQPGQSFWSADSSADGEDE RRPSSSSGTAGNKGQADAAKNPAAAAAAAYIQAPWTDVFPPELLEAAREEEAYLAASA GQYDASGNTARVSGFVPAPNIPPAPGLPRHLDKLILNSRVGEQKRNGGDGSVNGSAHG HGHRGDRGDHGHRDGGGSGVGSGQGSAARRERRERERERERERGTRSSRRGTVPPPPP PSEDGGDYEPPAITVPTSTPASSTVQLPSTSSSSVPPAPASGSASGTTTPSNAAQASS TVNALASTSAGTISGASAGSGGVESTGTTTAATSPQASLPATPTGQMSPMQTPPKGVG WAAGGVAALPPSVLLAGFPQPGLQSSSAAPMPSSTTQSPSPQPPTLERAAVSGSRAIT IDDANMPALTDDNSVLPVPSHVVLHHLCTSAIKNGVLAVANTTRYRKKYLTTIYYKPT JR316_0007333 MSAIPSSPSHTLEAHVQMQDEAEGGKSLKTPDSSSFFQDSRNVS INGGHFNSTMGHHTNVTIHLAQAPFSNTDSPSTTESKTRTQTAMGTTTADAKPKARRT DAPNNQPRQRIPAMHKSNEIYERQLSLKGRGLPLWIPEPNRRLPINYRKDGVSIGDVG IITPSGGFSFLFNICLPADDPINLGRVPEDFVPIYPQLDDSMDIREFFEFKQGSYLAS TSIENSQTNSFFPELLFESMASEGAILTMPEGAISFDLENIPRFRAYAAANVESWYRF VNGPRGREAKNGDIRLVTGCDKTTSWGMAVLSNISQERKNCLRFKAAGDPQRPSTCTY SWECSGMVEARIGPDRREIDDLRRRDRNCDFADNDHEKYMNQCLFVRTLNLALGAELW EKVNQELALAVGAIQDQNARCSKCSKTTPGHSSGNQSSFSQKSAFSYSNIVDKQLQIS MSTTPEITTFHPSRYVNDLLLESFPEAKMVISHDSHWMSVVNEDCTFSTPQVLDLLNT ILDKYDIIDDENGVIYLNDENEGVLQSSVMDSPIEDILSFRGMAELSLDWTDVDGYEG TEYDLSADMYTVNEEYYPPPLYSDIPSGWVYTSTPASDSDDADNTRPNSTAGQLPVLI PQNSPLRNSLSIKGPLSDSTRFTKISSTDISRRPQTHAHSYAQISRLFVFERLMPESD LWAYLAHKKPGRDNLLDSKTNYPRRDHRKRAFISFNNQENGLSTPVKNEGLQWETDRI DLAKFHPFIAAIAHVFQIYPDYGVHRAILSKILGVELMKTKTITNTRVVILGEATSLR DFGTERWWPEGNFDERQNLKRRILNDGRLLPIPYAWFLRIYTTTAIPISETPDAQFTG RRRARLCLDKSPIADFGIEKVSFISAKGEGLAYYYVEDDQCLINATPENHYRFYFTTL SGNEYYIDVGLAALNFGIFVDVKPYSKLVMPHLGIAPCFFEGRDTKFLDSIMFKSHRH WSALRDPRVHRIAQSVDTSAEYFKTNHSVDLCAIMDEISGGRCKDWEKDLLLRKYLPD ATDMFRLNMEEREYMRFPATPPFNIKIAHDTTLPKISVKHASKIVDYVAKMERKLKKG QITREKLESLFR JR316_0007334 MARKTIAVAAALFLTVSSGTHALSNNDQIRILGPQGVNLWKLDK HAQKVSNQKLDTAGVRQESDTAQKAFSDSKDSSLPQKFPAQWFRQPLDHFDSKSRAFF HQRYWVSTRHYKPRKGAPVIVLDGGETSGENRLPFLDTGIVEILTRATGGVGVILEHR YYGESVPVTNFSTDALRFLNNEQSAADSANFMKNIEFNGIDEDLTAPNTPWIYYGGSY AGARAAHMKILYPDIVWGAIASSGVTHASLENWQYMDLIREAADPKCSAHLVNSINTI DSILAGPAILKRQLKNLFGLGELEHDDDFASVLETPLGSWQAKCWDPAVGSTRFDEFC EALDAGVLSSTPGLSELPFGHPDRIVQLEDGLAVDLSVINYGKWIKKHIVSRCPEGFS VEECFGTYDDDKYKEDDIDQEWRLWLFQVCTQWGYFTTAPADQNTPRIVSKLLTLGYE SKICKQAFPPGKHFSVPSMPNITAVNALGDFWIEADRLAIIDGEVDPWRPVTPHSDDA PKRNDTILRPFKLIPNAVHHYDEYGLANIFQEPPEIRKIHAEMISFVTSWLKDWNKEH GVQDD JR316_0007335 MSYRYRNRRDKPQVLEGRDISSLAKYIKSTGCKNVVLMLGAGVS TSAGIPDFRSPETGLYSNLARLNLPHPEAVFEISFFRKQPVPFYTLAHELYPGKFRPT ITHSFIRLLAEKSLLHTCFTQNIDTLERRAGVPDDKIIEAHGSFAGQRCIECKQPYDD EKMKEHIFSMKIAKCEHCGGYVKPDIVFFGEELPEKFIRAIPNLRSADLLIIMGTSLT VYPFASLAQRVDESCPRVLINLDHVGDIGSRADDIVLLGKCDDVVKDLCVELGWEDEL IKLWNETEDSVVSDKQKDSDPKPTTTDPEPTTRTSLDIKKPDALNEIEKQFSQLELDA NDNPSRSRTDSTTGSKEKVATEDVPSVSPAESSTNKEKSTSKAPETESTSSQSSAAPI IETEVEERSTSVDNKL JR316_0007336 MSPPTNGIPASSGEQEPQQGIAEKYMSLKRRFDQLEEESNSGSN GSGEPRTGIREEREFLLGKMTELEQTSQIHLNTVVPQDSMSTGNQNTNASVTDHKAQN GQNLLSPSRTSRMQSQRHAEGTESTNDTAMMDMSGNQLSNQGGDVHMQDGQAQNVQNS ASTQREATVTSPRRETRSTRQTRSSRNDGTVIAAVAAATAEAEAAAASQAQSVGRASV TQSPPTTSTSPSSTLAHALGPTPMHSTKDMTSVSPQPHMQHTPSMESILKVAEAAVAA QNAAAAANQAAKSGPSSGTDANLDPSLTSGTNKAGQSTSKDANGHNALAAKPPTVNTS TTPSNNTTPSSSTATPTSATPTPTSSLSNNPYLSLTTNLLARTGGTSASPTGHPMMMP YPMFYPPGTPVTPNSPSYPMAYNPYYYLAAPMVPGPSNMYAPPPATIPSPSQQRSPPE PQKPVKAKRLKSHTVTTKSFSIPMVPRDKKGKPMLPLNVGIMTVISLGDVCMREHFHT ERYIFPVGYEVTRRYLSTVDPTIEVVYHCTILDGGDGPKFQIIPSDRPDKPIIAGTAT GAWSNIVKQANAIRNRQHSNSVSGPDFFGLGQNTIKHLIQQLPNADRLRDYVWQNFVE GGPLGGRHAAVIPALPEEYDASMPIGAYYPKRDLSDPNVPRGLSHYPQHIIAQAEAQR AQKLLTQQQQQQQQQQDPAVAGPSGASSISQPQQPQQPQQQQQQPQQQQTSQVPQMPA QVDVGAVSGILNIHEYQPMQAQSSGKRATRNDATASSTPSTTGRNTRAAARGNQQQQQ QQQEQQQQQQQQQVQLLAQQHFQQQFLQSQQVPVNGTEGFPSLAADGTMPTTFAGIMN AYPAPGVSSTANGVSNSSVASS JR316_0007337 MTTPPSQKSTLHYKLLNGTTPISFDVYFPDPISPIVISTDSESG PIPIPVVIYFHGGGLCVGNRESWFPTWLYRRVISLGYAFLSADHRLLPPATGHEIVQD LKDLFYFLSTTEVSIHPYKFKLDTNKIAVSGSSAGGLCAYLAAMHCSPKPKAIVSMYG MGGNFLTPHYFTPKEKPFFRGRDLLDPADFSDYLYPFPNGPLPPLSESHLAYHPQTYR IPGYPANKRMLLSRLYLQLGVYLDYYTGMHQPSLSLSLRQHTVEDTPGRLVAPEHHSL FPQLQMTGENPWPPTMLLHGKLDTAVPVGESRYLKDLLLSANVPVELVEFDEQEHNFD YQPDAEERWAEKFDGVKYFLKKHLGSEAEVILD JR316_0007338 MLLSTSEWQIPIVDMGGLPAMKVQGVIEDIILNKSLVIPFYCAG FFATIQILHALISTFRRNAATSPASAPDESHVDSGSGFLQSRIHELGGRRMFAFYLAR LTGCIALSILSAISLQTCQNDPINLDSTDWLGCPETYVFMTFLYSTLLALTSILIDKW SILATRYNIVLLLVTLAVYAARDVWPLATVEEIPMDTAYGNLLWVRIAILAVTALIVP LFIPRRYIPIDPKDPMPVTNDEQTCSIFALFVYTYLDPVILLGYRVPHLRFDQLPPLS DSDYAKHLTNFAFPHLDVFKGAKRRHLFFGLMRVFRKEYTIVGFTIIMQGLFQFLSPI GVNRILIYLETNGENATVRPWFWILCLFCGPFFRAIAFQWYIFIATRTLVRTEAILTQ LVFEHSLRIRIKAETADTHDGPGVTDSDVDMTVGTPDTSSIAESASPESENDAASVST EGISRTASEATVTGSSIKKGKTKSGSTGSPPPSKEERGKPDDANLIGKINNLVTSDLN NIVEARDFIHLFVSVPLQVTLCVVFLYQLLGWSAFVGLFTIVALFPVPGYIAKLIQGA QRVRMKKTDARIQDITEAVNVMRMVKLFGWEQRMSKRIEEKREDELQSIWRLKVLSAL NGIVNYLIPTITMIATYTAYTMIMKGELTPSKIFSSITVFNILRLQLNRISYQVTALI QGKVSLDRMDEFLKKTELLDAFSENQPSIPDTHDKSVIGFKNATFSWSFEPKDGSLTP RSRSFRLRINEELAFKPDCINLIIGPTGSGKTSMLMALLGEMHFIPSNPDSWFNLPRA DGVAFAAQESWVQNETIRENILFGSPYNETRYKKVIRQCALERDLELFEAGDATEVGE KGLTLSGGQKARITLARAVYSPAKILLLDDVLAALDVHTSTWIVNQCFRGDLIKGRTV LLVTHNVALATPIAEYIVSLAQDGSVKARDNDIAMAIAHDPDLAKEMELDGQRLDVAN QEVTSLAKKDTPSNGKLIMKEEIAEGHVTWKSIKLFLSGLGGNYPFVFFSLWLSGNIL TDWITTLQTWFLGYWGSQYENHAPSEVHAFYYLATYSSLLLGAAIMYTSAYMYFIYGS MRAARVINASLVGSVLSSTLRWLDETPTGRIIARCTQDIRAVDGVVPQSFADLTELAI SMVTKIGVIVIFTPLFLAPGLGVAALGLYLGNMYLKAQLSVKREMSNARSPLLAHFSA AIQGIVSIRAYNAQQPFKAESLKRIDHYVRIARMSYNLNRWIGIRIDLLGDIFTASLA SYLVYGHALGAANTGFSLNLAVDFCSMILWWVRFFNEFEVQANSLERIQGFIDIEHEP KPTEDGKPPAAWPTSGDLRVENLSARYSQTGPKVLHDLSFHIKSGERIGIVGRTGSGK SSLTLSLLRCIITEGTVYYDGLATDKINLDALRSNITIIPQTPELLSGTLRRNLDPFE QHGDAVLNDALRAAGLFSLQEELGEARLTLDSNIASAGSNLSVGQKQILALARAMVRG SKLLILDEATSAIDYTTDSVIQTTLRHHLPPDVTVITVAHRLQTIMDADKIVEFDKPL SLLKKEGSVLKALVDESGDKDTLYAMAESAVTFSK JR316_0007339 MNGLRTQPAQARRQVYVPKLDICSNCMLQSSKDGPQLRLCTGCQ QAAYCSEECHRADWRKHKVQCKQTDRIIFDSFYPFLAYIAASFREPYNCAMHPALHLK VSNKIDINSQAHPLVHLEENGPSATPVFLSQDIRGTESWWPLAPSVRSMEKLWKRIAN EGMLVATLLPICIALVSEIYTTSAIQPSQNTTPNRCARLNCCEKPVTDFGIVQGRAFV TGVDRLLYHLTDINYPWHGQDPDDHYRIYIRTSGNAEWYLDLGMYTFNMACVVDTAPY RKYNLAECSRTVPGYFVDKKWTKPCGAHSLLFEPKRYMSVLRDPRAHRISLSNDFGRE SVADIVSMMEEIAGRRCRMHEIAYASEFLSLAIKALRQNMCTRDWVNFSPSPKVDFET DEDEEGVMDATPEKEALVRFTNRWAKKVKKGKETREHFSEVAHNLANLPQDVRLKKLG VQN JR316_0007340 MSPSSNNLVQSSQSHRVPIPQAVLPSDEVKAEDIKHDILKEEQA ENSSPHCSRYSQLLESDMPWFQEELSARARVNPSCLESARLLKMLSVLCPGSVPESQW ERIFKGLPVDLGIFRSNLIRTTIDEQPSTPISTASDWCMAWSYASKAIAFAFPNRMAA QVGKYSP JR316_0007341 MDSHNFQPFALPQFTGSHVPATRTTLHQPNYHPANYDYRYQQAI TASQHHTQYQLAERPALRNFSNGGIPTFQRANVPPGAPWYEPKGPSMSFPKVQNDINF TPNYGGEQQLSGSDNAMYHAEGFDLELEPNRQPEEAAIFLPGPDMYTGGYLELADTPQ DFQGPEQYQEPDHSASTVEGGPMILHDGSLLQLGGIPQYQAPFPEPIDSSNHTPNSFE RDTVRTKRQPKTITTLSDSGWLQSAFTIKTSRSTRMGNSGARRLVENKYAGPRVLHDG PVINVGFEDLPDIPCPYGSLEGCWMTCHGLSELDSHLREVHKLFSKKEKDQALVECQI DGCASVLAIGAHLRHVLESHTNVRVYCTRCDYSSPRKNSVLSHYKKNHGKFNRPTYFR EIQHDDYVPQWIQLTL JR316_0007342 MNHKILNSPNPDTNELPTSLPNGAGARLVLLGEQIPLMSMGSRE WWPTAVSDKVRSKLLRRIVNEGLLLPILLSIFSEIYTTTALPADEEPKRQVTGKRRVR LTYGQSPISDFGIVKGSTRVVDRDRLAYYNMDDDEFLMGQDPEDHYRIFIKERRPDQG GH JR316_0007343 MQSRAYSKFPKEPSIGIEFDPGESDLTNDEDGEQEAFKNYLTKW GKRLKRGKITADRWDAAFEKWRKMPHEARIRMGAAKSSEK JR316_0007344 MYSTGWALFLYNVTLIINAPFGRFTPKDQSSIFLVDGVKSWILM ELVSPFTFIYTFLTSPLSVQAPPLPSLTEPHAILALCFLIHYTNRALVNPLRTSSRSK AHLIVTLSGVTFNILNGCLMGSYLSSPFARIYLAAGPRSSFYVGLTLWVLGLAGNIWH DEILLDIRRKAKSKGKSKVVHGMEVDGSRARTEHYAIPHGGLYSLISYPNYFCEWIEW FGFALAAAPFPFQLSHLKGFTFALVTSKIFDPQTYTSILKMPAQNFAPDLSPPWIFLL TEIVLMLPRAYRGHQWYHEKFRDSYPQSRKAVIPFIL JR316_0007345 MDQSPSLSQKTYPQTVNPVTTQPTAGAARSFIHGVSMPANANNE HQPGSGASHIRGGGAAKDCCIGVLGAFSVVRFGDG JR316_0007346 MLPQQSTLAWSIIISVLHVVAIVSTTFRLCHRLYKRQMWYDDYV VIVPLAFDCVYFVMFWKALTSKDYLRYRNNVHFFTSFMFGFVVNAIVLWFSRISLALS LARIFPRGHIARRFSLCIAVLCFVFFGTTVGLTIGLCQSSGRPWYRIDPHNCKKGPKG IPINGLVGVLADILADTVLFLAPLFVLRRVKLPARERRMILAAFSGSVLTLLAAVVFG VFWYCRVKFGSPAGKVVLRLVVAHIETTVAMIVCNLLLITMFLYRIFRRIHSVDSAPK HTPLAAHAVANPAPPPVPLLPLPSDSSNNTNTSHDSGDATSVSRTGTGTGTGTEGAIE DPKRIVCVPSEGDSGSMLISRSSVLTPIVLTQLDTAGMEWDMLDAPMTEPERSSALTL ALELVPGCTTEEERGVDVDVDVDVVGKTKMQEEYESVGDSKGEVER JR316_0007347 MRLWKAGVERTFDRGHNFTGRWFPVPVPFQRVIHHPTLGSEYSE IHILKKKVQIQASERCPDWTEE JR316_0007348 MPQPELAQELIDLILDTLASSSSTPLAHLFPCTLVSRRFRPRAQ RHIFSHIHIRGASPSARRSRVAQLAALVEANPALADAVQELSLESMEGEGACPIPTYG AWSGAHECGIAEYHYEEAEDEHCLKDNSTYSNTTSSSFTNPFLFLMQRISPLRTLTLA TAAPRDLADPAHSLTAFFLPFIAPHITTLDLRRLGRVPVQAITACVQLTSLGLSVVDF AEDEYAYKHEHGHGHGYGHGGGIKLKHLTHRKSKEALDTLLRLHPRALDLSGLTSFTA YMDDNSVDQIQAVLDLAGGSLEELHLLSMDETAWIPLYQPTPTLSPLTALHTLSLHIP FSPLTLPLSSSLLLLTNLLSSLPRRGQLKRLDVQAKVGFMYFPVADGPEVLLDVDWGG SGVWGCVRRAMGLDLSLSVDEEEEVAGQSVNASTSWGEAEESEDVCTCLGFPSPAIFT SPSPQPPTNSTLTFTLTNRHIISSSLSPRAEAAERAALQVRCACVMRALREGWGMGLE VDGWGKDREGYETERRGTSYCCAAAAKQSRSRRGPGTARGIGKGSGKGRGRITLIFPP HARLVRDVDEDAVPLQSLSLGA JR316_0007349 MQAPPPKPKVRTSQGTQTGVYKIDTIKDIADSLNIVISDSVASA LASDVEYRINQVIEEAARFMRHGRRTLLTTADIDLALRTLNIEPLYGHTPSTSSSSSS GFRRALPFPQIPAAGPVYFPEDEEIDFDRVLREEKIALPRGVSWTAHWLAVEGVQPLV PENPPAVPRESDGDPLAKGDLLKPNGVGAAAQPPVGGAGVAAGAPMLAVNGDAKKAGA AQQQQQLVKQVLSRELQLYYARLTASLLPPSASDHAKRVAALASLRHDAGLQALLPYL VRWVGEGVVGVLKEDAPAEGEGKVLDVLLDVIGAILDNSTLFVEPYLHQILPPILSIL LHSSLPQTHTTHLRTSASQTLAKLLTQHSTTYPSLSPRIMKTLLLALISPGKSTGTRE GAIRGLVGVGKEAVRKGLVEGGGARVVGAEWEKERERGRPAQGLVNSVMDALRVLQPP PSDDMSDALDPTRDSELIAKLHDVLGPFFARQVMSDAAWAKEILGVSS JR316_0007350 MYIGAASYASLFATAFSGSGAVPQPSQLPLPASLSSLPSSVGKL GSDVGLGSVATVLRLDEETGQMQIDKIFHAVWAFILSVFDVVKNWAVCWGPSLDWISE ALSTSYTFVQNHPHPFHILGWTVFFGPIIVLIPCLLLVELVIIVIFHLESFLHGQSGE TIPDRLDFLKDYFIETRESVFATVERWTAAFNQKTVAHPALLGVRLLGALLGGWVLAG IWSGW JR316_0007351 MNYSYESFVSSFQSNQPPVGYDSNYPQQGPYSSSHNIPNTSVAS SSSRQPLHDRSDQILVQEQENIPDTTNSSLAKTSQQSQDFNRWHTRHPMTDANHDGFQ EIEAVPALFNAEWPLSMTVPRNTPRPPMTDANHDGFPEIEAAPVLFNAEWPPLMAFAR NEDLLNKYALYSNVQNQPDVSNDYQPLNTEPQYSSALQGYQASASAPALDQLGPVRHS HRQPNHSLPYQRVGSSNSRLAQDNIDSFIQDTQANTSSSVENNQKVKKPRRKVKVRPT LSTVDSIKGAFQVTMRASDSRARALRPNTGATLGDVPTSGSTHPNGLVSFGAEDLPMI PCPLAGCTAASKGIKALEKHLAEAHEYRRKKTRDQNSVVCPIYGCIVKVGEGNLNRHI AETHTNVWYYCTMCDTGRSRQDDLKNHFETVHAGYDVPKVFREILHESAGRSM JR316_0007352 MVSISQGSAPTSRARVRNHISSYGGRTILGFMVARFFGSMALLS ASLATPWQDCEVIYRWKGYTLCLSYTFPVVFFYSSVLGIIALVSKDQSRLATRLNIVL LLLAMCVYTYRDIWPLATYDQTPADAAEGHILWSKISLLAFIAIFIPLFCPRPYIPVD PKNPMPIPNPEQTSSWISRVTYTYIDSVILLANRVSHLSYKQLPPLSDYDAAKYQAQE AFPHLDTYRGAKRRHLFFGMVYHFRKREQLAILCPVLDHFLILISVLTRIRYMETGGA NATVKPWLWILMILMGPVFRSMFQHWDLYIETVILVRTQAVLTQLVFEHSLRIRLKAE APSNTTAVSVTDSVSDDEDVETIADDSSAEGSTVQASTSRTASSKDGSSTPVSVKGKS KATDKEQAKPSAPKKHAGDAENLLGRINNLVTSDLDSIVDGAEFPNLLIYIPLQITFS IIFLSKVLGWSALVGLITLLVTSPLSGYMAKWVNNVQETKMKKTDARVQTITESINVI RMIKLFGWENRMSRKLDETREEELRWIWKMKVKRSFFHPTIIPSLAMLLTYAAHTVIM KENLTASKIFSSMAVFSILRDQIGQISWQATTIIEGKVSLDRLTHFLHNTEVLDRFTN SDKPLASGIALPLEGENAEQLEIGFRNATFAWSLKDADGTLTPSSRSYRLRVRDTLLF KRNCINLIVGPTGSGKTSIIMALLGEMHFIPTGPDSWFNLPRLGGVAYAAQESWVQST TIKENILFGSPYDEERYKKVIYQCALKQDLELFEAGDATEVGERGLTLSGGQKTRVTL ARAIYSSADIVLLDDIFAALDVHTSTWILNRCLRGDLVKGRTIILVTHNIALVGPVAK FVVSIKSDGSISSAETVTDLVELAPEIEQLDIPDPTEGVSASVNASGEGKLVVAEEIA QGHITWRSLKLLVFSLGGRHPVLFYLALTVMLSATQVTAILQTWFLGVWGAQYESRAP SEVSLPYYLGMFSTLIFGQTFLSFGMIIFYNYRTVVASRVIHAALMESVFGSTFRWLD ETPIGRIIARCTQDIRTVDTNVVQSFLWVIDQIIGLISKLGAIVLFTPIFVIPGVVVA IVGTVLGSMYLRAQLSIKREMSNARSPLLAHFNAAIHGLVSIRAYGAQQAFKAESLSR IDHFSRIARSSWNVNRWVGLRTDFLGAFFTASLAFYQVYIQNTSASDTGFSLNMAIGF CTYIFWLIRIFNNFEIESNSLERIQSYIDIDHEPKATSTGVPPASWPTSGDLRVENLT ARYSQTSPEVLHGLSFHIHSGERIGIVGRTGSGKSSLTLALLRCILTGGKVYFDGLPT DEINLEALRSSITIIPQTPELLSGTLRQNLDPFEQHSDAKLNDALRSAGLFSLQEEAG EARLTLDSKIAGSGSNLSVGQRQIIALARAMVRESKLLILDEATSAIDYKTDEIIQNT LRTRLDAGVTVITVAHRLQTIIDADKIMVLDNGNIVEFGAPQTLLRNENGFLRAMVDG SGDKEKLYELAGGV JR316_0007353 MRSKSRWARRSACPPTQGPSVSNYTPKSPPRIFLPLSKLEACCL CRKTWRETELRWCQLCAEVVYCSSECQQRDWPIHKRQYCGKSKTDRVDIESYWPFLAV LVAQFKEDTNFNSHPALTHPIINSPNPFHPYHPILNPSGTVSTENIPSASSGVPTDPS STNPSGKYPISFTDGIRAKPIVLGEKLTSFIQTDTWWPTAITTAVRNKLQRRIANEGL LLVTYLSVCLSLLSSMYTTTAIPDDEDEDETLQQTGRRRIRLTYGNSPISDFGIVNGS VHVEDTDRLGYIFSKDSPDGKEKKGEYRLGQDPDDHYRFYFQTLSGSEWYLDLGKYAL NSCVLVQAQEYCTGNWGRFKRYDGDVSGMFYGKEYEKEGGLDNFKLRRRFSILRNPRA HDIVQWNDQDADIANIMTLIQEIKGGEECTSWERETMLDFLPDAVELVHLNVAHRDYL NFPKKPKIAVDLDPDEQSLVEYTGKAKENVFKMTGQWQKRHRKGKSPKNPLEAAFRNS FK JR316_0007354 MVVGGLTQYKAQVQSMPKEVADQIEKKIHKFFWDDKSATVNINT IRLPINEGGRNLLDIKARNEAIELMKLRNFTKPPKDRPRWAKVADQILVLNANQAFDV PDVAKEDNYFLQNWTAKLQSNPNIPDSLRDMLATARKYNVGVHDVNPGKQMREQMPIW HHIGFDPNKRSYRTHKWVRCQQQNHHISLTGQMAAFAHRNNGRGHLRRRNCKCALCKE DRAAGCNNPALCREAAAKVLDILLPNWDPRKAEDIPGLSAQDVETNNRNIMTNKPVIF NPKTSTDGTPLSNLRVFRSKPPMPEPGDRQVQAYPPVFEEEPEPEPDPEDKQVIYLAS TCKNTNTETATSSGVAWYAGNNAKNFTIKRTGPTQTARRSMLTLLQLILRDEQHTVKL DFKSKSPHLTKMLHEQIHHLEDCGWTKDHDADILQEILNLLRQRKAQTTFQTITEDEP DEWTTLEEAQNLISPAHGEVRNDRPDEPPREQPTTQHQIGIKIQAMSQSTAYKAIMRR EKEKVEPRRQTLINLDKTRYAAEEIGRCLPTDKQIWAAIKKRDISVRGRAFLWKTMHD AYKVGEYWERIPGYEIRGYCHVCQTTDTMEHILTECRATGQEAVWQAAEELWSLRKLD WKKPKIGHILGCGLANFHPEKKRTTLTGANRLYAILISESAHLIWKTRCKWKIEHGAR DDKIISDDGIRQKWIQTINRRLKIDCLSTNERRYGKRALNPSLVQKTWWGVLLNQGDL GDDWLTAAGVLVGIEGRPSGRNR JR316_0007355 MKTTSPKDSIVSTLPPDLIEAIGDKLEIEDVKQLRLTCNTMADL LQPHIFRSVTLCIHGDNYQQGVEKLEALARSTHPACYAARALTIRTLSPGYAPRSFGP IALLKANNSIDLFEKDPFEVSTAKDRIINHLLDAITSLQGVRAVQ JR316_0007356 MERMLPLRYGIAFNSQLDQPHIKFAFPIIMLAASQLQATTESFF QAFALETPPVGMLSYFSHTSPAIVQHTPSNCPIPLSSRLEGNNAIRSYFDLLATHWHR SDAKIRSPLQISVEKRRVILLADVIWTWKKSGRQWIEEFSWTLDYDESYKIVSFVIQT ISSPGTCVMRAVDADPVVHQSFLALTQGSVSLARRLRSLHILMHAFSLFSVDSLNSNL LRFLCFISTLKFMHGQTFIRHYGFRS JR316_0007357 MVEAQLDELSVRTGTPGYFSSIQSRDSISNSDSPQEYPSATFHD SSGPATPTAHPNVLNRIDREPGATHDSMHGDASERMSESSKPDRSKAGTPSNRRRSRP QSGNSHRLSAQHTSQAPSSHGYVISSPSPSENVPNHPPSAFQGSPMVYSPPVPYGQRP GYAGQYTMPSQPGHMTMGHPPSPYYPHSYHPTMPDGSNINTIHAANFPSMLQPQAHVS HPFPGTRTSSMYPPHRINTPSSSSPSSSHPPSSSGQNTPYITSGPFQSLPYPAPTYSY HPQGFPSSPMYGGQYPASPFGQHYTPPNEGEPQGTWVFLPHPPQSPQQFDAGGYTTHY SVAYPQVGHPGAEVAHGGQVPSSIPTHSPPLHFPFSPSQHIPDSHTPSDSPGPSGAGP PMQQSYPSAAQSVSSGSGRHPASDKPRVRRPYHPNPPAHRSEWVMWAGNVPSDASHDE LWRFFNQPPEPRADGADAKTGVLSIFLISRSSCAFINYETEAYLHQAIARFNGVPLRS NDPRCPRLVCRERKKDDDLKAGVGLQRGRNMHLNWIRDQKGKAPEWASDPSDLSASIS DGMSTSPASVSERLASAVSSISMADKESEGRPIHTKHSSSSGSYASTTSSFLARYFPK RYFILKSLTQEDLDLSVQRGVWATQRHNEEILDQAFRTSKEVHLIFSVNKSGEFYGHA RMSGPVLRGETRISWASRPSQQPPIRAMTTPHLPTTPVTNIISPSDGRFVDESPLQVE GVTPGLPPTRPLRNPQSHLRHSAPPLLGEGYKLPTVTTLDTMYSLDQPRRPHQEEAFE LDRYAPLRALRGQQGSSGSSSGSGSGSDGQDKSSGKAGGSSLDAVEEVEERVEEVDYA FGAGYSEARGPPEGADSGEVAEGDPDTGAGGGGGKQRGEEAWGDSFAVEWICTDRLPF YRTKHLRNPWNHDREIKVSRDGTEVEPTVGQRLLDEWDKLADPQLPPTMGAGKPATSG GGGKKATGARPSPAQMSSEEGTKTAPRSR JR316_0007358 MNYLRSEKTSLLCLSPELVTAIAEEMQVADIKQLRLTCSTIADY LVPLVFRTIKLTVYGNKCQWGVERIEALASGTHPACHASRALIIGPLCPGKPLDRSYL FTFGYKTQTISNHASESNVNEPLEIAIAREKVASHLFNAITSCRGVRAVKWTPNAGDE QIMHQITMNSLKNLPSLRRLHIRFQDFDVPLEIDSLRSLTELIISIKKCSSERLNTIL DNVAKAVGQNPALVSIELDVREQESRDQEVVPLQHFKRFLKYYSTANEPMRLRHLKLT SFNLLLDQISISHLRHLTSLNLRNIKCKNGVWEPFIEAGICLTEISVDWASQSFCNYL ASYTGLKKLSLMAYNFSTVEDSDTMAILFYEIGLDNHAQSLEDVKIVPMSEGLWCFGS HNYKSIAQLTNLRHLEMNVLSYDVRNNGETQANGTQKITENNAVKHIVDLAVLCMASI ETICLDYSPPPRGTGRMCGTGVFRQHRFVLKQLVMQAFIMERRRNDKLNVGIRRDNSE JR316_0007359 MGAAGVEGQFVGPMPIEDMLKLLPVVDMKTKFVPSFEHDFFSAL DTQDEEKETRDSFIQRLEESQAISGFQLVNSSTYSYADSKPLVPDVVAYKQSVAVPQN SIDYMELELIFEFRTQQDKADPFDHRATKDSIDSESQLDSTESQHPFEARGDANKVCP RRIYNYAKMWLGAQHRTHCYIVDIGDPYARIIRFDRAGALVSEQINYRIDGHLLLEFL WRHSQTTDEARGHDTTVRMVPLDDEKVKLAQSKLYQWRPQDHNVKVLEMDIPTSAGGV AHTVYVWGALSEPHSLIGRATRGYPAWDPSRPEGEDIVFLKDSWRSCASGMEKETDIL QTLNKHGVRNVPQYLYGDDIPGHRTITQDYTEAKWNAGGVAKDVMKRVHIRFIEDVVG LPLWEFENPRQLVVAIHDAIIAHQDAYQICGILHRDVSLGNILRRRDGKGGVLNDWDL AARYNIETHTPLKDKRQQSRSGTWQFMSIGLLEDPSKVHHCIDDAESFIWALLYCTMR YMKTSIVPIRLQNTMEDLFDQVNRGLPGELNTGGAGKQSFVTGNRFSFGGFVVNDNEP LTSLIAKLFKSIILEFRIRLAGSHIHIDELIEDHQFTREQAQETFIRETNELGVQLYL LMESTLKDSLSKDWPKSNPGWHDHLRHQNS JR316_0007360 MAEISPIRQLTIASKGIINLRNPLLFVDNVIPFFASSITSLTIQ CLAHVPVEIISSCVHLTSLAVSFTSLTGQSTIQSPGIPTKSKPLHHLQLTNFSYQNSR IALEVLLRPSSHVDLSRLQTLIVYADKSSYNIIKDLLKACSNALEEFALLSVGDSAWV QGNWENLNLQEMSRLRTFRFHPPFANGPARVVTRDPGSDKPWGAVTDYIPRS JR316_0007361 MSTDCGHERSLTQIKFNAEGDLLFTCSKDNIINVWYSHNGERLG TYEGNNGTVWTVDVDPQTKYLVSGAADNTLRLWAVATGKCLFTWEFPTAVKRVAFNEA GTQVVCITEQRMGHQCAIRVFAINQEGDGTKQSKEPIFMFNPIGSKATVCAFTLQPHI IITGHESGKVALFNVKTGEEVDSNERAHGDVVTDMQMSKDWTYCITSSKDKSARIHDT KNLMVIKTFTTETPLNSAALAPSRPYVLLGGGQEAMNVTTTSLRQGKFETRFWHKVFE EEVGRVKGHFGPINTIAVHPAGTCYASGGEDGFVRVHHFDDSYFRAKPYGDLEIIE JR316_0007362 MDYSNWRPWRRASSSTRNVPPEGFYSPLDNGGSMLTDVENTFPP GQGEPINTIISGNSDSRVLVDSEENGGLRNYFLSLGFSGECLGQHSGSDQGANLGDGD GFQNETSVIRWNYGDPQLGSCKETIEGGNHFRYWVQDGPSANSGAIFMAVSYELPLNL GHDIISNGYNLGRDWLIGNITGSSIPPNITNGTTFSGTTSFADFTYHTDITYITGLLE NTNDNINHNITVGTEFVNASDGLVALLDVKITSEPVKSTALKLSMPQFWQLLPLMVIL VPSLAPPLTI JR316_0007363 MLTQVPPRLFVILDDNTISDATRDRQEERRPKKRARVDSDDEEA PQPSVKRDSSPGPVYTRDPDYYQDHGDNLAHILVGAVMFKFPGIKLDSCPTLLEAWRK HGKTTESNPLVFKTITLEEFRAFAWAIHASTTDLDTSPHEPTYLERLALIASITQRYK MQILENWCQQFLKNLVADTVFLSSCSSACLTHLVHSSVIFNKTGPNKQLEILVDRWIR RIENKDTPCAPAIIAADRFNLKELRAVAYYNHLQDMVEGLPSNDRGAIMLRADPKLNN GQVMRLLAGYLSLVSMWERLRMSPLDLPRAATGACTAATHAKCKTTWEKRWTSAVGWK RILSINSSDILALLACLRDQLMNDDDLRAGMDPDCRLAGLEALRTLRTKVKGDIDDHF YGVV JR316_0007364 MGITYHYLGLKVYTVVLSILSLASPAVSREASRHATIILLTVFA VYVYRDIWPLATYDKTPIDRPLDGALRIHLAILTITGFILPLFVPRQYIPVDPKNPME VTNPEQTASILSRVTFSYADSVIFKAYQVQHLSHTFLPPLADSHSAQYLINIAYPHIG ESRGIQKRNVVWGLLRVFYKKVIAMSLIITLLTLSNFASPIALNRLLVSLDSDGKDDF VRPWFWILVLFLGRLSMSLCFQNYVHLNSIGSLAQSMLTDLIFEHVLRLRSAKDENAE VKDSQLVASKGSSHDMKGSMVGRVNTLIAYDIPNVGFVSEILMLVIQGPLEIVFGAVF LYKILGWSAIVGIISILLLLPVPGYVGGKLQNIHRQRMKKTEARVQAVTETIGVLRMV KMFGWENRMRAILDEKREEELVWLWRDKLINLTNEVINFIIPTITMLVTYGTHTLIRG QSLNASIIFPSMAVFNIVRGMLRRTSIMVNAFIRGKVALDRVNGFLNERDFLDQFSEG SEKPNQDLFGRDTIGFNQAEFTWTSTSGHSTPSRTFRLRINDTVEFKKGCLNLIVGPT GSGKTSILMALLGEMHYIPMGNSSWFNLPRSGGVAYAAQESWVLNDTVKNNILFQSEY DEERGGQKARVTLARAVYSSAEIILLDDVLAALDVHTANFIVKECLQGDLIRGRTVLL VTHNVSLVGPIAEHIVSVDLSGTVHNMGKDINQVLQADADLASELKRLDKLELMGEDL DGKDSTDERLKEAGKPDGKLILAEEIVLGKVSGGTYMLYLKGLGGDRPILFMTAWLTG LILMQCGYMVGVWFLGFWGSKYETHLPEEINVPFYLTIYTSILFISMGMYTCAVLIYN TGAQRASRTINRKLTDSVLTSTLRWLDETPSARIISRCAQDIGQVDGPLARFLAQVVD LTISMMVKLAGPALLTPSVVVPGVLIAALGIFTGRMYLKAQISLKRESSNARPPIVSH FGTVIAGLGRSPIITYDVGRLNSGLEVSIRAYGAQEPFRQELLKRIDHYMKVAWTPLN RWLGVRIDFLSATFTAALASYMLINRKLNTSNVGFSLTMSLEFCSSILWLVRYFNDLE VQANSLERVRRYLDIEHESEATEAGKPPAAWPTSGSLEVEGLSARYSAWEEREVERIQ SSLTLSLLRLILTDGTVYYDGIPTNKINLSDLRSSITIIPQMPELLSGTLRRNLDPFE QHDDATLNGALRSAGLFSLQETEENGKFSLDTFISSGGQNLSVGQRQIIALARAIVRN SKLLILDEATSAIDHKTDAIIQASLRNELGSDVTVLTVAHRLQTIMDADKILVLDEGR ILEFDEPKVLLGREGSRFKSLVDGSRDKKALYSMAFPA JR316_0007365 MIIVFMHQLEDRQTVMMFANENAVPIDLRLIPLLLSSILMALQL SHAALILYRRWLRNNQNDTLPSGSDASQGDDGSRSQVIFHGWKRGIQKRGGSVIFGFM VARLLCTLLLLYLFSRTNIEGYNTTTLHGTSSLHRSICTQEALTLAIAYAALLSILSF WPSSIFPSPVRFHVSVLLSLLGVYAYRNVWPLAQYGTEPADNAEGAILWVKIALLSLL AIVIPLFIPHPYVPLDHKNPSPTPNPEQTASWFSALTYTYMDPLIKLANKVRHLKHDQ LPPLADYDCARYLSGRASRFVDPFPGARRRRHIFFGLVAFFRYELVIIFVGSVIHALA TFVAPIGINRTLRYLENRDNHTTTASQIKPWVWPLLMLIGPVGESAVKQWNSYVQTKV RVRVQAVMMQAFFERGLCVRVKADAGAEMEAGSETGREGERTTSPVDSGSVSGGEHFV GRINNLVTSDLQSIAEGCDFPSFLIVVTLQIALSIVFLYNILGWAAFAGLFTTLALTP VVGFIGKKIQDVQVTKMKLTDARVQSISEAVGILRMIKLFGWERQMTEPLERKRDEEL GWLWAMKKLALANDCASILAPTLSMLMTYGTYTIIMGQELTASKIFSSMAVELVVREQ VARVAGQYTLVIEAKVSLDRANSFLQESELLDRYLHEGESDLQSSTNSSAEDICRENG NEGTIGFKDAVFTWSADSEDRLSSSDRDSQRSYKLRIDGELVFKRNCINLIVGPTGSG KTSMLMALLGEMHYIPGNSGSWYNLPRQGGVAYASQETWVQNATIRENIVFGYAFDEK RYKKVLNQCALERDLELFDAGDETEVGERGLTLSGGQKARVTLARAIYSSAEIILLDD VLAALDVHTAVWIVNKCFCGDLVKGRTVLLVTHNVALVSPIAEMVVTLGSDGSISSHS RAINNSDTAHPETVKGTQYMTLPPPEKPTDALVPSSSTNPAGKLVMAEEIVEGHITWR SMKLLLSALGGRHPTLFGVLWIGGLVLCEATFMMQPWFLGVWGAQYEKYPASEVRLWF YLSVFSSILGAGVLIYAGVNYYFSSRSIEASKVIHYKLADAVFRSTLRWLDETPTARI ITRFTQDIQTVDEPIPHSLMWVGSQFIGMLGTLGGIVLFSPVFVFPGVAVAVLGIYVG NLYMRAQLSIKREMSNARAPLLAHFGATIQGLVSIRAYGMQKAFREVSFDRIDHYSRT ARTSWTINRWIGFRIDALGALFTSALALYLVYGSNISASNTGFSLTLGFGFTLYIFWL IRVVNDLEVESNSLERIQGYIDIDQEPKPTSSGLPPASWPTSGDIRVEGLSAQYSQTG PRVLHNLSFHISSGQRIGVVGRTGSGKSSLTLALLRCILTEGTVFFDGIATGTLNLDV LRSNITIIPQTPELLSGTLRQNLDPFGQNDDATLNDALRAAGLFSLQEEAGEVRLTLD SKIAGGGNNLSVGQRQIIALARAMVRGSKLLILDEATSAIDYKTDAVIQSTLRSKLGA DVTVITVAHRLQTIMDADKIMVLESGKIVEFDTPSALLQYPQGILRALVDESKDKILL NELTSHKL JR316_0007366 MLLTDLPPELIEEVLTYCDPLDVSKLAQMCSTLRKLVYGAEDSK LWRELYLMQPFDDPRHCIAQDGNPVPQPIAWRDELQRLMRVRTILTSSNAFAILKPGE LKVILKFILKFISNVPPISPFGDVAMNHVWAVAMLQGGFIDLLENKEGKDDEERQLTS KLHTYYGITVADKQARKRVLSRAFVYSLRNYRPETEYGPFFSSGAVNWEHMQAIHHVV SMHLVDLQEEADYKFPIFPLSLPFTQSAIPPELALDEPESDWAGVAGTWNGLMKLMYA PLSYLTEPFNNPQESRVDYSMFEDPEFREEFRSLGVTLHITRTKPDPQHPTRPEIHFF GEMMAPSNSTMIGHVKMTNENQIQWHFVSGDQGNGIWSSEGIQVGGIRSSYGVLGSWT TVFHDFDDPVDLLLITNGFAFTLKLNLALRRILRRFEPVLVFWAFGPLEAKLSQGLHR SRRQTANFCSKRGRRVVMGAAGVEGQFVGPMPIENMLELLPVMDLKQKMEVMPHFTKN YFFGLDEQDEKKEMCNSFIQRVGASQAISGFQLVNSSAYSYADSEALVPDVVAYKQSV AVPQNSIDYMELELIFEFRTQQDKADPFDHRATKDSIDSESQLDSTESQHPFEARGDA NKVCPRRIYNYAKMWLGAQHRTHCYIVDIGDPYARIIRFDRAGALVSEQINYRIDGHL LLEFLWRHSQTTDEARGHDTTVRMVPLDDEKVKLAQSKLCQWRPQDHNAKVLEMDIPP SAGGVAHTVYVWGALSEPHSLIGRATRGYPAWDPSRPEGEDIVFLKDSWRSCAPGMEK ETDILQTLNKHGVRNVPQYLYGDDIPGHRTITQDYTEAEWNAGGVAKDVIKRVHIRFV EDVVGLPLWEFENPRQLVVAIHDAIIAHQDAYQLCGILHRDVSLGNILRRRDGKGGVL NDWDLAARYNIETHTPLKQQSRSGTWQFMSIGLLEDPSKVHHCIDDAESFIWALLYCT MRYMKTSIVPIRLQSVMERIFDQVDRDVPGELNTGGAGKQSFVTGNRFSFGGFVVSDN EPLTSLIAKLFKSIILEFRIRLAGSPIHIDKLMIDHQFTREQAQETFDRETNELGVQL YLLMESTLKESLNKDWPSSDFGWHDYLELLKTANAKKHPVDSAFSSVLDGSPLHQPRK RQKHSNDTPYLSSGLQRTKHQAVPYSGRNTVGFMGAVDLQGRFVGPMPIEDMLELLPV AELRTKTMLNFAHNYFTALDVQGEKKEMSDSLIQRVIASQAIPGFQLINLSKYSYGDS EALVPDVVVYKQSVTVPQNNIDYTELELAFDIRNTRERADPFDCRAVKTIGSEGQSES ESQYPFEARGDANKVCRRRICNYAKKWLSAQHRVHCYVVYVGDPYARIIRFDRAGALV SEQINYRIDGHLLLEFLWRHSQTTDEARGHDTTVRMVPLDDEKVKLAQSKLYQWRPQN DNVKVLEMDIPTNAGGVAHTVYVWGALSEPYLVTGRATRGYPAWDPNRPEGEDIVFLK DSWRSCAQGTEKETDILQILNKHGVRNVPQYLYGDDIAGHMTITQDYAAAEWNAGGVT KDIIKRVHIRFVEDIVGLPLWEFENPKQLVEAVHDAVIAHQDAYQLCGILHRDVSIGN ILRRRDGAGGVLNDWDLAARYNIETHTPLTDKKHQSRAGTWQFMSIGLLRDPSKVHYC IDDAESFIWALLYCTMRYIKTSIVPIRLQNTMEDLFDQVTEAYQAN JR316_0007367 MHLGTFAKEDIGEVVRIYYASIWIIEYAMIIYVDDWSRNDNVRG YIIMREDMALICVQSPTWNSEVALVITSSPIYAKWSASEVLGCDLSANYWQRNLRGSN SVYRLSSIFLLFQTCTEREDLKYLVRIIFKYLRSVDLVAPDSKSAWTTESSGSAVAPT PTPLQSESSAAQNSTVAAFKSTPIKNTYSGSGRFASEIVPSASQVKKKMVENIRDHFV GPMPFNELFELLPVTKESLKIKPNFSMDYFSGFVVDEKENTMYDPFIEKIAKINAMPG FKLVNTSNYADRAIVKDMKSKPDLAAYKDTVNLDNVTIYREMELVFEFKPQQNTVDPF DSKATKVSGVVGQVSERPFEATPNGRSQCRGQITNYARIMMHRQHRTHCYVVYIGGCY ARIIRFDRSGGLVSERINFKKRGDLLLDFLWYYSQTTDEARGLDTTVRVLPIDDVKAM MARKKLSAWQPASGSKRVYAMDVPEQFVPAKVPAPTMPTPTVADPVKKLHTVYVWGSL SEPNTVIGRATRGYPAWDPSRPEGEDIVFLKDSWRLCGEGMEKETDILQTLNRHGVRN VPKYLYGDDIPGHRTITQDYTEAKWNAGGVAKDVMKRVHIRFIEDVVGLPLWEFENPR QLVVAIHDAIIAHQDAYQLCGILHRDVSLGNILRRRDGKGGVLNDWDLAARYNIETHT PLKDKRQQSRSGTWQFMSIGLLRDPSKVHHCIDDAESFIWALLYCTMRYMKTSIVPIR LQPVMERIFDQVDRDVPGELNKGGAGKQSFVTDDHSSFIDFVVSDNEPLTSLIAKLFK SIILEFRIRLAGSPIHIDKLMIDHQFTREQAQETFDRETNKLGVQLYQLLESTSKEFL NKDWPINAPGWHDYLNPPKAGKGEKHPRSPAAHIEPDNPVDSAYNSSLDGSPSRQPRK KQKHSDNAPYRPSGSAP JR316_0007368 MSRSASSDGTTGSPAPSASSGGGVASTDYIPKPKPKGAKPPNVF SNDGSFLDRIRRSMKEEEDKKKEKEALERKKHFADRFKTRGKRPPPTSIQSAASDTTT PETDESPTKKLKLDGELGMDKGGREEAAQAEYHKAMESYPGSLKDGGTGVRPLIK JR316_0007369 MSDQPSQPPKPKPGSLRDRIAAFEKTANAAPAPAAAPAPRPKPA GFASWKPKAPSPPSSPSASATSSTEHTSVGASKVGTMSASDAKESITKGGSLKDRMAA LQGKGAFGAPVPPTAPKPAVERPKWKPPPVVQAPADDDDQTGEHATAAIAAAVERTLS PPVSLKSSESAEIVSTPEVGDDSAAPSVPVEEGGQEGEQTVVDPEEEERQRRAAIAAR MARLGGARVGMAPPTIGKKPPVRRPTQEEAPVPPKEESKPSEEPVQADIVGNVVPKPV EGPSRASDVVGSPPSDAPVQQEQTISPGNVPPTRKNSEAASIKSSDTTDSQSSRNPTS MPVPSVPRRAGPPRKKPAKAPTLPPSDVTEEKPEETIEPPVEPAAENKPEASVDAAVS QKTTDTVAELDNNTSLDEATAKLPEQSALIEEAVKIIEHQDPKILEDEPESEPISNIE EEVPESASSIYLQSPLAPSTLVEETNGVRSPPPSSLSSAQEVIPEADVLTSPIVIDSP PVPVLHSAPHALHVDELDKTPHEDVEGNEDPEEEEARKKRVADRIAKMGGINPFAPRP VAPVSPPAEEPRSPPLATATFVPSDSATPVVVPAASAEPEHKADPSLDHTQTPSPPKE TDVGSKYEQDSSSDEDEGDSEVRDKQWSPSASATHEQVEKPNTVAEPHPGLDIASSLP APPPIPTSTRPTVQVQQYVVQDKDDGEGEDEESDYGDVDSVDEKPVAVASPPSRPSNP PQPSDAVAAVPASSQHLSEAPPPPHPNRPAQPPRRIIPQAPEHLNDEEVEEDEETDEE ADERLATSQLFVPPPSGRGPIAIQPRGGLVQGEEEDGDGSENDSPALPVPHRVSVEGV PAKPPVPAHDEDSETDSDHDGQALPIPPRRPVVPRIAPPPFSSSQEEGGQQQQPSQTI SPPGQSTPSLSVSGSEEILDEEEGDPIDPAFHSPSRQTSFVNLRSISQAQEEIDAQSP ISPSIGRSSLVHSPVVAPPAAPPSAAPHDDDNAEEDAEQLRRKTIAERMAKLGGIRFG AAPIPTPISRPPPRQSEEEDQKEGESSAAVNEEPAAPLSEEEEERARKERIAAKLATM GGMRIGMMMGAGGLPPPPPRSHMVREENVDRASAPAPAVPPAPPTRAVPPSRPPPPPA AQDTDSEYGSVAASEEGVKVEAGESEAEESGYEHVEEAPPPVPSRAARPSINRQSTGP SSPPSRPSVPTALPMRRSSTLQSSTSMRNVSGGDGASSPPHRTPQMPHTEYVMVEEPE SQEAPPPPPARPAARPPPSRSAPQAPQPPPMHQRPDLGDSISSQWELPSIPNSSLDFS GASDLTMSWTDAGAEPTSPVVAAQPSSPPAPPPPTKPPAPLANDRQLSADELIALWGR VGVQVCEVATSLFEKSRKTLIGDGSYAGFVREVLAAVPNAAPAPTAAGEPYGYTIYVQ NGPAVVKRVSDIMPGDLVEIQDAKLKGHKGLQTYHQSVGAAGETLVGVVGEFEAKKSK IRVFQANQHVGQQTVESVSYRLEDLKSGLVKVYRVLDA JR316_0007370 MGAAHMEGRFIGPMPIEDMLKLLPVVDMKTKSVPSFEHDFFSAL DTQNEEKETRDSFIQRLEESQAISGFQLVNSSTYSYADSKPLVPAVVAYKQSVAVPKN SIDYMEVELIFEFTTQQDKADPFDHRATKDSIDSESQSDSTESQHPFEARGDANKVCP RQIYNYAKMWLTAQHRTHCYIVDIGDPYARIIRFDRAGALVSEQINYRIDGHLLLEFL WRHSQTTDEARGHDTTVRIVPLDDEKVKLAQSKLNQWRPQNDNVKVLEMDIPTNAGGV AHTVYVWGALSEPYLVTGRATRGYPAWDPNRPEGEDILFLKDSWRSCAQGTEKETDIL QILNKHGVRNVPQYLYGDDIAGHMTITQDYAAAEWNAGGVTKDIIKRVHIRFVEDIVG LPLWEFENPKQLVEAVHDAVIAHQDAYQLCGILHRDVSIGNILRRRDGAGGVLNDWDL AAHYNIETHTPLTDKKHQSRAGTWQFMSIGLLEDPSKVHHRIDDAESFIWALLYCTMR YMKTNIVPIRLQNTMEDLFDQVNRGLPGELNTGGAGKLRFVTSNHSSFIDFVVNENEP LTSLIAKLFKSIIFEFRIRLAGSHIHIDELIEEHRFTREQAQETFIRETNELGVQLYL LMESTLKDSLGKDWPKNAPGWHDFVSPQPKHEKAVKHPRSPESNIQPNKAVKIAFSND LDGSPLLAPRKKEVA JR316_0007371 MGAADVEGRFVGPMPIKNMLKLLPVTDLKAKFIPDFAHDCFSAL DVQEEKKEMCDSFLVNSSTYSYADSEALLADVVAYRQSVAVPQNGIDYMELELAFDIR TTRDRADPFDHRATKDSTGLESQSESESQYPFEARGNANKVCRRRICNYAKKWLSAQH RVHCYVVYVGDPYTRIIRFDRAGALVSEQINYRIDGHLLLEFLWRHSQTTDEARGHDT TVRIVPLDDEKFKLAQSKLYQWRPQNDNVKVLEMDIPTNAGGVAHTVYVWGALSEPYL VTGRATRGYPAWDPNRPEGEDIVFLKDSWRSCAPGMEKETDILQTLNKHGVRNVPQYL YGDDIPGHMTITQDYAAAEWNAGGVAKDVIKRVHIRFVEDVVGLPLWEFENPRQLVVA IHDAIIAHQDAYQLCGILHRDVSLGNILRRRDGKGGVLNDWDLAARYNIETHTPLKQQ SRSGTWQFMSIGLLKDPSKVHHCIDDAESFIWALLYCTMRYMKTSIVPIRLQNTMGDL FDQVNRGLPGELNTGGAGKLSFVISNHFSFKGFVVNENEPLTDLIDTLFDDIVYTYRC HLMELRKCVKQLMVNQQYTSEQAHEIFDRKTSELGVQLYQLLESTLKESLNKDWPNSD LGWHDYLELLKTANAKKHPVDSAFSSVLDGSPLHQPRKRQKHSNDTPYLSSGLQRTKH QAVPYSGRNTVGFMGAVDLQGRFVGPMPIEDMLELLPVAELRTKTMLNFAHNYFTALD VQGEKKEMSDSLIQRVIASQAIPGFQLINLSKYSYGDSEALVPDVVVYKQSVTVPQNN IDYTELELAFDIRNTRERADPFDCRAVKTIDSEGQSESESQYPFEARGDANKVCRRRI CNYAKKWLSAQHRVHCYVVYVGDPYTRIIRFDRAGALVSEQINYRIDGHLLLEFLWRH SQTTDEARGHDTTVRIVPLDDEKVKLAQSKLNQWRPQNDNVKVLEMDIPTNAGGVAHT VYVWGALSEPYLVTGRATRGYPAWDPNRPEGEDIVFLKDSWRSCAQGTEKETDILQIL NKHGVRNVPQYLYGDDIAGHMTITQDYAAAEWNAGGVTKDIIKRVHIRFVEDIVGLPL WEFENPKQLVEAVHDAVIAHQDAYQLCGILHRDVSIGNILRRRDGAGGVLNDWDLAAR YNIETHTPLTDKKHQSRAGTWQFMSIGLLRDPSKVHYCIDDAESFIWALLYCTMRYMK TSIVPIRLQNTMGDLFDQVNPGVPGELNTGGAGKQSFVTADHSSFRDFVVNENEPLTD LIDTLFEDIVYTYRCHLLELRKCVKQLMVNQQYTSEQAHEIFDRKTSELGVQLYQLLE STLKESLNKDWPNSDLGWHDYLELLKTANAKKHPVHSAFSSVLDGSPSRQPRKRQKHS NDTPYLSSGSSSLVSQIRPNIF JR316_0007372 MISIFVNQAGDGVGGTQLQFYEAGDAFWKDLRYIPLLLTGIFSF SQLTHAVCSRFKYGRRPNEAAASTEERRGFLARWRWHIHLHGGYVIYAYMVARLVGSV GLLYIFFTTAIGDCEKATGNNGWTFSPLCVQTALTIAHAYATLLAVTTFSLNFRPLAP ARFHVTILCSILAIYAYRDIWPLANYGSVPKDQAEGNILWVKIALLVVTGVIIPLFIP HPYVPVDPKNPSPVPNPEQTASWISALTYTYADPIIILANKVAHLRHDQLPPLSDYDF AQYLSESAAKAVIRDIL JR316_0007373 MTLIFVNQAGDGGGGTQLQFYEAGDAFWKDLRYIPLLLTGIFSF SQLTHAVCSRFKPLAPARFHVTILCSILAIYAYRDIWPLANYGSVPKDQAEGNILWVK IALLVVTGVIIPLFIPHPYVPVDPKNPSPVPNPEQTASWISALTYTYADPIIILANKV AHLRHDQLPPLSDYDFAQYLSESAAKYVDPFAGAKRRHLFFGLLSFFREFEANPFSEL L JR316_0007374 MPPKGSKKKKAVVNATVEGQEVEQGPSSVAENEPPQAPEADHNG RPIRSTRGLGGVNARQEKTSNIIFIDFEKAGKRKSRAANVDTSAMPENEMAPPLKKPR NGVTVVSHVGPPIQMQPRPTPSVAPWDVQQVQPSPRQSQGAPPPVQIQRAAQRNQEHS ELDHAVQRPTAPIQRPAAPVQQPAHPVPRPVQHAAHPVPRPVQHAANPVQRYAAPAAE NSVQYYDAPVQQPVHPVTQHAPVQQPVHPAAYNAHQEASISHQDEEEQQDYEGIEQEE DEEEEEGDSDGERRSEEASGDECMQGIDEENIGDEEALQYVDGDEGYDDAGQDDEEPA AQLVDEVSDDEEERRARALLRQPSPHVVEVEDVLQEHRRRNRANKPPRPEALRKAAVS QGAVSQGLTRENNEASDDDEVLGEAHIAHKKSSTSSAREVSKHSVASFTGYWKDVLKI ARKLMCLYVVEEVPFPTRENHLLVADGCVKMAVTVFERMNTDKVLPDKKKTLLDRNTA VTAFVYASTFRGRLKTMIRPLVKNAYGLEVPSEVIAANPNMFENQMGEIEYIKDRVAY WLLNGKYHRGVAKTRYHDVPFGHPFVKKICLDFFYHPTKGVAVPIKGLETKTDFFKTS LPHKAFALVASCIHNCLEEWRDGIDPARGGPCSGIEFKGEEYSLRYDACMLVAAEAEK DTLNQGPRLACLCREVAEEGCAIMRPTKNPPNPYRMTLHSIPQEELDYGPEG JR316_0007375 MSSYKRRRLDSLGNRTDINPFIDIEAAVSDDDESSEELDYEGGQ LLNDNDEYSEDEERVAHSRLYHAMQNTDNADEWSDLLPMLLPSRMKIHPDNDIEPSSS RELIQKYGNPQPGGLGDNNYMPSATDIMYEIGCKVGREEAVAFKIMQMSTNPTFPIIL ARSVFAQSSIPGRIYVEAPSMQHAHTLACLVRELNPTHLVRLSSERCMEILSHPPPSR PEDQSWVKVAGKRKAWTTYANATGLVFTFQGRKSVVLIPRPPDNIKKSHLDRIFQDGF IITDFDAIDLKYLSNVLPTSSELEQFRECPFVTTETLAQASKAISMTRLKRYDRVKII GGEYLGLFGTVKSVSDAEVEVHIPSQGITQAVALHDLRAAFQIGDSVEVVEGDHKDLH GWVSDFDGRSVCIIAPEHEREVIVPIHTVIFYVPPAHATLRPRKRHSSKLGERDHNDV YIGLSVIVVGNNTFKGYYGIVKSTTPDGFADVELEARNQRVERIKISHLIIHNREHIN SAQDPGPSGGATPMPSTVASFLSPAWNPYSAIPVHSAVEIAELPSTVAHWLDTKYDKL KGLRLKVVDKSKGDHQVAMELLSLTDDTAHLALLGRTLTLPKSVLFPIHPVKKDDFVT PLEGDSMGIIFRIRSIDKDICVVHKYPVTRMKRGDTFPTFPTTSLIQIFPPSRGVKVV NM JR316_0007376 MLPESHPLRRMVDFLRRYEDFTFLNYDVSSSSMRAAIKYRQSLA ALQNSIVETPCVTSATWEQAGSLFAAYRLLDLVALWPAAFGPSLPVTVPLNEIQASTG TKEIFSRFKLVDDLKDWPAMLCSARKRILRADSKSHRNINTPSISDTPSSAFTQGGPS ESTLLLCLARNHFKGVVGKIIGNVYCASLHYQILSGMRGMKDDEHILPDIPTDTATLA NLYASGHPDAALFLSEVTPSHLKIPLHVALFISPILLFVNKSWYSKKCDREQLLKASK ALGNCRPRVLREVEMEIWKIIAAQNCDIQSALYKLVISDCWMECERVIAQDPAFHFFI SSTSSAEVTANSNLARRSTEPHAQLQTVSHHGEKTTCEGSDADAEGDDDHEVDTTEMV SMGQRENGDNTVPTSNIQPPEDTSSVAGKDVSMGQQENGDNTVPTSDIQPPEDTSSVA GKDVPTDEQPGLPRSDDIGSGLLQTENTGPSTAGDCEMNVDPEQADVDHQMEDGPHTN GPENLGDGGAGQGAEGSSDEANRMSEVHEGEKQGQDGERPDEENRMSEDGEEERPDDS SSDNETEKQGQGDVPPVQEDRMSVDGEGVGPEQNNDSSDMERNTLEDSQGEKEGENAP PAPLILRIPPVSQINRSKNTTADSSQKGKGKGRQGLSKRPPKRKPTQATDTFDSDDDL CIDVDLYDSNTTLDVVSTPEKVYGTKVWSTYNGRGQLKSFCVVAHSQADVDRVERVLE LVENDYVDGVPLHIARPEESCFAVFDRSTTKSMYLMESFSERNVVLMSPSQEESISTC SDEFYSQVRRHMGDMTSTRSIQDMSTMSSNPIERIKRGSLSQVMEAARMKGKRGKILN ALDIPLPHAGAHSFDLSTEAAALRATSGSWKYSTPVPFGDMSWGLVATEGAFSDIHID ANGFCSFIQPLHGLKLWIIMYPRRLDVDLSSDRRVFLGDKLDYGETHHQDWIYEAIVL DNQSELFMRPNTLHMAYSISSVVCRGGHFYSSTSFEETLTGIIHCFTAGYRATNTNHS TSRFFLQQTIHWFYKVLVEGDSDPEDFYEMYHVPFYSTQSGYSSLIALCVCMILANAL DYETYRNPDQMFSTKTSAQLDAWMRWDTNSLSNEERKACIFARGEALAILEWLCHRTK SIHYMIETDKNKDAQNIMATEKFHEMILCRYARMILAYDAEAKHNNIGGAPCCTDTSL LFVQLQGVCSGELNKVICRSIDQLPRSSVPKMLKLPVITLIDCDACADSSTQLRTPKE ILELGTSVRDKLYLDGMKVKLESTNLIVCCSYRQTNTQILAGMKASGFTVTASNRSSS TSRSATPVSRDPSPEIGNFMEVDYIDNFLGASSEMEDDPPPKASKYNYPYVKEDYNGA GRAFGTGLTFMDQLKQDQFEPQRAENLYYPFASKDEWELSLFLLRSDMSVGMLNDFLK LELIKKLNLSYKSAKDLRNRAEILPSGPQWKSQTIIPEIPSKNQLTLFYRDGLECIKA LLISPLLQDSMHFSPFKLFDKCNEMMRVYTEWFSGDIAHFMQYNKVPKDQLPKGATLV PPIISTDKTNISNMTGGRVAYPGLISIANIMMNFLHRSKAVNGMMAARLYHQCMDIAL ESVKQTARVGTTMADALGNNRFCFTPLAALIVDTPESALAACVAGSTSSVTLAQYETF GDSFRHPSRTADHTINTIMAINNVKPPNHLEPYLKESKKHRLNGVHLPFWRDWPLSDP SAFLTPEPLHHWHKMFWDHDAKWCIAAVGGSELDFRFSILQHRTGFRHFKEGISSLKQ VTGREHRDVQRCIVALIADTVSTPFILAIRSLMDFRYLAQSQTISEAMCLRIEQALQD FHANKQAILDAGARRGKKNNPIDNFYIPKLEFLQSVVHAIRLNGCAIQWSADTTEHAH IEVVKAPSSSSNNQRYEPQVCRYLDRRDKLRNFDLFTAIREMRIDFRAIHSATITDEE EQEEGDEGEENGEVVMDTTSELLSTIMPMTTFQSAKSNRIVDYFYKASLYERGVLEGP VPYRTFSCSKNVVAHLSRDASSKRLHIDEVASIFKIPDLRPAIADYVSLINKESNPRH TNSRGYHIKGITGRRVSPPGCPLPYSKLEVWHKVRIQSTAYQYPHEILEAVTLNAYPP SNKHPFGYFDSAIINVDESEEWPRSGLQGHSVVDIRIIFRIVGETPSTVSPDITGRFL AYVQRFENQVVLAHLPLTTAQTPKDSSQSASSSKQKFASSLVDQAVSTLSEIWHPQDI PSVFLPPAKVGGSSFPPTHSRPTSKQISSDLQSIASHTHPIHPHNSHPSVSGHNPSPT LLLASGTKSDQILPLKSFVYKVLRHSRTSKNVLQIALCYLESIRPKVPQILQEENIGI RSYAQPKSSIQKATPEELAMDAELTALENSSKINIINNFIDNSMQTFRVADSGSQDLA ESCIYPQDSLSSVDVQVSTAPLSTTLSLPSPLLCPRRAFLASLILASKFSQEKCYSNR AWARLSGLPPREIGRCERALAQALQWRLWVGKCAFGESAATAT JR316_0007377 MQIISYEEILRIEAEVLAPTFPDLIHPTTFPEAASLASQRQQYD LEMAQLVEQTQKMVLLTENRLILAILALFNEINWTTLDPRLLSLAKAKITEGDQWLKA RAEETLRDADSGSPEHILTQGMSIIANGQIHIRTVEDLIRECQDH JR316_0007378 MTSIFVNQAGDGGGGTQLQFYEAGDAFWKDPRYIPLLLSGIFSF SQLTHAVCSRFKYGRRPNEAAASTEERRGFLARWRWHIHLHGGYVIYAYMVARLVGSV ALLYIFFTTAIADCEKAAGNNGWTFFPLCVQTALTIAHAYATLLAVTTFSLNFRPLAP ARFHVTILCSILAIYAYRDIWPLANYGSVPKDQAEGNILWVKIALLVVTGVIIPLFIP HPYVPVDPKNPSPVPNPEQTASWISALTYTYADPIIILANKVAHLRHDQLPPLSDYDF AQYLSESAAKLSGKPSIRYRFFCKTLGLGLIHARVRLQAVLTQLFFEHSLRIRMKAET SNDGVESTPTPSEAGDNRSVVGGESIASGPNQSREDAESQITQASTAVGTTEASASTV QDNTPESSGQAKPQADEGPPVKKSSGDAENLIGKINNLVSTSA JR316_0007379 MVESWIRHDVQTYQTGHKLSLSDLQLADGHKDAQHNGHYLKKVY AESSSSILLPEHPYFLHVSEVSIQIPDPNIESKSSFLQQKSLGGNQYLKSNWSTDDFL TTDFLTGQYLLFMCLRPSIPSDTNTFKKIQLELVCRFPKRASEIVTLTPAFFIHCHVS PSPLTMFHAFHLIVFKPYQPQKHGRVGQSTQVSGFLQAGDRFTTSGTHISMLQIRLKA LALASKV JR316_0007380 MTSIFVNQAGDGVGGTQLQFYEAGDAFWKDPRYIPLLLSGIFSF SQLTHAVCSRFKYGRRPNEAAASTEERRGFLARWRWHIHLHGGYVIYAYMVARLVGSV ALLYIFFTTTIADCEKATGNNGWTFSPLCVQTALTIAHAYATLLAVTTFSLNFRPLAP ARFHVTILCSILAIYAYRDIWPLANYGSVPKDQAEGNILWVKIALLVVTGVIIPLFIP HPYVPVDPKNPSPVPNPEQTASWISALTYTYADPIIILANKVAHLRHDQLPPLSDYDF AQYLSESAAKLSGKPSIRYRFFCKTLGLGLIHARVRLQAVLTQLFFEHSLRIRMKAET SNDGVESTPTPSEAGDNRSVVGGESIASGPNQSREDAESQITQASTAVGTTKASASTV QDNTPESSGQAKPQADEGPPVKKSSGDAENLIGKINNLVSTSA JR316_0007381 MVESWIRHDVQTYQTGHKLSLSDLQLADGHKDAQHNGHYLNKVY AKSSSSILLPEHPYFLHVSEVSIQIPDPNIESKSSFLQQKSLGGNQYLKSNWSTDDFL TTGFLTGQYLLFMCLRPSIPSDTNTFKKIQLELVCRFPKRASEIVTLTPAFFIHCHVS PSPLTMFHAFHLIVFKPYQPQKHGRVGQSTQVSGFLQAGDRFTTSGTHISMLQIRLKA LALASKV JR316_0007382 MTSIFVNQAGDGVGGTQLQFYEAGDAFWKDPRYIPLLLSGIFSF SQLTHAVCSRFKYGRRPNEAAASTEERRGFLARWRWHIHLHGGYVIYAYMVARLVGSV ALLYIFFTTAIADCEKAAGNNGWTFFPLCVQTALTIAHAYATLLAVTTFSLNFRPLAP ARFHVTILCSILAIYAYRDIWPLANYGSVPKDQAEGNILWVKIALLVVTGVIIPLFIP HPYVPVDPKNPSPVPNPEQTASWISALTYTYADPIIILANKVAHLRHDQLPPLSDYDF AQYLSESAAKVCIVFHGLMSFVAPIGINKTLNYLENRQFGTDSSVKPWVWVLFMFVGP VAQSGFEHWNLYIQTQARVRLQAVLTQLFFEHSLRIRMKAETSNDGVESTPTPSEAGD NRSVVGGESIASVEETGPNQSREDAESQITQASTAVGTTEASASTVQDNTPESSGQAK PQADEGPPVKKSSGDAENLIGKINNLVTSDLENMVEGCDFPSFIILVPLQITFAIIFL YNILGWSAIAGLFTTIALSPIAGYFGKIVQDVQVTKMKLTDARVQTISEAVGVLRMIK LFGWEGKMTNRLDAKREEELSWLWKLKQLRLVNELSSMLAPMLSMMVTYASYTVVMKE GLTASKIFSSMAVFSILQEQLHRISWQYSLLIEAKVSLDRANSFLQNSELLDRYAKQH DPSSSFLLPEEEADDERATEIGFKNATFSWSDEENDGSLTPSSRKYRLHVEGELLFKR NCINLIIGPTGSGKTSMLMALLGEMHFLPSQADSWYNLPRAGGIAYAAQESWVQNATI RENIVFGSPFDEERYAQVIKQCALEHDLTLFDAGDNTEVGERGLTLSGGQKARVTLAR AIYSSAEIILLDDVLAALDVHTSAWIIDNCFRGDLVKGRTILLVTHNVALAGPVAEYI ITMGLDGSIVTRHNEVTPLTKVEEVLAENIDDAAEISQEEHADKAAPTAAKDGKLVMA EEIVQGHITWKSIKLLLSGLAGNRPIVFCLLWIGGLIASESISMFSPWFLGLWGSQYE RHAPSDVNLLYYLSIFATVLLLRLTIYAAVNFYYQWRSVKASKVIHAKIVDSVFSSTL RWLDETPTARIIARCTQDIRTVDGPISQSLMWVVQQFIGMFISLGSIVLFTPVFLLPG VVVAISGIVVGNLYLKAQLSLKREMSNARSPLLAHFSAAIHGLVSIRAYGAQRAFAHE SYKRINHYSRTARTSWTINRWVGFRIDTLGALFTSALALYLVYASSTNAANTGFSLNM AVRFCLYIFWLIRIFNELEVESNSLERIQGYIDIEHEPKPTPSGLPPAAWPMSGDVRV ENLSARYSQTGPKVLHNLSFHISSVGRTGSGKSSLTLALLRCILTEGTVFYDGIATNT LNLDALRSNITIIPQTPELLSGTLRQNLDPFDQNDDATLNDALRASGLFSLQEEAGEA SLTLDSKIAGGGNNLSVGQRQIIALARAMVRGSKLLILDEATSAIDYKTDAVIQSTLR SKLGADVTVITVAHRLQTIMDADKIMVLDNGKIAEFDSPRILLERPQGILRALVDGSG DQAILHELAGYKERET JR316_0007383 MDSWYNLPREGGVAYAAQESWVQNQTIRENILFGSSYDEIRYQK VINQCALKHDLELFEAGDNTEVGEKGLTLSGGQKARLTLARAVYSSAEILLLDDILAA LDVHTAKAVVNDCLKGDLTHHVTLTTPISDYIVSVGLDGVARGAGSDISGAISQGIDL EADENDQLENKAETTVGEEVGKNGKLILAEEIEQGRDFGGPSMKIKTRPMSSFHTALI LSALVSTLYNSATIRASRSVNDQLVESILTSTFRWLDETPLSRIVARCTGDIATVDGS IQNSFYFVVEIMTCMMVQLAIPAVVAPMILGPGLLVAIAGVYVANTYLKAQLSVQREM SNARAPVLAHFGAAMTGLTSIRAYGAQELLKAESLRRIDHYSKIARVSYNLNRWLSIR IDFIGAFFTGGVASYLVSQTNLSSGNIGFALKMVIFFNRLVLYLVMEHNDLEVQANSL ERIQSYIDIEHEPKPTQAGKPPAAWPTSGTLRRNLDPFGENDDATLNNALRAAGLFSL EAEEEGGRITLDSNISSAGGNLSVGQRQIIALARAIVRNSKLLILDEDHKTDLIIQSS LRNQLGADVTVLTVAHRLRTIMDADKIRGTDSYQLVLDSGKMVEFDSPRTLLGLEGGY FKSLVDESDDRESLYKIAEASLDRK JR316_0007384 MDIFRGAKKRHIVFGLLRAFRKEYSVMAFCVVGQVVLGFFSPIG INRILTYLENGGEGAIVRPWFWILCLFIGPMFNSVLFQWYIFMATKALAHAEALITQL VFEHSLRIRLASESGSDRDAKQDNDNFSGAGTPDTASVSSTNASASVSTLKDASSLLP DAKAPSTETPSASLKGKGKAPEAQAPAPVKPQQQPPRKDVSNLIGKINNLVTTDLGNI TDARDFLLLVLAAPLQILACTFFLYNLLGWSSFVGLAAMIMCLPIPGYAAKLLQDVQR HRMKMTDARVQDVTEVVSVLRMVKLFGWEGDMSKRIKEKRDQELSAIWKYKILSMITG LSNVLIPNITMIATYATYTLIMKQSLTPSIIFSSVTVFSMLRIQLQRIQWQIAENIQG KVSLDRVTDFLQKTELLDSFQANLSDGPLPLVDTRNEHDIGFRNASFSWRVESDDDIQ RPSRRSYRLKIEGELLFKRDCINLIVGPTGSGKTSILMALLGEMHFIQSTDSWFNLPR EDGVAYAAQESWVQNETIRDNILFGSPYDEDRYRKVIYQCALDRDIELFEAGDATEVG EKGITLRDNASGGQKARITLARAIYSKAKIILLDDVLAALDVHTAVWIVNKCFRGEQT HNVAVVAPIAGFIVSLGNDGTIQAQGSDTKAILDIDQTFAHEMQENEEEISMSMKEVP SMAKKEGVSGKLVLSEEVAKGHVTWKSIKLFISALGGDYPFVFYCLLMSLYLVSNWTR AFQTWFLGYWGSQYERHSPEEVKTFLFLGFYSLIIFGSMSLSFAAYIYYTYGTMRASR TINALLVESALSSTLRWLDETPTSRIIARCTQDIRALDGPLTTQLLEMINVAISMITN FGVIIIFTPVFLSPGIAVAALALYLGNMYLRAQLSVKREKSNARSPVLAHFGAAVAGL VSVRAYGVQEAFIAESLKRIDHYTRIARVSYNLNRWVGIRINFLGNLFSVAIASYLVY GIFLGSSNTGFTLSMAAEFCSMILYWVRVFNEFEVQSNSLERIQDYIDIDHEPSPTPQ GKPPAAWPTSGEIVVENLSARYSQAGPTVLHNLSFRIGSGQRVGVVGRTGSGKSSLTL ALLRCIVTEGTVYYDGIDTNKINLDALRSNITIIPQTPELISGTLRRNLDPLDLHDDA TLNDSLRSAGLFSIQEGMEEHRITLDTNISAGGSNLSVGERQIIALARAIIRGSKLLI LDEATSAIDYKTDAVIQTTLRRKLDPGVTVITVAHRLQTIMDADVIMVLDEGHIIEFD SPKALLHKEGSKFKGMVDESGDKTALYDMAEGRSSSGY JR316_0007385 MSNRLDVLAQNNNRPPDEAVSKVKELLSGPWKQIDDAKAEIRRL ESRAEELRLKATEIEQSITHYECILAPVRRLPPEILYAIFEHSLPTHRNPTMVASECP MLLTRICSNWRSLALSSPRLWARIHIPFLTEGALGDNTPDFLTFSSSEVCNVLRLRCD AVSEWLLRSGDCSLSISICFVSGFVRQEQDKVDKTTITLFERILTFASRLKCLELHVP ELVYLELEKMIHNLPLLGLTQVKVSVSDLLTEGQQLFTGLLTSPNLRHVSIAPHALPN GQLFRNIFAYENKITHLSNHTYCTAQEVLSLLKMCPYLHHGEFSLIYSDLERRPGETI NMQYLASLWITEINPNSSASHGIYDLINAPKLQYFDYKTQGSVVPYGEDDHDFPSVRM FIERTPKLLQLSIGRFIFSPTVLYNFLHAISTSLVRLVFNALNVHYTMKILDPFDLRC LIARIDTDSRTVLLPRLEILELYRTRVSDDDILEFATSRMGTIPNVAKLRMVKIVLDR RRAPNNPDIQLAVKKRSHELGIHIDLQLEYLRPAEQVPGYVGFLSPMHISNTAYGARD TVDRTWIFREHDDGDGYITV JR316_0007386 MSAHHIGIQHPRVPSELLCQESFKTLSRLHLISEFKPWLEYTRE YVRRRVNSPFLLLWDCICFGAPLNTLLQLLGSPTPRYLSVSADEFDFNVSIAQREQLF SNFIQRVQTLESQGRLSYGEVLRVDDFTSGINAGYLRILKTINRILFALQTEHPGIFS LPHGSAARRSSLIEQLVIAERSHNSKLIQTADSAAKLYEDPEAAHPSLEGFIVNCSRL VPYHEHVLKALSQVDVIENWQHIFAFHNKVFFTRMNSAYRSICANYLTFENFLNRQNS RAREDAKIILRNLSEIISRFSDYSSHLQAILDVSSPTEHESYDGLCIMSLESATISDS LVEVGRELRTMWCFNNLRPRLGSQTLLDEDALGNILHDDCMLVDPASGQHYSVFLFEK MLICCTDHRRSDSIDLGHVRYPVKPWEIGPALAEQYPLVIMLSIPTDSLKLLHCIDTA IFEISWGSKSECSIIFYPIIHRQYTQWTTLLEPFVSRVSHSTSVPRYLEDSDGVSVYS GVSLLPTEDEIFGIKSVIARPWSLIGRKGNRSESSSMIGVETSDKASILSPNLLPTLF VNDLPRSPLHLSFVPEDGIPSLHHLTESPTEYEPAEGGHLLNNGYADSISFPDLTQHI VKEGYYPIAHGGFSDVWKATWTKKDGTMQVAVKVIRNTINEGAAKEKLVQRLHQELSI WKGLLHPHILELCGTVTGYGPYVSMVCPWLKNGSLTKYLERCGDILTVGDRLRLISEI ASGLNYLHSRPVVHGDLTGSNVLIDDDLHARLCDFGLSTLIMEECQDDNVSVQSVYTS HLGGSVRWADAYLFRAFDENAVPVIGTSSDIYSFGSVMLEVLSGRMPYHYLRTDAQVV IQLHQGIKPRRPSASFVDDAQWDLIQMCWKELPEERPTSSQVLKISKDLFERWIQSEA R JR316_0007387 MALGDYLNLVQHKYIRSRDLSAIVERPERLRAINVGLSAAIARL EELIPLQENPKSDTGSQDAEATREANDLVDVMNKLTIDPNLSSTQKSPVRIIHSQATS NILSDPAIKFIHGDIERDEYLENLSQWIKESQDKISNGGSEIPEGYAQGDLYLCPGSL NALQGAVGTVCEAVDDIMSSCRSNATHPPLHRAFVAIRPPGHHCGEDTPSGFCFLNNV AVGAAHAHLQHGVKRVVIFDIDLHHGNGTQSVVWQINEETYRQTLESEGGSPNEKTGP QVYYGSIHDILSYPCEDGKVPLVQAASVSLHKSHGQYIENIHLETYTSEEHFWDVLYK DKYSKLLSKARDFLDDTGGPGDDVLVFISCGMDACEHEYESMSRHNRKVPASFYHRFA RDACAFSDRYAGGRLISVLEGGYSDRALISGAMAHLSGLVDTPDGIQVDEQWWSIPNL VKLEAATKKRRGGRPSLPAKGSVEPWIERTLSIFSSIDGSASTTSSRSTFIPPSSRTL RDRTKGREAMPKSPPASSASTKPVSSSKVKPGANIKSGDESFASTGSSPLTSPSPSSS EDEAPPIKRLPKVILKLGKAPESPSS JR316_0007388 MTLETSPTFLQSLLAGGIAGTSVDLLFFPIDTIKTRLQSSQGFR AAGGFSGVYKGVGSVGVGSAPGAAAFFSTYEAMKNALPLSEGLAPVKHMVAASVAEVA ACLIRVPTEVIKTRMQTSTYGAQGASSFSAAKLVLSNNGLRGFYRGFGITVMREIPFT SLQFPLYEFLKLQLSRKLNRKPLYAHEAAICGSIAGGVAAALTTPLDVLKTRVMLDTR DATKSKLPSLLTRFRKIYVTEGPKALFAGVVPRTLWISAGGAVFLGVYEWAVHGLMGL JR316_0007389 MQHNLDDLAKCNDYPSDEAISEVKGLLSKPWKRLEETNAEINRL EVLLKKLKQTRTGIEGSMNRYSSILAPIRRVPEDILQMIFIHCLPTHRNPTMGASNPP MLLTRICRRWRSIAFSLPILWARIHIPFRIVGDIHPQHPGYLIVPAHTVSTVLQHRCQ AVADWLTRSGDCPLSISITCLKDYHHDCDFQPETSILLLKIVAKYAPRWAFMQLKIPL ETYRELETILSSCQLPMLVRLRADISDEASDVYWRPSLGLLESRNLQFVSLRLPYSWG ARLQVLAQRLQLHQNITYLSIPMPCPVDDVIRLLRSCPRINYLRLSLERQHLSPSQVH RLLDMVPVSFITKLILRSVLGNWEDVDTFDLGILIGHHKSETTATVTDKILLPRLEHF ELYQTRVPDDTVLQFVLSRTGTIPSISILKYVKIVFSRMKIPGHVDVEDHVRMHLAQT SIKKIHLELNYLLPLYGTDSEIVDPLSPNFIGDQTHNLNFNTGDKTWTLEEMDDEIDI P JR316_0007390 MYIKSPFPDPPQLPAVNAHYMFFKRPDQAEWPNYTVHIDPIDSL TAVGVTTAATAVNPTGRLMYRDYLRNIEDLSTGLGVSEAEGGLGLQGWKETDRAESVN AKAGREIIGIISENSSDYITLIHACIRIAVPFALISSYSTPFELKHALKLSKATRLFV DEAFLSNVLPVVKEVGLSTDRVYLLKEASGVPAKEKRGKTRKTFRSIIEGVRRRGTKT IDVRTAGKNTLAYLVFSSGTSGLPKAVMISHGNLIYSLGQAIVTGQAVAEVHTPPPPL NPEGIPVTLAFLPLHHTYGLHSYCFRATLMPSTLVILPKWNVKVALDAIPRYKVSSLP LIPSVVHQLANYPGIENADFSSVVAMNSGAAYLPPELGQKLTKLVKVDLDLMEGYGMS EATIAAIVQPYTGMLNGKLKRISGCTGVLLPGMEARVLRSEDAVPPPSPDTVIPDDCE VDEPGELWLRSPNVAVGYWNNPKANRETFVGGWLRTGDRFRVDKDGNFWFADRAKDTL KVSGAQVSPVEIEGCLLAHPGKLITDATVAGVSGGRTSDEKVPRAWVVLSPDASASLG LAPDTGKAVVAEKAIAELDRWHKENLSKYKWLRGGIEIVDEIPKSPTGKVLRRVLQDR YEQELKKPKKGKGKTKAKAKL JR316_0007391 MAYVYKRGHRKERVQFDKITARIWKLSYGLDQNFIDPVEVTQKV VAGVYQGVTTVELDNLAAETAAYLTTKHPDYAVLAARIAISNLHKETKKNFSQVISDL YHYVNPKNGRPAGMISQETYEVVRDNAELLDSAIIYNRDFSYNYFGFKTLERSYLLRI NGRVAERPQHMIMRVAVGIHGRDLEKVIETYNLMSERYFTHASPTLFNAGTPNPQLSS CFLVCMKDDSIEGIYDTLKNCAMISKTAGGIGINIHNIRATGSYIAGTNGYSNGIVPM LRAYDATARYVDQGGNKRPGAFAIYLEPWHPDVFEFLDLRKNHGKEEARARDLFYALW IPDLFMKRVEANADWTLFCPSEAPNLHEVYGTEFEELYAKYEKEGRGRKTVSAQKLWY AILEAQIETGGPFMVYKDHANNKSNQKNLGTIKSSNLCTEILEYSSPDETAVCNLASL ALPTFIVNGEYDFKKLHEVTKVVTYNLNKIIDVNYYPIPEARRSNMRHRPIGVGVQGL ADAFMALRMPFDSPAAKELNIKIFETIYHGALEASSELAERDGPYETWMGSPAQQGQL QYDMWGVTPTDLWDWASLKERIARTGLRNSLLLAPMPTASTSQILGNNECFEPYTSNI YTRRVLAGEFQVVCPWLLRELVDLGLWDDNMKNMIIAHNGSIQNIPNIPDDVKAIYKT VWEISQKKVLDLAADRGAFICQSQSLNVHLQSPTLGQLTSMHFYGWKKGLKTGMYYLR TRPAAQAIQFTVDQSLLKVAKTQTSDASAQRAAASTTGRPAIRSTGIPTPTSSPSPSR SLATPPPVIKQEPVTPSPLKFDPTPAPLPSVSAPAITPSSSTESTDTVVAEDDNIAIS LSALSLDETQRKAAEADPEYAAALIRKYQRDLEDAKLQCSLENKEACLMCSG JR316_0007392 MAASSFATPIGINKTLNYLEGRHHGIEPSIKPWVWISLMLLGPM SKSLVENWGSYVLQTKVNVRIQALIRQLVFDHSLRIRMNADTEELESVKDDVAHAPSD ADTIVSEGDNSAGITDDGAQTTDGSVKADSSLESTEVDSHDEPTRSQKETPSKHSVLP PSNKPPVQAKNLIGKLNNLVTTDLVNIVDGGDFLTVGLYVPMQITFSIIFLYQIFGWS SFVGLVVSGVLGPGFALIGKKVQKVQKIRMKFTDARVQAISEAMGIIRMIKMFGWEDK MAKRLARARDIELSWLWKAKVLQIINRIVATFIPMISMFVTFAVYTGVMKERLTASKI FSSTVVFGIFREQISRLSQQLVYIIQAKVSLDRINSFLRETELLDRFTEGTNSSAAEN TGVIGNAVEVDIGFRNASFTWSAKDIQDITRPSPSRNFRLRIVGNLSFKRGCVNLITG PTGSGKTSMLMALLGEMHFIADTQTSWVKLPRQGGVAYAAQESWVQNATIKENILFGS AFDEDRYKKVIHQCALEKDLELFEAGDNTEVGERGLTLSGGQKARLTLARAIYSLAEI ILLDDVLAALDVHTSVWIIAKCFLGDLVKGRTVLLVTHNTALAEPIASLIVKLGLDGS INIVHKNTNEVKPEDVSHSETGPLSVSKDNDASTSQSVGDGKLVMAEEIVEGRVTWRS ISLFLSGLGGDHPIFFALLWIASLAASQGFYLLQPWYLGVWGSQYETHAPSEIHLSYY LLGFSAIIILRVILNCLTACILGIRAIRASRVIHYKLIDSVLGSTLRWLDETPTGRII SRCTGDIETVDLWMIQSVQYVVDMSAGMLATLATIVLFTPVFVIPGIIAALFGVLLGN VYLKTQLSMNREKSNARSPLLAHFSASMQGLVSIRAYGAQKAFSEELLRRIDYHSRAV RASTTMNKWINVRVDMLGAAFTSSLAFYLVYIGDLGASNTGFSLNKSIRTGKPFSIHM FYCSDFNSLERIQGYLDIEHESKPTKSGEPPAAWPTSGEIRVEGLSAKYSKSGPNILH DLSFHILSGQRVGIGTLRQNLDPFDQHDDDVLNDALQSSGLFSIQERSSENVRLNLET QIAGGGSNLSVGQRQIIALARAMVRRSKLLILDEATSAIGQWILMSVITKKAEYLVKD YNTDSLIQNTLRSKLGPDVTVIIVAHRLQTIMDADKIVSAYRLHDIDVLLNSENPKMV LDNGKIAEFDTPKTLLSNNTGMFHALVERSADKEMLYELAEKKSYVATDN JR316_0007393 MRIITLPLTTGPARISAAAAANQTRFTYFDFQSPINALQSASVM PATSRWLPNSAQISSLAAIKAASVWSEFGKAEGGWKLKAYETGQALIDKTDFEELALR SFNPSDINCQDTVKIPLIYPPSVFPPSASAVLAELQAHIASRIELHKKGLYLWSAAIP PTLPLKLIPIIPNFPFFYSAWRAWSHYQAKTSAEYLQSLLKHNAIVPEASSELDEIYL VRRQRLTIATAESDIQRVILTHDDIPSLVLFCSVDSASLKRAIEQVADRLGRN JR316_0007394 MASSSRLGARISAIPLTTPSRMPSSAVPTFTTNRLTYYHFQLPD RTRNTAGWWPDRARSAFGTTESGWKLKFRHALRRMMDKIEFEEHALKGLNPATGPSIP NRSRMLWKFWEKRIDTNKPVQIPLLYPPSLFPSPFSALSELKAHCSSRLLSHQSGFCL WSVAIPFTALVHFIPVFPNFLFLLSAWRVWSHYKAYKSAVYLQKLLDHDMIVPEASTE LDTVYLRPPDQFQSSQNPGETKYTESTIPSLPRLLLTHEDLPLLLSIFESYESRRESG AATSAAAEVNLRRAIAQVQERIQYTVKLRLAWLRCSHREAQV JR316_0007395 MWNGAKNVLVQGGTFVAKTVFFPNLTPTFSLTDLSTQLDPTCPG PKRDIKHKCVIAAVVLCAAASFNLTLKEYNVSSSSAHILSLPPAVEIYGRDQLVKDAV DKILSQFKDKLKVKRHISIKGGPGMGKTTIAIGIIHDSLVIKYFQDARHWVSCREASK VEDTMKASKLLEYISDSLGLELTASNDRRKDIKYFLEASNHIPRILVLDNFETMWEPH GVQQAVEDILKFLSQFTQLTIVLTTRNAYDPVTHLGVSWHQFDAIEPLTLEASKQLFT SLAPSRSIDDRLEDLLRAVDCIPLPIVLMASSAQESYTTSRILEIWNRGLMAQYNFTS SLGVHDGDPMDVLDRWIEMSLEGPLIKSRPNAITLLRMIAALPSGIRHESLWDISRIQ DVDRVAAVLVRTSLITNSPFILQMHSTIRSYMLRNHALSGKYRAQIKEFYFQLIHAAG AEPGAKDFLSHARRLSDEQINAEAILSDALEHDFEPAIWIAMDYCNYLIWNTPSTDIA EKSVQILRNKLLGINKFTQQLDHDNESPFSVEMVNHIYPLALLRLGVLYFRLDNYPEA INALEEAANMGRSLEQTRWTSQAEIYLAEIYRLRGDHTRALGLYSSAYNRSEENFRER ASAQRGMAIVHFQDNSFSEALRALETVRDSCPAEDHSCRADCDRELGRLYRNRNQSES IRYSSNARAYYLVHGPRREAAIALYQKSIALYLQGDYDAAETGLKEAFEEFKPLRNDA QMGFCVFHLAEMNRVRGTLNQALALFQRSEMMFEHMENKFMVGLSLKGQAETQARLCR SKEASKASKRAHHLLRTIDAKEATSAVIDTGDLWSMCQWEYAVRENCNMLMLPISCLA VLLIFYYVFIRK JR316_0007396 MKGNMQDSNLVVDITVHRASGLPALKTWGGENRAFYVTIDGSTK AKNKKRTKAVRSTEHCVEWEEKLSDVTLRSHSPLTLIMHAKHRVRDIMIGKLVVEAIS TAREATFTVMTINGHELKIILTIKVHESRSKPVDPVTLNGPSVLPLDSMIKETESALD NADRTVKAINIHDEWRGVVENVQWVMQTVKDVAEIHPYTELAWGILSAFPEMILSQFE RDQNVVMLVKAMRDTFDLTQAKDILVAIKPGSNQALILKTMLKHVSICNDVIQRYASD KQFVKRLFKNITGEASDEIQTLCDTLTNLRRDFVDNGIINMQIAVHLIQQSLSILSND TTTLDFNAKINDLPYRSGWSMAGNRSGCLEGTRADFLEYILDWISNQQSVRCLVLFGK LGTGKSSIAFEIASRFCFDEHPGSYFSFVRAQRSKQTDHYLFTTIIRDMADRYPLFKL ELGKIIANNTLLRTSDDFDQLFEILLLRPLLAVKAMKTPDLIVIDGLDESGDPETMAH FLSRSLKRLPLNFRVLITSRSEGNIESYFRAILDPPFKIIQMDDPNLASKVEDDIRLY FQTHLKPHLYEKHGDDLVNKANGLFQWASVACGHLKKRPAGYTEKDCLRGLLGGREDD KKFGEGLASNLYSLYTEVLSAHFTPDKWTIVRPRFCLVMGQLLAAFESLSVDTLTELR RFNVHDDDYDDESVPAVVECMGSLMSNVTSGNHHLPVVPLHTSFRDFLISESNPHKIG LIRNQHPYGVLVPDEPNLTFSVDISTAHEELANACLGLMIHELTFNICRLESSHLLNT DVDDLHSRINRFISPALLYACRFWGDHLTRSAFSIELLEKLETFFFNKFLFWLEILSV TQNVQIAVEAITVATKWLQIGLIISDSREMTDRIRELIDMVSDGLTFVRYFGKIIAMS TPHIYVSALPFAPSSSRIYSTYSIKFPSSVTLIKGRLSHWPTMELSISVDTLIVCVEF SPDGKWIACGLLDGPICLWNSTTGAIKNTRFVGHTGQVYSVAFSPNAQHILSGSSDRT IRLWNVATGTPVGGPWTGHTGSVTCVAFSPDGRYVVSASYDCTIRVWDAATGSTIGNP VTAHTKGIRAIAFSPNGKRIVSGSGDLNVCLWTFNSAQGTILGPTVSWSVPSIVSSVA FSPNSEQIAVGCFDRNAYIWDDLSELANPVALTGHAFSVNSVKFSPDGQHLVSGSTDG KLFLWNTSTKALDGVPLIGPPGSVRSVAFSSDGQRIASIADQIILVWNANSAKHAPQT GSVPSYKLTGPVRSVAMSPSQNSPLAASGSEDGTVCLWNTETDCIVAGPLHGHTGQIN WFSFSPDGERLVSASNDCTLCIWNVVDSGRMQGSPCVGHTDSVCCVCFFPDGKTIVSG SQDGDVFLWDTETGTVTERLPIDHPDAVVSMNFSQDGRQFVIGHQRGVIQVWDVLETK ASMKRRFVARGDKVVINVGFISDGELIIACFIDGTATIWNSNTGDILEDSLNITDDQG TQVTSVSLSLHSPSRIAVALRDHTIIVWDWATYPLVKSLPPFKGHVGFVNSLAFSQNG DQIVSGSDDCTIRVWKVEAETVGHEELPSVDISKVDGDGWIRGVHGELIICIPQTYLP ALQRPESTVAIFANNPIRLDFSKFLHGQEWYSSYSTNT JR316_0007397 MSHTCIFTQILVFWGSHAPSYSHCAAAVTSKHIDNFAMDQILHD LLKTNDPPSNDIIQLIRGILSEPRRQLEVVKADIQRLEEIQANLEATIHRYDPILSPV RRIPPDILSTIFMYCLPMDRNPDMRASECPMLLTRVCSAWRSLALSFPRLWTQIHIPY LHLSTIPPRFLWEDAPIQDDVDFGQSSAPASQLIETLRRRCEGVQEWLTRSGECALKI SIYYHTQFSCNRDTYGWSKDSTTSIFLLAAIMPFASRFRELELDSPDNIYSIFETALS SCILTNLEKLKVSLKDPYIKYNNLSLPQNLFGDAPSLRCVSMNRLPDKNINSLRTNTS WRNLTSLSIFFGCTQHQVMILLERCPNLHRAHFRIVDDNASSFAEFANDVILPNLKYL WIIEERISNRPGDDMVYNGLYKYIHAPRLQYLQCRGSRELEPSAASLELFLKRSTQLA QIGWVQM JR316_0007398 MGTTELRITTDHYLLGPGIADAPLLKVLAPVSKTHLDELNQCIS LRNNAMKEIRDLEYFLCLPAQRTMALIRTELAKIDNRYEFCRIQDIRIHKLQEIIHEA EQLFAISEARNKLATRQRALIKDKEKIQSSFESIRSLDELAMQQKNLVAVKKELQDVE EQLKTLAPVASKPSKSTDTSKTSKLTDAPRTSKSMVNAEAKSNGTAQTDSKNPAAGKR QAKVLETERQPRKKTKKSGRRLAMPESDDDDALAPPFEATEWGYDYEDMKEEAMWSNM TPVQRLEYARDIRNEMHKFIRTGNLADFSYAYRRSIRHIQSLLPDDLFDSKKVIEAAH CSSHKFLVCRYHKRFRKAVYCDNGPGPNRIEGVPRAPMYQNRETPREEGFMDCGCLVD DVCMEFYFWKTLYITSPVAELAGIKEPLKSDAILPRHRSFMIQLWKNCTGLTVDDIYN SRRPIGVPKTVRENWLLNLSIHRLATLWVKRTGVPMVITYPSRDKEQELGDGFSNNIH SMTEIDLEMLSLVGRPWYF JR316_0007399 MPPKGSKKKKAVVNATVEGQEVEQGPSSVAENEPPQAPEADPNG RPIRSTRGLGGVNARQEKTSNIIFIDFEKARKRKSRAANVDTSAMPENEMAPPLKKPR NGVTVVSHVGPPIQMQPRPTPSVAPWDVQQVQPSPRQSQGAPPPVQIQRAAQRNQEHS ELDHAVQRPTAPIQRPAAPVQQPAHPVPRPVQHAAHPVPRPVQHAANPVQRYAAPAAE NSVQYYDAPVQQPVHPVTQHAPVQQPVHPAAYNAHQEASISHQDEEEQQDYEGIEQEE DEEEEEGDSDGERRSEEASGDECMQGIDEENIGDEEALQYVDGDEGYDDAGQDDEEPA AQLVDEVSDDEEERRARALLRQPSPHVVEVEDVLQEHRRRNRANKPPRPEALRKAAVS QGAVSQGLTRENNEASDDDEVLGEAHIAHKKSSTSSAREVSKHSVASFTGYWKDVLKI ARKLMCLYVVEEVPFPTRENHLLVADGCVKMAVTVFERMNTDKVLPDKKKMLLDRNTA VTAFVYASTFRGRLKTMIRPLVKNAYGLEVPSEVIAANPNMFENQMGEIEYIKDRVAY WLLNGKYHRGVAKTRYHDVPFGHPFVKKICLDFFYHPTKGVAVPIKGLETKTDFFKTS LPHKAFALVASCIHNCLEEWRDGIDPARGGPCSGIEFKGEEYSLRYDACMLVAAEAEK DTLNQGPRLARLCREVAEEGCAIMRPTKNPPNPYRMTLHSIPQEELDYGPEG JR316_0007400 MSSYKRRRLDSLGNRTDINPFIDIEAAVSDDDESSEELDYEGGQ LLNDNDEYSEDEERVAHSRLYHAMQNTDNADEWSDLLPMLLPSRMKIRPDNDIEPSSS RELIQKYGNPQPGGLGDNNYMPSATDIMYEIGCKVGREEAVAFKIMQMSTNPTFPIIL ARSVFAQSSIPGRIYVEAPSMQHAHTLACLVRELNPTHLVRLSSERCMEILSHPPPSR PEDQSWVKVAGKRKAWTTYANATGLVFTFQGRKSVVLIPRPPDNIKKSHLDRIFQDGF IITDFDAIDLKYLSNVLPTSSELEQFRECPFVTTETLAQASKAISMTRLKRYDRVKII GGEYLGLFGTVKSVSDAEVEVHIPSQGITQAVALHDLRAAFQIGDSVEVVEGDHKDLH GWVSDFDGRSVCIIAPEHEREVIVPIHTVIFYVPPAHATLRPRKRHSSKLGERDHNDV YIGLSVIVVGNNTFKGYYGIVKSTTPDGFADVELEARNQRVERIKISHLIIHNREHIN SAQDPGPSGGATPMPSTVASFLSPAWNPYSAIPVHSAVEIAELPSTVAHWLDTKYDKL KGLRLKVVDKSKGDHQVAMELLSLTDDTAHLALLGRTLTLPKSVLFPIHPVKKDDFVT PLEGDSMGIIFRIRSIDKDICVVHKYPVTRMKRGDTFPTFPTTSLIQIFPPSRGVKVV NM JR316_0007401 MLPESHPLRRMVDFLRRYEDFTFLNYDVSSSSMRAAIKYRQSLA ALQNSIVETPCVTSATWEQAGSLFAAYRLLNLVALWPAAFGPSLPVTVPLNEIQASTG TKEIFSRFKLVDDLKDWPAMLCSARKRILRADSKSHRNINTPSISDTPSSAFTQGGPS ESTLLLCLARNHFKGVVGKIIGNVYCASLHYQILSGMRGMKDDEHVLPDIPTDTATLA NLYASGHPDAALFLSEVTPSHLKIPLHVALFISPILLFVNKSWYSKKCDREQLLKASK ALGNCRPRVLREVEMEIWKIIAAQNCDIQSALYKLVISDCWMECERVIAQDPAFHFFI SSTSSAEVTANSNLARRSTEPHAQLQTVSHHGEKTTCEGSDADAEGDDDHEVDTTEMV SMGQRENGDNTVPTSNIQPPEDTSSVAGKDVSMGQQENGDNMVPTSDIQPPEDTSSVA GKDVPTDEQPGLPRSDDIGSGLLQTENTGPSSAGDCEMNVDPEQADVDHQMEDGPHTN GPENLGDGGAGQGAEGSSDEANRMSEVHEGEKQGQDGERPDEENRMSEDGEEERPDDS SSDNETEKQGQGDVPPVQEDRMSVDGEGVGPEQNNDSSDMERNTLEDSQGEKEGENAP PAPLILRIPPVSQINRSKNTTADSSQKGKGKGRQGLSKRPPKRKPTQATDTFDSDDDL CIDVDLYDSNTTLDVVSTPEKVYGTKVWSTYNGRGQLKSFCVVAHSQADVDRVERVLE LVESDYVDGVPLHIARPEESCFAVFDRSTTKSMYLMESFSERNVVLMSPSQEESISTC SDEFYSQVRRHMGDMTSTRSIQDMSTMSSNPIERIKRGSLSQVMEAARMKGKRGKILN ALDIPLPHAGAHSFDLSTEAAALRATSGSWKYSTPVPFGDMSWGLVATEGAFSDIHID ANGFCSFIQPLHGLKLWIIMYPRRLDVDLSSDRRVFLGDKLDYGETHHQDWIYEAIVL DNQSELFMRPNTLHMAYSISSVVCRGGHFYSSTSFEETLTGIIHCFTAGYRATNTNHS TSRFFLQQTIHWFYKVLVEGDSDPEDFYEMYHVPFYSTQSGYSSLIALCVCMILANAL DYETYRNPDQMFSTKTSAQLDAWMRWDTNSLSDEERKACIFARGEALAILEWLCYRTK SIHYMIETDKNKDAQNIMATEKFHEMILCRYARMILAYDAEAKHNNIGGAPCCTDTSL LFVQLQGVCSGELNKVICRSIDQLPRSSVPKMLKLPVITLIDCDACADSSTQLRTPKE ILELGTSVRDKLYLDGMKVKLESTNPIVCCSYRQTNTQILAGMKASGFTVTASNRSSS TSRSATPVSRDPSPEIGNFMEVDYIDNFLGASSEMEDDPPPKASKYNYPYVKEDYNGA GRAFGTGLTFMDQLKQDQFEPQRAENLYYPFASKDEWELSLFLLRSDMSVGMLNDFLK LELIKKLNLSYKSAKDLRNRAEILPSGPQWKSQTIIPEIPSKNQLTLFYRDGLECIKA LLISPLLQDSMHFSPFKLFDKCNEMMRVYTEWFSGDIAHFMQYNKVPKDQLPKGATLV PPIISTDKTNISNMTGGRVAYPGLISIANIMMNFLHRSKAVNGMMAARLYHQCMDIAL ESVKQTARVGTTMADALGNNRFCFTPLAALIVDTPESALAACVAGSTSSVTLAQYETF GDSFRHPSRTADHTINTIMAINNVKPPNHLEPYLKESKKHRLNGVHLPFWRDWPLSDP SAFLTPEPLHHWHKMFWDHDAKWCIAAVGGSELDFRFSILQHRTGFRHFKEGISSLKQ VTGREHRDVQRYIVALIADTVSTPFILAIRSLMDFRYLAQSQTISEAMCLRIEQALQD FHANKQAILDAGARRGKKNNPIDNFYIPKLEFLQSVVHAIRLNGCAIQWSADTTEHAH IEVVKAPSSSSNNQRYEPQVCRYLDRRDKLRNFDLFTAIREMRIDFRAIHSATITDEE EQEEGDEGEENGEVVMDTTSELLSTIMPMTTFQSAKSNRIVDYFYKASLYERGVLEGP VPYRTFSCSKNVVAHLSRDASSKRLHIDEVASIFKIPDLRPAIADYVSLINKESNPRQ TNSRGYHIKGITGRRVSPPGCPLPYSKLEVWHKVRIQSTAYQYPHEILEAVTLNAYPP SNKHPFGYFDSAIINVDESEEWPRSGLQGHSVVDIRIIFRIVGETPSTVSPDITGRFL AYVQRFEVLNQPQSLGSAIRGPYPEPITGMYKLKRSQRTDNTIMGGILPLNQIRSLVD LVPQMGEKARRSLTTHNNQAVSTLSEIWHPQDIPSVFLPPAKVGGSSFPPTHSRPTSK QISSDLQSIASHTHPIHPHNSHPSVSGHNPSPTLLLASGTKSDQILPLKSFVYKVLRH SRTSKNVLQIALCYLESIRPKVPQILQEENIGIRSYAQPKSSIQKATPEELAMDAELT ALENSGKINIINNFIDNSMQTFRVADSGSQDLAESCIYPQDSLSSVDVQVSTAPLSTT LSLPSPLLCPRRAFLASLILASKFSQEKCYSNRAWARLSGLPPREIGRCERALAQALQ WRLWVGKCAFGESAATAT JR316_0007402 MQIISYEEILRIEAEVLAPTFPDLIHPTTFPEAASLASQRQQYD LEMAQLVEQTQKMVLLTENRLILAILALFNEINWTTLDPRLLSLAKAKITEGDQWLKA RAEETLRDADSGSPEHILTQGMSIIANGQIHIRTVEDLIRECQDH JR316_0007403 MESEKSIEVARNRLDTATIAWHAIHKKRKELRPQNWEDIYQEFK DAKKALQALVKDVHSKEIKQESLDKLPDTAASRIVVPPGLSEASSTHPIDVEKTESTP STSTNIVSAAITQQPLSTSFTTKGASLVVNTKLGVQAVRNVLNTLPLVQNAPITPQMV PEALNNQIAHGNLLASATEATGTMLPTTTLQTPQAARSEPPESTPAPLTLQTPQAARS EPPESTPALPTLQTPQAARSEPPESTPAPVTPQTPQAAHSEPPESTRAPLTLQTSQAT HSEPPQSTPALSTLHTPQATHSEPSAFVPEPTTLLKPMKTLSGPVGTMLTTGMPQTSL TAQSKSPASPLALSTLFKPDDMLSRTAGTLRTNDGPRLMDIDMESNSDENSMRLMKDL AIFDIPIDPVLLGNDHKDVSQQDSKQSEIAHQ JR316_0007404 MDTSALSIAKNVSRKVGPDGLTKQQRYLEKKILKQEEAEIQKAL SIDDTMNQLLEPLVPNPSPSFEALAQQPRQAEVLKMFTERSTQTDNQIEKSIETNNNI ESKDKSYYTSQEYYLSQEFEDLMHEKLMTLERLDRAKKADKAYEKVIDEYDDFYQALK QRWTIHSGWSHSRRFKGSRLEEKCRLLQDALQEADELNSNVLQETPPNTPEWFETFVQ LREIVGKRGHLQVVYHTLMDTV JR316_0007405 MARSSKLQKKQSLSNTGDRVQTRSSAAMQSPRSDQQRQPQKCTK CPGRPLRSQCAHTRKGKEYIAQHAAELALSNPLPSTSNHSPSLSDPFTSISASEHHCP RDTSTSTPSTPATPSPRPVTVLTSHDLAHLSLRSTGSSMSSSQSGSSASARVAPNRTS ARNPYNGYVDGAYRGTEVYQIVCGHRLPSPISDNTRAVKKFTNAINSIIEKCEDISRE TACWLFIGAQHSTARGGAISYASPRLWRDAAAQVGNIGTQFSSLTRNLINARTQENVE LQRQLDESRRQNEEISLQLQAVNQSQKELDRELRRYKSRYNLDS JR316_0007406 MSDSPPPIVYAAPQDSPPPIQYAPIGETDSPIITPRRKKRVVKN QVVQAKRQKKHTHNNDTTFLNALASPDSMDQVRDSIPASPTSINVFDLHKPLEMGDQE HIPDEDSDYATFLNAVSAEECGMFQLTDCLFVVNGWNAKKCQPTKMWYHALCMEINGI KNAVCLCPGTYGRATECFHSRFLIGKSASSESQTLLSDRQFNDNENLTFLFSRGESII DGHFYNIFSTPSGTRYPTIKNRAIVEHLGEDSGTGTWKCNRDLGAVLCTHIALARHAL QQYLQGDCEARDESINSSNGTVEFGDTPVRQLAGIISSVSYISLPPPIWARIASDRNA PPRITMDVPPSLFLLAENDSCSCSNPREKFNPFESTFTRTCTVYTLTSAFTASIMLQK CRQCKHRFIGPECSNLGVFNFNNTSLFTHELLDDYTSAFSSSETPFVSWVQTVSRRYQ TRGSKIPFSNEKLFRSAWFSYIRLVEFSNDMMCPECGPSPDATIWDGVSVAFSRRNLL PSLHPPTTLGEFSVTRSNVRPISNLQPIPSRHTRLLIRFVLIGPSLSLLSGETIPEGT PEYERNKKLVERMVKIPDLVSQLTEINVGLGGLFNVHHGLKVVLSKQNMTEAYSKFFI QLSSDENILQLVAYNVLDNLRRFIHNPVQHNLSLLRHIPSLHRMAKHELHLGRLSNDL LEICKWLYIRSSTAYSLLKVHDHPNKPTMPSTVKEDWILTGCCYSMPQIRERPSYPNL PYEMGNDLGSVDVIEDDVCRKYYSTYSKKRLTGGIIICYGFHCIRAAEGRNDVFSAIY TRWNQAPKIIVYDFACALQPYCMSREPEFFKDTLFTIDIFHSTEHKCGEACFLSSYCV ENPEFLALNSSAAECGNSGISKIRKAVSYMTQERAVMYMRVYFSIWNRTQIQKLELKL HDR JR316_0007407 MYGIRLKGMALFSQTGIRTIIRTISAGFLTKLILRSCYQEDSFQ HDYHYRWTEEQMDPFDLSWLIVKHNPDYNGSADLFLPHLEEFEIYDTMASDTTALEFI TSRLNPLSHVAVLKSVLIYFNRAKGPDKIEIQELAEAHAVHAGVSLKLHLKYLPPTLE LRNPFNFVPPAVHFPLSEPFGSPLDDFSQEYASG JR316_0007408 MPFVDKQLNDGSKIPSIAFGSGSVNKGKDIHSYVEQAIDTGFAH IDTAQYYNNEQYVGVAIKESGLSRDELYVTSKYGFGSVQDAFHGSLSKLGLKYLDLYL IHTPNAVEAAGGYEVVWRQFEKFKEDGLTKSIGVSNFTVHHLQQLLKIAKIKPAVNQI RLHPYNLSEHASLLEYHAKHGIITEAYGSLAPITTYPGGPVDAPLDKAAARLGITPTQ VVFLWVKAKGAVIVTTSSSKQHLQEYIAVGDLQDLTAEEVAAIDAAGAQGPPGILYIS TTARWRALATVVLVLLAGWVYFVRVLVGHVLNLSIRGLEKNLIHVSSA JR316_0007409 MSDASSKSSYSNGAASTSTATSRTSQVAAQTRPQTHRKRVRSDS ADSPRDTHRPREKNQKMFKAHGFEDTDTDTEMDAIIEPASASTSNLVTEGKEKGKERE TAKTFVRDERYYFEDGSCVLLVQDTLFNVHRSILSNDSSSFSTMFSLPQGGQDVEGRS DANPIVLIGDTPEEFRHFIWALYALPHELKIVTSPHANLLQLIDIARVSNKYSFKSLE TWALDAIQEYVNRKPSPILGGTEQALSFNSNLATPNATAKSPEDPAAQNETADQLTRL IRLAQLCQHERLLSTMINLLRQLMSTSVRYAYLAMTLADELDLRTLRGAAYLEVMQKA VVVKKVRVDVLKPAADTASHNNSSSSLVDSSGTPLPQEEGSIDASGRLIVTRAQQLRL LAGYYRLTSVWDKLRREPLPFEHSHACGATWHQQGCTQSWLEFWKEKTRSDGVMNLGM ADVLGRLKLVQKEYDRWGSATYMHHDCRLGAKRAIGDVIKRVEEALPDYFAEPGEFED D JR316_0007410 MSNALPLPSGPHPTHVHHTPYADPVVRPPSPASSVGTTYPADQT SFSDSEAQISQPAFERKHMMEIELHLPRKEEMRADKDPLVDLNALLPMSMGGGAVGGR PVRLGERKLDPSEERVLVDKILSSLRSEIAKLEEDSQFEEILRRGSKAALEEQPSTNN VDLLMRSMMAMGPSFGLTTGISDSSLGLGQTQAQRVAPVMTDGPWNNFGGTLATPAPD SFLSGTTAGKRSRNGTSRKL JR316_0007412 MPKRHNYGQSDDEEEDTRTSKRVRTAGSSDEEQEASQPPRARAS RTDKGKGKARAWNKRDVNAHGSMSEDEIDEEAHEEEFDRIHGPALLRRLANRPQNKLG SIAEHGVIEYVEMTQFMCHKYLTFHFGPQINFIIGHNGSGKSAVLSAITVALGGKSSS TGRGSGLKSFIREGQSTAEVTIHIKNQGEEAYKPEIYGKTISITRRFNKDGGSSWKIK GKDAKKTYSTKKDELDAICDHMNIQVDNPMNVLTQDAARQFLSASKPEDKYKFFLRGT QLEQLSQEYELCWANIHQTDKLLETKGEAIPELLERKIAAEARWKEADQARQQRVLIE ELNKELAWAHVAVKEAEMVQKLNEHAKAERRLPKIEEAVQEAKAEFDKASEKVSILEA QMSTSDTEKQLNDKKLAIHEEMKENKVKLMDINKDLKQMNQTVEALNKTIEGIEKAQK NEEAKMAINTQEKREQTQAKIVETKAAIAGFEARLNELSIKKRDIESEAEKIKSEGLQ WDEKMKEYMGLVKENEGYIEAAKKREHDVYIPYGKNMKQLLDTIRKHKWHGDMPLGPL GLHVKAKDPKTWGDILRAQLGRLLITFGVTDHKDRPALKRMLVQSGNPYHEIIVFQKD LFDYSTGEPPERYLTVLRALEVDDPYVLRLLINQAHIESLLLAHTRKEGEELLKTLRG GMAWTNDKMVVRVFPVYYPREGGVSSSRINMKPMNGAMSQLLTGRNAAEDIRFYLEKK EQAQQDYTSASTTVDNLKKEYHSKKREIDAIEREEKTTQHNLRQERARLNSLHQEANA ELPAGLAGFAEAKLEAQSEKESMMLQIEGVMRNKMAVDTAQNKLQQELKNIRTTIAEF DQQRATIQRKMESAVEVRVKAQNAMLHYESKLAEGKKAVETALEAAQVVEEEFKAGSW TKEAETVAPERIATPRKPDAVKTHIASLKAALAERAKKTGASLETLAKQLTAAEESLK KAEAEIKAMKNLNAALTESMLVRSHRWDDFRMHVALRCKHIFQHHLSNRGYYGKLYFK HFSHQLDIRVITDDQMQTQGAARDKDPKSLSGGEKSFSTICLLLSLWECIGCPIRCLD EFDVFMDAVNRRISMKMMIDTANTSDRKQYILITPQDMANIKITPSVRVLRMSDPERG TNGTLPFTSANGD JR316_0007413 MVALPALKKIRIVALPLTRPSGTMLSSGQLGRLTYYQFQISAKQ KQASASEKGQVAVDGEAEVEKKGWLPEEGVTNWVSKKAADIWAGFGKAKGGWKLKTFQ LGEKMVDRLEFEELALKSFDPSMGPSITQLKRSPVLDKKKPTIIPLIYPPSQLTPSAA LSELSAYTGHRMPRHRRGFFFWMAVAPLTAPFMLIPIVPNLPFFFCVWRSWSHYRAYK SSQYLHSLLEHRLIVPEASDELDQVYQTHSIPPPPLQPSSTAFDTDVPRHALLLDRDA VPAILSLLSLKTESTAAADLYRAVEQARVRVSSGRAQL JR316_0007414 MDPTVRLASKVPHLRHDQLPQLADYDHAQYLTTTAAKYIDTFSG AKDRHIFFGLIEYLYKEYLVIALCLSLMAASSFATPIGINKTLNYLEGRHHGIEPSIK PWVWISLMLLGPISKSLVENWGSYVLQTKVNIRIQALIRQLVFEHSLRIRMNADTEEL ESVEDDAAQAQSDAGTIVSVAANTDGAADDGAQTTDGSVKVDSSLESTEVDSDDEPTR SQKETASEHSVLPPSKKPPVQAKNLIGKLNNLVTSDLFNIVECGDFLTIGLVVSGVLG PGFALIGKKVQKVQKIRIQFTDARIQAISEAMGVIRMIKMFGWEDKMAKRLARGREME LNWIWKAKVLEIINNIVAQQISNLSRKLVYIIQGEYVAPLIYIGAPLTSHTAKVSLDR VNSFLRETELLDRFTEGTNSSAAENTGVIGNVAEVDIGIRNASFTWGAKDIQDVTRPS PSRNFRLRIVGDLIFKRGCVNLITGPTGSGKTSMLMALLGEMHFIADTQTSWVKLPRQ GGVAYAAQESWVQNATIKENILFGSAFDEERYKKVIHQCALEKDLELFEAGDNTEVGE RGLTVSGGQKARLTLARAIYSLAEIILLDDVLAALDVHTSVWIIEKCFWGDLVKGRTV LLVTHNITLAKPIASLIVKLGLDGSINIVHKNSNEMNPEDVSHSETGPLSVSKDNDAS TSQPVGDGKLVMAEEIVEGRVTWRSISLFLSGLGGDHPIFFALLWIASLAASQGFYLL QPWYLGVWGSQYETHAPSEIHLSYYLLGFSAIIILRVILNCLTACILGIRAIRASRVI HYKLIDSVLGSTLRWLDETPTGRIISRCTGDIETVDFWMIQSVQYVVDMSAGMLATLA TIVLFTPVFVIPGIIAAFFGVLLGNLYLKTQLSMNREMSNARSPLLAHFSAAMQGLVS IRAYGAQRAFSEESLRRIDFHSRADRASTTMYKWINVRVDILGAVFTLERIQGYLDIE HESKPTQTGEPPAAWPTSGEIRVEGLSAKYSKSGPNILHDLSFHILSGQRVGVGTLRQ NLDPFDQHDDNVLNDALQSSGLFSIQERSSENVRLNLETQIAGGGSNLSVGQRQIIAL ARAMVRKSKLLILDEATSAIGQWILKFVITKKAEYLVKDYNTDSLIQNTLRSKLGSDV TVIIVAHRLQTIMDADKIAELDTPKTLLSNNTGMLHALVERSADKEMLYELAEKKSYV VPLLTIDACAKSNAHN JR316_0007415 MDRLGLLVDITVHRAIQLPDLKTWGGDNRKFYATVIHSNQKKET KAIRSDGTTVEWNQKFASLSVHLPAQISLSIYAKHRIHADLLIGSIDVTLEAATEASF QIITPGHSELAPIIILTIDVNGTGRPTAHMDVSSSSHSSVTKSTESSPELSMQRAPED DTLVHARNALNSADEAVEGMEVFREWTETVENVQWIMDVMQDVAGIHPYAKAAWVMIS AIPQTFLEQFERDHNIETLVKTMRETFDLTQAEDILKTINPNSHQALILKVMLKHILI CNEIIQRYAKDTDFYARLLKNITGKISEEIQRLCNTLMKLRRDLLDNTVINMQIAVHM IQKSMTILSNELTNLDFEIKLNDLPYKSGWNSTANKDGCLEGTRADLLEYILEWIKNP ESVQGLILFGKAGTGKSSIAFEIASRFCFEEYPGSYFIFTRAQKSTQKDYHLFTTIIR DMSDHYRSFKRALGELIINNTPLRTSDDFNQLFNTLLLEPLEAVGATNDPYLIVIDGL DESADPEKLAAFLSRSLQRLPQNIRVFITSRSEGDIESCFPAVHDSAYEIHHMDDPEL VIRLEDDIRLYFRKHLTADIFQRHGEELVKRAEGLFQWAAVACGYLNKRPAGYTEKDC LRGLLGQEESDKLYTYELQSTKLYKLYDEVLSGYFTSNITRPRFRSVMGHILCAFEPL SINTLWALRKFMPGNDSDLESILAVVKFMGSLLSNVTSDDLNLPVVPLHTSFRDFLTD KSNLHPFSLDLGVSHRDLTHACLNLMLDDRHGLKFNICGLESSHKRNAQVSDLQVRVE KFISTQLLYACRFWDDHLKLVDFDENLVRKIEKFFSTKFLFWLEVLSVTNTIPLAVQA MVLLSNWLQLFDESAFATRLKDLANIVSDALTFLRSFANIIATSAPHIYVSALPFAPK SSQIYKMYSACFAPTLYFKLGQLDDWPALEMFITTPSQVNCVDFSPDGEWIVSGLEDG TVCLWNATTGMMEGVPFRGHSGAVLSIAFSSDGQKIVSGSNDSTVRLWNFATGAMEGE PWVGHTSHVSAVVFTPKADYAVSGSFDSTIRLWNVGKGAVEGEPLTDHQYAIFSVAVS KDGDRVVSGSMDLTVRVWDLCVLDGVAELTPLLSLPIPNTALSVAISPDGNQIAAGCC DRAIYLWDSVTELTPATPLRGHRLTVITVNYSPDGKRLVSGSDDQHLFLWDKTSEVVE PNPLIGHTNIIRTAVFSMDGLRIASGGDDRKIGIWNAISAERQLRGDKDKIKCHDGLV NSVAYSPDRSRRLIASGSDDGTVCLWNAETGQLIGAPFRGHTAPIHAIAFSTDGRRIV SGSADQTLRVWSVDEGTMVGSPFTGHTFMIYAVAFSVDGKTIVSGSRDGEVRIWDTET GTMMGNLPYRSALVWSVAFSPDGQKVVSGSHDGAVWFRELGSEEQNGRRLDTSDNYGS RQKGLDTQIDESLESNSIDEENDSEDDDVDNEELDDTSFGSEDISDSDSESEWQCSVS FVTFTEGGDKIMSCSVDGTIRIWNSGTGERDGPSFTLQNSDDWMAPFALSPDGTRLAA ASCYDYRIGVWNLATRAMESSYPLFGHTNLVRSLSFSPDGCQLVSCSEDSTIRVWDLN TSTMTSKELVPNTNSYAINDEGWICGEDGQLFIWIPQIHRPTLRRPDATISIIASHQT AIDFSDFLHGENWAASYRRR JR316_0007416 MTAVHGWHRGEQLARRKFGVDKIASVATLYTHIRADVPEQHSAF YCSSIQFLPVCILDDAQRPWVSILASTDGEVGFVKYPKHNTLTIDAKPWPGDPFHRYL SNMNCKGRSNLIGGLGIEVSTRKRNKFAGHMTKIETNKDDIHIELEANQAVGHCPKYI TLRDLLPHPKTSCFLEEDRPRLLQDDKLSQNAIDFILGADTVFLGTTYSAMEEESALY PSHLGLNHRGGRPGFIRVKPSDGRTVVLPDFKGANYLNSLGNIEATPFAAMAFVSFAT GDILYLTGNARNLHGDEARAIMPLQEILTEIYVTGYTLVRDALPVRQDPAVKVEISPY SPAARFLAEEVHEGQSVQELERPTALLTRIIVHTPTLATFEWEASSPLHYKPGQSAIL VFSPSFVNSHLSIEGEGDSKEEFIRTWTISNASETETPLFSLTMREKPGGKVTGALFD LARKLSAKGQAEILDNSRSLDLRATITGISGEFVLPQIKPNLPVVIGTKEIKHIFWIA GGIGITPFIAMLAALSDMTYHPLCNITLMISAREPDVMLSLVSKAINGHSFPPYLSIH IFTSDKIANLHPELKFCVHTGRIPATFFEDRKDCLTADGTEIYLCGSGPFEESVLNSL GRIGTDLGRIHREGFAY JR316_0007417 MKLTIASSLWLSLAPTVLSQSGVSDDPKVFGNVFNFWTKLSPGW DLTRGNKANAITLPMPKNGPIVIQPNKTALVIIDMQNFFLHESLGGDPLGRAIVPTTV DMIHAFRNAGMPVLWTNWGLTEFDLINMPPSFINGFTSDGTSVKSFGSDMGSIVVPKS DPSIPATSKTQGGNQTIAVGRKLMRGSWNAQPWGALLNEQVQGVAKGTDFYFNKNRLS GMWGAGTPMQTWLQDNSMTTLFFGGVNIDQCVWGTLLDSFYKGYDVILMDDISATTSP IGATQMVDFNAQLNGWRANSTDILGALKKAAKQK JR316_0007418 MKFTTAFSLSLSLAPAVLSQTGVSNDRMVFGNVLNSWTKLSSGW DLTRGNKTNAITLPIPKNGPIMIQPNKTALVIIDMQNFFLHESLGGNPLGRDVVPTTV NMIHAFRNAGMPVLWTNWGLTENDLINMPPALINGFTTDGTSVTSFGSDMGSIVVPKS DPSIPMTDKIQGGNQTIAVGRKLMRGSWNAQPWGALLNEQLQGVANGTDLYFNKNRLS GMWGPGTPMQTWLEDNSMTTLFFGGVNIDQCVWGTLVDSYYKGYDVILVDDIAATTSP IGATQMVDFNAQVFGWRANSTDILDAVKKAARRR JR316_0007419 MSSVFFYEPYYDIDRFFEEAFSGPRQQRRNSNNGNQRNIDGAVR SLKPRMDLHENSEKNLVTASFEFPGATKDDIQIEVHNGKLTVSAETKQSTDHDENGYA VRERRFGKYSRTLQLPQGVKDGEISAAMNNGVLTITFPKSAPELAPKKITIS JR316_0007420 MSNVFFYEPYYDIDRFFEEAFSGPRQSRRNHQDQREVDGAVRSF KPRMDLHEDKDKNLVTASFEFPGVTKEDIQIEVHNGKLTVSAETKQSSEHDENGYAVR ERRFGKYSRTLQLPQGVKDEEITASVSNGVLTVTFPKSAPELAPKKITIS JR316_0007421 MGILRKVFSRRTLAYATGGTALFFGGGLVYLNSGPPYPVPSKET RRPPPPWTPPSRAEMLAKLKASGAITTLTKGGQDAQSEEFDLLIIGGGATGAGCAVDA ASRGLKVALVERDDFSAGTSSKSTKLVHGGVRYLQKAVFELDYDQYKLVREALHERRT FLHIAPYLSEMLPIMLPIYKYWQVPYYWAGCKMYDVLAGKENMESSYLMSKGKALETF PMLKSDGLVGALVYYDGQHNDSRMNIALIMSAVKAGAVVANYCEVTQLHKDANGKLNG AKVKDTLTGEQWNVRAKGIINATGPFADSVLALDNPSHETIVQPSSGVHITLPNYYSP RTMGLLDPSTSDGRVIFFLPWQGNTIAGTTDTPARVSRDPEASEEDIRWILEEVRSYL SPDIKVRRGDVLSAWSGLRPLVRDPNAEKTEGLVRNHMINVSESGLLTIAGGKWTKYR AMAEETIDTAIKAFGLEGRVKNGCVTRELRLVGSDGWSRNMFIGLIQTYGLETAVAKH LSENYGDRAWTVCSLAEPTGESWPLHGKRLAPQYPFIDAEVRYAVRHEYAQTAIDVLA RRTRLSFLNARAALDALPTVVDIMAQELNWTYAQRKKQIDDAVKFLGSMGISPALAAA HKPEPIPRGRWENLMWSVRKTGAGVWNVFWPVHETKESFGPGRSKFEAGEVAALRSAF AGRARPVGDGEEKVKAEEVVEVLKLVPSYSEISKITKKELDYVLNEAGMRGQSEFDFD EFIEISGNLKEILVAPSPKKHRSRMSIPVEKSGGGV JR316_0007422 MARSKSAPDNPSPPVIHSLIATLTNSVDSQSVCRIFSIPYNIRL EIYRLALRQYDDETRLYPEHAFRSRPPPSRYRQRICTDLLITCKRVYMEAHDIALAVN PHTFWDASGDVLNERGYPDTKKYLRDDLSWCFHKYTVEQRAAITDVRLFVSKDWLNSP QFQMFCQLKDIAPKRLRITIRPTEWDPQINGMINLDHAWMDNFKYVGRLKNLQLEMQT VEANSDQLETTVQDLLKKSILLAGSRSLGEVSVTRDRYMGHGIFEPGWEWINAVYYSQ EENRWKAAEPGSRSPYQIQYVSTTVEWRMQ JR316_0007423 MRNPYYAHALTLPTELLREILSHLQPNHSKSTLYASSLVNSSMY HLSREILFREVTVTYGICSEASTSPLNCATMVSTLISIHDLAGGGGTALRFFDFLQRF PHLRPYVRTLKLEFLEEGRCISPHHHVLSLGPILRLLRKLETLSFSKLEGTASDVYHH TQFHPRTVTNLLEAFLSLPFNLSHLDTRGFAIFPLCLVLQCPAGLKKLSFTRLDMVMP SGVFYQRLRVNSLDIGTCLPDFNSVIDVSVLRDLWISTLPEFSVTTATMQSIINGCKD TLESLEISTEWFKRGNTDGLPVYHQQLHEIAACFDIENHTALHRITLSVTFSLKDVED PNDTEKQYDGFSALARSLRAGLLRAASITLSVRIQLVGTDPRSSLFHSPEKFVIPTMK MAFILHHFSVGKRVRLLLQELRHYKTPQESLVPLDKFWIPIFTSFEEDQLYFIDYVL JR316_0007424 MNIVLYDVPSTSPGYSMSLNTWRTRYCLNYKGLPFITEWVEFPH LESLYAKLGIVPKAMKANGSPHYTVPVIYDPLTNKSIYDSMAIAEYLDQQYSDTPQIF PHNTIGLAQTFTEAFMSYIPPARELLIWTIFVCLRPPSIQYFRDDMEAKVGKKLEDLV PDDQLSAHMTALQGVLEKINNLYAKNAGKGPFIMGEELSWADVVVAGFLMCFRVSTGE DSQKWKEIISWHDGRWTALMENMRKYE JR316_0007425 MSANESAVSTSPATALNKAYQMEVDPRESPAREAQLSLPAQGAH ELSSSSGRTSGPSNDHLDKELIPSTSRLSRLPSEVPSPNTLTSNPHPVAIPVHQNNLT TYTSQDVHLFVNNSASSTSSTVTRTSGQQSTPPETSVSSFSQPLHHHVLTHPIRRPRT SSTIFQSSTDLAAHYGIPQILPPAPRPTPRNTAPVYQQPSTDSMIKSYLNMLYNKPTE TPEVAPSAPPMSASTSTSSTSAAGLDAPVEFITQDQLNDIAAIIGKDTASCYGITVTH LFGSVFAASSPEFRDQDTFDAFMSTSPLLPELDYGSSPSFDTPYNEFLTTPLFQDENV PVMDDFMNEPLFPMLEEPEVSIQSKAVETFVPTLQQELYSISPSATPITPALHSFDTF ETVPIFEPSSVATTLPVTVPAQPPVAPSHPAPRRSKATGIRKGVTPDSLLDESAPTQP RKYTTPSATSRKELPAVFARKRSRSTAFGEEEDQLEEIPPDATEAQLIEIKRRQNTVA ARRSRKRKLEQFQKLENSRNEERRLKEQWKERANVLLGMLRSKGVKHPDFPEDVLEYA DA JR316_0007426 MSFLHWHWPILPLEVLGEIMVHVREDHQSTDTLRACALVNRSMR EICQRLLFRDITVLYHLQTASEPDMAFTTPTTISIFDFDEYEGGCTTGARLHDNFLTR DGLPRIRSFVQKLSVRFSTEGSAISHRRRTFSLPAILKYLDRLKTIQFCRLDGIGSDH YNDIEFHPTTVKHLQDSMQALPPTITHIDTRAFAIFPISLLLRNVSSSARLRKLSSMR FDTAIPAGLVYKPLRVEHLEIGTCPPPARRAFELSSLRRLTIWSKPEFPIEVDAIMAI VEGSRLTLQSLELARYRCKSMSAELVTQLDLLVTSLVACEDKARENEGLERDLGVGGE DEKQEQEAGRSEAGRSEAGRRKEEGGRRKEEGGRRKEEGGRRKEEGGRRKEEGGRRKE EVKRAGWGMRR JR316_0007427 MHSKQLSFGIIYLTILIVHFSYAHRIYCVSKRKAIPTIMVVLAL SNFGLGWILVAEFFRDPSLSPVPSLSVASGVGVNDSCLSTFQDLKLKKKFKYIIFNLS KDLSEIIVEKTSEDSDYDVFLADLPETECRWAIYDFEFEKEGAGKRNKIIFISWSPDD AKVKQKMVFASSRDALKRSLNGIAVEIQGTDFGEVAFESVLDKANRGN JR316_0007428 MITPEAEARSRDMQERTFCKWLNTKLEAHGYPTMSSLVKDLSDG VRLIQLMVRPSDTSLGRYNKNPRMRVQKAENVNKALEFIRQRNVKLTNIGPEDIIDGN LKLILGMIWTLILRFTIADIRCALIHCHRPDLLDYPSLDKQLLEVEDLCDSAHPDERS VMTYIASFFHAFSTMDQAETVSRRVEKFAELMQSVWLIRNDYERRVRLLLSSLSDIQA QWALTPTSSCTSYPAAKALSASFTQYKSTTKRTWVAERQDLATLFGNVQTKLKTYGLR EYVPPAGLTLADVDEAWEKLGRVEGERWRGVNAKIREIKEDLRKRFASLAHAFERSLQ DVSSSLASLSGPLESQQAQVQHIQTHRLPQLSASLKELAEAEAACEAANVEENDYTVF TCQDLEFELELVVHGVAKKIGFIDNQIVSRNMTNLTPAQLEEFESTFRYFDKDETNTL NLAEMIAALASLGIVYSEEDMDLIYEQLVSEYGNVTFEAFINLLVEITEDQTSPDQLR EAFRGIAKDKPFVTELDLRLAHLPAASIEYLREAMPKAPGPESNNNGSSSGGAGGEAE YDYDRFIDEVFGSTT JR316_0007429 MTTQYDRIYHGMSPETGKFRMAPSGMAWKGIETEAVTAIPNTDV KWAQWLRVARGFRLRVGMKDHKRDNFDGFAREDHDKLAKTLQTHFGVTLETVETSFKG WNWGVADFHGEELAFMVSDRTAFELPLRHVANSNIAGKTEVSLEFSNLTPSASSSNPK SAALLKQAGDELTEIRFFVPGTITKLKGSSSDAGSDAENDNEDEEEISAAQVFHDTIK EKASISVGSGDLILSFDEILVLTPRGRYDMDMFKDFLRLRGKTYDYKVLYGSISRLFL LPKDDQQVLFILGLSTPIRQGQTLYQYLVMQFTREEEITAELNMSDEDLAKYDKLKKN YEDPTYEVVSSVFRALSGKKIIGSGSFQSRDGHPGFKANLKAIQGDLFMLERYIFFVS KSPLLIELADIHTVTFSRVGSASAAQAGRTFDIHITLKSGGPEHTFTSINKEEHEIVD AYLKDKKVRVKNEMVPDADLLMAAVGAGDDDDDDDDDEDMASVLSSEEEARRRRAKAK AKASGGGAVKTSGGMDDDDSEDDEDFEASESDSGSPSDSDSSDGSGAETASDASGDMM LTKPKPKPKAKAAKGKGKDNEDGDGGSPPKKVVKKKAPVKDKDGSDAEKPKPKPKPKA KKEDDAMDVDGEGKPKPKPKPKAAAKPNSSSKAGPSNGDAMDVDVDGEDKPKPKFKPK APAAVKKDSDAGVEPPKKKMKKTD JR316_0007430 MASANSNGSNSQSGRPALLPPLTINDNEHESKAKKKRNVNKKRL VVAIGRSNLDPFIDKDSLILVRDPPRERLARSRVSAEEQLHEETLLSRISGKQDAQFQ HDYPVLSPASTTTTSPSSSCGTNSACTTPCNTTASITHRLSQEEEYYADIYQYYYDVT KPPSRSPSPPPRASDLISSSSRRDKASPSISAFTPRPENRSILFPTPAPLEIQNPPPT PTSPTMSKKRGSLQSIFVPSFLQSAIGGTTPPLTPEATSTPSTSTAVPGQGHGTVKYA SRMRALSAAGSQSKASHGSANAISNSSAVSNATSEGHQRKRSMTFSMSMSLSMASSLH SPSTTSFITSPPFVATDIPSVSSPSSPSTTPLSPRSSPPPAFLIDDDPFANLTSAPSE SMGLGRFHHHPHPPPAPAPVVVPLPPPPIPIPRSPLHESPVMLPSVAGAISSSVSSDM TITPSSASTSSISSPSSLVGHAPVHLPSSPIAHNAPSASTSTLSLTTLSKSPSLSSIS MAKSPVVSSSSLSSSSVVAKTPSLSAPSSPPAPPPQRARPAHQRPAFSPRPSLPSLDT LARMNVVLTKKVRKGRVGAGLPFEPWDLPSDDEAAPPPPPPSASASNSSFNVPNTASA AAGPVSGSNAVVEPTPSALVQTPPVVLSKATPSSPPLPTYSSNSTVSPPTYSPALSTM TSPIQSRAVSPSPLLQPPHPTSSTPSVSTSPSMRSPSLSPASPSSPSSSSSSASFTPP ATATTFASAPTSGYHPPPPPAPMHPPPPPPPQQHAPLHLPPPTPLKIARPQPLLPSQI LALGSIAADVEMVVPVSGYPGTSWKSTSSPTIAAPDAPLQPLSNVAGPTRLPVVEEAE PPKVSVEDAQDVVVQQEAEEEGSDGYFGDFLDRYQHPSRACSEDGHGVGNGSAMPIPV DDGLSHSGLLAVAAQGATVDRNSFTEEYSYLGKLVDDNTEAEVEGPGVQSDVVQGLAM FSSPPLSTSLNRSFSTRSDSSMGSIDSASAVEQADTGDDRAESKGRIREERWSYRRFV GPSRSLSSLELDMDGESVAGSGHDAHSAESDWLRGDGTHSSSSHPSLSRTRSLSDDSD MVQSPTPGDYDYTYYPYSHARALASTYQAPRHSALFAPNAGPGEDESLFHTESARHAF SSSSSVEDVREAGITWDAAFITETDRGVSMPEGLTELTDGDGGYGFGFDKVIVAEEVH RDVVVGKEKESLTTATSVEVAHGQPTSTVPRAADLPSSSSSSDQHDLSLSASTNLSPV EPGISPLVSESDSNTQLTSLTSDDELMSRSNALASGHPLRYRTTTMRPRASGEPNDST GYRSRGHSAASSISQSYKAGSSHAQQANSITSNAYYYSMGSRDYRGVRRRGDSDDEDG EEEKRRRRALERTYVHDSVSKITSHSSSDDDSADNYGEISASSSKNRLAPRANAGGSV ILRSPSVTGSFVTASSRSPSLKPMDFPASSKHSSRYASEDESEDDDSTSDSDDDVPLA QRIPGALTAQQTIRRQFREEREQRKREKALRMENGNAERRARQETLRPAGAGPSMQDG NVGSSSRDAAMHAASSVSALQRQRTTTLPGKAPTAGAFNPHDLARKLQNVQLAEASPP AYQQQQLYLLQQQHQQLALRQRSKSVSKPSADHQPLPPYSAASPSASSPATRSRSIRD PSTQTQHQFSPSSPMGQTNVPPVPSLRPMRSFHRPSEHRSVGVEDPRTMPHPSEAEQR LGRSVTSATRSHRPVPVDDPRFGADADPRLGRSVTSATRAPRRRSPSQSRPGGERSIE PVPPLPASMHGRTSHDDPRKNVRPGTAEGRNPSTRTSGDVERAPRSAPAQPPLPPLAN KVVVSQQRVFVGNLQQFHMVEIGPTTTAGDIVSMMEAQGALNGWAGSGGWMVFEVAQD FGMERPVRSYELLADVQSSWLKDKSVNYFVLRLTPLAVPLNIHAIPTSSPTHSGYIEW EVKRGKWSKRWLHLREHNLYLAKRDNGKDEVLICSLSNFDAYTITRHHRAPKPFAFAV KSTDNLSFFENTADYMHTFSCNEKDGQIWIEKILVARSYVLHQERHILFNPKTTGTNG NSNGAAVSRAGTKKYTPVRSNQQPLVSLPPIGVAQSLPHHDVFEPGSLLAKH JR316_0007432 MSRNGTVPSTPSRANRTTPFTPKRSLSVLSTSSSTSSTSTTTDQ QLNARLSRANQPVLLNSTHKKYKATLSVESRSKTLRPKTPLNPVPGTPTKKDGTHSRP RTPVTPRSRSGAESPMLSPSKSEMDVSNVDPEQVLVDSQTVEPGDVSGEIDEAWLKAA ELNHGKQDKVMVSIRIRPTDSDSAWIPNQNTNSLKLDPNFAKHATTTSSSLSSSFNFD AVLTGSANKPVYTTVARSHVQAAMEGYNAVIFAYGQTASGKTFTLSGDETEPGIIPRA MRDVFGFIKRTPEREYLLRCSYLEIYNETVVDLLAPPMMAKSNPVQIQGGAGGDVILT PLREEVVTSLKGVKDVLKRGEGNRRTACTDWNERSSRSHSVFRLVVESRERGASGSSD DDDEDEPSTPASAMNGRQTPGFAGRQTPGLGGRQTPGPNGRHTPGLGGPRLQARGGRS VQTSVLSLIDLAGSEKATSDKERTREGKYINTSLLTLGTVIGTLADNAAKNKADHVPY RNSKLTRMLQPSLSGNARISVICTINPDAGAISESMSTLLFAKRIKNVQLNAKKKEVV DTDALIERYRKEIEELKARLAEREAEVPARSRRLSAREQIDESRAMRDLNGRIQQLTK LILTSATVEETKVDDDDERAASPVKIDFDMSPYQLQQELLAARLQIESQANQILSLEA SLLARPPLPADASENEKDKLIAEQTKTIRELEIVVRGYEENLGEPLRKVKEDVEKEWM EKLEEEQRKTEKSEKWAEELVKALEKEKKARQTLEEERRALATFVTRFDSLGLGQTIP PTKLKMPTHGGAMATYNERRQSRSFSARLPRISDVTVMRDMTGNTESSPMRLDRAGAR SQPSLFDQDMLEELGGAADVSFDELEVERHLLDTSIYIAQPLSSNVAEKLGHGVDKTA LVRPRDVFGDKENILP JR316_0007433 MPVQLKGSCHCGAVRFSLESSTPVPYQLCLCSICRKVGGVGGSI NLGGHSKSLQVEGKENISVYKAVMNRDTPKEKIASSERNFCKKCSSMLWLYDESWPDL IHPFASAIDSPELESPETLTCIKLDSKPKYVRLPEGDKEVYDAYGPDSIEGWHKKHHV FKG JR316_0007434 MPHLPLTETTIKNSIDLKANGEVSRMRSHKGNMPSLPQTKYCAL CPAKFTRTTHLNRHLRSHTNERAHRCNICNAEFTRSDLLTRHKRTCGDSNVNRSRRKS CQACAESKVKCNLQQPCSKCSSRGRECVFINDPEASRNRKIAKKAGKPAPSVVSSEGD FSESTTSLDSLGPSSPSSTFSFGSHGQQDNLSYLVSPPPTGQLLPGSSFGLQTSDCSS SACSSSACSSRSSPQLDYFDGRKLSNSFNMSFEALELEADYNNDYFPNTVDPFVEDGY SPCVPRVQTETDLSGWFETNRTSAVYGNSDPSLYSQSHCLPTNMDFVNNSANLNPASS GKSPFLRHTFSTIPQASQTTPSPFAHSSAQTDPTTEELNQYLFLFFSEFNHQIPLCHH PSWRMETVPPLLLSAMQACGALFAKTPTSIEYIGKVLTTSRDTLIMEYSKPTCTLKDH MNLILTVVLLQSIGLIQCRPDQRALSKVYHEILVMMIRKSGLIKLTQSWPPPEFSNPQ YLENSWRDWARYETFKRALLLAYVQDCVHGVYYSGQPALTNSELDINLPADDDLWRAQ TAQDWYQLQTMPSNYGIGSARILGMSLQMSLAALKEQAPAAFPYTVNPFSAFILIHSI LRDVFLPPQTPRPIPTNGASDPDAVDTLTIQCALHNWHQIWSSNHEALRLEQHNPDNA FVHNASPFYWLARLAQGAKHNGSFVVRALSTKTDVEDRYRMVKAWIHQIHSSIRHGSQ TSPHLTSPHAHSRHGSFSHAS JR316_0007435 MASKCSNPIFLPAFGGQGTLYQDLFGYKTDLTSLSSFGITLLSA CHAAFLSDLAALEVQELATVGICASEFTERESLLRPRNNLLFNNPAFCGPHLLLSQTL LYLSFSERHAAASRPIPFLDILGSNSHYGVGILGFSSGIIAACVVASSSNILQFITHA VDAYRFAFWIGVRSQLYRSSLVEISDVAPHPWAIVLMGTSRECLLRSIEHFNKDSDMD RIFLTAVLDDGRYTVSGHPRDLNLFVQTLPNGVASHVTAINTLYHCPSLLRGVRDAVL HDVMSKQIKFPTFLDLLAPIRSSFSGERLSNTTPGSLVETVTDLILMKPVLWDAVLHQ TVSALPPDNRVVLIDIELGPSLVRGFQLTACRNGIQDFHTVYPKEEAMPTCEHKQDLV AIIGMAVNMPGAPSTRELWEIIKDGHNTVEKIPPNRFLFEEGGRTMRARTGNFIHDVD HFDNRFFNISPREAKSMDPQQRILLHAAYEALENAGYVPYGSPTFNPATIGCYVGAAT HDYALNLRNNIDVYYATGTLNAFISGRVSYCLGLSGPSIVFDTACSSSFVAIHQACRA LMNRDCHVALAGGVNVISSPDMFIGLDRGHFLNSSGQCKPFDASADGYSRGEGCGIFV LKRLTDAVRENDQILGVIRGVEVNQSGKGSSITHPHSPTQEELLKRLLMKSDVDFNSV NVVEVHGTGTQAGDTAEMESIRRLLLADNRAPDNPLYITSIKSNIGHLEAASGCASLA KILLMLRHEMIPRQIPLSALNPQITRLFSDKCILPTRNVPWMPPKRKTPRMAIVNNFG AAGSNTALLLQEYVPQESTRPDARVSSYVFGISAKDEDALEALRSKYLSWLQRPSTHD ISILDIAYTSTARRQLFSYRLATSAGSIEQLVQKLEKELIVHVPCNEVGPEVVFVFSG QGHKSNEMARSLYKTSAVFRRHIDECNSVLISNGFAPIVPVIVGSGDDQHLVPAVQRE EVIPTATFALQYALSKLWITWGIMPVAAVGHRSVFRHNINRLETKVAKRSLGEYAALV TAGVLRLEDAIIIVATRARLVLERCPPMATGMVAISLEPTKLQHILDSSQSFANTSIA CYNSPGDCVVSGPLHELEGLISYLQKEGSGRFTRLDVPYGHHSPLMSHLTGDLVELAG KAMIFPPRIPFLSTVLGRVIIPGDRTFLSQDYFARQCVEPVKFTEAIGSYLSNYSGKR IWLEISPHPIILPMLRSFPVLSDSPLLASLRACEDSWTSLSKSLTSFYCLGGETVRWR SVFGEIGPAKCIGLPSYPFNKTSFWVPYVGGIDQDGKTARGAHSSLIKECVQFPGGGN NKEAIFRTPISQFKHFIKSHLVGGVPLCPASVYLKMISGAINLTAGYALQHVEDTETV MCQLSFPNPLISNDTYSDEASIAITIDLSNKSLSLGSRAALVEPPVTHASGKYYTQPI MEITRQFAQIFPSIVERVHTIVRLARHRGLETFSARTIYRVIFPRVVEYSPNFHTIQS LTMLDGGMEAAGSMALPSAEYNAVTSHIVFLDTLIHAAGFLCNLRSGQNDAYVCVGIG SLEVLSNAIREGVPYTVYCRITPFETEELDVMIGETCIISAPHSTFLIAHCKAIKFQR VRISSLKRNLGSKQRVSALPLDHHGCPSFSSGIVEVVINMVSKACDVLPGDISVSADL KSLGVDSLMRIELSYSLSTMFPGYGFRPQELSLCKTVADVVESVISALTTKVNLDGLG ERSSNVSSSGPSTPRSIVSSDITGPSVKKIIARALEIHEDNIKDYTKLSSLGLDSLTS IEIANSLRQEWKVNVPANLFNSCDTILDIKTQIPELQQSVPSTLVCRFPLTIERRNND SLTSQTYFSLLQTGNPEHVPLVLVHDGTGLTGPYTRLSNLGRPVWTISNPSGLSSHIW SSLEEMAESYSRTVLHKIHGPVILGGWSFGGVVAFEMARQIREKSLDVLGLVLIDSPS PLVSAQLSSGLIDKIVKHLEPNAQDFCKSQLMTNSELLRKYSPWDFTHKYPLVFLMSE QPYPTFDDFDIPAWLSDRSSKSSATFGWEILSEGPIETFSIPGHHFQAFDPQNVSISS FLSSQSR JR316_0007436 MPQDQHIQEPTGVSLTDTVVVAETSPTDYRQFLEDDNSHLSPHP PRGSSPTQLHEEKRVPVRREGTIGPQRIPDVIPPEHSSRTLILCFDGTGDQFDNDNSN IVKLVSLLKKDDKTKQLVYYQTGIGTYNTAPYIASPILSQLRKVKSFIALDGALALNL DSHVMSGYEFLMENYVAGDKICIFGFSRGAYTARSLAGMLHKVGLLPSGNFQQVPFAY KMYTRADDEGWQQSTEFKKAFSVDVSIEFIGVWDTVDSVGLIPKRLPFTTSNTIVRTF RHAVSLDERRAKFKANLWNRTPSSKVQNNAHCRNSTTSNTTATTTTTTKVKASLESDT ATFSSGVDSEPLRPPPVIKTTPPDKQDSVDDPALSKSGILSEKITLLKTSVKKEDEDD RVLTTLERIYSEKHEKTTDVEEVWFAPGDVGGGSVSNRTRHSLARIPLRWMVRECFKA NTGIMFNSAALYDIGLDPSTLYPYIVPRPPPLPIGQQHTIKSRPKSDLPIRPPASSLL GNKLSHAEITALLKQPRKPFLGSEEEEELHDILSPAYDQLKIKKAWWALEIIPLTLRY QRGDNQWVTYFGSNMARPRFIPKQKHGFKVHRSVKIRMEAEFEDEKRRKKGKKYKPRP LFNFEPIWVD JR316_0007437 MASPMIRTESSQSESHTRTETFSSQTTYTSNGTFVQTPSSSGFQ QAPKVPLEAGPAYVPYTDTIPPVHPFRTLVLCFDGTGDQFDADNSNIVEFFAMLKKDD PEKQLVYYQAGIGTYTTPQIATPFMSKISQTLDMMIAWNLDAHIMGGYEFLMQNYRAG DRICIFGFSRGAYTARCLAGMIHKVGLLPTCNHQQVPFAYKMYTQADDVGWKQSNAFK KTFSIDVDIEFLGVWDTVSSVGLIPRRLPFTTSNTVVKTFRHAVSLDERRAKFKANHW NKPNKTEATLSITDKKAKKEHEGGAHKKKKDGNGKNSLKSLENQYAKDKNAETDIDEV WFAGCHCDIGGGSVATGVKPNLARIPIRWMIRECFKTNSGIMFHTDGLKGINLDPDML YPVVRPRPPPLSAGTTGPTAYIKPTPKEPEVAANDDDYVASDVMAETEEEAELKDALA PIYDQLSLAWGWWILELLPLRHRTQESDDGSWTSWFYWNLGRGRHVPKQKKKGVRVHR SVKIRLDAKYPDGSPYIPKADLNESYITWVD JR316_0007438 MQPQTLPPELWDSIFSFLYDDRPASLRSCAGVNKQFSELVEKYL YRKVTITNHGGLRAYPEAYSAEQMAKLLQNKPHISSYVRSLNIETYIFDTSIRIRSEK VLGHTISLFPKLTEISLSGCHHIMASWIIFHGEFQKSFAHILRLPTLETLTIQDMRQF PLHLLDYCTSLKTLRLLRVQAAMLGLHSPLALPSPPAQGLSNLLTLELSKGVGADLGP LIFWFISPKTPSTISLKALHIHLTHLYDAPLIQLLLNSKPADSVKSLYMNVNSQVRTV FHANAYNELHRTSSPSNEQIWDLSSLTSLQTIEISASIDFARVVSRYGSTILNASYVL YSPIPWITRLLEKPSLPLIQLRSVVIVLTLPLSIDAVLLLGKMDWKPLTDIIWLLARR YSLRSVEIRLMTSSHQHFHGETVTHDVQEILTMLEDNQHLEMLIRKGILKLNSSELAI TFPSN JR316_0007439 MLSPRSSNYERLEGGHGPSRTGSPKRFAWKKFAIAAAVLIGIVY LFGPRETKNLPWKSKTTPTDSSTYPYEDDVKADPEPIAVPPKIIEKPKPTELVHPTSF ETDHDPARTTHCTSPHSPNDPIVQYALMIDAGSTGSRIHVYKFNNCGPSPEYEYETFK MTQPGLSSYKDDPEEAARSLDVLLDEALRVVPLSLRKCTPVAVKATAGLRLLPGSKSA DILHAIEKRLRAQYPFQLAAKDGVVIMDGKDEGVYAWITANYLLGTIKADAPKDMTTH AVLDLGGASTQIVFEPVFTSPDKRLEEGEHKYELKFGGRTHTLYQHSYLGYGLMRARM HVHSLVNFMASIRTPSEKEKKEKIIGNPCLGRGTRRVVEVTDEVTQVTKNVTMDGEEI GSFEACDRIVQLVLAKDSICEMKPCSFNGVYQPSLLDSFPHGKVLLLSYFYDRLSPLI PSGTPLTVSTIASTARDVCLGPTKWRSLPWGKDKELMEELEGRPEWCLDLTFMHALLQ LGYEFADKRPVDIGKQIRGTELGWCLGATIEMVGGELTCRD JR316_0007440 MQPNLPPELLDYIFTFMRDDHPSLRNCALVNHKFSKLVERHLYY HITLNNSENYVGLGELSPAQISQMFTEKVHLVSHVKSLRIVMASLGILRWFTLRSMED EDMGKAMLVLSQLTKLSLSGRQHGIISWNRFHHLFQSSFARLLLLPSMTSVSIHGIED FPLPLLSQCKNLKSLSLRVVDDPTLPLPTIPTSEADIPRLEYLEILECTYALPLILIW LRSQGSPKLCDLRVLRIMIQRLGDISYIQDFLSADCAQSLKCLYVGANDIARTTYNAI GEIIYPPDSAPHRILDLSCLPNLRSLTLEASVKSTATYWISSNGEEDTETYNLQTPIP WIVEHLRTLFSGSSHHAQSLERIILELSFEVDKHALTRLDWGPLASVLKASQMWSLRT VQIQVVGCEPTGVTLETLEFGLRQDKYLSEMVKSGLLAIDTTHCPKEAAPVKAWWGV JR316_0007441 MVIVLLLWVLWMTAAILVIEQRQDFYPLDNCQFLAPNQLHWCNE LFAIQGLTITIFVLLIKYLLILLIFSFVNHSRGVYVWTSSVKDLQLTLPKIQMTHSSD VDAMGNPIKKEASLFGAPATYPPAPQNTYPPPSTTAPYNQPQYSGSSAQLTPQRTGEP NQLNQSVSYSRPQPNTGRPVVAPAQQSMYAHYNPPSTTTVPSAPQV JR316_0007442 MRFFFLSLLLASAVRAGLAQNYTNYNPCGTIVTETDISNAEVAL SRLNKFPRQSTDTEYTFDVHFHIIAANMTERGGWVPQKQIDNQMTFLNDRYEGTGIRF QMVGVTRVLNRHWFETVDIGLEETAHMHRVFRRGRSTVLNVYTVGFYGAGLNGYATLP VNYRFYPKEDGVVLLYATLPGGASRERQGGTLVHEVGHWLGLRHTFQGGCTGDGDGVD DTPAQAEPHFGCPRGVDSCPGGGEDPIHNYMGYTSEACRTEFTAGQISLMHKAIEVWR RDPSI JR316_0007443 MHISLAVPILLGVSVSAQSIIDAVGGQAQLSMLALLLNAQPGLV STLSSAQNITLLAPHNDAFNQFLSTPGGMQASAQLDVVAALLEYHVINGAFHSSSFTS TPVFPNTFLTNTTYTGVTGGQVVEVVAQNGAVNVFSGLKSKSTVTTPDLQFNGGFIHV IDTVLTIPPNISTTAMASNLSQLASAVTSAGLLSAVDSTPNITVFAPTDAAFAAIADT VKGLTTNQLASILEYHVVPALGYSSALKTGNLPTLEGQQVNVVVDGGSVKVNNANVVM ADVIVGNGVVHVIDTVLSLPGGNNTGSSTGPTIGSNGSSPSMAGPSSGTNSSPNSSAN ATMGSAGFVDFKFTVVQNPPSNKDKLLKLSYAAATQFS JR316_0007444 MNPTPVLLCPGLYDADESLRDVARFQDSEEVPQGLKIYSINSSP IAYLPPELLSKIFLLYQRSIQGPETDLYEQTCIDHPKPPRDYLMPLEWIKLIHVSKRW REVGKNLKELWSHFSFRYFLLERDLIMARSDGRNLVFRDSIGDESIALCGLFFYEHLH RLREIDVSGYDILSRVLNPHVIPATTLPSNLCCLRLACSVSGLSALADVDLLAAFLSR TPDLKVLEIDFPLKWNAPDLKLAGLTHLKIMHGDHISKPLASEFFQAIASLETLQLLH LVGDALPRRVGDPPVAFSHLERQRTCLADTLRVLILDSGVDSLHFLLDNITVPQKTQI RLRSSRCPERTNIPYLVMIISRLKHICWPFNTLLLDAELSIHTMVMEFSSRQFLNHDG SGSVYAHSLKLQIYADTPITCPKFASDNDPVNMNDSLINIELPWFPYNKPLESVCRDA PAFLSDICHIFQRHMRLSVLTLRVRDDGNISSLFTVGDTYFLDVFGQQPLLQKLYTNP PVLESLLRNLSPPIDEAQVKRKRMAAESPPTSLFPQLCFLHVSNNYRTCISDQAFCAS LARRAKFCQALDTLSISSPSNLKGPYSSAALLQKQVSNLILRPH JR316_0007445 MSKPPLAGKPPFATDEPDSFYETPPITPPQRIRAQMVQPATTAN SAERNKRTSAYDIYEDYIPGSSSSGTDKAKSNSDLNPFATPQDAAANRNSSVGAMLMN MDDMDDDSDDEDFGRRPAPSALSSSNSDMSKNAALAAAVTTSAKVRVGPSQPTPAPPP PASPPQSQQPQRGPHPIAAPQPGYPAPIAALNLAESFAEKQNPPQMRQIPIPPPLRIN PNIQNPFEPQNPFSGGMPSPFVRPESTSPHPLLPPVTPITPVFIRPKAVVPPPAAIKF DESSLHDPAGVRGMPRPPKAIMRSDAEETPLRSRGEKGDDFWRRFSMVAKLEQTKNGP GQRKSSWLSRTQSQKDQYSRWVWIVGITLLLIIIGAVGCGVYFTRNSPDHQQPKVFGG SADQAATYTTSATGKVTVGPGGTSTILHVSPTHVVDRRGPEPTLAPVVSTVGKRMHIG TNANAKRRLDSSIW JR316_0007446 MVRAILQGSVELEPPSVQHFLWQSESDAMPATSTFLNQVDTSWV HELTSGRLMQLRLEEDTWNNTLYIPVLATGISATIILLQAALRLLFKGDEVSSKTAGD ETIFPPDISGRLARYIKSLGGPVVCSFKVARLLGCLALFAFSLVTLIIEKEGRCNFPG IAVAVTSWYTSLLAAASLVPNSWSKHFTRHNICVLLTLVGVYGYRDIWPLATYTDVPM DAAEGWLLWAKIVISTITAILIPLFIPTEYVPIDPKNPMQVPNPEQTASLFSFLVYEF LTPIIMEASRVSHLSHTQLPALADYDYSSHLTEKAFPHLDPFRGAKRHLGIGLIQVYS WEFSAMAISIVIQVLAGFLSPLALNRILVTLETGGDDNYIRPWLWVACLFLGPFIVSI CFQAYVFYGTQTLARTRAVLTELVFEHSLRIRFKAETSGDGEKESASAIATPRPESIV SESGEGSSNGDSNETQSVQTATESSTAKGKAKDTSQANAPTAAPAPEAKKKDNLVGKI NTLVTVDVDNIINAKDFLMIFLQVPLELILALAFLYIVLGWSAFVGFASIVALLPVPG YLAAKIQTIQKTKLDMTDARVETVTETVGVLRMIKLFGWEKRMSQIVAEKRDEELRWL WNEKMIGLLNDIINFAIPTVTMVITYAAYTTIMGKSLNASKIFSSMVVFDIIRNLLHR SSYMFTQTLKGKVSLDRIGNFLRETELLDDFEEEKTQSIVPPSQHDENLIGFKNATFA WSKEEENGTQTPSARSFRLRIEGDLLFKRGCINLIVGPTGSGKTSVLMALLGEMHFIP SAVDSWYNLPRGGGIAYAAQQSWVQNETIRDNILFGSPYDEQRYNKVIQQCALKRDLE LFEAGDQTEVGEKGLTLSGGQKARVTLARAIYSSAEIILLDDILAALDVHTSKSIVED CLKGDLIRGRTVLLVTHNVALTSPVADNIITVGLDGYARAVGNDISIALAVDPTLAHE VELEEDKDEVEKETIDAETKEVKKSDGKLIMAEEIEEGRVSWQSMQLFFNSLGGNHPI LFLGIWALGFLCMHCGDMFGVWFLGYWGSQYEGHHPEDVAVSYYLSMYSFILFASVSV YAAVMVLYNYGAMRASRKINGMLVDSVLGSTLRWLDETPASRIITRCTQDISSVDGDL QAFFGAVVELATCMVVRLAGPVIMTPIILGPGILIAVIGIYIGNIYLKAQISVKRETS NARAPVLAHFGAAIAGLTSIRAYGAQTSFKAESLKRIDHYTKVARTSFNLNRWVSVRI DLLGASFTAGLASYLLLNRTLSAANIGFSLKMSLDFCSFILWLVRCYNEFEVQANSLE RIQAYINIEHEPKATEAGKPPASWPSSGDLRVEGLSARYSATGPKSSLTLSLLRCIPT EGTVYYDGLATDQINLDELRSKITIIPQMPELLSGTLRRNLDPFDQNDDATLNNALRA SGLFTLQSETDEARLTLDSEIASGGGNLSVGQRQIIALARAIVRNSKLLILDEDHKTD SIIQSSLRNELSSDVTVLTVAHRLQTIMDADKIMVLDSGRIVEFDSPQTLLKMKGGYF KSLVDGSGDKQTLYAMMDAKASGSA JR316_0007447 MAIVLYDLASTSPGYSMSTNTWKIRYCLNYKGIPFSTEWVEFPD ISGLYERLGVTPTLKRSDGTPLCTVPMIYDSTTKKYVSDSIRIAEYLESQYPQTPSVF PSNTGGLIQAFAEATRSYLTLPARELLIWTIFVKLNPPSAAYFRNQTEVAIGKTMEEL VPAADMKDKMKSLEEVLAKIDGLYSKNGGKGPYIMGESVWWSGKCEMDGDNVLA JR316_0007448 MPTGLLNVTIASDSPTVKYLPFSDGDLNGGWNMTCSNSNDKTYI PQSFCNGQAQRRTSFPEASLSIDFYGTAAYIFGSAASPSYLVQVDGQTLSETLSSSSS GLLAKIENLDYGKHTIALNLTGASLVTFTQAIFTTIIGDENSTIQNQTMRPFTQNSDG SLAVLNNTFTYTGQWIAGAPGSIGAAGNAVYARESTSQLGAFVTFNVERASAFFIYGI VNTDLSTYKIDLTNSGGNTVSNIYNASGRWIDLDVVMYWAAGLDRNDTYSVKMTNLGG GDAPWSSNNTASSSPTASPHTHNKPIGPIVGGVVGGLAIILLGTLAWLLLRRRSRRLG ESSLNTRPTIFDTSRQPSTVHESGLHSEIHMSGNGLNSMSAGASVVANRSYSNIPNPS SKAVIMAPPSTTASSMILESGSPSVVLSPEGRNALFYQEEDAGSVSERIDSTIVRLPP GYKDTWASSS JR316_0007449 MNSSLPISSRRTDNLTRTRIYTSSRKRHKPPPLTLLESIDEDSV SGASEYYVNLGAETPCSTPPNLFETTEFVERWAAAGIAVPKLVRKPSYRTANPAGSRD HQRSKILRDEVDRDCGICFEYAVEPLRTFCCGKIFCKEHLEDWLHGPNAEGRCPNCEN ACSLEGGTLSLATPTLIPSSISRSKQTSITSLPKGKQQSNAIPLCYYSSSLLSYLNIN PNDALKADGKRQPCGGDAGCTCLPSPVLPAREYKGVPSTKVQERTAVEKRKTSSPSNG KLETLAAASTTPYASSWGAMGRLMSTVTFLMFLYKLLS JR316_0007450 MPGTVANFFNAVRPNSSNNRLGVPSKMNKQFSSSSMFLATTSLH GKVAVVTGSSRSIGASIAKCLGDHGANVVVNYVKDAAAADEVVQAIRSQGKGGAIAVK ADTSTLEGGQFLLDEAVKTFGKVDILVLNAGVMGSKTLSEIDEAFFDSHFDINVKAPL FMAKAAASILPTPGGRIIFFSSSLTAASTVTPNALCYLASKGAIEQISRVLAKDLGSR GITVNTVSPGPVDTPMFREGKPQSVLDSIAKQNPLRRLGEPDDIAPIVGFLASQAAQW VNGQNIRVNGRLRVIVFQYCAMATKTRIQSNLRVQVPKVEQPEEIWWDGTSSTSGES JR316_0007451 MPRASVVLSYSQNLERPDFYTQIPNPLNFKPAEERLKATVTVPP NVPASDFALDPVNAIPPSVAFSPVVMNPHFYQEEDAGAVSERAISRVLRLLPDYKEAW TTS JR316_0007452 MAKLDLAWLFNCLLLVASGLFLNFVFQDPRVVQFSKIALSRIRL AQTFRAVGTRTSFSTSSVQQIAQNNMSEIIFYDIKSNVPGYSVSPTTWRTRYCLNLKG LKYKSQYVEFPEIAPLYKKLGVPAPDTNSDGSPHYTLPMIYDPSTNKYIPDSTDIAIY LDKQYPDLPRIFPPGTERLQMQFSNAAMSSIPAAGNLLTWRVFARLNPISQEYYRRVR ETVWRVKLEQMIPDDQNTTGMATLKKVMGKIDALYVRNGAKGPFVLGETPSWADITIS AMLVILKVSWGEDSDYWKQVISWNDGRWERFFAEMKKYE JR316_0007453 MVLRAPLFKRAPTGSAKPAGWARDQANVQANGLAGHLRDFDSYV NGSIWVEGGSIEYSEMHEAAPYWFNGMVSLAFQLEDDRLMGQVKNFLDWTLEHQGEDG WIGPEPLIANSTTPRLVWPRYLVLLGLIQYAEADPSQSERIIDAMHKFMSLANTLWKT NQQGTPDQGFQFDYQYVRWEELVYSLQWLYDTEPRGKEAELLETMQLVRDSGYSWKND WFVDSIFPKQAVPSNQLTMQTHGVNTAEALKSEALAWRFTGDDSDIQSTFDRLDMIYT YHGRASGTFSADEHMAGLNPSRGTELCAVVEQIFSLATIYSILGNNTVADRRIMLFLQ ELCMIGGLINTIKRVMVSSLLLSSYAYVMCGDSVNQIWAKNMNPSPWVNNGPNSNVFG FEPNYPCCTVNHPQAYPKFWSHSFMTDEKSGSLIHALLGPATFSGSVGKANDIKVSVD TLYPFGLTFKYSVTTSHPFTFQIRIPDWAKSQQSTIAVNGKKQTAIQPDPSTSLQSVK VSTGTTTIEMTLYAPLVVEVRTNNAVAITRGALNYAVELSYNQTSAPGLRSGQALGDV KRLYPNAPPAYLTPTDNHTVDNTLLPTSEWRIAIDPNTIEVNDESSHTSSMPYYAWAP GAQPVSMTATGCQIEWDLVDGTASAPPMSPNSCVGDTFKVKLVPFAAAKLRIGEIPTM ASS JR316_0007454 MDIASTVYTITSGIIDFVAEHGAKDSLQEQISDIVLQIRNVVGP LIIRDVDNIPLQQTLQGMQNVLSNVDSHLRSWKESRSRRMLALVNPWAVTQEIKEDRV QLMHQYILLMGAMQVVNHIFVRGYNYLPPPPQSAAPLTPQSLRIQQLHLPAAEEEVLQ FWHSRIGGDFEVVKSQDLCNHLASWLNIKLGPLSRRRLLLRLDEHNTGHVTLKTLQDL VQNTKMKDTIKLYTSNPKFPLLIWISDDLALNAPKVAFALEKGVSVVQLATTATAKAW INANRNFLLKHDNAGEIRFISDQFRKELNAKGEAVPNRNAGNQIIKFIRDKGFQAPIL IYTNKKSLHLTRYVESYPNAGSAAGHPEVYQEYISALGSRRVDDREWMKFGA JR316_0007455 MDDTEMPVSTAVRPQLEFLHMVYPAVLAFGDPSFIHSTYFLDFK QLKTLKIAYTGGERPGDAQELCLVCPNVPHVYIDILCNSAYSLYNSVNYLLRAHARES LLDLSRFTALEHLTVEGVLKVRSFHQRILIDYFQTPIPWVVEILTVISISSRSAETLA KLTLKLSFIDFQLSLIPHLHINWVALCSTLAVRNFPNFVVLNLQITGLPPSLLAKLKE DEVLVEMQKERKLVYI JR316_0007456 MARSYNTPSTTSNHENSDATLMEFFTNNAAAINAILHQHSSTET FNSSTDDLAWNNAVAALHNITHSRPATPVLLRRMYATSNAFSPQPSESSTVVSPAVSQ PASPPPLTVISPAVSQPASPPPLSNTLSLAHNDNNYSDYTQYDDYSSYNNQQSQPNDF RPRSTLIPRVLPPINWPSDLYPPIQPTAELQAQTPFPNMESSPVARCCSPTCT JR316_0007457 MSNLTYSAENQTTVTVPSGNLFEEISGTDSFAKSEGIHGFDSVF SDIGGSRKSVNLPHQVPVQQSSPTRLPPGSCGEPCPLERSDSTPGVHASFQSLSDILP WGFRPGQDPKITSTAEFCTLDDATQKPDFERRTPSPIFFPQQKNRQGRAGPVRLAVDH GSEHPEEQRISSPYYLPEQEARYVSQIDTNDTEDRRHSSSNQAIVEHEALPSPQQDHW MDVTDIPYIPRKPSHGVQRRPRTGKRKDGGEDINQAPVFRARSRRRPDRPKLAPEDSD EG JR316_0007458 MPEFSRNVISLFQKRAAPEQGGLLSGSDPTKFNENDPLRLWIIQ VGIIICTANLLSLGLRKMRQPKVIAEVLGGIILGPTAMGRIPGFTEHIFPQQSRPYLS LVANIGLCLFLFLVGLEIDSGVIKRNARLSATVALAGMALPFGIGAGLSSAVYKKFID PGIEFTHFMLFTGVAYSITAFPVLCRILTELKLLDTTVGIVVLSAGVGNDIVGWILLA LSVALVNASTGLTALYILLVCVGWTIFLMFPVKFVLRWIARKTGSIESGPTVFFMTVT MLILFGSAFFTDVIGVHAIFGAFIAGLIVPREGGLAIIITEKLEDMVSIIFLPLYFTL SGLSTDLGLLDNGITWGYTIAIIVSAFAGKFGGCTLAAHYAAGFNWRESTTIGSLMSC KGLVELIVLNVGLSAGILSPRVFSMFVLEALVLTFMTTPLVTWLYPPQFRRRIDTTGP TFDNVADDEAVVHKKAQPSLDGAFKTRFTVVLDKLEHLPAMMALTQLIQPAPPSTHDR RASLALGARAPSQSNQTLPHFIAAEALRLIELSDRVSAVMKSSVSESLLHTDPLLSIF RMFGQLNGISVSPTLSVVKFEELAYSVAEHARNYDSDMILIPWLPPVHNAFDGSDHHH QPPSTPLHPPTSGEPQQPIALPPTANTATAPTSNFRSPLGQNPFDYFFRGSNISGGQD VSTSMSVIHSQFVRGVFAQAKTDVALFVDQSTLDLYTGVGVPSVVGAEGGKQHVFLPF FGGPDDRLALEFVVQICSNPRIRGTVVRITKSEEGAGHDGNILSAEPGPAGDEGRNME EINALTIGSRIAGPDTVYGQHNTETRLQSETADNIIWARYAPLRTPANNAPVSDSTST HSSSASTTSSRPQIEFRTASTPIPLHTALHEAREIALSSANHNAKLIVITGRSRRLAV ENHTKELKQLMEEQREKVGSEVRKTIGDVAAAFVVAGVGSGLVVVQQAVGN JR316_0007459 MESRGYAATATESERPNSNSEVVVVDAPIPRDEEQVPVQVAANG PGSGNAPSGPISHRAERPRKPGSEVFLVGMFVLALIGWIISLVGQAVVAATISNAPVR ILWFAIVLQTAILLLLIQVLSNASIYNAAYAYGTQISILAALATAFAVLGVDQNIYSS QAAQQATGAGWLITAIVDLLLIIFFTSPPQSPILRVAKGVYNRDRDAPRTPSGPTQNV VEKISRSTDAFHRTAFQHSGATGLTAAQEEEDQLEGLTPEEREKVERMVDEKMAAMGM YPRGFHPRDSSGASSKPRSEGGKLRAKRRSGHAAGAAGVGVGARGTLTSMSSEHQKSA LLEAREGSARPDSGSAVPEEAASESPAKWRAEALFEYKGSEQDPNELAFKKGDKLLIY DKSGKWWEAETPDGRKGIAPSNYLKLV JR316_0007460 MDRTLRATYRMTRLLTPPEPVHSLIASLTGDITPQVACLLFTSL PPEIRHRIFSFALQSYDDTSRPYPDNSYYSRPGYRYHQRINIALLAVCRRIYTETHAL AVRVNEHVFWCSSMRGPPRAFFDNPKWYFSKFTVEQRAAISHIHLFTQMYWVERAQSF RDFCELEDVHPKQLTITIRHTDWWFWELNTPLAMKLHWLEYLQPMKKLTTLVMELETI ERDHDQLDAVIENVLNTCLVIRAGSRTLNIQKDGLTTVPYVGPAIYKYDWDSDLEESI YYSKQDDRWITGKTETDPGPIPKTKEVNCLCTYNARSARPFPRISTARVNDAQMAIIG IKLLDEQMVTDRYQTRTLSDTNGETVELKI JR316_0007461 MGHGKVNTLAGFARRSKPPSPRHSLIATLHGQIHPQTACILFSK VPAEVRNRIFYFAFYSYDDVSRPYPSSSYYSRPGYRYHQRISTNLLIACRRIYTEAHN LPISLNEHVFWCSWEGGPRRKKNTSDRNPKFFFQNMTIEQRSAIDRIHLFTQVQWLRE LFVVFCELKDIHPKYLKISIRHTDWQDWDRSETPLDITLEWIRDLKLMSKLRKFYLEL ESIEKRKDQVDAIVTKLIPQRLTTGNSDVLKAGHTLIAGYQYTRPAVYVDNYNRARYF SKDNDSWVTGDDQVVAVDEILCIVSTVMWSV JR316_0007462 MSNLTYSAENQTTVTVPSGNLFEEISGTDSFAKSEGIHGFDGVF SDIGGSRKSVNLPHQVPVQQSSPTRLPPGSCGDPCPLERSDSTPGVHASFQSLSDILP WGFRPGQDPKITSSIKRRIEEKHIYSLAKLILSPMEIPRLPSLAAAEFCTLDDDTQKP DFERRTPSPIFFPQQKNRRSRAGPLRLAVDHSSENPEEKRVSSPYYLPEQEARYVSQN YTNDTEDQRSSSSNQAIVEHEALPSPQQNHWMDVTDIPYIPREPSHGVQRRPRTGKRR DGRADINQPLGFGTHPGCRPARPKLAPDDSDEQ JR316_0007463 MEYANAVYQLCEDTIKFISEHQILRNDSTTRQISEILSQIEYIV IPVLRRKTINEPLQRCLHDLESLLRLVSKNLQKWNERRSHGKLHISALSFSAWAPPKD IKDNREFLIWSHALLCKVVQLVIRPKGYNIISPPPSIRDFIEHRSVQKMKMKEEDETD EPSIFEADQFWKISIGPETEFASSEQFCDLLSSWLDEDMSDLARKRLLLRLDEHHTGY ISFPTFQCYVRNGRLREDVHLYTSGALRAPLNSGSSYYQSNFSNAFPADPHVPLLIWI DSDASAVSPQILECAGLGITVIQFASTVTVKAWITINIDFLKKHDSPTQLRFISNQTL RERDSSHTPAANHEAGRQILSHIRGLDLHAPVIVYTMGSDIEPTKYVEQYEMAGSLII GGNYRIFHNYVAALGKGRPDDGRWMKYNASL JR316_0007464 MHSSICDLSSSDSHTMAVNNIALSIMDIVAGYEGRNSLVIHIFN ICLQIQTAIVPLLGRQSVDLNHNAHQCLCALIPTLESTRKHLEMWIVRRTHSLVSGFN PWLLAHRLKEDKKHLLQHYITLMTALQVVDRIRGYNFVSPAASVLSFQDQEVRHRPRQ RPPPTDISTDMFPESEAKEFWKNNFEGEPSSVDSSNFRQVLSKWLGRTIDDPAYHRLL LRLDLNNDSTIHFSTFYDLVRNGKMKDIINSYLADPRLPLLIWIDEDVRGNTDRVFEA TSYGVTVVQLASISSAKTWIATNHDFLMQNDDPSNIRFIISQACNDSYFKVLDVPEAA CENGGSDFMLRYIRNEGFASAVLIYSPEKTIESTAYVEDDQLHMAGSTTLTSSVYKEY VAALGERRKDDVGWRKYNATSR JR316_0007465 MATMDYIEIPEGATRLRGMSDQQHQRDDEKQHHDVRPAAKITTS IPNPSPPHSPTPRTRRQSLLSTTANSLNSPTSAMSFIPQMLLSAALPPVSPGSPTSTT PTLPNLKRTGLPESYTLMSTKDPLSLPIMSTNFKRFVTIIGPVFWLQDRIEEIILWKR GTLRTAVWMAAYAFILLIGVILATYPYPASASADPLYSSSDANSASPPSTSPPMEGIV PWQANIQGIQNLMGAVADLHALVEPHVYHLILTPQHLAKSTPKSTAQSTQDSSTGTTT SQFPRSPYTTHILTLLVVTLPPLLLLIHLPIFPIREVCLFGGLAPFVLTHPYVRLLIP LLWGMAMDTMPVLLARWRRVRNRITAKGRRNGGGDETKDAVPEKMLPLSMIVQRIIDD DRLTDECWNSEMREVQLWENERYGDSSILLGASSSSSSSSMFLPPQKGWSKLNLRPGE RGAWTRGQDGWSGSGGGGSANADGGTVEVGVGGEVSSNLTFSLAPGWRFVETEDWRKD LKCEWSGCGGDPDGWVYTNDAWLGSRPAPYTSGGGSVTRRRRWVRRVWFDWKKAKEDS JR316_0007466 MTDLTYRAKDQKNAHRSGYSTGAFPPSNDNASSASALTNIFEVD TAFGTVAEPFNESNEAAVLSDSPPWRPSFLNALPSSTGSSSINQTVNGPIIAPPDDVE FQSTPSAVSDILSLSCTPKEAPEVPLDVQEKIKQQYILFPGLAELILSPVAVARLPGL AVAELWTKGDVNRRPDFDKHLHSPIPANLLDQESSCNAGPSRLMGHSDLNTVAGHNSG NLEEQQTDIPYYLPTQEARYVSHNTNHPEDTRGSSSNQVIVEQTTLESPQNHWRREAD LPYIPREPSHGVQRRWGRGKQQRTGDLRVDEPLRFTAYRRLYPNRPMLGPQDPDED JR316_0007467 MYSPPSKTFVADAVLFDMDGTLTDSIAAVEAAWAKVASEIGQDP EHVIAATHGKRAVDNLSQFKPHLAEEEMEREVERFENTILYYADAHHLHGPNSGSVTP PSDVSYASSAHDTPDLTPGPSAPASRRSSVSAFESRRPSFGSRLLNMLSQAARLRAHN EDVVVVDEDGSEKDNLIQPGYPAVEKKNALNATLEAWQMEAASVDRSIRILPGVRKMI DSLPEGRYAVATSGAKTYAYGCMKRVGIVPPPVTITADDKRLKAGKPAPDPFLLAAEC LGYDPKRCVVFEDSPSGIKAGVASGATVVAVCTSHERSKIENCGAHYIIEDMESISCH VGDDDRLVFTITSSG JR316_0007468 MDVASIVYSLTIGIVSFISEHDDHKSLTKDINKSVLLVQKNIKP LFAHPKSLEENESLNESLHALQDVLLNIYYHLRLWKESKSHRALAHLYPWKPTQQLQD DRQMLVEKYLLLMGATQFVDRLQGFHVISRAPTMDSIVIPPPSLPQSVPFKIEPKDDP ENLEVTKFWYHCVGDKTTLAESETFCVDISAYLALDLSPVACKRLLLRLDERGSGNIS FSALQELVNQGPFADVIKTYTQDPALPLLIWIDDDVVGNQPKVLEASKSGVTVIQLAS TAAAKSWITINEDFIKAHDNPAEIRFISDQVRFDTDSSGTLFENHEAGTQIYHFIRGH GIKAPFLVYTDPKSILVTHYIDHDDMAGSLNSHYKVYKEYVNALGAGRKDDRGWAKFN A JR316_0007469 MGGGEEGRGGIGGKSTVMGRYEGTNKFLGDGDGDIWMVGIGGFE SVNDVDELNGGADFGEGSLKGDSTSVILGPSLDEEADEKVVVPEEKETLGLKEVVEKG IGVPDEITTPSLGLVILVDVAVVTPGDVSTTTSKPAPLVIAPCLDRCGETSLVAQGGL KGSSMSVRIGIMS JR316_0007470 MRVIQRQASADHVVIGRALADKTTVEAREPQLLKLPIPLPSVPV LSPLLSPVVGGSNSNQNSGGSGSGSGSSPTTATSPPTQTTSSGGNSGGTGGGSTGGGS SSTSNPGGGGSSGSGGNGGSTSSGGSSSSGGGSSSDPSSGGGATSSGSTSSGGSGSSG STGDTSTSGDTSSSTSSSQGDTAGNTSTPGGIALTSGGSSNDTSTSDGETTNSNGSGS TQNNDSADQTTPGAVANAGGIVGTNQAPGAHSTNGKGIPNATLSGGKSTSTGIGGISS GPDGSNSSGGNTSSKHGLSGGAIAGISILLLALLVGLVIFAVRRRTRSQRHDQANRWW FTGKRNSQTYGDRNSKEIIAPGVRTARSSFATTVDHSNSSHGRQSPGPLSQDDFIIPP LPPMAEIGRANGNVPALTIDVSHFAPTPAIFEKRVSTCSQVSGESTSEYLVVHHRDSL NPGTPMSVRPFSPSESFSFPRPPDPVGDRNSAYSRPSSSGTLAMLQAGTHFSFHSSNV PPTPGLPTLIVSQDNPINNVTDPFADNNPFEDPGPSAAVPEQAFVSGNNGGFAETEFI CRPFVPTLSDELAVRPDDPVRILTTFDDGWALVEKIRLDTNEVLERGLIPIDCLRAPG QPLPAFFAAKRVSSYAGSVAEVHAM JR316_0007471 MATDALRSQVAAKWQESFHRLNSDAPGISGLMNFSEAWAISTQY LYPWDINNASLDGGGKPFGKDLNVARPAFSLVNHSRRLPALLENFLDDMRQNFYLIEN EINQFMERYEADEDTAEIDPLITKLMEWYKSWAPPQELGSTFFSSYTLNFQTRLFSAL PPSFAHGFKKLCARLLSPPDDDHAQWDPNIHAEVWKNFEILGLIDRYESIIASVGYEF IENHVLSKCTGEWGKPMLEELRVWMSEKVVPWMLHVYARGASNAEEARSMLQGVGSRF DFHINKTLCDLRTREIFDIIIDFPDSMGALQDLKDCLQRVDQRANLVRSLRKSNQKRL LHPGADTKLILQQYVATIKCLRIVDPPGVLLFKVADPIRRYLRERPDTIRSIVANLVG DDDGGDSLVDENEPIQPLQQPEFEDYTDPQWEPEPIDAGPEFRTNKPGDILSTLVSIY DSKDLFVKELQVLLAQRLLMITKDNSDRVERERKNVEILKIRFGEAALQVCEVMLRDM TDSKRIDGHVQSQKESVVHPTIISRHFWPSLESSDMVMPGQFKQLQEDYAHEFNVFKP DKTLKWLPHLGTVQLELELEDRTIEVDVPPLEAAFIELFADQAIWTLDELVKAVGAVD RSAAIKALSTWVDHGVLKEDPENTFRLLERAEEPSEAVVRERDLAALPVVEDTPAISV AQQQQADQMRVYWKFIEGMLTNLEKLPLDRIQSMLKFAPGYDRSIEQLGDFMEAARRE GLVVVRDGIWKLNK JR316_0007472 MKKVPAYTLPAIHDPATNTYLSNSFDIARYLDRTYPNKPHIFPH NTAGVQAPFARAFALNMEPIFNFLIPEECAVLNPRSQEYFRRTRKELFRVERLEDLIP KGEKRVTEWAKVKLGLDKTDKWFKEAEENNGPFIMGATPCWADFVVGGYMSWARAVWG EESEEWEDIASWNDGRWKRFVEGLKKYEEIK JR316_0007473 MSGYSIHSVRYGSPLKLRQYLHNVLLLCTRFRCRFVQTHLVFQV FGDHAFCGRLEGMSKNAILIFFIVYVNGFILVQD JR316_0007474 MSIILYDIPSTLPDKAWTYNVWKARLSLNFKGIPYKTEWVELPD VEPLFEKLGVPPASKKPDGSPRYTVPAIHDPSTGVYISDSILIAQYLDKTYPDKPLLF PNGTLAIQSALNDAIYHNVKSVFPAILPTVLTKLNPVSSAYMINKHGSSLPTSFAENW KAFKDGLDQVDAWYSKNEKKGIFLLGDVPSWADIVLASFLVNVRKICGEESDQWREVE WWNGGRWKVHSEYFQNFETVV JR316_0007475 MSIILYDIPSSLARKAWTYNVWKARFSLNYKGIPYTTHWIEFPD IEPTFKKLGVPPSSTQPDGSPYYTVPTIYDPSTGVYVSDSILIADYLDKTYPDKPLLF PSGTFGIQSAFNDGFFYHIKAVVPAMIPTILSNLHDVSSTYMVNKHGSLPPKPTFEDY WHSFKQGLDQIDTWYARNGDKGTFLFGDSPSWADIVVASVLVYVKIVFGEASREWREV ESWNNGRWKELSGYFSAYETVI JR316_0007476 MTIVLYDTPSKFPEKAWNFSAWKTRLTLNFKGIPYTTHWVEFPD IEPLYKKLGIPPSKNKPDGSPFYTIPSIYDPSTGVYISDSVVIADYLDKTYPDKPRLI PEGTLDVQSSFSDTVFHHLQPVFSVIGPSLIPKLNTPSADHMLARSSGQSQGPKPDTD VQWKAFEDGLNQMDAWYLRDGGKGPYLLGDIPSWGDIVMASVLTFLRIVVREDSKEWK DITSWNDGRWKSRSEIYRAWETSV JR316_0007477 MTIVLYDVPSTFPGRAWNFNAWKTRLSLNFKRIPYTTQWVEFPD IEPLYKKLGIPPSKNKADGTPFYTIPAIHDPSTGAYITDSILIAEYLDKTYPDSPRII PDGTLGVQSAFNDGVFYNLKTLIPVVFPVFITKLSPRSADYMLATLGRGLLDGPGSDA FEQWNTLLFGLQQVDGWFLRNGGKGLYLLGNVPSWADIVVASLFLCLRLTFGENSTQW KQLMAINDGRWKGRIDVYRAWENSP JR316_0007478 MPYVAGISFNSLAPGSKVAVQLLSSDDNNYAHTFEASVDSDLKS TFQSIANALPEKCEQFTLSLPSNIRDAERKAILRAAHTVRIFRYIGYSENIQIVQNEL KVDPTPLRNELVLEITPDVAISRLVSTEVEEGIRSSDLAKEIVIENPENADLLAELVE PALETLKTTPTDAGSELKRVVIVGVSPLISPLADKITSKLEGVEVITASDLAKYTAKK ALSSYHDSMAEKLVFNVVPLRVGIVKADGYVVTALAQNATLPQEKSVLLTTSEDNQTS ATINIVVGTSPVAKDNTSIAKINLSGLKSRAKGATTIKVSFSVELEGKTIIVAEEVED GSPVGARASVELGDVIGDLIWDDVEDILAATNPTFDEVAVTEAEDLWAGEDAQGDLPV JR316_0007479 MTIILYDIPSTLPGKIWNPTVLKTRFCLNFKGIPFTTQWVEYVE LEPLFKKLGIEPTGKWPDGRPFYSVPSIYDPSTGVYVSDSIKIAEYLEKTYPNTPTLF PGNTAGVQSAFSEGFLSVLAPISGLALPSVLPNLNPISIEYLRGRVEAVRSKDLEQDW IKLQEAMDQINIWFGRNGGKGQFLLGEVISWADIVVASILFFLRRAWGEDSEEWKKIC SWNGGRWKNFSDAFKKYETVI JR316_0007480 MTIILYDIPSTLPDKAWSPMVWKISLNLKELPYKTEWVEYPDIE THCRSLGIPPTSQKPDGSPFYTLPAIHDPSTGVYISDSVKIAAYLEERYPSGRRIFPT GHAGIQIIFEEVLFENVSPLLALAFPDFVRKMNARSGWFMIEKVEAVGSKNRREDWVK AQEGMGKVAGWYEKNKEKGPFYFGDRISWCFSDDRGEKIARSGGEYVLGMEVAGGIIQ ESLWSSK JR316_0007481 MNASPDSRICSIFDCWYRGLFFEVSTPHIIYAVLGAFIVIFGMF SLFLRERLYIGEAIWAFIFGVIIGPYCADIFNPRAWSSSSDPSVIEQTTNEITLEFTR VVLAIGVFAIGVELPKAYMLKHWKSLMFLLVPVMTWGWFVSAAFIFALVPGLNFLSSL AVAACLTPTDPILAAAVVGGKWADKHVPAHIRHLLAAESGCNDGAAFPFLYLALYLTI DRTTGIAVRDWFLVLWLYQVILGVIIGCFLGFGFRHLMKFCQRHDLIDRHSYVAQYVS LAMLTIGVTTLLGSDDLLAAFFCGTAFAWDGFFNKQTEESVFSSVVDTLFNIAAFIYV GAWMPFNKFNDAALTLSVWRLVLIALLVLVLRRLPVMMLLYKWIPDIKTFREAVFSGH FGPIGIGAVFISTLAIEILQHAREHAEAATTGSGGHEVNGDFGEETDQMRLLEDTIQP IVAFMVLCSITIHGLSIPGFSLGRRVHSVSRTWSRRDTMTNTSTRGGRVREPEWATQA RRVTRAEDIVVNRDRDDMPRPARDVDLESGIVLEREKSTGSGSGSGTIDNDIDEEKTG NGYMAESGLSGDTRVASATPGGDGVHVEFVDSPGPATRIGTPDTTRPATPSAAATGGS GIGLGGLGATVADDQHRQQHRQAEDAHAHRQHPQIFGQPHHVRHPEQRAASDRDYAPD GGPVEDDGEQEHTVAEWIEGNQRVIETKRGPGSDVEVVVVDDGPSRSGANATPTARWQ GKVHELEHDAEDIGRAISRRLSQIGTKKGAGHRAKETEEKGDILLSQAKQLEQERHQQ ERTQEVVPPALATVGGDTEEDSDAWVSDTDPAALSGSRAIPPRTTSPTSRAAKNAHAR RGPPQHPKPTLGRASKPTGLARPGTADSFASLASNASSTNASPRVDASGNVLPPRNQR LENIRTGTHSSPGTRESSPSRNVRFVDYASQGRPGSSGTSVSASQSQQLPPPSVGAAE TPLAAAGDEEDVDPDTGVGEERKGRSRSKRRFRRKGDDIV JR316_0007482 MTIVLYDVPSSLPGKIWNPNVCKARFSLNVKGIPFTTHWVEYPE IEPQSKKLGALPTRKKADGSPMYTVPFIHDLSTGAVIADSILIAEYLEKTYPATPKLF PNGTRALQAAMGDSIFPTLEVILCVTYPEMMPRFTPATMDHATPILERVRTKNRAEDW KKLKECMDKINGWYARNDESGTYIFGETPTWADIVLAAWLFYARRALDEDSENWKDIC SWNDGRWKRFTDAFRRYEGL JR316_0007483 MSRSTEVLYSNDDYLVRYPVHSPRKYMVSQHLQDKGLEFTTEFV EYPDIEPVCKELGVKPTSKKEDGTDLYTLPAIYDPSTGVYVADSFPIAQYLDKTYPDT PPIFPRNTVGLHRAFTLSFAQHIEPLWDFIIPYTCFMLNPRSSEYFRRTREASFGKTM EELIPKGDGAVGNWDVLREGFSKIAYVYSFTDDMGPFLMGDEITWGDLLLCSFLSWMK IVWGEDDQKWKDVMKWDGGRWERLFNDLQKYAV JR316_0007484 MTIIFYDIPSSLEGNAWSPNTFKTRYTLNFKGIPYVTEWVEYPD IEPLCKKLGIKATSKNPDGTDHYTLPAIHDPSTGVYIADSVLIAEYLDKTYPDTPPVF PHNTLGLQHAFAAAFSSSLSPLWEFILPDTCLVLNPPSKEYFRRTREIAFGKTLVELR PKGEYAEKRWAEFKAGLGKVDEWYSKVDGPFLLGSEVSWADIIVASYLVWLKIVWKVD GERWKDVAEWHGGRWSRIIDSLDAYTAIH JR316_0007485 MTIIFFDLPSTLPGNAWSPSTFKTRYTLNYKGIPYTTQWVEYPD VEAVSKKLGIKPTTTNPDGSDHYTLPAIYDPSTGVYLADSILIAEYLDKTYPDTPKIF PHNTVGLQHAFNEALETALDPLWEFILPDTCPILNPRSNVYFRRTREHAFGMTLEELR PSGERAVTRWEELKKNLEKVDAWYSKVDGTFILGNKISFADIVVASHLIWLRKVWGDR QEWKDIAEWNGGRWENLLNALEKLSKKLGIKPTGRKTHDGSDRYTLPAIYDPSTGVYL ADSILIAEYLDKTYPISSPIFPHKSVGLQNAFMVAIGAMVSPIWQFTDLPAVGILNAP SAQYFRTVRERALGQSLEDASPKGQYAIDQWKRLQENFGIVASWYASTDAIGPYFMGD EVSWVDMVMASYFSWLKVTFGENDTRFLDIMRWDGGRWQRLYHDMQRFATIQ JR316_0007486 MPTCTNGRVLFNSIPEGYPEPGKTTVYDDSQVIDTAHVELNGGF LVKILELSIDPYMRGRMRDPSVESYASAFQIGQPLNSHGIGVVIRSENPEVKKGDHLH GLFEHQNYVVRKNLEGLQVVQNPNNLPWSTFIGALGMPGMTAYTGWKEFSHSKRGETA FVTAGAGPVGSMVIQLAKLDGLRVIASAGSEDKVKFLKEIGVDVAFNYKTCNTAEILA KEGPIDIYWDNVGGESLEAALDAANVDGRFIECGFISAYNDPNGVPVRNLANVFAKSL SLNGFIVTRLQDKYFDEFYKTIPPLVASGKIKHKEEVWEGLDKVGEFILAVQKGKNTA KAVIHVGDEE JR316_0007487 MTEVAIHPRVALLRGYPKQRKGSSQQPYSAGLSKHIDSVKLNRK VPNVVTEWAQTPRLYKPAKQEKEYDFTPPFRRNITDFQDLDISTYPSPYDIEDTEPSV LDHGASDTPANEDDTPADSKTENYLRSPSFMRSPEACQSYDRKFLSEFINLCTGHDTS SEYPRGHQYADRSQDNAPVTRPSSRLGFNREQSSALDCLQHIAAKIDEEKRRAILGKS HRYRREALVYGEDDEQDITDLGLTYSDEDEEEDVRLLFAKTINSLDSVNYTPSPGTTY DTIQTMKRFCGGTRSYGKDGPYPLSPIKRDT JR316_0007488 MESANAPSPLLLLAPELILAIGDELNLAAAKQLRLACKSLADVL GHTVLRSLTLNVSRPTLERDISTLEGLASSAKAHSACHATKELRIRSLSPTYDPAFQQ PVWRHEGGKWVEIQPTYDPAVKATAEKTLQRCLFDAIASLKELRRVEWTPFPQDNERT HRIVINALQSLPSLSQIWVSVPHLRVPMGLTLALSSMPHLREISTEGSAIEDVHESLA LALAKNQSITSISISDTWRYGRAQPRVARSFHQLFKYYPADAPPLRLRDLGLSMCLVK FDADVLCHLKHLTSLRLTDIEDPYAIPPTPHLQGNPVTPVEDEDLFAVMQQRLKVGSA IDEIWRALRNADIRLESIVVDRVPLTLLQYLQSYSGLKKLTLTPGGYEEGAMSMSDVV AAQFFGEPGVTEDEEASPGPLSGHVQTLEDLHIAAMHEGGWCFGAHNVKTIAKCGKLR KLRIAVISADLTRGPGFIQEPEPRSSVEDSIKLLLDTVSANLPYLQDVHIDGTYPSPS SQMPEHSTRTGNPLRVHRNRVIKKMSRSIAEYHASEQMKLPRSVVGGFEGHKVDYVPM IMEESGSRSWRYVES JR316_0007489 MYISKTIDRQGNGLNILVPFHRPPTRFWKNKIPVMASQSSLLSS DTSVVSHQGNIMNILRFHDGNMHTEATYDPHGKRKTSPPLEAENYRVPFVGFRVPVTS ESYPNRHSVRPKDRPPPKKMRRIARGVGKNHGTEKRNSGNRRRLLYDDDDLEVKNAAL QIVPKCPNSTQEAGVDQPSVSEIKFEPNTCQMPLSSDKVGVVIKANQAPRSESKDVCA FKVPRPPNYQPRPQFSTTLPHQHSARLHNIHYKMDRPDYIFLPPLQPPYYTPPRYPMH PQIPPPLRFAYYQARSNSFKKLPILPPPQLQNNPHPRYFYPPQNPPSNQSQFSVYPLS QQPLQIQPAPTTASQVPSELTSTYPRSTIQGSVHSPHEAQFSVVSASQLALLPVSAPP PHPAPYGPDLIHRLGSSRTEEQATSKYPDLLTKLKRLIAKYNKARSCVWDPECTMKMY GYECFSIHFVDLEPENVKNGSNSEKPESNTACSKNTIRTFTQIDLPKAGIGRTIYNTD WPAQDSKLSKKPIKRDSDTKSCLDVEKHIEIVLRLREDYIGVHLFECHGIEIGDDERP IACRPCALEKAGSVYAAIKRGLSVDIPMSSLLHHLNTIHEDQENHVHCEEVAK JR316_0007490 MQLDFPFTTVVAQPYLLLFCRGGGADGSVLAFNKTENAFHANGG IDEITDGQFPFFLKSGLSAGDFVHLAAAVGTANCPGAPRLEFMFGRPPPKAPAPDFTV PEPTDSVTKILERFADAGFSPQEAIALLSSHTIAAAHRWDLVSKRDSFMAKAYAYGTN SDTQVFLEVLLKGRLFPGNGSQPGEVLSPLAGEMRLQSDFRLSQDPRTACFWQAMVNN QERMVTEFKAAMAKLQVLGQDRSKLIDCSDVVPIPKPFKSNIKFPPTFSRKDVQIACP LLPFPNLATQAGPAPTIPPVCVTFLVYERVGAGPAMPKDSNIDGHPPRPGSQSGRHYR RKSFAAMSEYYKHRPKIQYSMVPQCFTDEDTVACLNSPEGSIRSVKQVNLAKRASDWG KARLFDAAATARSRQRLGMETSEDKHFISMLSGLSLNDLRDDRRDSEMEVDGVPSLES LSLSTTEDRDVTVPLVTSYKKERNSTRRARQASVALRTREGPYRMPGPDFCQWEECGA PLRKGTHRLIDHFPDYEWSGEEIHCQWTDCDDLFLGTKRAMALILSSYSTSIQFAPVL TPQHFAAQYDMEMAAEESSTQNMRLISEIQQIADSVQKLRLGKDSDTDKGTHAKTK JR316_0007491 MTFSLLVATVSAAILASQVASAALTKHVTCASGHVTANRACCAL FPIVDMLQEELFDGSECGEEVFAALKPSTVDME JR316_0007492 MSNWYPGSASPLMQFASEEGNQRNREPWDYEIMDHAKGEFGDRS RHNSRQASMPAIHDMDGALYANNGYPQTQGPNLSGGLSRSGVTAQHIPVTPPQHSSYF DSIVGQQYVASQQSYSNPSTTFGKYDYTHNALRKSPYSTNVANEITNHSDATVDKVPL HVPYTDTEWKDPLQRLGEKRPTTSAGAAKIQFQHHIQGLSLPYNVPGLPDTRDSEHTT GYDPQQGISQTPFNINSTVADNAAIYCSPAPSAHISPRAIPNILNPDFFNANSNPLAT SSQSRVSNNQASQGRAQPTNGPAFNSTGYNDSTPDPQQGISQTPFNINSTVADNAAIY CSPAPSAHILPRAIPNIFNPDFFNANSNPLATSSQSRVSNNQASQSGAQPTSGPAFNS TGYNDSTPPSMTEDSDASGSSRYKSGSSNNRSAALRGGILAGYSNAVASIKNFPRANT ETQSRCGWDNCNMVIDNSSRGLVKHILEVHDTDTTVSGLDVNCRWGTCNGTTYSGGTS FVKHIRGTHLRLEDIICPYCGVVIANGVSPTRKHMLRQHKDIQLLK JR316_0007493 MVNNNGVYRRNADKTWLHTDPYSATPNLSGRPTSVDSSCPSSAG SAAQFYAQETGIYIPSPGSGSPDRDVRRPHIPLRDSIGLSDYEGGPRRHLIPPPTKII TPAERRAPPMTPRLTDANLAAHTRTTSQQFKAPRYIPSVATSVSVYSQESAPRSHHHL QYYANMETTAEVPIGRRPGQIPNHSDSSSDGHSIPIMRTTAPTRPHQSDRQQGFPSFV HNTALPRDSRSLNAHDFPSAPPKEYKVVRYAMESQVYTPDPHFISYIPAGPTAASNVN VAHPGIRNYVSKRGNAKQKPSASTSSNIYEASSASASVRSLPAIPGRNEHYQLRRKQN RNLSMDGETQSLHSAKSAIVAPSASKRFLSLFRFRRFLPGGNKKNCRNNFSKLDLDGY EVKRYFAKA JR316_0007494 MAPYFLRNIVPRRETRAGARPLLTVLRELTWVQWALFFSGWLAW TCDSIDFFSVSLSVTHLQTQFNRDTHDITTAITLTLLFRSVGAAIFGILSDRYGRKWP LIWNLILVAILELGAGFVQTFRQFLALRSLFGIGMGGIWGLAASTALENLPVEARGIA SGFLQEGYAVGYLIAAVINLFLVPEVSASWRSLFWTASGISLFAAFIRMLLPESEVFL RAKAADMASGRDTSKKTKIFIHETKEMLKRHWLLCIYSVLLMTGFNFLSHGSQDLYPT YLTASKGFTSHDATVATIIGNCVSVGGVFAGWLSQYIGRRLTIVIHLLLIGAFIPLWI LPSTFSALSAGAFCIQFGVQGAWGVIPIQLAEMSPPAFRATFPGVAYQLGNMVSSASA QIEATGGDNLRTTIIKNGTPTDVPDYATIRRNHGSHFEKAKTAFEEGGGRGEMVQNEA NDGIRRESDSESGDEKRGSIIEAEKAVNSI JR316_0007495 MAEYDLSKTIIPYLDRHLSFPLLAHLAEITLFPAEQVQLAQYEL AKGTNMFDYAVSLFRQIYPGKEIPSEFDEKRTNAVSTNERLQQEAQAVLDVIENPDVA QALRQDKNQNLQYLKDNYNLTLEQITALYNFGQFQYSYGNYSGAADYLYHFRVLSTDN DLNTSAHWGKLASDILTGRWDVALEELNTLRDAIDSRSPASLLNIPEPALGQLHSRTW LAHWSLFVYFNHPEGRTLLLETFLSPTYLNTIQTSCPWILRYLAAAAILSRKAAAGVA VGGAAATPLSSRVRHAIREVVKVIQTEEYQYNDPVTSFLKELYIEFDFEAAQRELTLA EEVVGNDFFLNEFKEEFLDNARYLISEAYCRIHQKIDIANLSERLNLSQEEGEKWIVN LIRETRMGADAKIDLEKNVIEINRPALPVYQSVIEKTRQLAVRTQALGVTITRAGQQA QQQPKQDTREQVPVA JR316_0007496 MLARGLRSSLYLSMKHYPPHRSLNATRPAKYFSSVHTISNPTPR FHRFSGLQVALSVLISASLGFGTAVYLTDSPYLKSETPQFGSSDDIQNAISDLKKIFG AGSKVSTDPDDLHDHGFSANDHHPGMRHSVVVYPESTEDVVKIVNIATKYRVPITAYS GATSLEGHYRGHSTGGICVDMGNMDHIIEINEKDGDLVCQPGVRWMDINETLREKGIP LFFPIDPAPGATIGGMLSTGCSGTNAVRYGTAKGEWFLNVTVVLPSGEVIKTRQRSRK SSAGFDTTKLFIGAEGTLGIITEVTIRLAPVLPTTVAVVHFPNVQKATEAVMDVMQAG VGIQCVELVDDVFMSAINKFGMSKKVYPEKDSLFFKFQGPATIGSASPSASASLRETA EIVKKITEKHGGTGFRLARDANEADELWADRKNAHYAGLALVDGSKGWPTDVCVPVSK LPQLVYETKQDIKNAGLVSTIVGHVGDGNFHALILFKTDEEMERAKELVHRMVKRAIA LDGTCTGEHGVGIGKKEYLIGELGEGTVQLMKTIKKTIDPLGIFNPGKLYPDDVTSST QKTSKE JR316_0007497 MRNTHALLSLALTAGSVAGAGWNFVQNGTSGVLALEAIFVSPTL AIFFDRATNDPLTINDHPAWGALWNIETNTATALDVKSDTFCASGGFLSNGTMVSVGG HIPVISAAVDGRMAIRLFEPCADPAGNNCTLFEDPENLHLAETRWYPSSLRIPDGSLM IVGGIHERTPFYNVDPVNNFEFFPPKDGGVPRPSAFLERSLPANLFPRAFLLPDGRVL MIANNQTIIYDIEQNTETILPDIPNKVRVTNPFDGTATLLPLSPPDFTPEVLVCGGTT TSDQIQPANLSSQDPATSQCSRMTITPEGIKKGWEVEHMLEPRIMPEMILLPNGEVLI VNGGKTGYAAFGTVPDAVGNQSNADHPAFTPSIYTPSAPLGKRISNKGMPTTDIARLY HSVASLTPNGNILIAGSNPNGEVVNGTKFGTEFRVEYLNPPFMSEARPVLSNVPSKIA FNKRFTIDVDVPQHLPASSIKVALMDLGFSSHAFHSSSRLVFMEATLSPNRKSLSILS PPNNKIYPPGPAFIFVTIDDVTSAGTRVMVGNGLPPPVADQGVPL JR316_0007498 MITPQETPPARNLLVRTSIMPLWPSLCTGVRTWSRAAHVRGHCS AWRLATATATPRLYSTNTTSGHSASSHAEDKNVHRGGFGKAAVVIAVSVASGSLGFFL SEQNAKRNSSQSVPDSPKFGSPSDFKNAIDELHASLTPDAVSTDPEDLRHHGFSDNDY HPTMLHSVVVYPQSTEDVVKIVKIATKYRMPVIPYSGATSLEGHFRGHSVGGICVDVG RMDKVLEIHEEDSDLVCQPGAQWMDINEMLKKKGIPLFFPIDPGPGATVGGMLSTGCS GTNAVRYGTAKGEWFLNATVVLPSGEVIKTRSRSRKSAAGFDITKLFIGAEGTLGIVT ELTIRLAPVLQTSVAVVQFPDVKSATAAATEVINQGLGIQCVELLDATSMRATNNYGM SIRKWPERDSLFFKFQGPSQASLKDTAKAVEKVVAKHSGTGFSFARNEKEAEDLWMDR KNIYWSALSLIEGSRGMATDVCVPVSKLPELVYETKKDLENVGILSSIVGHVGDGNFH ALLLFTNDDELKIAQKAAARIVHRAIAMDGTCTGEHGVGIGKKKYLVEELGQGTVDLM KTVKRAIDPLGLFNPGKLYPESNLSGAKHHPDTNQK JR316_0007499 MAALISQVVSAIVGFKYGLKHSIASGCWITERVSAYVGSVCGEV FRYIEERSDTPVMVRGRNRAQITDVEAQNLRTTDADCNADFEEIEDLLRIRQEIMDLH TLLDNKRALTRPMTPEAHNINIDRFALDHRHRLNDIAIEAQIRAKYPSRNEYLAICKK YEVSPFRT JR316_0007500 MDVHDLSPYTKLNITGLMNRFVLLLYRQLLRGCREFVNEYGTGN SIATPAEFTPRKGHLDPQLPDSPIIRAVDYQRVTRRANLFH JR316_0007501 MERMFAKPLVDSLEGHIDAVEVLYRRPGSLTGVASGSWDGGIIL HNLATRKPIAKIPQAHKGKRQGYASLRMVKGFLAAVSIPLNVFPGKLRLSEDQSIHLL TFPTSTETITSVRFNERIIGARKYRIRRDIHAVRYQDWKG JR316_0007502 MECMNENAAFPLDFTYVLVECSRLVCGFMSSAGGGSRPNFPTCR TRRHQRQEEFGTAVASTTFSLAGGMPLPLRRDEHGMPISSVAPVGTSDASRESMDERG LLMEAVVVLVSVDDFLVGCRGGGECVCECGWACACGEGEGEEEDGGCERDDEDGGCVS EEASGIKDGSKGDAFSFGESTERGSGGSGGEVGNPFACSCISFERGLPSSTTPAPAVT SFSLSLSLSDEAEAAPETTDKSPDDLTVRIVSLDPFLRSVATLELDAELLVFLCSLPD PDPVPVPVLCFYLYCYQQRNSRVAEQRDGSGRVNVALSGGP JR316_0007503 MNLNSSFVLAKELKNPGIEHVDHLLFSSNGKFLVAAGDRDKVFI WNTETFRTEQVLENKQWGQVSCLAWAYTELPSREPSTVLCVGNVFGGMSMFLMDSRSV KPFTERGTVIQLFTPNDIVENLVFDKINGRLIASSHSGAVKMYSVDAVAGSANLVWEA PSNRPGIPTSVLFFGSTNEKLLSFGLENGDVCCRDASNGEVLWTKKLASGIGSAAISS DQTVIIVNNLNNGNFDVYQTPDMSPLQTLAVGDSVTTHKYFIKQCRFIEGTKLSTLTS SPKEAHMTQAIAVTMAESRKVCIAASSNGFIYLWEKRSQPFVGVGETQTQPANTLLHY ARTNGPFIAFVMACTYANWAPYLFALFAFLTSKAQYYGLLNANEGNLENLEL JR316_0007504 MENTTRQASSSGSLVEPVPMAVSEPYIGFHHPMVTSHINFTPVS RSAPPVPKTAMRINWEPRRSSADLPDDNDDPSLAPAPANPSQSTSSSANSPNPDPPNP AATAGPSQTTLPAQGVATAGPSSAPVGTSKVIIIRKPPGEPGRPGSGGFNLEDVLVGE HDWALSDVETLQDWLRREATKTLRLDASYRSQNPRLIERICNKAMRPEHWPVLANYDN CWPVKSALKLILKYKSEASRRVEGRKMAVRVRKAINNQEGSHESSSEGGSGSDEEA JR316_0007505 MSSTRRETLPLKERIVALLTPSATGSSTDQEPSSMDTSPDYPTE RLEQVEQGGAGATLSNATPSNVAVSFPIPPPGPAADEHGARTASIAPSVSQPTNGASL TNQVPVNAVILLPPRLAADDQGAQGSSVPNRVAPSVSQPSNGASLTNQAPVNAVTLLP PRLAADDQGAQGSSVPDRVAPSVSQPTNGASSIDQAPFRFATDVRGAQGSSIANSVSR PSAGHIPRIGSSDVLFPGHFRQNESSANTNPPPNPETSMDIDPTLPTSSNPSSTSTFP RFFIPVLSGNLFNFTRSDPNQSNQSKAKKRRAAPEDHTTKVQVIEQKDVDIAELSKQQ ARYPKSTFLIKQREEVLKKIIDDERAELTKSKNAIKNAEKKAERSKKEVEAVRSQYTK LSKAMRTEKESSKGLEETVKTQQGDLSSALIKLRRAESLSKAYDRQRLEAQERHEKTN ADLEAAVASRGQQLFAQISDLENDIARLQQEKSSEVSTLQRQLQQANSRIEDLSASQN TAALAQEQISSLQQQLRDANSTIENLNASQRAAATLQQQLQQANSRIEDLSASQNTAA LAQEQINSLQQQLRDANSTIENLNASQRAAATLQQQLQAANSRIESLSASQNTAALAQ EQINSLQQQLRDANSTIANLNTSQSAAHAQDQINALQQQLERANTTRVEDQQTITGLN ERLRVASNEITRLTAQLQNQREESQKQYHALERDLKSQMDLHQQKSADAENALKAEQT NRMAEMNQMKATYEDTVSSLRLQVSNLAQQCQANQGRLNELESSNTEFGNTTSALEDI LKNERIKNEVNLFPSLSIFDSDHTSKVLQARINSVMKNRTHNTEDDYEPTEIRRLDAR RRLIGINKRNAGFSIHSRIAQRGQSAVPPSNPPQNEASRDEDDEMVDSSPLRNSPRAP NSSPPLDSDDEHMEDGTTHQRPVPRSASPRRYIIPGFFPTRYTTIPPSQRRRDSNRAT PIPPTGQSATERYFAAAMQRLGRLPASNSTIAPTSATSAVNDPYRAAYQARHATRPTV SPVVDRTVPAMPTTPTTPRHSASEVRTSNTSVPPNSSTANPQLAAYQVRHANRSGAST NPQLAAYQARHAERSGASAQRAKSPATAQPAPPMANTPRHPTSTVTAPNMPSDDDQHP SPRPNTDNMLIECIQTMTASVNGLRDDLANGRGPRVRQTPTKVKSPFKEKAPARPRTI DRTQLTQAVRLHLRKCLDLPADHRDSQIYSDLDNIHIADEVEVDRLERRRRDPPTMTP FQLYFDRVNTQWNRHMANLFADSLVRQYPEYRGQEEEIEQCFLRRLTTLRDYMSNAMP RGDETEQDAGNRLLMEKEGRDRFKRVRRRQTKLYETRVDSAQQANMPNHARTIVTLGD DGMSSDESDSEERGIRSVRILYWRNPRLLNTVTDADNALPATTAYGGRRPGGQPDVRQ RLRQGQNYSTRPAPTRLPINYYNPVWLNTLSDLELRLLDPQAAQPFPGEDDEVNPAIY T JR316_0007506 MTLNARYYAVDANRAVTKKIHTLANASGRSPRLDLLMGGKSLQP HIHDGVVSIVNKGRTTRFHIFVKNHKFLPRNKSLRRWGNARWRGDVVVFRKGLSLDLV NFTIRDGKLADHAVKSTSSVAQTRVFRENRNEEDPRIRQFLDTEAQVDDEISEEDEQT GSGEIDREDGFIDDDTPPDVGHSVLPTQLSVHRHTEGALERLISRIESRINAAGDGGF AIPEDPDDTLHDNGLLYIPRADDYPLWRVECRVGIEEQAVMSLLSTVSEVHQVRSAFT RGSTQGSIYIECKMNQALVDLLLRTPGVLRNGLGIKRQLIDSSEYSQVLGMRDGPVDV GAWVVIKKGLYKGDVGVVSQKSFQHARILLIPRLHTTPQNPLKRKSSTVKPAAKLFDP DHFRQLFPTDVQSRGPDCYCFRDMDFEQGLLAQNLDHRSFTVNVKDIPHDFYTMFRMS QHPAVNRSHMPRPREWTLKEGDAVLICSTPSTSTSFFAPAILKVMDTYYAEVLEIGTH GVPLADNPTKRVPWQEIRKDVKIGQHVCVRGGHHTGKTGWVVALKDDRVHFVSKKFEG EIPTYFRDGDEVIESTEVFVNFVDIAKEPVVLHHKHEPEQTAIIPYVRQPESGPLPKT PPHPWCGVKVKISKQHHPRKGEYGVIQDVKENLDDNTITLHMQLTRYDPNAPFHRISV RYDDVVEFASSLELVLFLDPGEGHIRPTPTQTLSTPQPEQGHDTSAHHPYLMERPSGS ATPLPTPAPNNLSSPPSPAWDPSSRTPLSDSVASDPLWMPPAPPPHVLLNPKLVGVKL NAVVDGGDFSKQLIAVSIELHGNEVVLRHKKYHTWISLEPRWVTPKYPHPIHDNNLLV VIKGDHSGKYVRRIHHRLDQLTKKINIILSVVARTVGSPDSLTGEQLELDPQFLCTVP ETKKERELNWRVMNTTRAMHNRGPSQNQ JR316_0007507 MDDVDVSRIMHEEARIEIAPPMHPGAAPLFPPIYHQEQLRQLEQ LYFFSRRAAYCFIRPFHESQSHGQVNLRSKGEVVFEAASNYLKTTIDGMDTG JR316_0007508 MRGRISRLSTLCKCFPAVVRRCDVERFLNIGRLYPEIDPPEKRI DMHIDLLRRGEFRDMECVNDIVKIEAQSDQLAETYFDGFDTDLARKLVYVTAFDHNLD RRF JR316_0007509 MDGVVVQRRDTRSRADNTYERPNDPVVDSKDRADGAVAGAVKKQ ADNWPVEEAGASIEARLGGAPTGTDGAIAIHGDEAYVPGPDDVLVCAEGGGPVCSECE AGGVKWSPKRTTTTTTLQPS JR316_0007510 MAPLVSTLFDTDMEKTTPVTTTLSRKSSKRSKAVSRKTVDFSAE PVPPVSPPRLADPVKKETTAIVRATKLEVIELDEAFIDFWSDSLLDPITATWPTFIIC KFKSTVVPQLLYGPVQEGGKQKTLKWLVLEQAFTVRPAPPPSLSAVPRPESARPVSPA LSTSGRNRFSFWSMSRTASSSSQSSQKGKKKERALNVGEMGEIIEEPAEQAKQEVVQL KAAPSKSKSSKAEKAQMPIEKPVEAVKQLADTSDTPDLETAAIVTGAAITDAIAGAAA AAPAAKIPEPAVEIQAEAPAPAVEEPATVSETPAAVVAESVAPAAEVSPPVTEALVIS EPEAPVLDAPVEAEREAAVEEIQVQAPVSEPQPSTDAPSVVEAQETADASTDDDAAPV EASVSESQVAVPEAPSASFVAEVDESAPEPKAPTAEAIAPARGNRSKDPVLKQVSEER ASAIVAEAQEPVVESVPPLATAAKEPLVAAPAEVAEVLVESNRPPLLTTYRLQQRKPP PSPTKSQLRMSKNLRLFWQQILPRHQLRLPKLPQLMLTPRRRALRKNHGLWTTPVAEV SGASQPENLSQVLVSDVPVAVELQPFKADYQAPIVEAPAAEPQPQENLSALP JR316_0007511 MAALISQVVSAIVGFKYGLKHSIASGCWITERVSAYVGSVCGEV FRYIEERSDTPVMVRGRNRAQITDVEAQNLRTTDADCNADFEEIEDLLRIRQEIMDLH TLLDNKRALTRPMTPEAHNINIDRFALDHRHRLNDIAIEAQIRAKYPSRNEYLAICKK YEVSPFRT JR316_0007512 MRILRALVDSLSESITRSAPAVYIRNPKYDTLSIEATLWNGDPF FRYMKAVNDQKNTPLIAGIGVEVATRRRNKFAGFIKNIEIKEQDKGVINIDVTVNQAL GNCPKYIVLRELEPRPTTSPVIQHDHSHLSPEERLSDEAIALILASDTVFFGTTYSAE ASEQSMYPSHLGMNHRGGRPGFIRVKPSDGRTVVLPDFSGNRFMTSLGNVEATPFASL TFIDFKSGNILYLTGKAKNVYGADARAVMPFQDLLTEIHVTGYTYVVDALPVRVAAGY VDQLSPYSPPIRLLSEEVPDTKLFEKENQPKARLAKISTLSPSIAIFEWEASEPLSVK PGQAIILDFSPLLGSRQYQHMSPGKPSLVNDDFIRTWTISNTLPQGSNSRLFALTMRE KTGGVVTGALFNILRKLTEYKHAALEDARVLSLDVNIVGINGDFILPPFHPPSLGAEG LSAFITSGKRHLYWFAGGIGITPFLSMLAALSQVPDAELPRWEITLIVSTREPDVSLS LISRAIGGTVCPAYLKVHIFTNAQVPDIEPEFRVTTHTGRIDSAFLEAQQEQLRKDSA EVFICGPESFEKSVLEFVGKVGADIGRVRREGFAY JR316_0007513 MRQRLSQVLAVAGAAYGAAVGPQWEFVQNGTSGILALEAIVVSP TLAIFFDRASNDPLQVNGHPAWGALWNFRTNTASPLDLVSDSFCASGGFLSNGTMASI LLFTFVSVGGNIPAITAAQDGRMGLRLFEPCDDPNGEGCTLFEDPENLHLVENRWYTS ALRLHDGSLIVVGGMHEDTPFYNTDPANTIEFFPPKDNGAARPSPFLERTVPGNLFPR LFSLPDGRVLMIANNQTIIYDVETDTEEILPEIPNGVRVTNPFDGTAALLPLSPPDFT PEVLVCGGSATDDRIPSENLSSQTPASDQCSRLVVTPEGIKKGWQVEHLLEPRMMPEM ILMPNGQVLIVNGAMSGYAAIAAVADPVNGSNADHPALTPSLYNPNAPLGKRISNAGL PTTNIPRMYHSVASLTPSGNVLLAGSNPNAEVVNDTVKFPTEFRVQYLNPPFMSVARP TLSNVPTKIGFNEKFTVDISIPKNLKATNIQGFSSHAFHVGQKLVFMDATLSKNKKSL TITSPPNNRIFSPGPGFIFVTIDDVTSEGSRLMVGNGLPPPVQDQGIRI JR316_0007514 MANSSFTHSGQTEKNSVESQSGSHGHDSELGRAIVARFGALGPF ISKLFELGIEARGVERIPEDMRDETHVWNNIFMWWSVNMVLTTISIGILAQQLFTLTL AHAAATILCFGAIGTALTSFIATLGPKTGLRTMIITRFSSGYIGGIVYSILNILTQLG FSVICVIVGGQTLASINPGTLPLVAGIVIIGVLSVIPCFIGYDMIHVCERYAWLPLTI VMLMLWGLGARAGFNISSETGHEDSGRSLSSDILSFGGIVFAGFTGWAPVAADYNCRI PAETSSRKIFVMTFIGLYLPICFVELLGAALTSITDPAYADALASGSTGGLVAQVLSP WKGGGKFILVLLALSVLMNNIINTYSAGLSIQALGRPFAIVPRFFWTLVAFVAYTVAG VTGREHFSAILSNFLSILGYWTAWFIVIVMEEHFIFRRKGGRLGGYNLDDYDTPSRLP IGVAGLLAAGFGAAGAVVGMSEVWYTGPIGKMAGSEFGADLGFELAFGFSAITYPPLR WLEIYYTDPDLVARHIFRGSPYHYVPTEGVAITFIVLFGLSTIIHICQGVYYRMWWLL PTVCLCGLLEVLGWSARLWSSFNPPFSPPFEMQITCTILGPTPFLAANFVAFGIIIQR LGTQYSRLSPKWYTILFCTCDVISLVVQAVGGGKAAVASGNGLDPTPGGHIMLGGIAF QLLTISIYAFLAIEFYLRYTQNWPILRDGSQDANFVREPISEKLTLMSVALLFSTTFL FISRAVYRTVELSNGWNGRIISTQVLFNVLDGAMIVLTMYSMNFAHPGLLLGRGSSLE KEIAKAKEKSNERMEKGKA JR316_0007515 MKNERQYRQVKKYDPPMVSFKSLLVLCSAAVAFAIPFGNSTEEI DRRATITTSSTGTVNGYYYSLWEQVNSGVSMNIGTGQYSLTWSSSSQDVVAGIGWNPG SARTITYSGSFNPGGNSYLSVYGWTTNPLVEYYICDSFGTYNPSTGLTHKGTVTSDGG TYDIYQTTRVNAPSIQGTATFGQFWSVRQSKRVGGTITTANHFNAWKSLGMNMGSFNY QILATEGYQSSGSSSITVSEGGSSSPGSSSTAPTVEELAGLEEPAANLHTHARFPTPT TLNASKEA JR316_0007516 MVSFKSLLVLCSAAVAFAIPFGNSTEELDRRETITTSSTGTVNG YYYSLWEQVNSGVSMNIGTGQYSLTWSSSSQDVVAGIGWNPGSARTITYSGSFNPGGN SYLSVYGWTTNPLVEYYICDSFGTYNPSTGLTHKGTVTSDGGTYDIYQTTRVNAPSIQ GTATFGQFWSVRQSKRVGGTITTANHFNAWQSLGMNLGSFNYQILATEGYQSSGSSSI TVSEGGSSSPGSSSTAPTSTAQQPQPSSGTVAHWGQCGGIGWNGGTSCESPYTCQVSN PYYSQCL JR316_0007517 MSSDNSIPTNFAEQIISASLNSSMLYNLAMGMYTMLYGGTFYLY VSRKTAHAGRRTVLWAISALYFFTLLVFIMQWYQLNSAFVLNGETRDSIFFAISLVNP QWMWQASVILLNFTLAVADGLLVWRCYHVWGQSLKVALVPSMLFLAEIGLTVTSAVLN SIFGEITYNEAETKLANNITCALDFISLGTTVTCTCLIWYRIHSTLRLGGPSAKGPII RALILIVESAALYSAVLLLDAVSFVVPAFSKEESPLFEFGYYITILLNMAAGIAPTIM VARLAFMNQKPDTRSLPITHVSNLNFHHSSDTAGSAAGVADTEPKSVSSATGSRENWA DQYMHSIARFFTPSLYCKKFDIMIQNRLNRRGTV JR316_0007518 MPVSDAILTQILAQLEAMQVSQQTMQAKLDALSQHKPSSPLEPK SMKGLPNLATPSTPPREGTSTSTQTDDAKESVVSVQAQSTRAALSSAPPSLGPAAASL TDKEREKLLYPGRVNLTTYPDQHGISPHPLRWGAPTPAERGPIICSRLPSSIKHRNAI GAHSGSYSIYRALSIAMGSLSPTHKPDYSLTEPPVDIPPNPTWYDPSKIVSFDPWGHV VPQVFQKEIEEQGLDVRPSIAVTKAHLKMSELDDAARKGDIKIDGSIVLKSHPVRNVD GSENTSVDPGVEVTVSKAAVEPVWYLPGVAERFGISETLLRRALFEDTGGMYPELITR PDIKVFLPPIGNLTVYIFGNPAFMSDESKELTLRVHDECNGSDVFGSDICTCKPYLTY AIEECIRGAQRGGVGVVVYFRKEGRALGEVTKYLVYNLRKRGGDSADRYFKSTELIAG VKDMRFQALMPDVLHWLGIKKVDRMVSMSDMKYDAIVKSGISIWNRYDIPDHLIPPDS RVEIDAKIAAGYFSSGKQVTEADLVKTVGRTWEETEH JR316_0007519 MAVPHVDGIENKLEIPNDIVKAMDAESGSQLDTGDSEYVNKCRV VNGAIQDIGMGKRLFIVTGFGYFSDNAWMVAINLILPTITPELTSSSRLPYLTLGQNI GLLLGAAFWGIAADIWGRNLILFLWCILPGLPNAHRNGGGMDSFFLWSFDELTSLEEF LPGTHQYLLTVLSIWWAFGRGRDLEAIAVVKKVGEVNGKEVQLSVDALTRSGSYIEKV KIRQPHENMDYMAKEGDAPIIPTKRMPTAKSRTSSAILNAKSPILGLNHIKPLFATKK LMYSTSLLIVLWGLIGLAFPLYSSFITYYLATRGAHFGDGSTYVTYRNQVILAVVGIP VLTGVFLLLSLTSRSSPALLGWNCAYVFTSKVMYGVLYALTPELLPTRERGTGNALCA SANRMFGVMAPIIALYANITTSVPIFISGTIFILAGLVVLALPYEPRGKAAL JR316_0007520 MAVSLADDIENKMEIPNNIMKAIDADSPFQLDTGDAEYANKCLI LNGAIQKIGMGKYQWRLFMVTGFGYFSGNAWMVATNLILPTITPELTSSSRLPYLTLG QNIGLLVGAAFWGVAADIWGRKISFTLTLLMIGIFSLCGVFSNDYPTLIGLGAAWSVG VGGNLPVDSSIFLGRGKDLEAISVVKKVGEVNGKEVELNVDALASTGDHTDKVKINQP HDTGDHVAKEEKSTILLTKCMPTTTLGTSSAIMYAKFAILDWNRVKPLFATKKLAYST SLLIILWGTMSFLLFWDTLYIDFPY JR316_0007521 MPDGSILSFRLSPPTTPNKGCSSLYNRSATFPGLSAGIPPPHFA YTGNSGGDILHKDSTTSVSETMSNMQLHERPLRHSVLPESEEPVSPIPDRMFAEIPGY HLRYSEREHGSPRSPGSPARKMSMAFPARSPSSSPKSPGFHNMGRIPSISKLRERARK TSTPYTRARSTMGSSSVSADDRDILPYDTFKVSNKDKMIECGWQGCKSQIRNNQDALQ THLGRHHMNSNGGKCQWDNCTDDTKFFIAENLAKHTAAKHVATYLRTCPLCGTSLTPQ AVAAHMKAEHAEKVLYKS JR316_0007522 MTTTATSPTTDPHIELNRIRRPPPLSAVRFFTEENFSPPPSASA SAHPHNIHNSNDNNYNAGNGPHGSQDHLPTSHSYDDAHSASNHIRPLWKRALFELLEQ PTSSRAAFVVHMFTIFMIIFSASITVLETVPAVHSISTRVWFGVETSIVALFTVEYVA RCLAWSYSWQSLFHWQFSFFGVIDLLSVLPYYLELLLQQDTSVFFRFSILRMFRLLRV FRPFRYNHTILLTMEVMYLSVRRSQHALLAIGFFVFMILTVFSTLLYFAERGTWDELL GTFINADGDPTQFSSIPAAAWFVLVTITTVGYGEITPRSFLGRLITLPILVCGLLLIT LPSFVLGREFSLVWEKMTVGRGVGGAFGGAERNGNGGTEGDADGEGADAEARRREMYA HDNHSLYSPEFSTRRLGQQQPRQYPSYAAYPSYAGHTPYPPRTPAFALGSGVSGLGSA SGPEGTMRSRGRDLSNLKLAQNQTELSRQIEELAAAVDVQGRVLERLVGMLDELSGGK EVGEKKAWLERKRDAAS JR316_0007523 MAPTDFYPSHISPALSSTTNPETHNGNVLTYNVNPDSMSPPTTP RKGSSSRSKLSATIWDTPQTSSTASGSRLMSNVDLPERPPSSNSTRNVPAGPIPGRMF AEIPGHSSRYAELGHGSPRSPRSPARHSSTTMPIRSPPSSPRSPGISRIPSISKLREK TQKAYAPYTRPRSSMGSSSVTASDDTKILRYETFKVPNKEKTIECGWPGCKSEFRNTH EALQSHLGRYHVSGNGGKCEWDNCEDDTKFLMAENLARHTAAMHVATYSRICPLCRES LTPQAVGSHVRTKHPDKVLYKC JR316_0007524 MSSGNNGGLPPTDIPDSYTHRITVEQLQGASNEGGGAPPRDPQL CVLSKTCPYKMYTNALYTFAATTAEFLSRREHAILQHLIEHHAISTIKGRPPMIQCTA CAIFTPKGAGGMILVENIARHINLVHQPSPYTIGYCILLEKKCKCKMYGSKLYVAPVT AQEFYVKRGEYIATHLQTMHGIPREGEPQTFHCKMCLRDGKNFVVREDTFADHVNEYH QPPVFDILKPLK JR316_0007525 MHTHLKEPKPLDRHIIRRHNMREQILIIHHLRTFLVDRAESKVE SGKRIENLKSAFALFQHPNHNHNLIKAAVNLKYQEYYVNVVLAVIQAKSGTSGEELPS AEVSQVMRLFIWVLGDWDEYGFVYSRNNIEKPGRRPPPPESSSRQLQFDIPNGSMFRS KSAS JR316_0007527 MQPSSLSNDVDSGDLAASPAGEGDLLKEIQERLQKSGMDGGLIN GEVSGGALLLINDLAHEVGKLRTRNAILAQELRGTKRSCEDNESETEGGPSKRLARTI SDQEQAKAVNRRLRVISGVKVPGPLLPKPRIIKDTSNDVAPLQQEDEELVPVPPDSDG EFSPSGRQRSRKEMVTYVHARRQQRERAMRRNREIEDARRRAEMEFTGRTPDLFGVVH LPRQAPQRDNTLRGMALASTYISTRSNTVFVRSTALLAEKWERKKPSAYRASMGSNRH NVYEKAPHGFPESPFEATQLVKITQDVRVPPWVRVEAYTLLDIFRDIAGRVIANCRDR TMNYVLTIALVDYIPDVDKSYFAKTAIARDPRKLEAAAHDISPKYPSHHRLLEIDDLG RSILLYGHPGGVNPIVGAILNMMFGADRRSVFGYGLSRMLSPADRNARTAFVKQFAII AAHSNLYREGIRRFNADNPGKEFRPQSGPTYRFTRCEIDPDHIRNMSTGLVIDVLINN GIPPEWIDHAYNFGFQYFNQKHSGTTMDESLYADIDNERLRRLDAFGEPAPIAEWDGW RPATEGDRIRLALILEQEEGLQPPRFSLRDSGWLLAGQPPTSRFLVNRPDPMIVEPGE APALTTQPTPITSTAAGASKTPSANAPEVEASLASAASSALTISEEPRPHSANAPEKV LLVTIRDKGLCPCPTCLVPKSKLDQLGLKRDKNLRTDNRTVCRYIVEQVALARDAIYC LGHSIKSEVVDRLLKPFSGVPTENAFVARLGLDFNPSDMLTVDLLHEFEIGVWKSLFT HLIRLLYAARGGSDELVTELDRRYRQVSTFGNGTIRNFAENSSEMKKLAARNFEDLLQ CAIPIFEGLFPDPHNRQISKLLYRTAEWHGLAKMRIHTEGSLKLLDELTFEFGKLMRE FRDKQRRQAQKQASTVENNAPAKAPLSRRSIKFNLNTPKFHFLGDYVRHIRNFGTTDS YSTQLSEVAHRLLKSLYKLTNKKDANKQIAQKYSRMMALQSTMPYQQDCMAERAEAAV SGHLQDTHYISKSRNTPVPIFAFMQNDTDPAKKNFLHLLKNHLLGRLTGRTFDGDDTD SLFDDEDCNNLQFINNTMFRVNTMRTYYTTYDMRRAYDTISTRSHPFVMVLSPETEPG AHPFWYASVIGVFHADVQHTGPKSRNCSPKRVEFLWVRWLGVEPGYKSGRKLACLPKI GFVVEDDEFAFGFLDPSLVVRGCHLLPSFVDGRTNELLRTTGPTQARPPQETDDWMNY YVNIFVDRDMLSRYLGIGIGHQEASGRFQFEEPSDIAEELEAAEDDGPGCPDDMSDDS ESDNEDIDSHSANEDFDDDTVDNDDLGFNDL JR316_0007528 MNERALALSRQIKRNRANGHSSEKHRNNPTVRLLLQWANNGQTS KSIGQINELVDTVLLAPNFNAQNLIGFDARREHARMDKAIATLGLGAHFRETCVRIWV PSGIPHCPPLPYLVPGLLHRSFTSLITEMFTGPLAKHMHYAPFELWHQSPRTNKSERI FSELYNSDAFLAENEAIQRRGALPPDNPDCKREKVVAALQIASDATHYTNFGNAKGWP IYVMAGNLSKYICAQPGSGALHHLAYIPSLPDSFKDFASENHPKWKSQSQQLLTHCRR ELIHGVWKIILDDDFKHAYLYGIVIKCHDGIERRFYPRIFTYSADYPEKVLLVTIRDK GLCPCPTCLVPKSKLDQLGLKRDKNLRTDNRTVRRYIVEQVALARDAIYRLGHSIKSE VVDRLLKPFSGVPTENAFVARLGLDFNPSDMLTVDLLHELLYAARGGSDELVTELDRR YRQVSTFGNGTIRNFAENSSEMKKLAARDFEDLLQCAIPIFEGLFPDPHNRQISKLLY RTAEWHGLAKMRIHTEGSLKLLDELTFEFGKLMREFRDVTCTHFETFELPQETASSST ETGVYCGK JR316_0007529 MESARLLHRLKIHRANLFGYNKAGSTSVFSGLRSTLSKCGRRQR TRSMVRDGGKRQTTHGNYAVHHGNPTAPVRLAPCHNDKHGQRTCSSTAYRLRPDDNAR HRLAVAQIKLGDIKEGKICIPHRSNFP JR316_0007530 MLENTNEAPPTMVGIPLAFIADTPEKPAPQPARVDTDSSSKESE VECSHSECESESDECWCQYEPKVVKIKKTAEERAKERAAAAEREAKWQNFITQRAQRK MAARAVEASTIICTQINSDIGHDKPNTQLNEINNEGSGSCEVVDEPAAKVTAQAQ JR316_0007531 MSSYSYQPGSAFHPGAQGSSSHGHVTGTPEQSNVVTQHGHNAPT YPVGFNGGPPYTQNERNHALGGDLGMPTSDNSFYPSHSQPQMPAPTTASTPGYQARYG HHLEPNASSLSMSSDTTSQNEISAPDTSTLQTQLAFYKRRIEEYEMGDEERSRKSKKA KTREKPPERCFRKAVSLYVSAQELYRDWNDDYLERNGMASAKFRDVDTKRNFDRNVSS FNKLLEYIPRLQMMIDGDASSQEIREYLHKIDQGGNAARSDDCNHIKEFFRDFLNAEH RPEVLFTKERTGRGVQNDITGKYLCPIHYNWDDPSVCTRIRAGNIMDPKFPIFVNYYL WFLYPDGYELPPNGRVEHLFLRSLILVKVWCYIFTSPGSAEAIIKNPNGGPPIRNRAY APSTKSTKTNVARLIGMTSVTAHSIAYTVIMTGFNLTDALFWTSKYNNFNFHALYDFI VDYFRESTPESEDLLRWWNSMVFPNGVGAAIDRTEASTNASYSLLEASRATASTTFST JR316_0007532 MIKTLMVYTVTTGLLTSLVALVLLIVAVALPKSPAYLSVPNWLE NVYVSALLATLNRRDEIKKEAMPMTGVITLPLESLGTNATSEQGIVVMGSEDTGTSYK S JR316_0007533 MSTHDDHHHREGATADLVDIPVELKRQLVRIGIDVDRVVARIKA GDLPLIKEVLAHVNKLQAKVDRIRASNSVIEKEISSNKRALEDSEDEGTIQNKKRVNT AGNTGRDLNASRWANKDVEMTGKEQPAKERMVAGIRTPHKLLPGPRIVVDPTPPDASG NNTDGGHKNAKEDDHSDDEYDHRRGRWRSPLEIKTRVANREKLARSGARANMEVVGRI PDLFGVVVSPHHTPQQNNYMYGMTNVNAYVSIQTNTVYVRSTAVNAESWEHTNATSFR PSPQHTLYNLVPRGFPRNPLEVEHLVDITQNTGNARGYASWIRIEAHDLLEEFRNIAL AVTPSNRDRAMSHALTYKRTAYVPDLTDKHWTHAPMPIEAKKMHGLVEDVRNETVKIP PPERLLEIEGFAKYILYYARPGSINMLVGIIMDRFLRLDRRSVFGGGLLRIIRPVDRG AHSAFTRQYVILVAHSNRYREAIARYNQENPNATFKVQRGPPFKVIRSSADEHSARNL TVDDVINVLLENGIPPEWVDHAYNFGYQYMNQKYHATAMDDDLWAEADTEQLRRIMEF GEPKGIPEWSGWRYPSEDDRIRVKLIMECEAAMKPPIISLRHTAWLQAGMEPTALYLK ERPQAIVEAYRSLTASNAQHANPILHAKAQGAQGLQAADAANAALAEMTQGLNLQDQK HEMLDYGSGDDEVDDKTTDANMSAEE JR316_0007534 MDLTASPAPPGHYDNIWNPWNPGRAPTPNPDWHKNYESEHGESE GFGDDEDEERSEKGVEGVEDDDEEIIADLDTRLDPVWELHSVILFNRQKEIKEKAVEV VEDAFLHADMPIKRKSEDYMQMVVKIATKFARKYHEIRLEKESHRERTPMGKVFDSAS LSSRSGNSNKKSSTKGTVSPKFDIHPNITMRPNPVPRVNNWADRVAYQKMRNQMLAQK GESYIEDQGVIFEGHIAKEAPPHASTIPNVNNKPTKVIQSKASEKLPNQEVTTHKGGY KRGFSMPLSTIENIDTPGQPKAKIEHKRAMENRLIRIIDENLGARMELPTGVKLNLKL DTNEKFGGSAKFSTLENWLLAICHSFAIRQLGGNDMDTMRTKLIGEFLKGEAHNFYSR HVASPQRSKIKWTFKEVILGLYEHFVHPSSMQDAREGFKKAKYNHVKGIQAFYNSLME FAQNMYDWPDKYTILEKFMDGIPEDMKIHLLKDIGLSPEMNSITEFVGYAIRYEKRVK TLTYYQKKDTLGHNENIRNPNIVRRGNKIMVLRSALSEEAAVKTTDKPLEQANREQPK ASKDTKTDNRAQTSGNNRRGPNVVKCYNCGKEGHMARECPIPREKRLHLKAARTAIPD DNDADVSGSEELEENMDIDGMDTRSQASEYTEVEMVGSEWYENDEYSEGDHVAAMRVR AIHKIFNCDSDGDDSCPELDPISDSDDEDPYQNKRAMALYPELERIENNEDDPEVANG DAVFMTAMTDIPEKDAKSLNGIKMRKVKIRTIRKCRMRPQVKPEHKLCLATFTNIAGM DAWTLWDSGSTTTGITPSFAQIADISVDTLLDPHILQLGTTGSCSVIKYGADVQVVIG NKTTTTYVDVANFDRYDMIMGTPFMHEHKVVLDFNKLVVRVNGEEIPAIKVSRPDADS RLCRQRATEGQKEQLD JR316_0007535 MSSYQGITTPSASHELPKERHPASCVLNKACKYKMYGSVLFMKP LTKAQYYECRYQAILRHLRDCHGIDCTPGNTTRIQCLGCAMFGRETWVEQQNLARHIN QDHQPPPYAIGYCPIDDNCPEMVYGENLFAHIMSAEDMYVKRYGFFDTHTQNCHGMNC GGELRYFLCPDCQKVGNQVSIREDEYAQHYNTFHQPPPFAFDPKRR JR316_0007537 MPLPTRKIGTDDVTAIGFGLMGLSGFYGAVDTDEERFKLLDAAV EMGCTNWDTAAMYNDSEELLGKWFKRTGKRSQIFLATKFGITPQGPNGKPEYRMDQTV PIEVTVKAMAELVKEGKVRYLGLSECSASTLRRAHAVHPIAAVQIEYSPFFLDIEEEH VGLLKACRELGVAVVAYSPLGRGLLTGTIKSNADFGDDDWRKAIPKYSDTNMPNILKL VEGLETFGKKYSATPSQIALAWLLAQGDDIIPIPGTKKIKYLKENIESLNITLSPEDI ASIRTLATTINPTIIGGRSRMMNLLFADTPAL JR316_0007540 MPSLHLAGRQRQRRRSSHITQAKPGTYGIPTSTTHRPPALPLPL PQQQQQQTTVTFAPNVTPTSYAAGSDDVDLEVDFELGMGTGMGTGVGMGVGMGSGGTH GYGYGQGQGYVSYALAAAIGNPSAAGFNGASGSQLRAGVGAGSSASASASTSAGAGFG AGASSSSSSITIATPSSAPPTSSTNATAPTSTTTTTTTLTPTPPAPPPAPALTAALAP ALTPAPALTAALAPAPAPALTPAPAPAPRRKRAPPHKRRSQGYIPRPPNAFMLFRADF VRRRHVPGSIGIDGLSIGIDGLSNGNGGGGGGGGGGLSRVVGTFWHQLPLEQKKIWEV RAKHEKAAHKAMFPNYRFRPVHGKGRGRGKEGVGVGVGDTGRDKDGDKGRNEGRKGDK DKGREGGKDKDRDRDKGKGKERDTDKDTRQEGRKGEGQREKGKEKERVMRTDTDEIAH AVQRMERALSLHDDLDLDLDGGVGSGVARDGDGGGGGGGVDDGGGEWYDVDVDVDLDF DVDGGSGG JR316_0007541 MWKWKWKEEAREHLDLDLVLGVVPVFINPFAHSNDSSAYGNGPA TAPMALSSWYGYGYGEGSFNDVGNENNVGNGNDFNGNNFNGNTFTASNFTGVHFNANT FNFNANTFNATMDPLHVAMDTDAEIIPRGHRRSSSVPLPNEWGVYTHGHTFGHNFGHN VGHNVGHSFGHTFGHTFGHNVGHSFGYGSGYNYGNTFGHGHGSGAQIFHGGAGAGATG NIAVRGVPQFTNPFSTGLADSASTSASTSAPSASTSTALSAAAATAASASASTAIATT IATVQSTALDTATTPSGDEHVDPRRQRHLNQQQQFYTPDIDRDVHAHAYTHQSTADVG GGGGGSVHHPTPVRAMPFGLGIGVGVGGGVGVGVGVDRQVYEDQDQTRDREHNQAQGQ TRYQTQNHGRDQAQDQDHEHEHDQARSQSYLQAQYADHAQYQSHQTHSQYSHLHFHPD IQNQLQNQAHLQAHLQTQYPSHQYPSQFQHHSHRYPTQLPSQHPSHFPNPNTYPYTYP HANQQTQHTQYTQYTPRPSLRLSVAFEMGFVGHRRASSAQGRLMRWGWGGGGGGGGAD AGGGAGGGGEGGEGGAGGGGGGGVGAVGGAEGGGGGGGGGGGGGGEVSGGGKQEREVE REGEVEDTEDQGHLQAQENTTPTYASFSRDIGVHPDSHSHLHSQSHRHSDSHSNSHSN SSAHAHSNSHMHMHSNSHSSVHSHSNSNSYSSVYSHSHSNSSTHANSPITVPRLPSFD MSAYMDMDMNMDSAMGMGMHLGVGLDSGVGMGMGMGMAENGMGMVENGMGMVESGMGF GAGLDMQSGMGMGSGMGIMVQGASGDLDVYAVHQNAQGQGQGQGHALGKGEYSGYHVY E JR316_0007543 MSSYQGITTPSASHALPKERHPASCVLNKACKYKMYGSVLFMKP LTKAQYYECRYQAILRHLRDCHGIDCTPGNTTRIQCLGCAMFGRETWVEQQNLARHIN QDHQPPPYAIGYCPIDDNCPEMVYGDNLFAHIMSAEDMYVKRYGFFDTHTQNCHGMNC GGELRYFLCPDCQKVGKQVSIREDEYAQHYNTFHQPPPFAFDPKRSILSTLAAESHFE DIVYILENTYQLFILTGILQAMIKYVSSKESN JR316_0007544 MSSLGPSAGALLCSLLWNKRTRSEQTRATSVIKTLIGYTLTTGL LTSFTSLIGLILALTFRRTMIYVALINSLGNIYTNALLASLNRRDRIRSVMDPTMEMI SARSQPGTGAKPKEIGTIVFAPSEDTYGNQSVLSKTGEV JR316_0007545 MPKASKKKGSDVKPKIPDIPWRENDNFLTWQFLTELERHENYRI IFGKKDVSENTSGDSRTTVFKRIAERILPEIYLLDPGTIGDRLRAYLDRLRKAYQKHA TKLRQTGEGLNNTESESTEELLDYYVPPEGPDESTPTRIVNIWQQIEREFEFFPRLHN LYATRPNVTPIVITTAVGPQGRKTVWYQPPSPTDVEPIGPCSNSVEQTINLEQSLDEA TQPSQLSVTLSTTSGSDTLGPISSSQIRPPKSSTISRHAVEKARNNISKVPAKRSLID TLVEMSDKTTKMWSEDAHQKSLLQKRTLLLEEFKLGLWSKNEYHAQIHELQHNNTQDS LPRVLLPSHQRSLEWEEEASTDGSD JR316_0007546 MSSHQGSYIGTKLAEEGKVKPSDKQGANIPCVSSQIKCQLCDSL RKNVHDEQDRVFKSNKDPISKSLTAEESIFQNLIDFLDNFPDEKLDAMSDELSDEILY EFLCQLPDELLLEFRDILRDGLLDSKLSEEGRHFEYSHGTNRVSSDENNFQAILNNAV DNYRKMPSQDNFRKFVDLVESLLQEAKEHLDKLRDGADRQM JR316_0007547 MESTTGGFISISLGETTHSSVGDQVGMPVFASADDVNAGTDSEA QTKMTTRRGTINAVSVVSTNADAGQDGYANIKVEKHVTTVV JR316_0007548 MAASVPAFPTKFQEITIASNINCSMIQNFLLEGVYTVVYGVTVH VYPLTIAVTVIDVLFQTFTTPAQAESLNSLTIALAFISLAITATATSLIGYRIYSTSK GNTIMQAKKRYTRIIILIVESSAVYSLLLLLFGIVLAVPAFNQIDSSVGPLGAYLQTF LTATPGLVPTVMVARLAFQDENTDYETSHMSVDVSNLNFDNRQAGHETSSPHETDFAL NPTTHNETENSPAENLKDNITHEKRSD JR316_0007549 MALRAKKRYTRIIVLIVESSATYSFLLLLFAISSVVPALGQPNF PAGNFYTYVEAFLGVASNIGLVPTVMVFMLAIKEENTDSEISNVTADVSDLNFNHRQA GHESKSPGTETTNSALNTTMGNENRRSQDVNFQDPVNERKCD JR316_0007550 MDRFDDTGQAERVQKSLNGLFIGIYMSAILYGLTTYQTAAYFRK SEQDPLVLRITVPALYHFSTQQCPNQHPLRAGIHNIQQVFILWLLNTLHALFGAIGMM DLISQILWSSTSFGFALEQQPWTLVPFTDISVRGFYCIRIWRLSDKHPILTGLAVVFS LGPSAGALYFGIKMHVSQFLALTTTLIQHAVQDRKELARILQSHIYTNALLASLNRRA SIRNGTVPTTDIISAHFRTGTGIGIGTGIRAKPKGTEVSAIAFNGASVGDYGRQSMLS KGDGGA JR316_0007551 MPLFATGTVMYVDHRLVALFTLIETNTRVRFIGEFTGPEPAPTP DFVCPNATLVYESQDEIFKTTEFTCALGVHDAKFKMAGSLGGVTFDGRLEWPLSPAVS RKGMGRWTMSS JR316_0007552 MEDTLAYGGAFYILNKLYFSSLLATLNRREMLRAQMHAPTGGMI SISFGTNTNTRAGEGLSVAMDTLGNEGDTGTMSEIRTKTEMKTMGDPVSAVYNSADQA QAGFFEIKVERHVI JR316_0007553 MGTSNLQHDRYVNIVHGGIWFADTDPSTQVEKTLTRVCTDFHPG SHTSLPGNSSSSFHSYIGEEETHIPGSTQWTLNREAGSGGDPVEPFAGPTHRDGGMIN RRSMMHKGDSSQSAPAPKRPRRRLPRDDQKAGEGRVARTLTAAPTRRPQRKPATPRCM AGAASEGRVVMLDRERHSKKGTQLTFQVMSAAQRP JR316_0007554 MPYTAHGVVINAKRNVTAIFRLENGERIRFIGELFGHAVDFACS NASMTYESRTQIFTASKFTCELTNDTVKFTMSDGGPTFQGLLDWVSIECVTRGGLGTW EASD JR316_0007555 MSLTAHGTIMHVDQRFTALFIIDAETRVRYTGQFFGPTTDFVSE HAVMTYTTLDHVFSSSQMTCAFGTHEIQLRSEAGRVVINGSVLWPLPDIPTRALVGEW KVST JR316_0007556 MTAITQNLPWFVKDLGISIVGKECYTSLVENLHLGDVKCLKYSL SKGLGIGIVVGGSIMKVPQILLIVNARSARGLSLPAYILETLSYGITLAYSFRHQFPF STYGENLFLTLQNILITLLIIHYAPTRSSQRSQKLITTTLATLASSFALYTLPTQTLS LLQMTTLPLSLFSKLPQIRQNYRAQSTGQLSAFAVLSQIAGCLARLFTTAQEVGDGLV AAGFALALALNVVLGAQLYIYWGENGAQKQRADYEMHEKITSTSATATSWDAQPQIHQ PVATRSYASAATLSPPPNTNANTNVNAANGRQSSPSPSAAHRVSTPPPRTPSSAGGRK WARKVD JR316_0007557 MNKGQPWFYSVRIYRLSNKHPVWTGIAVNPLHPHSISLDLITFK IISSIVPSAWGVYFGAKMQVPSSKSQLLNLHRTHPFNLEPQDRKKLVGIPQLNITRLW RHRMPIRRRPDHILNGMFITVEQETTIIRYSANLLNDQNINRIHSYNRPAYKLHIPHR VNPLYTTALLASLNRRGRMRDVADGDTNPSSEMVSAHFHTATNTTTNINTATNTNANA TIGFGVGVGVGVVSVGVISVKQKDFERDVERRGEGGEDEDEEDEWEEDDWGEGDTEKR ENVKEAMSSHPNTDSEVVSERGGRRGLSNI JR316_0007558 MLFYPIHLPWPILLHALGLTALGCSLLFKTPTEKAPEDVTTLGI ATVGLGMSWGGWAGRDPVALGFRVVVPEALSLDARENGAGGWALLDSARRRSQGQAFS LHSPSFPPRTRPPAPSSTPHPYTHHPQLKNNSLTYIYPQCQRPPPPTHPRNTDLATSY MPISQNQFLHASAPVRIVLASLALLKYLLILREPRDSAARRRYKRMGGLLGVALYDGL GGLLVGWYLGTLGGKAGGY JR316_0007559 MVSGLDDPTLPGKLTHSLHGLFAGSYLAGVFYGLTTHQTFVYFR RSRRDPLFTRLLVLLLWVLDTFHAVFAAIALLNLTKDSIHNPLSMMINRVPCEPSQCA LDECSDTTSKTVGMTGLEALDLVHELGDQHAYLIHRDDGVVDDASGDSDAYPVYFSSL LATLNRREKIRTQMDAPTGGIISIPFGATTRNREHTRGKEISMAVFASVDEASTTMTV SSGVQTRGEVQTRGGAVGGDGDGDGDGEAQRLHALNLKNGQIEVKTEKHVV JR316_0007560 MDNFFTFDFFQSGLAADGTMILPENGLSLSFAPNSNTGSSSTGP HNIPFLSSDLDLLIEPVVHAESSFCTSPGLGRLNTTLFTESHVGVTPNIDSYSFSSNT TTQNRAQQASTSSHTFNPPPYPTGFQGFEDIDSSSWSSHNFFPQISTEYVPTANPRSA FPECIIQTPTATSGATYPPTIDQASSSRHQSQLLTNYGEYTSADVADGYPSTSSTGNA PTSVQCDRYANIVHGGIWYADTDPSAQVAKTLTRVDNKDNKRKQQLIPTLESVEQLVP YFHPGSHTSLPGSSSSSFHSYIGEEETHIGGSTQWTLNREAGSGGDPVEPFAGPTHRD GGMKSHRSITHNGDRKVASASTYSRKRRLLTVDNDEYAQRTFHCSSQYLNSISSLLTL FQQPILARRETSPKKVATGRPKGR JR316_0007561 MQNAYVVRFPPLDSEDFDIPALSTSISANDAWLNVNFYSEGRYG RTTLNTVEMAPNIRMFPSVASSHAGEEASQDSSASTHHTPRGSGSLSYAGFVHDEYYL SGEENLSINGQTNNEALDMSSPELLPTNNVRPDAHISVLVGHEGSEDTTYPTNTSIEF ISTSDPLSQSDPMDSLLSYVLSTGTIDPALLAKPMPSSHSKREHDMPRSQAGVTLSSG TENRGGSCTWVHKPVQSVIDYQDMRANSFRHGSGETEISENYTFQHQEHRPRYSSRES LLSRYRIRNLNLMMLEGGPSGWLGAIIWKYPEFSAMKAPIERTEQREEKHALEHGGLL KKYKTD JR316_0007562 MSTFNVNIVHVHSSQTVPVSTPRSAQPARNSAGAGAPPYVMEPQ ATSSLNDTSGPWTDILSLVGPTPRDLLEIYYARFPSHTVDVGNATPNVDSTRLFRSSA DVAVISGLPRGRDESQYDRNITNESEASSVDRLVLDSLATGTVDPAVVIRTSRHSREN RSQGVMRSQTAGASSNRHHPYNMANRPSRVAVPSNSHNEHANNFCRPSGETEIAQFRT SQHWKQHRGDCSGYNIPVEQMPLTAPSPNEDGRESEMSGSTGDGDSGETEQKPRKMQG HRSDRDPWFRTREEICSQGKADVGRRKRQKRRLK JR316_0007563 MYSSSNLYSSAHQSLTPKIIHSPETESISDTEELKEMEQYVNYG EDSGVDIASHTTNTENTTPVGAPLPQYAWQRYPVINFAPLYVYGAHPYFYTTMARPLP PALPSLYSWAPQIDLGTASTTNDTASSSSESNSLVESNELSKASSRKRGTSARVHKAC KPSAKRVVVSPGRRVARSQRTSGELTPAEVKSLASAEVTERVVRSILGIADDVELKDA WPQGPDPWSDYSRPEVIMLAICCTKGHRATLSQIESLVMKKYPTLKTTNSGKGWRGTI RHNLSAHPQFRRLRREQGRGGPWTLDVTKIK JR316_0007564 MASNKPNKLAFLSMPAPASYVAGLGRGASGFTTRSDIGPAREGP SAETIAEAQAKRGEEVEIDPDQFQDPDNEVGLFAGTTYEQDDEEADKIYETVDEAMDS RRRARREAAEQAQLAKHRAERPKIQQQFADLKRGLSSVTDEEWENIPEVGNLTRKKRR REERSYVVPDSVLVGDRSKGEMESALDPRQQQNGGFETPADAGSLTSTNFVEIGQAQN KILSLKLDQLSSSGLASSLPPGSTSSLLSGTSSSIDPKGYLTSLSSHSQTLKSSAEIG DIKRARMLFDSLVKSNPKHAPGWIAAACLEEHAGRMVKARKIIKMGCEHCPKSEDVWI EAARLHNTEDAKVVLANAVQHLPQSVKIWLTAAGLETDPLAKKRVLRKALEHIPNSVR LWKETVNLETSAADARILLARAVEVIPLSVELWLALARLESPDKAKKVLNKARKAVPT SHEIWIAAGRLLEQEASAPTESGEPKSKEQREKELELVDKTIEGAVRDLRRHEVLLTR EQWLKEAERCEVEGSPRTCEAIVKATVAMDVEEEDRLDTWVSDAEAAEARGVIGLARA VLAYALKVFPDKRNLWRRAAELEKAHGTRESLDAILASAVVYCPQAEVLWLMSAKEKW LAGDVPAAREVLERAFVANPESEQIWLAAVKLEAENGEFGVARELLIRARTVADTERI WMKSAVFERQQGQTDTALATLTTALQKFPRFAKLYMIQGQIQQFDLKNISAARAAFAA GIKACPKEPTLWILASRLEEADGKSIRARSLLEKGRLVNPANEVLWAEAVCVEERAAV ASSGTSASSGLTPASASISSLTSAQAKAMLSRALQECPASGLLWSLALWSEPRASRKT KSVDALRKTKDSPLVVCTVARVLWADRMIERARDWFGRATATDPDLGDIWGWWLKFER QHGNEEQRENVRTKCVAAEPHHSPVWQSIAKDVKNVGKSTKEILEMVADALK JR316_0007565 MKKYPALKTTKSLVKNGDHWYSSAHQSLTPKIVHSPGTESQSIS DAEELKEKDQYANYGKDSDFFSGALSDL JR316_0007566 MSTFNVNIVHVHSSQTVPVSTPRSAQPARNSSGAGAPPYVMEPQ ATSSLHYTSGPWTDILSLVGPTPRDLLEIYYAKFSSHTVDVGNATPNVDSTRLFRSSA DVAVISGLPRGRDESQYDRNITNESESSSVDRLVLDSLATGTVDPAVLIRTSRHSREN HSQGVMRSQTAGASSNRHHPYNMENRPSRVAVPSNSHNEHANNFCRPSGETEIAQINT FQHRAQQHPRHGSGNDIPVEQMPRPVPSPNEDGRESEMSGSTGDGDSGETEQKPRKMQ GHRSDRDPWFRTREEICSQGKADKKWRRVIEANDTVAVTAAVRCCGRESGV JR316_0007567 MFSNTTHPSSYSYLTESYSLNIPEIIHSPETESEEDMWYPDMEE LTDHSMDPSYENNANKDEVKESVSAYDFAVLSDEESEEAFREMEGYVNYNDDSDYLNT GTTTSHAPSSSRNPSASAGSIATSSIPQHVSSSGYAVRDFLSLKLLDIEFSAMKNIHH VPSSRSYPEFCVMPPWSLPAASPATANVHFFSYTSHALTTSSPTSSSSSMSDPYLLKG KASSSKAPARKRGTSAHPRKAPKSRLTRSRVGETPAQKSARRKRTSGVLTPYEVDALP SAEYTDREVRSILKIPYNIPLEDAWPWGPDPWMIYKPAQVLMLAICCRNHKATFHEIE MYIMGKYPLLETTEYGTEWRSTLRNYLSAYPQFRRLRREKGCSGGPWTLDATMIQ JR316_0007568 MVTYNEWDTSLTERVTKLNASTASRIFEVGGSRTLIIFEIGSVF GDNEMRELMEIAGSGGGDRDAVIYNAKPGLWSVVEGTAGTDEAPFCATWVSDGHIDYN SLPQEPLVSPLNLDGIEWKKVHEEYFNSTIGCVLALEVAQEFEEVGIDNALSAFADLG GVVPGGFIFGPHESGHEVYVRVYRDQVVQIKTAGRDKSAADKRGAGVK JR316_0007569 MFHARETAVLADLFPNPPADGDLDWDLQDDPIDESAPNGGDAAN DNSFGLLALDMPPGCGGTFARLAHLEINPDMTVPDTHAGRKPEANPVYEMHFDYNFHL MYVPVYKHTHNII JR316_0007570 MRETFDASGHTFGITFTAPSSFWYLQHYDLLGLLSYADWVNLMS YDLHGVWDEKDVYIGSVVQAHTNLTEIVQSVQLFQRVGVPLEKIVLGMGFYGRTFQLT DPTCTTPGCHFSGPAPGGR JR316_0007571 MDLNYENNANKDEAKETVAAHDLPALSDEQSEEGFREMERYVNY NDDSDYLNINNTTSHAPSSWRNPSTSAGSIATSSMPQPISSRYSVLPGCLGHSTMATA RFFSSHNKPSLPFFYVACSYHFKGKARSSKAPAHPRKAPKSRSTRSRIGETPAQKSAR RKRTSGVLTPYEVDALPSAEYTDRAVRSILKIPYNIPLEDAWP JR316_0007572 MSESQEVPIATDSEANTYIIVLRDGVSTSSFLRSQEEQVKAFSA SSVHVFEHVLNGFTGSFSESHIESIKAHSDVKYVEADAKCYAYGHQSDATWNLARLSR IAPLEKVEPKYHYYYDTQAGRGVDIYIVGEQPDTNLFEQIHGIDVSETDTGIDTSHPS FENRASWGITLTGKPNVDTDGHGTHVAGIAMSKQYGVAKEANAIAVKIQENNVKALDW ILINVKFTKRPSIVNLSIGGDASQAIDDSVKKLFDTKISVVAAAGNNNKDAKFVSPAR SPFAITVGATTFRDKRYKHSNYGSVVNVFAPGNHDLIPT JR316_0007573 MSNDAVDKETEQVHEWLRQHGVSDDLHEFSGDPVSKLQTWDLQI EVPVDFIWIGTSPLAYVGATRNVSACQCICDMGTSKYLLEIRTPSQGQYQSIVAPAAK DDPKSLNSETCPIRGWPNGSGGQIGTISGNLLPGITLAVGYDVASMQGTIRTYLAEGP NPKWLELDVDLRVFNRAVKEKGVQTS JR316_0007574 MPPKGSKKKKAVVNATVEGQEVEQGPSSVAENEPPQAPEADPNG RPIRSTRGLGGVNARQEKTSNIIFIDFEKAGKRKSRAANVDTSAMPENEMAPPLKKPR NGVTVVSHVGPPIQMQPRPTPSVAPWDVQQVQPSPRQSQGAPPPVQIQRAAQRNQEHS ELDHAVQRPTAPIQRPAAPVQQPAHPVPRPVQHAAHPVPRPVQHAANPVQRYAAPAAE NSVQYYDAPVQQPVHPVTQHAPVQQPVHPAAYNAHQEASISHQDEEEQQDYEGIEQEE DEEEEEGDSDGERRSEEASGDECMQGIDEENIGDEEALQYVDGDEGYDDAGQDDEEPA AQLVDEVSDDEEERRARALLRQPSPHVVEVEDVLQEHRRRNRANKPPRPEALRKAAVS QGAVSQGLTRENNEASDDDEVLGEAHIAHKKSSTSSAREVSKHSVASFTGYWKDVLKI ARKLMCLYVVEEVPFPTRENHLLVADGCVKMAVTVFERMNTDKVLPDSKFCLL JR316_0007575 MSSYKRRRLDSLGNRTDINPFIDIEAAVSDDDESSEELDYEGGQ LLNDNDEYSEDEERVAHSRLYHAMQNTDNADEWSDLLPMLLPSRMKIRPDNDIEPSSS RELIQKYGNPQPGGLGDNNYMPSATDIMYEIGCKVGREEAVAFKIMQMSTNPTFPIIL ARSVFAQSSIPGRIYVKAPSMQHAHTLACLVRELNPTHLVRLSSERCMEILSHPPPSR PEDQSWVKVAGKRKAWTTYANATGLVFTFQGRKSVVLIPRPPDNIKKSHLDRIFQDGF IITDFDAIDLKYLSNVLPTSSELEQFRECPFVTTETLAQASKAISMTRLKRYDRVKII GGEYLGLFGTVKSVSDAEVEVHIPSQGITQAVALHDLRAAFQIGDSVEVVEGDHKDLH GWVSDFDGRSVCIIAPEHEREVLTDIVSSNREHINSAQDPGPSGGATPMPSTVASFLS PAWNPYSAIPVHSAVEIAELPSTVAHWLDTKYDKLKGLRLKVVDKSKGDHQVAMELLS LTDDTAHLALLGRTLTLPKSVLFPIHPVKKDDFVTPLEGDSMGIIFRIRSIDKDICVV HKYPVTRMKRGDTFPTFPTTSLIQIFPPSRGVKVVNM JR316_0007576 MRAAIKYRQSLAALQNSIVETPCVTSATWEQAGSLFAAYRLLDL VALWPAAFGPSLPVTVPLNEIQASTGTKEIFSRFKLVDDLKDWPAMLCSARKRILRAD SKSHRNINTPSISDTPSSAFTQGGPSESTLLLCLARNHFKGVVGKIIGNVYCASLHYQ ILSGMRGMKDDEHVLPDIPTDTATLANL JR316_0007577 MVSMGQRENGDNMVPTSNIQPPEDTSSVAGKDVSMGQQENGDNT VPTSDIQPPEDTSSVAGKDVPTDEQPGLPRSDDIGSGLLQTENTGPSSAGDCEMNVDP EQADVDHQMEDGPHTNGPENLGDGGAGQGAEGSSDEANRMSEVHEGEKQGQDGERPDE ENRMSEDGEEERPDDSSSDNETEKQGQGDVPPVQEDRMSVDGEGVGPEQNNDSSDMER NTLEDSQGEKEGENAPPAPLILRIPPVSQINRSKNTTADSSQKGKGKGRQGLSKRPPK RKPTQATDTFDSDDDLCIDVDLYDSNTTLDVVSTPEKVYGTKVWSTYNGRGQLKSFCV VAHSQADVDRVERVLELVESDYVDGVPLHIARPEESCFAVFDRSTTKSMYLMESFSER NVVLMSPSQEESISTCSDEFYSQVMEAARMKGKRGKILNALNIPLPHAGAHSFDLSTE AAALRATSGSWKYSTPVPFGDMSWGLVATEGAFSDIHIDANGFCSFIQPLHGLKLWII MYPRRLDVDLSSDRRVFLGDKLDYGETHHQDWIYEAIVLDNQSELATNTNHSTSRFFL QQTIHWFYKVLVEGDSDPEDFYEMYHVPFYSTQSGYSSLIALCVCMILANALDYETYR NPDQMFSTKTSAQLDAWMRWDTNSLSDEERKACIFARGEALAILEWLCHRTKSIHYMI ETDKNKDAQNIMATEKFHEMILCRYARMILAYDAEAKHNNIGGAPCCTDTSLLFVQLQ GVCSGELNKVICRSIEGFRV JR316_0007578 MNKPFDPDYGVSSKKKEKPPMLPQFMELGLSMGRRYVIKTIASI PEEALKEMRKHAFSPKRDKIQKFLIDNNYVITMTDKNLGLAVSERDWILRNELNLLED ERNYEELEFEVAQEVMKSKMIQMQTLARTVEDEHLFLFELGLSRFFLSNVPEDGSSFK YPQFHGIPKIHKKPTGFRPIIPCHSVVFNPAAKFVSKELKPIIKSTPSIIHGTKDLFT RLSQLRIDSKRQWYFVTGDVVAFYPNIPLDLCIEIVCSMYEEWLLNTSEENTTLAHIK PNSLENNLVKLGIFKRAIEIGNTQLITQHGSRYFRQKNGLAMGVADSPDLANLFGAHF EIKSKILDHPNVAFYGRYIDDCFAIVYAESKALALNLIKETIKFDGCVIEWAVSSSGC QFLDAFIFKESGKLHWKPFVKTGNNRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTK EIYIGAIRDLNALYLMRGYPENLVMSWCKKNIQERWEKRFALRVAEHDESILVWNWFS AAELGKTVTEYWSAWYEHAEKGLYSADSSRPLIKPDPNYVHDLDDVRPELFTQILVDG ETEFVPDLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQ NVNETYHSALVEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0007579 MSSQYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSVDQVIDCVFFDYRAALREFLLNLASWCDKRETVKASLERLESA VSAGNTPNRLKVKAPEFQLTKEFADAGSAEALRVSTTFSTARDVFQKTINDGAIQAKK DELAFWDDKCALNSCYEAAALIVKATYDDRKSSYKLPVFSTDNKGVRRIAEWVVSPQK KAECSALQTILPAIFSHIKQIVNMRHRALAIKIEKKRTTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSVGKKASFRNPSPIILDTNYYSNYPDFVWPEFVQGSSTSGQ KSWPFETQVVVDAFAEEASNQGFQQGRQQEEREGESSRQEQRSKGKGKGKSLDARSTV ASFTSEGMNKPFDPDYGVSSKKKEKPPMLPQFMELGLSMGRRYVIKTIASIPEEALKE MRKHAFSPKRDKIQKFLIDNNYVITMTDKNLGLAVSERDWILRNELNLLEDERNYEEL EFEVAQEVMKSKMIQMQTLARTVEDEHLFLFELGLSRFFLSNVPEDGSSFKYPQFHGI PKIHKKPTGFRPIIPCHSVVFNPAAKFVSKELKPIIKSTPSIIHGTKDLFTRLSQLRI DSKRQWYFVTGDVVAFYPNIPLDLCIEIVCSMYEEWLLNTSEENTTLAHIKPNSLENN LVKLGIFKRAIEIGNTQLITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKIL DHPNVAFYGRYIDDCFAIVYAESEALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFI FKESGKLHWKPFVKTGNNRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAI RDLNALYLMRGYPENLVMSWCKKNIQERWEKRFALRVAEHDESILVLKTRFDQVWNWF SAAELGKTVTEYWSAWYEHAEKGLYSADSSRPLIKPDPNYVHDLDDVRPELFTQILVD GETEFVPDLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPET QNVNETYHSALVEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0007580 MSKNKSVGSTFDRLPCATSTRDTLFIRDLSNLTINIDRFVIVAT EESIKTKGLVVGIPQQPSGYFPFNSQFKLVARTSLRSVLAPPLNPKTRFDQVWNWFSA AELGKTITEYWSAWYEHAEKDLYSADSSRPLIKPDPNYVHDLDDVRPELFTQILVDGE TEFVPDLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQN VNETYHSALVEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0007581 MNKPFDPDYGVSSKKKEKPPVLPQYMELGLSMGRRYVQRTIASI PEEALTEMRKHAFSPKRDKIHKNLGLAVSERDWILRNELNLLEDERNYEELEFEVAQE VMKSKMIQMQTLARTVEDEHLFLFELGLSRFFLSNVPEDGSSFKYPQFHGIPKIHKKP TGFRPIIPCHSVVFNPAAKFVSKELKPIIKSTPSIIHGTKDLFTRLSQLRIDSKRQWY FVTGDVVAFYPNIPLDLCIEIVCSMYEEWLLNASEENTALAHINPNSLENNLVKLGIF KRAIEIGNTQLITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKILDHPNVAF YGRYIDDCFAIVYAESEALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFIFKESGKL HWKPFVKTGNNRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAIRDLNALY LMRGYPENLVISWCKKNIQERWEKRFALRVAEHDESILVLKTRFDQVWNWFSAAELGK TVTEYWSAWYEHAEKGLYSADSSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVP DLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQNVNETY HSALVEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0007582 MSSQYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSVDQVIDCVFFDYRAALREFLLNLASWCDKRETVKASLERLESA VSAGNTPNRLKVKAPEFQLTKEFANAGSAEALRVSTTFSTARDAFQKAINDGAIQAKK DELTFWDDKCALNSCYEAAALIVKATYDDRKSSYKLPVFSTDNKGVRRIAEWVVSPQK KAECSALQTILPAIFSHIKQIVNMRHRALAIKIEKKRTTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQAKKAGPSKPKSSSTPSQRKPQTKASN KVDNKKKGKGRAPVKNDPKGKGKARA JR316_0007583 MNKPFDPDYGVSSKKKEKPPVLPQYMELGLSMGRRYVQRTIASI PEEALTEMRKHAFSPKRDKIHKNLGLAVSERDWILRNELNLLEDERNYEELEFEVAQE VMKSKMIQMQTLARTVEDEHLFLFELGLSRFFLSNVPEDGSSFKYPQFHGIPKIHKKP TGFRPIIPCHSVVFNPAAKFVSKELKPIIKSTPSIIHGTKDLFTRLSQLHIDSKRQWY FVTGDVVAFYPNIPLDLCIEIVCSMYEEWLLNASEENTALAHINPNSLENNLVKLGIF KRAIEIGNTQLITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKILDHPNVAF YGRYIDDCFAIVYAESEALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFIFKESGKL HWKPFVKTGNNRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAIRDLNALY LMRGYPENLVISWCKKNIQERWEKRFALRVAEHDESILVLKTRFDQVWNWFSAAELGK TVTEYWSAWYEHAEKGLYSADSSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVP DLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQNVNETY HSALVEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0007584 MSSQYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSVDQVIDCVFFDYRAALREFLLNLASWCDKRETVKASLERLESA VSAGNTPNRLKVKAPEFQLTKEFADAGSAEALRVSTTFSTARDAFQKAINDGAIQAKK DELTFWDDKCALNSCYEAAALIVKATYDDRKSSYKLPVFSTDNKGVRRIAEWVVSPQK KAECSALQTILPAIFSHIKQIVNMRHRALAIKIEKKRTTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQAKKAGPSKPKSSSTPSQRKPQTKASN KVDNKKKGKGRAPVKNDPKGKGKARA JR316_0007585 MNKPFDPDYGVSSKKKEKPPVLPQYMELGLSMGRRYVQRTIASI PEEALTEMRKHAFSPKRDKIHKNLGLAVSERDWILRNELNLLEDERNYEELEFEVAQE VMKSKMIQMQTLARTVEDEHLFLFELGLSRFFLSNVPEDGSSFKYPQFHGIPKIHKKP TGFRPIIPCHSVVFNPAAKFVSKELKPIIKSTPSIIHGTKDLFTRLSQLRIDSKRQWY FVTGDVVAFYPNIPLDLCIEIVCSMYEEWLLNASEENTALAHINPNSLENNLVKLGIF KRAIEIGNTQLITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKILDHPNVAF YGRYIDDCFAIVYAESEALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFIFKESGKL HWKPFVKTGNNRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAIRDLNALY LMRGYPENLVISWCKKNIQERWEKRFALRVAEHDESILVLKTRFDQVWNWFSAAELGK TVTEYWSAWYEHAEKGLYSADSSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVP DLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQNVNETY HSALVEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0007586 MSSQYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSVDQVIDCVFFDYRAALREFLLNLASWCDKRETVKASLERLESA VSAGNTPNRLKVKAPEFQLTKEFADAGSAEALRVSTTFSTARDAFQKAINDGAIQAKK DELTFWDDKCALNSCYEAAALIVKATYDDRKSSYKLPVFSTDNKGVRRIAEWVVSPQK KAECSALQTILPAIFSHIKQIVNMRHRALAIKIEKKRTTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQAKKAGPSKPKSSSTPSQRKPQTKASN KVDNKKKGKGRAPVKNDPKGKGKARA JR316_0007587 MEWIDNPAPEKQALWLYGPAGSGKSAIGHSIAMMLQERSADCRY GSSFFFAKGAPGRGDGNKLFSTIAHELAINFPDYRAILDTPAHHPVVIIDGLDECSGE KRMQVAILSTIANAIIQHRIPLRFLIISRPEYWIADVFETGCFSSIVKRVSLRDDLEA DAGIKTYLRSEFNRIYEENIEIMHSVPRPWPEDHLIDRFVRSASGQFVYASTVVKFIG DSLHCDPLEQLRILIRPGPHDALAFSELDQLYASILSSYPRWDTLRRVLGAILCTPYS NSESVMELVFNVTPSELRQILRSMRSLICTTESKCPPLLQRLIPTFGTPRYDTPWLSF HHLSFEEFIKDSSRSGKFLVNEWSTLIHAFCVIIRHLIELLHVNPDIDGIINRLVELP SSDMDIIIQELKYLHEALEGVLSEADRKIPRSKHTLWLLSTFQRCILRDQSWRESTPA QGLKPLLDLLQSLQRPVMLALTISAQQVLEATSLDGPILGYLVRQSWRRDTSSFDILD IGAEMHITNDAIVSELQDMHGIVNIFCYKDRGHVEIILDVFEKEIDKVSRTYLPPALV AEWETPGLVRVIELLNQLFNGTEATLDSPLNKVTGTNRHVLEEHDSMLIVKFEAAAKT TFSALISLYIEHSSYFLTAKDSGRQYNHHIMGISPTLDGKYILSENIRTGGGTSELWL TWIRLFHSVLEINQLLGTRHPFLPIVASDWYPHLATFLLNVLVSKHYGSLDQGGRVYW MDFRDKHFLSLLQCMPYAIPTQENLTKIRLLHYIWHKLDRKYRWRPPSGFCDLAMQFL GKYNHKYFCGMEYDRLKSWLVKLKATESHKILDVPLDYPFHYEEYTTDEEG JR316_0007588 MDTLSHSSIRVQPDQRVRLFAYLRDKLADGDDDEDEDVHGGRLD EHEEDAHVPPAVVGYEYVLSGGVDSVPD JR316_0007589 MPARAVISSFPMNSNRGRAILVSPPWEASDATTDTSEDEDTEDS DGGRIVLGHAFRMPVTLDSGGTEAGIRGGVARANTLSRPSPARSNVIVREAQTFQQLR VEVERLANGV JR316_0007590 MWKLCAGCSDAIYRFPATHPVDSLDLEATLVYTEQNTSTSAFHP PNPKTHRKPPKTPYPKSSQNKSYHGPPNILHHNNLIHNLAIRRPPINPPPPPTQRNSL GKKHPPGSRGGGKENFRMKYARADWDAERASWRAVIQLNVIRSIITIVEALQAEMDGE PEGEGDLQHPVSPGGVSSAGGGGGGGGGGEASGSGVVVGGTGREGSKQLSTLLTGKHQ VLKMRLGPLRRVETDLKRRLGAGSDEDMGLPLPSPAPAAAAAAATGDGAATNVLGGAN LGPLSLETDSQGLARPLGAASAQREFGVTRLQEALQRGQRLVRKGSAQSVRRQGRVGS GRATPVGEDGEEEGEMVDDATEILASCLEDMKALWTDDVVRAVLKKRRIRIEDTAGFF LDDLDRIAQRDYSPSDDDVVRARLRTLGVQEYRIRLDDGPTSIFAGGIGGDAGKEWIL YDVGGSRTVRHAWLPYFDNVQAIIFLAPVSCFDERLTEDARVNRLEDSFLLWRTVCSS KLLASTTMILFLNKCDLLKRKLKAGVQVRKYLPSYGERANDVNTVVKCALAMSLCFGV SLMCLEDLREKFKEQLKEHSPTQRASYFYATSVVDTKATATTIKAVKDSILRDYLKNA DFLS JR316_0007591 MTRTLLRVVALPPPRLRRRRRLPHPAAAPPPLAAHNDSRQRNPN NGGPPAPGPDIYQQLQQQQQQQHIPLHATYANHSSLYDHLSHQTLNTLELLLLFSLAH ERAGFAMHMFGMSCQVE JR316_0007592 MHIVPSSAQPANCASTHRTYLPTHSPQKRAATRHSPSLQCNTPQ HCPKTPWVRLRHPIPAHPAPGALSKKTSAHIRVVAQAVKVAHTLSRQDWARAGDTIVG AAHARAGKRIVSEGGAGAGAASLRLSVGSSKLSSSWDDRKFGTSGGMGMDRVRSMDRM SGHSQGEQGEFEGEYDEMEMEMERVLEGQGSEDEVYGGVGGGVGGRRGRARRGYDDED SDSSLDHRALPRVYAMGFFLTRHLMVRHELLSPHSKLLPGASHATTPMVPDGRPGSIM SVASNGSMMTKSGIMKDERDTPMRRRRRIRTLAPDPPASIGLVAWAQPYLLFTALLAP PIGRRWQRQVPPPAFTVLQLRRTKQQRHT JR316_0007593 MTTDAPATDVVQPLPITPDNDMVRCLRQSYGYLPGTTTTACAAS DWDELVETFGFTELLTPEVALDARQHIHMFYMASIEPASTEMPAALSDLNTHSLTSLS RLLDLSQIHRPHQNLFVFSEPRSEEHEWMLGVETAEIALYVCRLRLEHSWARIDSLTK MLLERGVPCRTLMGIEMSGRCSTVQEPYTPRSVRPSAYKFGVDDFEAYRLQCENIIKH QQHGRAALLRGGLVGRIASEFLSVDDGLAGPSKEIIQNRQGFIVPAGDTTWCYCDDQL TENELSIICGTYTLYTATKGQITVKSWFPPPNLWQVPPSMNGSQWVEWTPANEAWYRE RVEDIRTRQAQPLTRVQWKSILRGTPPSRKLLAAASQRAQAFVNGHVPVVPTYRVRPG L JR316_0007594 MGFDLRFGRPVNIEQRGLVTESLNARMQCIDFTRGDLLEDIKGE LFAMPSNYKHRFHRQLFAVLIPALEDPEPRVHAHTASALINFCEGIKRDTLLSYLDPI VKRLLKLLNPGGDQTQVRRYVQEQAITTLAMVADASDNNLAHNTGSVGVRE JR316_0007595 MHSALGVVDPGASAVGVPPPPRKGRTPQRICLLAMCGIHLGLLR YLSSSTLVGPYLQYFESMAARELFEFQARLCSLVICVDEPDNDDEWVNKTRRARCRRR ISSDFLCALLILLLHIRNAGLPDSGPLAHLSEATAGSLSGIGHSTAYEEEAYYRLAMD YVFLADDGRSVQVVLTCASSTIRAVDGYGNPDTNPDPDLPPYTYTHPPLTVDPFNAAQ EQNDYEIPWALRDVITDERIG JR316_0007596 MQLLTVIVVVLNLSSKSLINQLTPSLALNTHRGTDIRSGFRTHV LSPIVRSIQLAVREAGGESTSKQPSYQPHTLSVVMVDGSKGHPVKEP JR316_0007597 MGSVEGVVWDQHLVGKEYLGEVAVGVGEWFCVGVAQGGRVEEGV WPFTIPLVSTRTSTPSQGTITLKIGFVRAPAPSSASSTSAEGADTGIGAGGEANQMSF EEIYDVLVRRSRVLLPTVEFVVGIACEGCIAQHHPLMPAPLHDPNHAPLPGRMGRKIV DIAKALGGEDAWGPTCLSAQRQASVGVGASLTRHLWKRDSIGALPSPFNVPLLYHYSR PILINPPAQRQHSPPPSILRSSAHRIHFDNAFRR JR316_0007598 MSNTPPNPNQPQHHTQHCSPRPPLHHSDTSGSTISTTSIASASS TTSTTTTATDTDGDGDADGLGFTPPMTSTPTPGYHGTTTATTTSSPNTTALTAPSSPN TTTPSTQTTPIVLLRVRVVGCDNLLGKDRGGTSSDFFVLLSLPTSQPSPSPSKPSKSK SINKHATPTIKRTTSPTFPAPQSTFDLPPYMSVATE JR316_0007599 MSSTYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSIDQIIDCVFFDYCAALREFLLNLASWCDKRETVKASLERLELA VSAGNTPNRLRVKAPEFQLTKEFADAGSAEALRVSSTFSTTRDVFQKAINDGAIQAKK DELAFWDDKCALNNCYEAAALIVKTTYDDRKSSYKLPVFSTDNKGVRRITDWVVSPQK KAECSALQTILPAIFSHIKQIVNLRHRALAIKIEKKRSTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQAKKAGPSKFKPLSTPSQKKPQTKVSN KVDNKKKGKRRAPVKNNPKGKGEARA JR316_0007600 MSWCKKNIQERWEKRFALRVAEHDESILVWNWFSAAELGKTITE YWLAWYEHAEKGLYSADSSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVPDLRK IGLLGSRWIVSQKRNTNLFDLANVWKKTVFCKLDEDIAEKGGVVPETQNVNETYHSAL VEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYN JR316_0007601 MPRNADMKFPCTHCRKAYSTPYSLQRHFADSHAEPSLEVSQQTS DNERSLSPPSPAPMFSSQLPRPSWGAAPPTRAAPSASQLHQPSTRLFLIPHAPSRQVS PRPLGSRAGSPPTMIPAPVTPAASWPSTRTFLGPRAISSQGSPRPLVSSPGSPPTMIP GPVSPAPLRNVSAQRMTPPPPGMHQPPPICATPTTAMEVDVSLYDIVPDDHTMIYDDT HNLPALGIVINTFLKIVICIECKEAIEPALVCAHVKQHNAHYTPGPTLLEDLRRKYGI VSLAEIAYSAGPIRLIFGIPIEADQLHFCSKCHRGYNSLLSLQGHQSNGQRCQVPITQ RACYTMYGQILTKGPSKRYFPVDTSCLSLCQDIPFAYSTVFGTTMPPPPDYTQLPIQN IEDPQNLSLFLFREGWLDAVKGLTPVDIQEVTRLPDAKTEPWGKQLQLAAHRTLASVQ LLVNEHHTFGMTHNIAQFNQS JR316_0007602 MSQQTHVDWAQGSAFVQKGTIYVSPNCKRVVIIPPAEVDVTNPF EQKTTPAAPRRRDLDLEALKQPVRWHDQYGWIAFIPLAPSFVSLPFNFLCWSPKILRN KPHKSLATYEMEWHSVKEWRQLDENITLLCEKIRLWCRVPGTPPPSPKSFGYDLRYSS EADAQRSFEATRNAFILWMGYLSYLVAQSRREIYSKHIKHDPKSPVPAWHERLRAKHP DISEVWLDGLYHSNAFLFNARTPCVGMVYKLSSTHATRPPIEWLLEHHVPVYYPWRMR EEEIFLTHKILNLELRPPPPRDLLAATLTDLFKSMDVSLAAAFARKFFNKSHSTEGLT MKSLGDEYSTTLVYSILSNDFAHNSEALDQHMSQPYEELEKKLQKRDEEQRQLAIDSA NLPTLRMIELANENHKLLTSVHNDWDAYWAARVEERRQILAKETPEARQARLNQENNP SVVRSKVFVWKTLVSTEGASVYMREHIATSQNSIEEAKLRPHRKVYNGVTDKWDLCRD LEPPAELAGASAMLEQRNQAQTRPARGQHRRGRGARPAKQPRQHPKPAPAEEEWEGEP WYNTIEPDPDAPVASTSALPPACDPSPPPLPPDIPTPSSSRDRPRRAPTTPPRPTLGR RLRSRSPTPPEVAMHSRPQACRSPESESWVQQSPPAVVEDFEMEEPGPSVEDENADVE MTMDAPATDVVQPQPITPDNDMVRCLRQSYGYLPGTTTTACAASDWDELVKTFGFTEL LTPEVALDAQQQIKQFYLACIEPTSTEMPAALSDLNTHSLTSLSRLLDLLQIHRPHQD LFVFSKPRSEEHEWMLGVETAEIALYVCRLRLEHSWARIDSLTKMLLERGVPCRTLMG IEMSGRCSTVQEPYTPRSVRPSAYKFGVDDFEAYRLQCENIIKHQHHGRAALLRGGLV GRIASEYLSVDDGLAGPSKEIIQNRQGFIVPAGDTTWCYCDDQLTENELSIICGTYTL YTATKGQITVKLWFPPPNLWQVPPSMSGSQWVEWTPANEAWYRERVEDIRTRQAQPLT RVQWKSILRGTPPSRKLLAAASQQAQAFVNGHVPVVPTYRNYTTARPTRDATKTANQQ RQEASGGDGGATGVESRQRQQQRGCSGATTCSRVRVVVAASGRGGATTGGGGATGGDG GATGGDGGTTGADDRMTSADGGMTGGKGRAAAAAAARCCGATTRSRVRVMVGGE JR316_0007603 MALYLASGLAPTIMVLRLAVANKTDTAASAPNISTYLHGVDFDT SINSNTLAIGTEHVDMMLRPQDHTGLNQ JR316_0007604 MDNEAYLYGLRTSFNMFRSETSLRYPRNRDLNADFDPESYLIQN TDDMVSSFIRNTIPLRLIKTDTLEFVTPYEVQQEAMDGIRAAIAAQLRYALEKVAATS DFEPEAQDIIDVLEGLVTGAVKETVRYSILSHRWDAGELDMKDMHVISKITLADIQHV KNSSSGLVPKESLLTALAKAALNAPLEDSDVPKQPIIDALKCLVEDPKFGALNNGPST RFNGFHKLVHFCYVSLNEHGHKYAWIDTCCIDKTSSAELDESIRSMFKWYLQSSMCII HLGRSACGSGAGKKDEPDDWTSDPWFTRGWTLQELLAPKAVKFYDANWERLTDDLNDK ALPVISGIGSDSDSILQIVSRITSIPIPDLVGFTPGKDNIRNRLRWASNRKTTRVEDQ AYCLLGIFDVDMSIAYGEGDNAFHRLQVAIIGESSDRSVFLWGGRHSRKSTMLAKEPA CFAWPYPVLMHDFDESQRDSSIDPTFMLTNHGLKIAVSLYNEHFTRRWLLDSHESYRP RNVPPQLDMLASQDYRLAVLGYSKPGGREKRGAPAVAVVMLLLRVESVNNVYYTRHPL VPQLGYFMEPPGAPEVIFIR JR316_0007605 MKFQLGFIVAAANVFASAYATAVPRAPNVADKWISVETGITLPG ESIPGNVTLAKRNGAEVVTCYDTGTQVDRAPATSVIDDWCNNHAIGQVVKNGAIIWAR YNYGSFTILVSGQAINGCSFKVDSNCNRLLRLPVDRCNTDGENGKQGGFETDLCGSWR FDPGSNGSDF JR316_0007606 MDDIKDRGEAIRCARSEDQTHDMLDQEPRQGPSASISQSVKASE ESRASTSMKALSSMRIGVHVESSRTEERSSEMVTAREWLNQVNGKAVKMVL JR316_0007607 MILSTSTVILFCFANQLPTANAQVTYNWPQRSWGRVIAGAIVGG VAGLFLLCILLRLIQRRRVMRANPGAQPNFFYGKPMFGGPWGRSNGTAIPPPPVGQVN SGGPSYNRGNSFGNTGPVYNRGSSFNAPYSSTPPAITEYPAPNAPLPPPAYGQDANYE GQYAPPSGAPPPVNSVNHANYAPPVSPPAAHTTGQDNTFVGGFRS JR316_0007608 MGRRKIEIQPITHERNRSVTFLKRKNGLFKKAYELGVLCSVDVA VIIFEERPGHHVKLYQYGSADIHDIVQRHLRHDGEKDTRGPQDFSGNNSAKLDDIGED DDGDDDDDDIPRGKRRHDGKMKSGGDMVTGNVDYSSGHRNLPIAQPPPLSLHGLSSQS TGGGRPTLPVSDSRSMDMRDNKRNSQRPSLHLGHSRSPSDDVNSGNGNYPYYPGQNSG AFARSGQYGHHSSQGPHHPGGGGGGGGGGGGGGHHSGGPQYQPFFPVSGQHNSPPSSF IPLQTSSDFSRGPSSRGGGGGGGGPGFGIPSRSSDLYPGILRNQSSTSSQSTGPGGSG GGNGDLFAAFLDADEQSRHQSHSGGGPSLVGLDWPVHNTSGGGGGSSGGPSGPSSSVP HLSSSSAAAPSPVSVSVLGGPGGSSSSGNWLDFLSGNNNNNNNSNNNGNGNGNVGGGR DALSWERGGEHRGGGGISDIFGGGQHAERGRSNSIVGIGVGVLGGVGKHAHTKIEEDS GGFSGPGGILASPASLTGRIGIKSDVLKDG JR316_0007610 MASRTSFTSNALKRKSRASDSGSGVGVGVGSPTSINANPNANAN ANTNANHAKPPSSTSSLTSTSTSKGSPAASASVSASGAGSGSGSVSGAGSGSGLASDV DAAHDEHASASANVQDMESLEGEAEGGRARKKARIDARGGAGSGAGAGAGAGGVGAGV GGEEENGMDVDLDVDLDAAAAAAAHDAEGAGARVGASGDDISDKGVRVDDARSSNTPT KPKPKPADDDDDNGRTANASTSTATVSKSKEIGTDEGGESGSAAAVEVEVESERRKEE RGKARNDDQSAATITSSSRSKNDKSASSKDDKVTTSFSSKDDQDDKPKDDKSKPKSND DDEATSTSKPDEKSNDRDEKEKEKDEYIAGRVTCETCGAAVSFRDTRTGSFTVKHWEA HRGLAASNDPADAESADEGVGGSAIAGPAPRTGVHPGSRARGGSSVVSDARGQGHGHG HAQGQGHGHGHGQGQGEREREREEYLGRYNIRPLPRPRAFHSQSQSQSQSQGHAQSQG HAHAQAQGQAQAQRQRQQRSPASASASMSPVAAPSPSSSTGVGAGYAAHPSSAHLSAL AHTHAHASHPSHAQPLAHPSSAHLSHLSPHLSQHTQHALSLSLPLSHAHAQHPLSHPL SHPLSHPHPLTHPHPHPQPHPLQKRRRAKRTEEERIAHLRSDPYVASFEAYRVLCANC DKWIRLRPNSTYCSIPWDAHRKSCLAKKISNKNIHALDTRNTLFTNDPDVRKFDPERV LCGLCDRWVPVPSDDHGAAIDVWVRHREGCGGGGGGGAGAGGGFARIEPYPQPHHTPH PHPNSHPHPHPPNPTHPSSAPNNGNGSQTHPNTHASTSGSGSTSTSTGAPPPPPPSGS GSGSGSGSGSGSGSHPPASASGEGPTRPGSVSVSVSGAGAGASAGAHAQGRQGQIQVP RFPTPPSGSGSGAGAGGRVQAQGLAQSQARGQGQGQGQGHSQSQGQQGQQGQQGQNRS WRGYGVPLAKGCPGTSSTPGSASASVPASGSASASGAGAGAGAGAGAGAGAGAGAGAG AGAGAGREKTPIVRLPQRHSLRRAQEQAQAQQAKMRMRVGGEGEGGEVGEGEGEGEGV GEGEGGEDGEGEVDPDADADADADADGDGEAAHDDLRRSVDLEGDLDGDIDAQHHHHQ QQQQHSDYTALALSLTPNTYTHAPGQTLAHESRRRNAEQRAATLRADQRSPTQPRILQ SVHEMGAAPPGQQLFERRHEKMTQMQASASASASASASASVSGAASSSRRQGQGHGHG HGHGNMQGQGQGQASFNAHTYSSTHAPIHAHTHPPSSHLPHAQAQHTQPKKKLRLTPK EQYEMMLQQQREHDLELEIGEEQEYRFQVHLQSQQREQGGYKAKVKAKAGRYGASRMM DVDGDGDGDGDVDMEDEAGTRMRMRMGGRAREEVSEDEQDGDGDGDGDGEDERDEDEE DEGEDELVSDREDAEGEGDVEADVEMTEGDADADGEAEAEAEPNADKSNVEGDDANDA DADGDADADADGEPEVEGEAEVEVEVEVEVDAEGNPITRETPTSPSPKLNKVGNSTAG GSSSTSTSASTTRKAVLKKQVTASSNTTSTSTSTTKKGNSTPATGKPQPKLKAKHKAS APGSPAYYHDRGIMDTAEDAEAAATAAGYNANAPRGLSPHSHPPPLPASAGAGAGAGG SQQGQGQGQGRRNSMYANASSNANPRIPNLNLNRSATASVSVMLQAAARAVGLRHTLQ DMDMRMDMEIVMVMGTRTRAKRINTPIHTHRATHREARRGGTHWAPRSALHRPIRTLI LIPTLIPTLMRTDMGMGKAIQRRPPRPLRDPARALVPVDAHGHGHGHGHAHSQGHGYR DVHAHLGIARPPWADDDEYDDFEDGGAAAGPGAGIGAGIGAGGGDSMSISMGVGMNMG VGVHGESVRDSGGAGTGAGGGRRRAGGRRGDAGAGGGWPFAVPPGLADLDSPAGRNAF ISLSIAHLLNTTYERTDDLTISALLAYLNAAMPPDKHEEFDTGEVARAVGGMRERGLV GFEGDVVRAV JR316_0007611 MALWRISRCTNRQTGRNDGWWCKGLAVQWAGPLVYGEQSSGEEK SFSVAPWRHGAERAERQAQWKCPPGLNRVLNNAAESVKRHEKGLAALWEWLCTCV JR316_0007612 MATANFLVLVSAALCVVASPTLDVRQSSTASLPSGWAPFGCYSD STSSRTLRVASFTDITGMTVESCIAFCTPAGYNFAGLEFARECYCDNIIEPPAVVIDS SNCATPCTGNANEICGGSNAITIFQHTAASNPPTPTTTTTTTTTTPTPVASIKQSVGT FQYKGCFQDRPANQPRALGTQLTISGVTAETCTAACKAAGFALAGLEFGQECWCATFM SLVSPLPDSQCNMVCVADSTELCGAGNVLAVYQDTTATPLDQNTCLSLGILFPGAGGV PDFNFNLLMVPSSGTGTNVLLGVVFNTTIPINQSVFKLTGSLPQTSPGHSFSISGGQG AVGANSGGVDAGFSIPLIAGQAVLFDFVNFSTNICMKPNPIGSFGPFIGPPVLSVNGQ ANPWASCATEPLTPIFSPTAANGVCTSVFLEMLPPSIA JR316_0007613 MAVGLVFLGWCVPAYGELERCFICKDLGVEQVQLIAGVNIDLGP GGCIVKTTLEFLFLRLEISSCAKEGSGFLFNYKT JR316_0007614 MAPYFQTVKSFADVPFTGEDGQGGVETEAFLAASDGLVQLFDLL GSGVFGFVQADIRGNINGVRARYDSHKDASRTLEELVQSEKNEPHRHATPCLVRLIRG LLFTCRALQHMQRDTSCELHVCFRRAYDEVLRHHHNFVIRGVVGVAIRATPHRHAFYT SIAQGEPFDELDVELARWLEGLDRIVGSVRAFLESGGFGKV JR316_0007615 MLSEEDTDANLDEGAIEWSFYPKSPSISNNLTFGIHPPELLLEE IDLFDAVFKFDRWLDVEGWLGGNSEAHMGDLPPEATPMYSFPLRYATPLESWSIHSPH SSTDVVTGFFGDIYRSLNSLRSIRTKSEALLMTIKLGVHEAMKNPSYYYYSNYTTMDV IVEDISQRESLTSVNFLPFGYSYGLLMFYFAVQSYTSPRVSGHNLDICIISIMPHGAT LTNDTTTYSKERCRKDAFTSRQSGNISSEDLASGPSSSQKVAIFSKAHHLLFANCSFV AAPGGTVYSSPPSSSTFHSDMAANVEPTTTMDQSSSNPEPKPEPKQDTHTQNLSSYSK LDLVRMLIESEKRRKRCAMQELLRISQNIQEVDDNIALYSKMEADLLQNE JR316_0007616 MPAEPTKAKLKRRTSRKDLEEYRFDGSHGRELEMKRNRASNRIC QKQQVSCAECRRLKIKCDKQIPCQSCQRRGCAALCPNGSLATGQGTRFVLAATEHLHR RISALSGRIRQLEDALLAIHSKYSSEPHPLLHEDLIHADEREGEYEGAAEDGQGQVQQ PAEVLDAFGTLSISEHGISRFFGPTGGSEANIDSNHASPESIPTPDSGQGSRSPMSIG DLSLFSQSFPFTPMGQPADVQALIETHLPSYERTLALCETYFEQVSWIFRGVTRVQLI EDMLPVIYKRQSAPPGEDYSGPHDLALVFLILAIGALVGNEPSNALGEHFHQVARAAM SLQPVLEKPSIVTIQALHLMSIYNAMSGSDLKSETSMEMTWSLITLAAHLSQTSLNTG RPPSFSLAYIDCSYPQYGANDGFGSSSECVADVTARTLTAEAPSYATIMELDRKVRDF PLPDGISASSDDLAESFQRCVLDHIRETVLMYIHRSFFAQAIIEHPVNPLKSVYAPSF LAAYRASSTILKSIREQFNIWPNSCSRLWTMWTFAFSAAVSIPSKASVGVLTSVKVVF GTVVTRGPRSPLAQSAMAELEQACILFSKASTYSIRAAKALPILIKLSEKARYALSNA QSEPAQLSGDNGGAMWTIKQEDNDDELSIFAGHTRFVSRHGDASQFSNISPPPHNPHY EPSPPLRPLNPPSEIQTIDVSSSRPRVGVSAVRYEPPATISLSDSNPSTSNMGWNQSM PLQPVDEYMVTPSEAIQYHYHPAESSQRSSSTSVPSNATYNWQPESRHEPSAQPVMQV PSSYQPVQRQRPPQQPAARSLQPHHQHYNVQAAHAGGSSADDIHPPPPHPHPHPHPHP HPHYASHYPPQQQQQQHRSVSQQNISHQHSHPSSQQPQPQHHPAAQQQPQHHPAAQQQ PQPAQYNLYTNGGGGQGGYHGAPPNMDLADLGLASRDSRLDERWGTFMADSGLLDEFR RR JR316_0007617 MARFEFAYFLAITLALLFRAYNTDAGTGVQSSQSSNATCNIDRL ETVVGLQKSADLIQKAINATNSNDTAVISQLQTAASGIQSADAGVATILQALVSGQAP PADARQQVGDGLNSAAAALVVAFGNATSNAEAAAIASAESAVIGALAAGIRVVEDSLL JR316_0007618 MRFLRVVTSICIIIVSCLKYTAGSPTGPTELLPRQNAAITPLTK AQIAEFKPFTYFAAAGYCTPAQTAKWNCGATCKANKDFIPIASDGDGSTVQYWYVGYS PSLESVIVGHQGTNISDTNAALTDTQISMTKLDPTLFPGVNPAIEVHSGFATVQAKTA TTILKWVKKGISAHSAKKVTIVGHSLGGALALLDGVYLPLHITGVTFRVIGYGMPRVG NQNFAKYVDNHIKGKQLTRINNREDPVPTVPGIYLGYHHPSGEVHITDDKKWLACPGQ DNPSTQCSTGDVPNVFVGNVADHHGPYGGVSMDC JR316_0007619 MFLLYIKRRRNAGGSVETICLVGNGGSKLEDVSSTVSELEEFLG VAVIWEGPISDEEDDEEETDEDDDDNQENRQALIVCEL JR316_0007620 MAQYQEESFVIPSNTFLPGYFSSMFESELTSESILGQHLAQEPG SGNGYLPEFQCGPSTVEVSSASHIPLSLSSLGFDGENSNTTELYSSYPPPSTSSTNNS SLHPATEEEIQFFHPWYPSFPYTEEELLAQDNLQTSTHASPPTHTESGWDTWPQDSSS TTRAPIFRGDYPSSFMEALAFPATNENLGLTQVSPGNQHENNYQTFSNTFGEGLGNNP TSTGEQQTVEDPCSGYHPVEHDHSERNAHGSGLYGQNNASEWLPGAYESIEEEVPHTY AGTWTDESIAPEQPTPSTSQVQGHVPSCMGGLGVSVTRERKRRKDKGFRFVNLASS JR316_0007621 MGRRRKQKSFQVDEYESPDEKMVKEALKGDVHTDYLGRKQQTVR LSLPVGVDPKPFPPLMSNDSPTPTLDWVLQNETPFRVFDGDIEIQEMEDCELEALGII KYFRRTEVQSEQSDMTENSQKKRKTHLHWTGTYFRRISSKSLGLRIQLGHGNGICEVP IPAFNDSFVIISVNGIHEVALDYCGCTMAQSRPTQLLRARLFPSTVSDPKTAATFEVL EYFQMLSFNTKSSGFEFYQTLSRLTDNTGTKSPPDRYPVFMRIVREWRHVRLLKRMGR GHAESGVQGTKEGECAVLCPACPHPGINIPEDWKERPESEQWIYSLFVGIDANFQLKR MNVSNDNRDPPLNHGYAYMVEGRKFREYLNIYDSKIPDEKSNCNNHDAIKSASIRGGK GAAASGLGTVECSRHDMKRPVSVGDLQKGERYVLDIVYLVPKFHLPAHIPKCQTSFLF NLTPRVGRTDGESPERGWSSANGVASSTKEMGPGSRSDTLDDHFGDYNWRKIIGIAET FVRKAKEAIAAREEHVEAFIEFDAALPVEATTEWTRLCQAWEQDPSQINPYVIPKAKG KGATVTERDVRLKLAAEDAASLARGDTVQLHDDISPSLLIYQGLQFEELQHRIAHDSS KLGLHATSLQQSKVLERSNSLRRRIDAWISVQHLYMPVVASLRSRDDAGATDPVAVQD MKLFLPSFNTNTLQCSHLLLKCEWEYRYAQADETPTSLRALLLLRSHMYKSKYRHFRG QRMQTRSQKLLSDVQDKINYLVKTYRRIYTALENLSPSLIETSWRTVYRLLLDEDVAG LTSMDDFGSEGRKQLSWIWKVQGTGADADACTQAGMQITGCKSTIT JR316_0007622 MPKTRNVKMSKATKRDDSLQSRVLHPYPPVTIQPRRKMISRAVA AAQFKLAEEAGPYYLQAWKLGEKNAFLDRFYAVWFTLWPEIPKDKDDLEAVADRRRTT RRREPATIHWNDFCKETLRRHEEEMNAEKEKAKQQEEVKERQVQAQSYLAAQRKKVSQ LQKDAEMLKHAQLLAEFATESQQRMIVRLQLPNIKPAFRHCSGKLIPSPLRNLYTSDT TASDPASDETDGAALQDAPSDCAPLQI JR316_0007623 MTPPPSKSIHHSEESAETMELNINTISAALEALELPSNVLSRSD STLLTDTIVTHNGVGDVPMGNLIVAIVFCLRRFGIIEFSNARPNNATLIDPGPTKAIH PSNNRAAERNAVIDALFTEPVAIPAGKSLSIPARAATQAAHGDGTPLTSANVCIFVED SDDEGSLSYVSDVADDAMVSDVAFELASSVAPASATGAFPTLLANPVAAATVAAAPVA AAPVAAAPVAAAPVAAAPVAAAPVATAHVAAAHVAAAPVAASPVAATHVAAAPIAAAH NAARFCPTCQTQIYSHTPEPYYVVTKGKRVGVFTGWHNVSPLVTGVRGSVYRRCDSEG AAYAAFQEALDAGNVELKGV JR316_0007624 MPPAPWAVPDQIEFMSTRLPQFQDAQRNKTIAEFWVKLYAEFFL RWKGPEDEVQPNSVQPKKRKKDNQKLPQTSTPIELDHAAWIKLRKTQIANWFNNRGKG SENRRQGPAIVIGSAGPSQRALSELNVYSKRYYNEKIRSRVEKELKKHSGPAHIALIN KCISEAWDMESKDVKEEIRAETKRLKVLLKEDRSENTEQPTPQEYAKAIMEAPGQITS FLQEMYKRTGWCLSVIAGGPDPSRQGKIRTISIHMGKDKFDQFYFYLYNVYSQTDRDN RALSGTKPIASMKMGEGGIMDDCEDDSGATSQMEVEAPTIEGEEDGAKLTAPDGQNDM PAVATGATMFSGSMTRESCHGAAPTPDSNSTSQMEVEAPTIVGKEDGAKLTAPDGQNN TPTVATEATVFSGSMTTESCYGTAPTPAMDALQNSVTGPDKSLPVSLLGNPLNGMEMD GEKLYEEEDRVLSFMELLKEDGGYDPQRDSMLQISMNNGFFSDYQFWGNTQENRTFQV PTTEMPMAWVSGHKANASLNVQAPLDAFPTIPMSANNPNGHGPSFYHQEGPITPTAGS QLLAQPAVMCAPYIVQPAMLPTTSNQSYAHSNTSSAIPLPAPQQLMSSALMNHQLGPE SQNPPSLRFSDVPAMGMPHAGYLLASMGYTNPAIFSQLVQATPNPYGSGHHNTTIPQI VQGQAHVGVNASGAAQEPRTSAATSHPELTASLHPPQTRPSTSEKQQGNPVTGAHPAS CDTVSKESNCTTAAGTMIVPSPSVAQALKPPILNVMNADMQLDIVDDGGRRARKAATS RNVPMQQMWRAAALEHLMMPEIDTEKWKLCVKAWVAFEDSQCDAIETSLLRFPAATRP VALTKWLSTRKYGNAPDVGVKFGDEWVTWWNNSQPKWRQSSEAGKLPQCLSVAGGKDS INVLKKGGPNGLVTVMIGLRWWAKHRHSDPRWEEAVEDILAVFQAFQEVAKVTKKRKG NEQNIKVKSNVKRKKT JR316_0007625 MFPTANQTMGSTLGPHNREQQTVKDQCSGDHPVEHDCSERNAHG SAFQRIINANKILAITRSRGIYARISSIIKSLKPASSIPCEMCFSAGLQRL JR316_0007626 MPTQPHSECHTTKLDPDGEFSDSKGETSVGPKPIPVNLNETEDQ NQNISTSTTLDLIRDLIEIQTERKKRAMQKLIRATEDIEDADARLAGYLAMEAELSRR SE JR316_0007627 MPVTFREKSLIVESDNDSELLRETAPLITLQHMSQVCRGWRDQV INSPSLWASSIDLTFMDQRTPYWRDEVIRRTGNACLTVVADMRDQDNFPLDFFEDLIL VHWERIRKLHFVVTKLDNNVLTTRARAIILSALRRPAPNLESCTAVALHEPSDEASTA NFSILFSGDAPRLRSFILHGSMPKFTGLTNIRKLLLFDGHFNLDINSLLNCLRCMPKL EELAIESKITRRSGPDNDHHAVRLPRLNTITVYTTTNSLPLLVRYIIPAAGCRLISVG QQFDTVSDRRIASHALAYSNFFRTYTSLRDIGYISYHIEHGLPTFGHEPFVDLRVQCC DSPEYHNMHATEHHHVFIESDVSLQRNRNKLSSLYAVAQALSQCDLSSVTFLHLVLEE NTIPTSCTQFHAFLLALPFVETLRTDLSTLQFLIDIQSTFSDTIVLPGLMTVRLTTTG NGRKGALRDFMIYRQSIGFPIQLVDIED JR316_0007629 MAQFQEESLVIPSNTFLPGYISSMFESESAPESLLDQLLAEESE VYISPHQFQYGTSTVAALSHSPTPLSMLFAGFDGQNMGTSEFASPHTLPSASATHASI FHPATEEEPQSSDARYSPYPYTEEELLGQNVLFQSRTHASPPAHSESGWDILPQDSLW PTNSAPVLRSNYPSWFMQALAFPGTNEDLGSMPGPSASYGTEEAYATANEGQSSIHDR SPFTPVSPFANSTNQYGNQYQTFSNTIGEGLGIHNIRSTGEQQTVEDECSGDYPVEHN HSERNTHGRVFQSGLYSQNNASEWLPGAYESLEEEVPHTNAGTWTDESIAPEQPAPSI SQVQGHIPSCMGGLGVSVTRERKRRKDRGFRFVNLAAS JR316_0007630 MAQYQEESFVIPSNTFLPGYFSSMFESELAPESLLDQFLAQNSD DNTALHQFQRGPSTVEALSDSYFPISTSLSGFDRQSVGEKPVSPYPPTTRSSTYAPTF HPGAIEGEGQLFDPWYPPYPYTEEGLLGQNSWRASTRLIVANKPRPGETLGSTPGPSI LCDNSRHFTPQASFQNSSNEYTNDYQTFPDTIGEGLGIHNTISTGEQQPVEDQCSRDH PVEHDHSERNAHGSGVQRDLRDSTYSSLYGLNNALEWPPRAYESLEEEVPHTNAGIWT DESISPKQPAPNTSQLQGHVPSCMGGLGVSVTRERKRRKEKGFRFVNLAVS JR316_0007632 MSSSSPNRPSPETDKPIVKRAPGACAECRRKRGDKATRAGSKCT NCAIADVECVSVASQKPLLQRQREYIRILETRVNRLENYIQALFPGEDIETVISKPPP TDKDRVASHSSSPLVKESLVKKDHVSGGDPPESLGLADEEDIEHVALVKQLGSLNLTP SVIYRFFGAASPFMAAKQAATLKSMHTGIPDTGVHPKNYRRPLYWGMSSWESEWVTSP PAPYIYPDFDLMLDLVQIYFQKTNSLFPIIHEPTFMKGIMSGKHYGDQSFGMTVLLVC ANGARYSKDPRVIFAPDAAGSEFSAGWHYFSQVPFHRRIMLYTPTVYDLQYYALAAVY LTGSSMCPVSCNLVGIGLRYAIEMGAHRRNGQDRPTADTEHMKRAFWALFCLDSIDSS FYGRPSGISHESIDIEYPVECDDEYWEHPNPAKAFKQPAGIPCKISSFIHLIKLCEVL GFASRTLYSTYKSKLLSGYLGGDWEIRMVAEIDSSLNKWKLSLPAHLVWDPHREDMLH FQQSAHLHYLLAYIQIQSHRLFLTRQSSLSFASLAMCTSAARSCGHIQEAAIARDLRI LPQTIVRQDHPFKIVCH JR316_0007633 MSQIPVSDLLCEAGALSLNDPTGETPEFSIENATLAQQAPDVFE QSPFSVPTTEDTSWSDGSWDLYKLYLAEMGHRGNQPGIHNTAPSVPQAPIQQLGQPPS INDTTYTFWSDTSTAYNCFEEWDRYIQSMGQLECSLDDWFI JR316_0007634 MPLTSLGFIRSTGADVFTSSFLVDGVIYHFIGRLSATTKLFTCY NATLTYNSKDDLTATRQVKGFVGPSTISMEIDNGPTISGTLDLPIYPPGDLDGSGIWN MS JR316_0007635 MGGFTLFDGQRPMGVLSHKHLSHLLREGKVRFPDISVKEIEDRS KSDGLAKFLVIGQTTWFILQVITRKVQGLGTTKLEISSLAFAAINATVYFFWWNKPYD VRTSVPVYLLKPTDEPGKEDPMSEYLTIQINETVITKEKSHQGKFSDTEDMARVRQPV FSRNVLRKLVSWPIAALMYVSKCLHNMSENFPEFHHFQHRKGPEAEGIKKVPKFYAIL GDYDMHVNLVVSIIGVVFGSIHCAAWSFRFPSSVELILWRVASLLITCIPASFLVVVF SVDGVLKAPTDPYKRGWKGFIRVHISPFMFAVLLPMYGLARAILITESLITLRDLPSS AFSIVSWTTFIPHI JR316_0007636 MRLLSSLIGLSYTLGAFSSVILDGRDGARDEDAGAIGPDADLYI GNKAISPDGFTRSAVLAGSSMDSLSFPGPVITATKGDTFHLNVIDELKDPSMMMSTSV HWHGFFQKRSSWADGVSGVTQCPIAPGHSFMYEFSTGDQTGTYWYHSHFSTQYCDGLR GAIVVYDPEDPHKDLYDFDDESTIITLADWYHAVAPITQRSVIPIFNSTLINGLGRYA GGPASPLAVIKVLPNKRYRFRLVSVSCSPNYIFSIDGHPMTIVEADSVNVKPFVVDQV QIFAGQRYSFILDTKMPVGNYWMRALPNIGDVTFKGGVNSAILRYIGAPEADPITLPS KGVLLKETDLHPLENPAAPGAPGRGKADVNINLDIEWSMKTQKFYVNNASYESPSVPV LLQIMSGKETAQELLPAGSVYVLPPNKVIEISMPGGSGGSPHPIHLHGQSFSVIRSAN SSIYNYENPVRRDVVSIGDTAMDNVTIRFTTDNAGPWFMHCHIDWHLERGLSVVFAND APAIEDSKPPPKSWDELCPIYNALTPQKFN JR316_0007637 MALSDGNYLIRVVPTNISWPFARGNYATRGDISAPITVQAQITT DNSNQIWGVRADSSSPNTYKITQPTNPNIHIGPVIGGFGLDNSGRDVIYSRTIVDWVL TQVDASANTYIIEQQSHEIGSINAVTVSNAHLVVQQIGLGDNKSPLPQWQFISQDDLD JR316_0007638 MSLSNGNYLIRSIPANVSWPFVGGNYATRNEINSPIIAQPKIST DDSPQVWRVETVSSNSNTYKITQPINPNIHIGPVIGGFGIDASTNNVILSTTILDWVL TLVNATENSYIIQSQDHEIGSINAVTVENSNLVVQQIGIGDNKGPLPQWQFISQDNLD JR316_0007639 MNNHTNPPPASHMFNDWGVNRPHTSDVMTLSRVSLVPPPTPVAP NHFHTNPDLVRRAEDLIMSIEHKINVLELEKRNPILNDTKKKDETAATKLPPVQNGLS TGGRYAAFVARKSYYLLLFLPCGKMKVAFALGLFLCANAYTFYSSE JR316_0007640 MSTAMQESKQTSEANIITGRRQGGTGGKPFDDFEFSRLHITSIH VQWDRRINAIQVTYEGGDTAKLHGEKAGQSDTFNLEPGEKIVRIEGFADDFINQLQFT TDAGRWLSFDCV JR316_0007641 MSKTAQGRVTGTSGTRFTATFVLPDTGMQVNFLGNFSSSIQTFN CSNATLTYTDNNQITATRQFDGQLGTNNLKLTLMNGPVIEGVLDMPISPPSSVAGSGV WAIN JR316_0007642 MDLADSILSDSVCSSISLPTSFDIVALDDAVGDSCSTLDDSFLS ISSVKTETDNLKLTLNDPISRSRGRIDAAYRMIEKYAYDIRFGVEVSHEHEAYLDSLH RGITELISRVQKDLYATDQQSLGNSLLTINEVNERTQATLSTMASKLDSALSILHCRR RSQTLDTEENGTLNPSNARISWCPIDNDDGDEALLNMITSLHEELHIEEDLVVDSAGT PASEKVVPQWCFHAEQDKKNLGRPFQWLYTFLSRS JR316_0007643 MSTSAEGSVTSTSMTGFTATFFLPGSNKRVTFYGKLKVLVQTFK CSNAVLRYDNDTQLTDARQLNGQIGVDNLKLTLDNGAVFEGVLDMPISPASSVSGSGN WETKTGTIMSRIPVNGSSSVSFADSSMTPTKLTGHISERLIPPLRS JR316_0007644 MPPIVLVKKSTLGSVPTRLPATLAVLLFFGALIDGIGIDVARAD NPFVQTIYTADPAPLVYNDRLYVFMDHDNDGATYFNMTDWRLFSTVDMANWQDHGSPL SLADFSWADENAWAPQVIPRNNKFYMYVPIRHHTTSTMAIGVGVSDTITGPFHDALGK PLVENGDIDPTVFIDDDGQAYLYWGNPDLWYVKLNQDMISYSGSPTKFTLTTAGFGPR SGSEQRPTSFEEAPWVYKRNGIYYLIYAANCCSEDIRYSTGTSALGPWTYRGVIMATA GSSFTNHEGIVDYKNNSYFFYHNGALPGGGGYQRSVCVERFVYNSDGTIPTIQMTTAG PPQIGTLNPYVRQEAETAAWSQGVETESKALPSVPVQHPSQLVSHPPPAEARFKSVWG VRLEHSLVPATYREQGAGKPGRQ JR316_0007645 MADTESACDPLPQDSSWPTNRAPVFSDDYPSSFMEASVFPTANQ NLGSTAGPSQSYYGQGIHDPYATANEVSIHNSRPFTPLSSFATSSNQYGNDYQTFSNT IGEGLDNNMRSTGEQQTVDNQCSGDHPVEHDYSERNAHGSAFQSSLYGLHNALEWPPR AYESLEEEVPHTNAGTWTDESIVPEQPAPSTSQVQGHVPSCMGGLGVSVTRERKRRKE KGFRFVNLAAS JR316_0007646 MAQYQQESFVIPSNTILPGSFTSFFESDLGPEALLEQHLAPESN DDESLHQLQCGPSTVVESPDSHIPLSMPFSGFEGQNPGTSEFFLFHPTTEEEIRLLDS LYSSYPYTEEEILGENSWKAGTRASPQAHTESGWDTFPQDSLWPTNRAPVFWGDYPSS SMEALTFPATNEYLRSMPGPSTLPGTDDLYATANVETSIQNHRPFMPVSHFGTSTNQY GNDYQTFSNTIGEGLDNNIRSTGEQQTVDDQCSGDHPVEHDYSERNAHGRMKGDVSHS GLYGLNNASEWLPGAYESLEEEVPNTNAGTWTDESIVPEQPAPSTSQVQGHIPSCMGG LGVSVTRERKRRKEKGFRFVNLAAS JR316_0007647 MAQYQDVSFDIPSNTFPPQSFASLFELESPKSFLEQFLAQESSD NAYLPQFQCGSSTLIPMAQYQEESFNIPSNTFHPGSFSSLFEPESCGTGFG JR316_0007648 MSSKYGGSIIGLVYPNIHVFIRFDGQSVGGEPVSFYPPTSGSST NAPTFHPGATEGEVQLFDPWYPPYPYTEEELLGQNSWQASTRASPPAHTDSGWDTLPQ YSSWPTNRAPVFRGDYPSSFMEALAFPATNKDVGSIPIPSISIHNSRPFTPQSSFQNS SNQYANNYQTFPDTIGEGLGNTNISTGEQQTVEDQCSGDHPVEHDHTERNAHGSSVFQ SSLYSQNNASEWLPGAYESIEEEVPHTYAGTWTDESIAPEQPAPSTSQVQGHVPSCMG GLGVSVTRERKRRKDKGFRFVNLASRLD JR316_0007649 MPLNNAFTQSTADATVYYDFGDASDATIIRASDTTMGMEENEVE DILNDLATPSISNGNPTTINTIGNPPSPTDSRPETHMFQQSLPSSPLRARFSNLVLQA GTPPPVLHLFPELKRDDLIRIMSHQLEARDLHRLQPTIHSDGSPDVGENIENATFDSL YLLLLRYFEIVSMYFAQDYLITLAFFEFLAHFQSISSKYEWPAVRQYTLSFFDCRRVE MYDSNDYSGWLRPDEALSEKYLVGHEKGGALSLVSSTTISIPDTAPPQVVPQPTNVNN SDEASRKATLFIGFLCSFVGLILAAIVMIPFSLARLLFTSNKGAEKRLEETVKEEEES FDFYD JR316_0007650 MLPLAVLLLSFSRQAFAIPSATSLQADLTFLFQNDLNWTTAADH KGTILINKFGTKEEATAACAELNESILPTDGPYFDSDMKSLLTYLNVNSRYYKQKFWV DSTPDAPCSVVSLPGSLQSVPCNSRFPTFCSQSSPYRRNTDVDPDPKFRVQVKSKKLT IVGTRDHLSFRFLGIPYADPFERFSYSKLYSGAGTINALNYGSPCTQSSGGSEDCLFL NIYTPYLPRDPARSKSLKPVMFWIHGGGFTDGQASDSIYDGGNMASRSDVVVVSINYR LGALGFLALEDGVTNGNFGIADQITALQWVREHIAEFGGDPSLVTIYGQSAGAGSVRA LLASPPAFGLFQGAIAQSNLGGFGYASTYSKYLTIQQQYASFGAPLVSSVGCAGSANI LQCLRALPASSITSTPNGPRYIVVDGKFITTDQLEVNGSGQAARAHVMFGWTRDDGSD FIGPYPTPGSTLAGALLAAGLSSDVVDKVVGSDLFPTPLGPNSLENLFNLTSRIGTDG QFRCIDQATVISAAKHDVFASVWAYQFDRSYGGYEPVPGTCDPPSTPAFPNGDPNLPY YRCHSGELYYMFGNLGQDSLPFRDEHDLLLSQYAVDMWGSFARTQNPNPSPYFLTARG YTNTANMLRETGRWNKVTPREKAPLRIIDNQQRNSQWLEEEQCDLLGYPFTYFG JR316_0007651 MYTNNPYAQAGWSNPQNPHSINERPSLNAILAACPPTYGALPPY QVDVKENINLTFQFLCADDALNCIIIGPNSKKLFEVRTTQNVTRVIDESNDVFGNIRW SSHPTLELHREDLRSPEPMARIAYHTTKQGQQIILELVTEAVQQGLLQPCIMATILLS TSRFLD JR316_0007653 MAAKQAATMRSLVTGQPDTGLDPKHYMRSQFWSMNPWEHAYITS SESTYVYPEPDLLLELVTVYFEKTNALIPIVHEPSFMKGLLSGKHHVDPSFGQIVLLV CANGARYSTDPRACYAPESQHSSMSNGWQFFRQVPLHRRQMFYTTTVYDLQYYAVSNP LIRVDLKLMMIQLAAIFVNGSALCSVSSNIVGIGLRYAIEIGAHRRNGQTQPSFENEH SKRAFWVLFCLDALLNSFYGRPAGISHDSFDLEYPVECDDEYWDHEDPQKRFRQPPGK PCSMSNFIHLIKLCEILSFSSRTLYSTKKSKLVSGYFGEDWEMRMVAELDSSLNKWRA ALPSHLQWDPLREDEKFFQQSANLNSIFFYVQIQAHRPFLIKKSKLSLTSVIMCTNAA RSCSGIQEAAMARDCRVLPHTTYIAFTAGIVSVLCLWSSRCPGYVGDPQKESENLLRC VSVLKKCEKLWHSAGSFRDVLCEAGALDRSEIDGPSPENSSGTIQLPDPFKTIFSPPL QDDATWSNNWDYTGLFLAEMGHRELQSSEINHPQLAPSGLGLPNNSDPSVSNTPIEWS SNTAGYPGYPRYEGWEQYARHV JR316_0007654 MRAFALFAFALPALVAAQISCDSGTALCCFSTQERTPDSIAQLS ALPQFAGVDIPSDDGDIGRK JR316_0007655 MSEVLTPSELELAEAKSKRISNWVSQTRQHSPVNAFSPPTPPPT VIESKRIRSHTHSPHHRLPLVILDPTIMSNTVYSRHTIPSTDPRMSGYHSPPMAYPSS PSYPHQSSTMPGPMIPPSAPFNQQSLQSPIYPGNMFSHPSGMVPSGGYGQTGISPGMQ PNHEFASLGRDETGSIIAEPVPPMSAPAGTGSGPHTLPGQMPNPNVIVMDDSPGSNRD KDVPLADDERAIPPDYVPASPGPDSRSHESSSLPARSRARPNGNTATNPTNSSQNAPP ANPLANTNLGANPLVQAAEVAAANYVQGHGHGHKHKHGLKHEIGQAALDAVVGGVSGQ AHTGAGNAAGSSVAPPLMHAAESGLAGYASHAGAGNVGSSNVAPLVQAAESALTGYAH GQGQSMQTQLQGGSHQRAPILNSSSGHHHHHHHHGHDRDHDRDHHHHHGHGHGHGHHR SSSHPHSHSHHHHRHPTVYSRDFATYSPASPTGVPATTVAPVTSSSRPVFSRHRSRSI DDRDLQRSMARTRARYDDGAGAGGTGGYGIGVYGGTHGGYTTGSGNAGYPIGTSGSQG VYGGTNGNGVGNNGSYPQTIYPSSTQPTVVPVNDGKGGWVIVPPPGKNLHIVDSHNRT LYHSGGHGASTQVHPNKLRARSSSQPRAAAVPSQSFFSKFFGVGRRNGVSRERGRARM VQPQPVQKSLVPVQSVARLMAL JR316_0007656 MAPTIKNKVCLIVHDGWGIALEKGIKGNAIEAADTPVMDRITKE DAATTLLASGTAVGLSEGLMGNSEVGHLNIGAGRIVWQDIVRIDVSIKKRQFHKNEAI LASCKRAKEGNGRLHLIGLVSDGGVHSHINHLFALLETAKEQGVPHTYIHFLGDGRDT APRSSEKYAQELIDFTKKLGYGEIATVVGRYYAMDRDKRWDRVKIAVDGLVQGEGEKV EGGQDGLVEAIRANYAKDVTDEFLKPIIVNGDEGRLKDNDTIFFFNYRSDRMREIVSV LGLPDKPMEVTVPKDLGITTMSRYNAEFPFPVAFPPQAMTNVLAEWLSKQGVKQAHIA ETEKYAHVTFFFNGGVEKQFPQETRHMIASPKVATYDKQPEMSAQGVADKVAEVLKEG TEDFVMCNFAPPDMVGHTGVYDAAVKAVTATDKAVGTIADAAKEHGYVLLITADHGNA EQMLDPHTGNPHTAHTTNPVPFLMVGEGLKFKEWKQVKEEKKEDKKEKGEKDDDEAED EPAICDVAPTVLDIMGLPIPEDMTGRSLLAH JR316_0007658 MPQRVSIDDSDEQHVSYKGVWEMVLGSSRQWEMTIHSTLTPGST ATFNFKGYQVWVWGTIPPGVGSNLIEVSIDGGAATTVSRTSNGSAVYNEVYFSSDLLR NGYHQIVVTGLGSADKGNSEFQLDRFEFQTDDEIPSFTTSGISTSTSSSGTSGPTSDS SSSGTTDIASSPKKSTPAGAIAGAVVGALALIALVLGFLLWRRRRRSNGDGPVTKRND NARNPFAPDPFPLENKPPSHIVPNAALSVAVSTGSHGSAQTQEHISEKSTTYQSSHPE SSLYSPMSTAGSSQALVPVRMNSSSTLATPSSNNVSRSPLSPNDGSAIHSSPTARSPE SFVPLQTMTELPTPANDNFEHPPPSYTHHDEGVSGTGSVLSYK JR316_0007659 MTEYGFAPPAIGSYRSNHSRAPSWGGASSHNLSSDQLNIVNPEY GRGRVHNAFGQTSSDYRRGRRQLPQLYDNHHGTRQISHHSGPYSNHFPSQQQASINGR YMDPNDIPPLEEHYEGIPSRHPSYQNGRTLSQPYNPAIPEAYSIGPTNTYTGPPIQVP TSADNYSRRRRRRSRSYSYDRDGSDGSYSSRSHSTDTFSSRNSNRYYDSGQQYATIHP SHHGPTVVQPSQNLPIVVPISGGKGGYVVVPPAGQTMRVIDPSRPYKHLSFIDRILSP STWGFGRKKGTIIVN JR316_0007660 MSPDRAYTQPNLLNRPIESLELNSYHQNVQTPCKEVGRLEPCSP ALSDLHTGTTDLEALYNEIDRKNLMYNQSSRALGLCRSKLEAARRQSEADASELKSLR QSLAALRERLAVKETELTSATGYLSETKSALAEISEKHSSLKEELAEEKAAKQKLEIG TGMLKSGMAKLSTSYSELAESFRELKVAYDSSQKQLTELVVDAGETKRLAQGGLEGNF YALLPEVESSIII JR316_0007661 MTIRSAETKNLLAELSKDLASSNQVNDMLRDKLHHMGSQICEAQ ERIKELEEEKRGALKDIVAAQHEERRHFEKLMVVEESLAQLSQKLAVREKETIDALAS STSFQTKLESSMLLVENTQKARESLELELHTLRSERDTLASNLLDLQNTISIREKDLA TAKVELTALAESKAELRALLAESKKDLSEKIVELQNRDPNIDLQNQICSLQTEVQLLQ TTLRELRGTETVLQKNITEAEKANAQLLERNQQLQESLRKSQLESDARVPLELMNEKT NILNNQVSALKQEIKELTLEVRDHEKAKSELVYRNRTLQESLERSTSEFTGVKEIHTK LTAETNELKERLNVQSGELFRCKQECAIAKERQISLEKQLSLTESSLKQMESGRDSVV NALETRVEDLKVKLDQVTAELMDRTRELAAQSESLSGTEARHQLETDRMIEDLEQRIL QHVKSANEIVSRYRDGNLTDVEKELVGVVLRQAEHIHAEEVVKKDNELHRRQRVVEDL KIKIAESQKTIARLLKEKAKELPPDSGNKSIFGFKAWVSSSPADAHDAAKGDKNGRDH GKMPSPVAPSPTSNPIPLPQNPVPTSHHTAPSVNARHVNVDSEDDTPLSELSSISQDS APAMASGQKRIHSRPPSALDEPNPAKRRTASKITIAPSKPALGQSAATTSTNNKKAPA LDTSISTKSKAKKRK JR316_0007662 MPVDTTRVISPRRTQKKLTEEELKDIDRKRLLGELSCAECRRLK LRCDKKLPCGSCFRRGCESICPLGILAAGQGTRFILADTQQLHDKIYEMSNRIRQLED ALAILQSTVTDQRHPLLSDELLRIKFGSEAINARKASADNADEDNSTAKSIDALGTLT LGSSGEVQYFGGSAGSERAGEEVEGSEDEDKEANREMFFEIDKLANLFPFTSKGRPNM HGLDLVQSFLPSSERASQLCDSYIKHASFFFRPIKGDDLLQGLLPAIYNIANENRSQA TGQSQSQSSGSEEKIMNPHAMATLYFIFALGCLLDLTRPPYSSESERYYDLGRAALSL RSVYDSPNMDSVQAMGLMATYQTLAGKKYTRDSAWCIMSFAAKLAQSVNRDSARWNMD SSTVQRRRNLFWEVFSADVSNSLAMGRPPAIHLSYVDCEFPQDDDASLSSTAEPEDGF WRMKHKFAKNIYNSVADATLTAKPPSYNTVLDLDRKVREISFPASFNPYVTRDVGAEI FNSSSLSLRDFYASQHRTVTMLYLHRSFFAQAMLDHPTNPLLSPFAPSFLTAYRSASV IIKATAHLFERCAAIAMRLWFLINHTFSAAVIVGTVVTRSPNSNIASIAMRDFNLALS LFEKTAAQSSRARVALGVLTKLQEKAKRSYEQISTTGPIEGPFDNPEDIEDDLAIFGG QMKVLSRKGKMNAHQRSSSTSGSITTHSTVSSPQSQSPSSTNASPKLDSQAAAVLGLG LDFPDVHPSLITYLNQDAVRRAMTQGSLQREGNAPPTVSQVNPEAPAGSFGASNATIL RGGPIPGVAALLEGNSIIPRQPQHMNTNSDARPSYDDSRTRPGSSFPQLQLPQQYSAG VGLSRDWTQSLGAANPPGILRSNLSSYTDNTVARGAFDNRESTNIPGRNQGGIAFSDS LRSASSGYPRENIFPSTADTNMGQSFAQPFFQRLGGYMTLPEQSQSSAGFNPALDLSR GSMQGATSGVGGTSMSASAGWTDDGVNFADAVEMGLSSGSGMDAGWMSFMRDCGIMDM DG JR316_0007663 MEVIAAATTVCALCKSIVQWIDQLVQKEQLLTQISSSVMQLYHI LKPFSSESFKGKGEAELSQAILAVGDALQRTKEHLVVWKYKKAKRIMAFVNPGSQIQQ LQEDQKHINNQLIILLTAMAAVGYFRDHSNDSQGTSSSIESPSFSDVSTKTLVPQMEE MGDGDAKAFWRDYIGVKVNFVTVDVFQSRLQSWYQEFKGNIPQRASERMIMRLDEFNC GGITPYNLDLALEGSTLKEFVENYIKGEKPRSFQYSRTRNTSTSTTVNDQALRVPLLV WIDDCPENNVYEVSKARLSGIMVVELSSTAIAKAWIEENLDFLLDNDHPSTLRFISDN VRLERENFLQYLRARFFEAPVLIYTGASVVTTRYVCSYDAAGSTTNLGTCLRYILGLA SGDSDDTFWRGFNTAVAHLYALTTASKVKGKHLITAQFTVLTTVLFASRHVQRERQLW ESGGSSSSASLLYTMRNKAELSPYPRDQYQHCIPRFILRNFLEGPRSFITKRDRLKLF RKIKKKGEEHDTERISFYHIPSGMLYNRPIAKVYGSTNLYRDFSNPIDVDHLERQFSK LEQEASLAIKAIYRGIHQQMFTLSRKELATVRKFIFLMHFRNDAVSSTYFHENNPTNA PLVDWIRKYKETRQLKTDVDVWKDGLKYYLNTPHHEIVATGERLRERYGEFRLQEMLR KRLDPDIEEWYAIDYESLANYFFLGVWEAADDSDFVLSGNGFGLWEGLIYGSPGAHRL YVVSPRIVIVLRRTFLHQPHSNDPSILYSCLADIPIMPPNINYADPSAFENLDDSDPT LLRNIRDAYRCSEQAQKDQFTFRITKLSKAQTYAVNEVTMMNANLHPEGSLTFASPAA MLDTLQLYMASHNTFLGGKRRLFMPLVQQLVGLCCQDSSPTTQATLDFPWHADTDADR QLHMFLRFIVANSVSFPSSYNRAYIIYHMSTDIPSLSNPVSSKIREIQREAVQALRHI LDPPLLPCAKSDSSLSPRNIVETLPNDESELFFSLVGHQMNHLQVGKYTNDILANIIY EASIIGVTHWIANNRPDVLFELVGNWATVVA JR316_0007664 MRRHFYVITLTLRFALAQSPTTIAAPGQPTHKGETGKFELLDNS IVSAQQLFLGRVDKVYLIDKVENNPNQINGHPAWASEYRLSDNRQRALDIPTNTFCAG GSVLGNGTWINVGGNQAVTSGGNPAATQDGRSGPYMDADGRRMIRLLNPCDGDDCSWT TSGYQSEQRWYPTLETLEDGSVIIIGGSKNGGYLNDAGQTNPTYEFFPPSGPAIRSNF LENTLPANLYPLTWLLPSGKLLVQAMRSTILLDHKTKVETPLDDMPDAVRTYPASAGT VMMPLTPANNWTATIMFCGGSDVPTDQWASPKFVPISQAAATSCVKIAPDVSGTYVND DPLPERRSMASLILLPDGKILCLNGAKTGTAGYGTTSWSIGQSYADDPVLLPVLYNPD AAAGSRWSSNGLSPSTVPRMYHSSATLLPDGSVFVSGSNPNADYASASDVLFPTEYRT ERFYPPYYNERRPQPKGLINKLSYGGPPFEVVLDADDLFKDVQNVDSARVVVIRTGFS THAINMGQRMIVLQSTYSGFSNTTAVLHVSQMPPNPAIFPPGPAWMFLVVKGIPSVGV QVMVGSGNIETQNILPIASLPDAMIYRADDTTSSSSGASSTSSATDGQSQKTSSAVRL DCWLALRCLSLWSVALLSLSLLLRG JR316_0007665 MPVGDQSAEEHYHCYLASQRRVERWVQRTDKELRAAMAGSAVQM PGAKGKAVVRSEEKRSQDVQLGDKDLVPAEPPREEVLPQRERHHEEHSRPSRRRSERT LSTPSNKRPTSSEELLQHSRSRSRPSSGSKKTKTSHVSGGRSSQPHPTKSSARHGYGH SHTHHRRESVYSNPDITLSSYLPYGVLPLLYAITGSPALSIVAAIILLALYLYVDFGT PATKKKSSSSSS JR316_0007666 MTRRRNGAAALCRALLLSLSTVFVAGQSQPDHTISSFSNLPARM FFFDDTESVIYHDSMQGDVYVSLDEGKSWKTAEGIPSGRVSMVFEHPTDNRYAFALTD GKTHYRTQDRGKTWRPFDVPLPPALVPRPLSFHSDPSKYGYILYQGSQCDRQGWGARC HDETFYTKEAFTDDVKPLLKDVSHCQFAHSTKDFKHEAHEDLIYCVAFDSESTSSSHD LSSSRLYSSTDFFKSEKNVEDLGIGKNAKGVIAFAIVSQYAVVALKDLSAASTGEMLL YVTVDTKTWAKAQFPHASSATLRENAYTMLESTTHSLAVDVKLQERNSIGTLFVSNSN GTFFVESLKDTNRNDAGFVDFEKIYGVEGIGLANIVSNAQEVEGRGSPKRLRSLITFN DGRQWTPLAPPKEDVDGKKIGCDTSDPDHCSLHLHSVTAPHNFGRIFSSPAPGFVMGV GSVGESLLPYTDSDTFLSTDGGVSWKMVSKGAHKYEFGDLGSILVMVDDEDPTDEVKY SLDLGKSWQKYNIGVRLTARALVTLPDSTSQKFLLLGSVSKNDQKGDIGRVVVVYLDF AKTRGRKCGNDDYEKWFARPHDSECLMGHKQWYKRRKVDANCYVGEKFKDPVTHEENC KCTDADYECDYNFVKVNGKCEPAGPEPIPAGECAGGPESTYMGSSGYRKIPGNTCEGG VEKGERIKKPCSLAQPAEGEITHTSHQFHSQIVQHAYFKGSQTILVRLNDHTIWQSSN EGYSFRQLFPDVKFYAFYHHKYSTDRAYLITDTRTFYYTTDTGKNWFPREAPTPPSPF PNQVISFHPQSEYLIWTGNRDCDGNSLNCHAEAQYSRDNGHSWTIIDGYVRNCAFAKD RELDADPSEILCESYRDKKGSQRTLEGRSPLELVVGRDFYREGGRKKMFDWVVGYAKF SEFLIVAEMNTEKRSLGLQVSLDGAHFASGQFPPNLNPETHAYTVLESSTNALFLHMT ISEAPAPLWGTILKSNSNGTYFGISIENVNRDRNGYVDFEKLIGLDGIALINVVANPD EAKISGKKVIQSRITHNDGSTWTPLNPPLHDSQGREYPCSGTKCQLHIHGYTERNDPR ASYSSPSIPGLVMAVGNVGESLAPYTESDTFLSRDGGFTWQEVHKDAHLWEFGDSGSI LVLANDEEPVDFVLFSTDEGLNWRQYKFSEEKMRVRKIVTVPEDTSRKFILMGEMLKT SGTAVVHIDFSSLTLKQCVMNIEDPGHDDFELWSPSEERPEQCLFGRQTLYHRRVRDA NCVVGNQPKAAERTVEPCACTKVDFECEFNYVKNANDECVLVPGTTPLPDDDSCSNGE DFWYERTPYRKIPFSSCVDGYRPDRGAEHVCPGFKSKGGWFWFLMLLLPFGFTALVAY YYYRKSGLARGTIRLPGDSRPAYGGDTGVLATLASVPWFIIGLAGIAWEWVVSRADTH LFRSRRGYRNVPIDEDAQILRFEDDE JR316_0007667 MAPNGTSEITALSQLIASAVQDIVNEYTKAGHTVPSLHSTEQGP FDAPHLVSETLSKAVQIVEAACAQLTFTVTNPGHTMTNKAYAYEEPACLLVVTNAKIA DLLLGKPEGLHVNDLASASGLDSGKLGRILRLLATKHVFDEVKPDIFSNNRLSMQLVS NNPVSSLVGTMTDECFKAGAYLADTLGDPKAGHSTSADDSPFQRVHGVSFFGFYKTPI GKKVNDRFAQTMVGWGEVTGKSMLPIVYDWENVPQDTVICDVGGGNGHATLGLIKKFP KIKVVLQDLPAVIQQGKDHWATECPEAIENQRIRFEELDFFSGQPVSNCDLYYRWSTD EFVIEHAVRDSSAKTEAKDEAPEPLLANYGVSRIRKYNQDLNMMILHNAQERTLQEFI TLGVHSGFKFEKLWDSGEAGLIEFIPV JR316_0007668 MSPSTIDDLRSTGRALNHGDTRPVQYSHCDVLVVGAGPSGLMIA QALGRLGIQVRVIERRVQGSQYGNADGLQPRTLEIWKSYGMLSKIRAKGVCVRAMVAY ETVSNGGGLSRLRPSSSIVVPCRYQYEIAASINDIEGTLRENADEAGVQVTQPCWPTS VHVAPDVDSALSVKGYPIKVVIEHPSNCCQYHTGRVRHQAQENNTNRYYNACTCNQDS EAQGRVEIVNAKYVIGADGASSWVRRSLGIDMEGEQTEDVWGVVDVLVDTDLPDYRFK CVIQAASGAIIIIPREGDKVRIYVQLSAEDSIPRDVDGELDKSNLEEGEIRQKILLNR IFIVGDACHTHSPKAGQGANASMGDSHNLGELLPSRPLVIEFNMNRGIGISYASSSLT VQPATAIGHIVPGERILSSPITRLADWADRNIHDLLISDGTFKMLVFPGDISSPQSKS RLSIFAKALSESISMKEIDSREGLRIDIYTIVRNDKAEVIWTDIPAFIARSWRR JR316_0007669 MRYQSLILAAFCGCGYAQLTPTLRHLHSRAVITDTDLRSTYDYI IVGGGLAGLVVASRLSEDSSTTVLVLEAGHSGDDVIEGINSPSGAYYSSIVGTEYDWL YVTTPQTHMNNRSVGWPRGKILGGSSAMNAMYLVRPAKVEVDAWQAIINDDKKRWGWD NLFKFMKKAENFTPPTEELLSYTNISYDASTHGSGGPMQVTYPAFMIGINNNWTSSLE QVGVPTLTNPNGGITLGGFIAPSSINPSNWTRSYSRSAYIDPLPPRPNLHILPDATVL RLRYGDSSNSRGIQVTGVEFAKDPQTPISRVDVKKEVILSGGPLGTPKVLLHSGVGPR DVLEAANIDVRVTLPGVGQHLQDHLTAGVVWETPQETAGNIKDSGSDFSRTAEFLSFI NDAVAFVNVTALFGGPDPVPVFQKQIIDAMEESSKTLVPSIYPEVVEGYKAIYETTAN KFLPDVAQLEMLMSLISPGTVSIQAALQHPFSTGRTYINSSNPFDPIIIDPQYYSHPA DLTLMRQGVRFVRSVGAAFGDVLGQELAPGPDVQTDEQIEAWLVQGAASTQYHPTGSC AMLPMAQGGVVDADLRVYGLLNVRVVDSSIFPFEFAAHLASATYGVAEQAAELLKSTS YKADGSVVPNSSSVALRGPSSIFGLCAIIFLASLSCLTPYL JR316_0007670 MSSTPSRAAIAKEVSKPSPWLHFVAGGLGGMCGAIVTSPFDVVK TRLQSDLFRQKHPAIGVVGGSGSVVMGAPRPTNLLFHFVETGYIIRDIYREESFRALF KGLGPTLVGVIPARSINFFTYGNGKRIIADKFNNGQENSWVHLSAAAVAGIATGTATN PIWVVKTRLQLAQGDKKVLGGSWLCITKIFREEGIRGFYKGLSASYLGVTEGTIQWVL YERLKKLNANLDGHGPVSQWAGMLGSAGTAKMVASLITYPHEVLRTRLRQPSVNGVIK YTGLWQTLRLVIAEEGARTLYSGLSAHLMRVVPNAAVMFFIYEGLISWSTKH JR316_0007671 MALGASAQILGNLAQAAGQLAGNLNLGGLVNGAGNAAGTLDIGP RAAEVGSDLVQDAAPGSGQVGSLVSGAGQVGGRVGIMRRAEEDDVVQEGSGQVGSLVS GAGQAGGRVGIMRRAEEDDVVQERDENMRSAKFKRV JR316_0007672 MPSFIARYIILPLILAVIVISCETETLQALQGKQTYVKGESGAG HGQPQNAFDVNDIGGGNQAQGSTRVSGQLSGKEISLNLCSDPPRGQCDFYRECLESKF HCGPKGYPLGYGEKYCEKFVAGQDKLSPAGQKWMMDTMQCLQRVLVPDATESEADNRK PGELDHSDTKSARESTDTGSNNNKRCDALKQKAFDSHSECYLANGLCSLSGRDWVEIV EIIGIKTLFDSWAAIKETIEAAEGCI JR316_0007673 MLSLGTLVLCLSSISLSSLVHASPAHEPHSHFNRNFHKRNYVTP DQRSSSYDYVIIGGGLAGLVLASRLSEDSSKTVLVLEAGPNGDAVPTKLNVPSSTYYD SLLGSAPYDWVYKTVPQPNAGNRNLALPRGKVLGGSSAVNGMYLVRPAKSEVDAWSTL IAPNDKTAAETWNWDNFFPALKEFEIFTPPLPDVQSVAGMKYDPASHGTSGKVHATYP AYMVPISSTWLPSLAGAGIPTSPDTYSGNNLGGMFALTAENPSNWTRSYSKSAYIDPL PPRSNLHIITDATVEKIVFADNVVEGDRVASAVQFSTGAGTPVLSVNVNKEAILCGGT YGSPHVLLLSGVGPKDVLDGAKVPVQNELPGVGQHLSDHLQSVEFNSFVNSGIAYVNG SRLFNGDANFAAFLDGINGALDSSASTLVPSQYSPVVEGYKTVYKTVASNTYPTTGLI EILFSINSPGVVNIQVGLQQPLSRGRVYITSPSVYDPPLIDPQYFSHPADITVMRQAI RLVREIAQQAPLSDSLAGEVRPGADVNSDEDIENFLRGAVGTEYHPAGGCAMLPKDQG GVVDAKLKVYGLSNVRVVDASVFPVTFSAHLMTPTYALAEVAADIIINGNKPTNTGSA NNGSTSGTSKPGSTTSGTPDSGASKTGAAVGLVSSSWLGLFVPLLAAFLL JR316_0007674 MLTVDSERGSEIMGNLRKKSKLLDSRMISGVIFRLALLALGVQV TVFARSSVGLHGPQIPLRAPSIGIGIRQDQNLAKPHDQPPVINEAEFIALPVDHFGHG SPSSETFQNRFWVNATYWKEGGPVFLFDSGEQDAEPLVPYYLQEFHGLSAVMRLAKRY NGLAILWEHRFYGKSLPFPVNENTTVEQWQFLNTEQALEDIVFFANSFPGQKVKAAPF SNASTVPPSSPPPPPPPPLQKFPVHPSTTPWIMLGGSYPGIRSALMRIRNPDTIFASW ASSAPVQAQVDMSSYYKAAERSLTRNCSADWVAVTRHVDDTLKGGNETDIVNMKFDLL KARLSGPGGNTTGAAGLTLQIAKDTSNVDAASILMDPLDFYQYYGFKASLLPFCNILE TQNGTSAAFESGLVAQFGVDTAFKSFLTAIAEIDYDSIPGNADDPVQDRSWMWQYCSE YGYYQRGDSNNPLSIETSFLSLELFQEQCNQTFGKGLPASPQVQNVNKYGGWNMNPSN VMFSNGEFDPWRTMGLASIESNSPKRTPSPIIPACNKPLSESSSASTFFGITYDNMVH VSDMRVLLVPDDNHSDFKTVGFYSPVSQEPFFTGLGLFQLALDEWLPCFGK JR316_0007675 MFCLSVVKDTIPLHPSTFGAPPADALIAALNKKYANRVLHTVGL CICVFDLSQAGEGKVRYGDGLLWYKVVFRLVVFRPFTSEVLIGKVKSSDEDGIRVTLG FFDDMYIPASYLPQPTAFDPNERAHFWIPDSPLTTATELLDTPLSSRMYIDQGEVVRV RVESDEFCDFEPGPPRAVDGVFAGVGKEGSGTGGRAPYLVCCSIAEQGLGPVAWWSGS QEEDGGEDGEGDEDGEGGETMDEG JR316_0007676 MPSDSHHHHHHRHRQHQHRHHHHHQHTANDPRGDASVPSSSAVA ATETARPRDQVKRRRRPTISNTPPSTLTSTTAAAANLETNELPAQHPNNILIEDPRRA YLTAVLAACTQSELRFLLHAIPPLLTSATESASLQTLPPAPPTDPFTRLPPELALHIL SLTYCARTVTRATRVSRRWYRLARDESVWRVMCAVYGFGGFEGARGVWEVREGKKRER ERLRASREVEKERKVEEEIDDEEEEDGEKLYAKIKAEEDEKKGKKRPHHTEHVSAYTY RRHFKCTYILRSNWERGGHILKTHALPILTPSPTPSPSLGPSSSSHAHTHAHAHLPPS SDSGTVTALALDRDWIVVGFANALVRVYSASKGVLCRTLVGHKAGVWCVCLVGAGGVG DGEGDGDGTRNGDGEKEVREEEAHARHHSVPTRRRRSSVSVSVSKHSKPKKEHTHAHV HGHGHHLKDSASTASASTSLSTSASTSTLGLSKVSKLTLFDGDADPKGKGKSKDNSKG VDRSKSKSRSAHEFRLYLTSNSDAAAEEGEREGGDRKSKGKGKLKEQEHAKDSTSSLD RTTARSKTKVSTRDSESDSAYRSETRARARTQPRLHSSGGTSGTGVISPAMQRALGLT DVDGSSSESGSSSSSGEEEEEEDDDTEGEITRDEEEEEEAMASAPLLRHERRGQAEGE GEGEDEVYPTSAPPWTSSKHPSRHKRRHRERHDHDRNHRHRRSRRASTYALHADPDHS QQNWRDFGATGAPDTSDTMGTGTGATRGWGQPHALVVSGGCDKVVKVWDVVSGQCIYT LHGHRSTIRAMRMVDGTPLAITASRDGTMRVWDVRRGCAVRVLEGHEDSVRCLEVAID FVMADDGDDGGVDGENERGDGGGGEKKKVRVRAVSGSYDRTLRLWDISTGKCIHVLRG HLHQVYCVAFDGVRIASGGLDTTIRIWNAETGQCTALLQGHTALVCELRLVSSPPPPP SLPRKQAHSQPLLISASAAGALIAFDLGTLRARYTLPGAHRGSVTALQVVEVHPPWMP ASSSVSDSDLELGGLDSSLAAPSATAPIEIEQEQGQIDDHNPSHNSLHPYLLTAGTDG LAQLFCLQTGEFIRVLSGDGAPGGGSGGRSASGIGSHLSTGGNGSNGDNGGRGNRGAA QNDTVWKAGAGGGTGAETCAIMCRRGTKTVVEIWGMGVKAERVARTGRG JR316_0007677 MRSNLFPMRILSAVVLSAAFLASAHMTETQDVNSPASQSAPAVL TQSLETTISTAPPTNTRCTPRSSTTTYKQHKDVLRDSHNASKTATGSTLPAIKTARRV RGSGAVPPVACSAPQSEGAATKGTAGPDARPDSPSLRDMSGATRRRATARAISMLRAA AMLSVMSLGSARGRAPRFKRIIG JR316_0007678 MSQTVGTGLDAANFTHSRHKVTKPFSHAPHCSPITLSKRGARPR ALPIDIALSIAVAPNILITLTVALRRIAPLGSLNERDSSGASGPTVSFVAAPARRAAK QAAGGTASCPRPGVAVLIVGRVKLATSDKALRKSLGAALCCLCVATELGGV JR316_0007679 MLALLSRNLYRQSAQHFPGAPHAARFSSHSTGASLRVLPSLNKT AVITGSSRGIGRAIALRLAHDGYDVALNDLPSSSSLVQELGEEIIRNTGRQAIVLTGD VSVEGDIIKLVDDVVEKLGGIDVMIANAGICFTKTIADTTTQEWDRINAINGRGTFLA YKYAAQQMIKQGRGGRIVGASSIAGKQGWPYLSGYCATKFVVRSLTQSAAQEWAKYGI TVNAYAPGPIETDMLKVISNVDPNAGVEATAPTTALGVNGTPEDIAGLVSYLVSDQGK FITGQAINIDGGVIYH JR316_0007680 MAHNKVAVVTGAAKGIGRGISLRLARDGYDLSVNDLESNLASLG ELCTFVKEKFGTKAVAITGDISKEDDVKRLVQGTVELFGSMDVMIANAGICQVKSILE TTTKDWDEVLAVNSRGTFLCYKYAAEQFIKQGHGGRIIGASSIAGKRGLPHSCAYSAS KFAISSLTQIAAREWGKHGIIVNAYAPGPIETDMSEFKEKFGISIDFTVSTTVLGYNG TPDDIAGLVSYLVSDNGRFITGQVITIDGGVIFQ JR316_0007681 MLSRRPLRIWTYKQSSDGRNITYLTSRCQYDYCYLARTQLHARL ALVRHSGRRQLPSFSVPAAHNTPRSYIHNTSTSLNRVAVVTGAARGIGRAIALQLARD GYDVALNDLVPSSSSSRASSSSSSLEEVREIIEGEIGRKTAVLRGDVSVEEDVKALVD GAVEKLGGVDVMVANAGIASPSAMLDISAKEWDRVMAINGRGVFLCYKYAAIQMIKQG RGGRIVGASSVAGKTGVPFFAHYSASKFAVSSLTQTAAQEWAKYSINVNAYAPGPIDT DLTREAATFAEINPDYNKDVPASASATAVGFIGTPEDVAGVVSYLVSDRARFMTGQVL GKLDGKCRPNSFQSTHTAAAYSTLRNLPSLHRVAVVTGAGKGIGRAVALQLAHDGYDI AVNDIHSMASSVNELCKTIREEMGRKAVALTGDVSLDIDVRRVVDGAVDVLGSVDVVS RRSNGFLNLVSSLKPIPRWSQTLG JR316_0007682 MVNKKSKKRQAAAAAATADSTSTPAANMATHSTESTASGSGSSS PHPPPTPTEPVEAASSPAVESVPEPEDIAVRAEKVKEKGNVAFKAGKYAEATELYTKA IEMNPLEPAYLTNRAASYMALKRFRPALEDCQVAVSLQAASPQPKTLLRLARCQLALG SSTPALSTIRSILALEPKNAQALQLRDKVQVLENHVKTFEAAREKKEWALARLALDKC LQAIEGEGGDIPSEWRYWRVELELSRGSWEAANIAANDALRLNPNSPDALTLRGLVLF LCGRLPQALSHVTSALRLDPGHEPAQKLRKRVKDVERLKEEGNVAFKSGKLAEALEKY GECLERIGEAEEEGKGGQIRATLLSNRATTLLKLERHEEALHDTDASLLLSPNSYKAL RTRARINLHLEKFDAAIADFNSAIQQAQTEGSTTDAEVRGLKAELKKAEAALKRSKTK DYYKILGVSRGCSEAEIKKAYRRESLIHHPDKGGDEEKFKLVVEANAVLSDPKRRERY DMGEDEDGLNDGSSGFHGGGFGGMSPEDLESIFASFGGGGGARFGGGGFGGMGGGFGG GGRRGHSHSHHGFGF JR316_0007683 MFRLAYPTLIQTRSSIRPLLLSTNRPIVRHSSAASSAESTHANG IVPVAVITGASRGIGRAIALQLARDGYDVALNDLPSNQMQLEGLSSEIIEQVGRRALV IPGDVSVEGDVRSLVDASTKTFGRLDVMVANAGIAVMEPLLQNKIEDWDRVIAVNLRG VYLCYKYAAQQMIEQGRGGRIIGASSVLGKVGNVAGIPLLGAYSAAKSGVRSLTQTAA GADDVIVLTVAQEWAQYGITVNAYAPGPVETDMRKPLPSFITPQEKQQTAENLHTVRG ISLIPDEVIREAAERRMTKTDLGYLGTPEDIAGLVSFLASEKGRFITGQAVRHFITHI FIPRVSSLLFTFPFTDYD JR316_0007684 MFQIFPSLVRLSASIRPCLYRSGRYNVPQFATVASYESTGHAGS VSPVAVVTGASRGIGRAIALQLARDGYDVALNDLPSNKIQLEGLSSEINEQTGQRTLV LPGDVSVEDDVKGLVEASTKDLGGVDVMIANAGVALLEPLLESNIDNWDRVVAVNLRG VFLCYKYAAQQMVKQGRGGRIIGRPFCGAYSATKFAVRSLTQTTAQELAQHGITVNAY APGPIETDMISGIPMIPDEAIRHTIERLSDSEYTIRLQNLTKTDLGYVGSPQDVAGLV SFLVSDKGRFITGQAITIDGGMIYQ JR316_0007685 MNSIKLCDSQPSVYSYTISNWGKQDELDKIVWSLLVEVVFNGLT AFFVQSFLAIRVWHLSRRKKVLTGAVVSLVLGEFGCVIAFTAMSLHLKTYEQLAKLKY LSILVNALAAAGDVLIAATLCILLHQSRTGFKRSDTMINKLILFSVNTGVLTRFVLIV LLYTNSLLATLNARRKIRDAGEVINSTSENLSFSMRNVPLAARRPTNISIKIDTTKEF ATDHDSDRGPDTSDLEKAEVRVGIHKIMHKCSSVMQFEEKERQEV JR316_0007686 MAVSSRFYANDVPEFGHKMLKYFALDPDYINLNNGSYGTTPKPV QLAVDELTEKIEACPDLFHKFSYLPMLVESRARVASLIGAKTDEVVFVENASMGINTI LRNFEWEEGDMIISCEFPYHMAPGLTSHFSTTYNAVSRTIQHISDVLPHPTSSVINLT FPTTHKEIIQQFRTHLRSHPAMPGRRRVVVIDSIVSNPGVLLPWKEMVQICKEERAWS VVDAAHSIGQEVGIDLTQSAPDFWVSHIVRSAIPTSALYSSPLEPVHYGFAKQFEWTG TIDWTRCLTVPDALDFRKWMGGEEKINAYCHDLAINGGKILAQIFGTSMMDPDGELTL NMVNVELPLSGNITAPLGVKMHASLLHKMLVEKKAFAALFYHNGRWWIRCSAQVWNES EDFEKIGKLWLQACKDVKEEFQLTR JR316_0007687 MSSVQAVFKIIPTTQQYDWGKIGKDSKVAQFASASQIPGFAIDE GSPYAELWMGTHPKSPSHVRSSNQVLSEYLEQHSELIGTPIIEKFDAANGNLPFLFKV LSIAKALSIQTHPDKKTAEQLHVQFPDIYKDPNHKPEMALAITPFQALCGFRPLPEIA AYLNSTPELRSMLPAAIVDEFLKISNSTTPEGGAEKAALKNVFASLMMADEETVKAKV DTLMTRYNSGETHAGEDPDIVKLVLLLGKQFPGDIGIFCAYVLNYVVLRPGEAIFLGA GEPHAYVSGECIECMANSDNVIRAGLTPKLRDVPNLVSGLTYTASPPTKHVVYTKPFR NASRASVLYDPPIPEFSVVRVKIPEGSTKESEVHPPLGGPSVIIVTEGQGQVSWNDDA ESLDVSLGDVFFVGAATKVKFTIKGDETFVIYRAFVEAG JR316_0007688 MTQLDSQSYKQDTPVFGHNMLQYYTLDPDYINLNNGSYGTTPLP VQRAVVELQAKIESKPDLFHRVLFQPMLIEVRERLASLIGAKTDEVVLVTNASMGLNV VLRNIDWEEGDIIIAFTTTYNSISRTAAYLSDKPPHPTITVIDLAFPMTHNDIINKFK AHLEAHPAKPNKKRVAIIDSIVSNPGVLLPWQELVNICKDSGVWSVVDAAHSIGQEVG LNLSEIAPDFWISNCHKWLSAKRSCAVLYVPERNQHIVRSSIPTSAYYISPQDRHGQP NFVKQYEWNGTIDYTAYLTVKDALEFRNWMGGEEKINDYCHNLAITGGRRMAEIMETE VMDPSGELTLNMVNVELPLPGDVSEAVGTKMHASLQHKMLLEQNAYSAHFYHNGRWWT RCSAQVWNELDDFEKIAQKWVQACKEVKEELGLGDGK JR316_0007689 MHPTINVLATLSAASTVVFAALGPSTDLVIGNKAISPDGFTRSA VLAGGSADTIQFPGPAITAQKGEAFSINVIDQLTDTSMLTTTSIHWHGFFQENTSWAD GPVGVNQCPISPGHSFLYQFSDPNQAGTYWYHSHHAAQYCDGLRGPMVVYDPQDPHLD KYDVDDESTIITLSDWYHTPSPSAGTLPKSDATLINGVGRYTGGPAVPLTVITVEQNK RYRFRLVSMSCDPNFTFNIDGHNMTIIEVDGVNVKPLVVDSIQIFAGQRYSFVLNATQ AQGGNYWIRAKPNLANSIGFDGGLNSAILRYSGSPEVEPTTALSLSNPLVETNLHPLD GPGVPGVAQQGAADVNLNLAITFNGKAFAVNGATFQPPTLPVLLQILSKQFTPQQLLP SGSVYPLPANSVVELSLPGGAAGSPHPFHLHGHNFHVIRSAGSTQYNYVDPVIRDVVN IGSAGDNVTIRFATDNAGPWILHCHIDWHLQLGLAVVFAEDISTIENSQHPPAYDDLC PTYNALPPQTFN JR316_0007690 MEVIGIVGVAAASVSIPLAAVAASTAVVGISQGVNSSQQGGGGG KAAEPDKSDPRLAKFNLVAECSMPVSSPGLSPAKLVHGKIVVLRKGKLYLDVADPEYR IFSDGHPFSGFYLEYPVGNKPLSLVSTISRDPPELNWIYADDDTLELKYGNKTASMNH IHGPWDWTADQSAMVLEGWEGFVALEESPGVWSLYFDQDDDLLESSGIANGRRVVECS LRRRLEPPKKS JR316_0007691 MSDESRSRSASPNPMEQWENDDNAPQSPQPQQQQAPSRPSRRRA QPQPQSQQQVQQYQQPQQQGGGANQLAQPAGQAGQLLGNTLGQVTGGGQQSGSGGKDT LKLRLDLNLDVDVQIKARIHGDVTLSLL JR316_0007692 MSDESRSRSVSPEPAMQADSLPSTPSQPPSRRRRQNRPQQLPPQ QQQPPQQQGLIPSGGHPLEGAAGPAGQLLGNTLGQVMGGGQPQPGGGQKDTLKLRLDL NLDVDVQIKARIHGDVTLSLLEFMLRAILLASHVTIDDFTHEPMDLLTIRYWTFVACN T JR316_0007693 MSVSDPLIRSDSLSLITVMCHKISDAARFYVGTLDFNIKCDEEI NGERFVVATPPNLVEFTPQASLRFKEAKTERDKRAVGNQAGDGVFLQVETDCWRQVYE KIKTMGTTILDKEPRKGKDYQAITVLDSLGNKVVIVEKTTTTLGKVFTKDIGR JR316_0007694 MSEIAENLGINTPNQSVHGETHGDQSQKQSQTDKELALRLSSLI EDANSRVTPLCRMIRKNIENFESQKEDDRDEQALVKQVRPLLEQAEKILNETNGAVRG ADPDNRLSNNAKRNMQDHKATPEEQRLAEALKVMVEEVGGTIEWARDKLNNFPKAKRD LGPLLDALGQPLTQIVGGVGLLLAGVLNLVGNLLKGLGLDGLLRGIVAATGLDKIYKG LGLGNWLSMGSKN JR316_0007695 MARSQITRCTTLLFLSLLCLFPVAFAQTGPSKIACPQNLVRSAG PAAAHVLSAEERSYISGRMQSVLPDAWRTYLASVRAVLPPGTKLPSYVSEILDPKQPK QPFIPTHPADLPKLGIALSGGGLRAAYFAAGVLTAIDGRNTTHPTGSNGLLQAATYLA GLSGGGWFTTALVQASLPTIPELVFPPNAPAPNTHFGGFLSDLDIITPGADDAQNNAY FQAVLSELAPKVAAGLPVTMADAWTRMIARHFVNGTTAETILNPGLHGDGITFSGLQN LPSFNAHTQPFPIILWNAIPPALIDNPNDPQQLADIVPGNTVPVGSEIWEVNMFETGS WDPTLASFIPTRLLGSTPAFGAGRSKTDAQCFVGVDQAAYVAGISSNVFNGFNTTTNL LPFTLIGTLINAINATFPSPLSVRLDSAALPNPFKGVNARTYPSSSESFLSLIDGGSN GEVLPLQPLIMRSRGVDVIYAVDAPADLPTSYANGSDIVNTAARAKRFSSERLYPFPR VPASPQTFVDRGLTLRPTIFGCLPEDERIGAPIVVYIANGGASAEKRAKGEPGVTGVP TGQTAYSNELAQAFMDESFDIATQGLGLNSTSITAGQQWSTCLACAVVDRARARRGDK REGVCVECFNNYCWDGRE JR316_0007696 MAYPRRLSGTHLAPNTRARATRSSSDDDAQTGADSPSLTPPRPF FLSGDTNRSSASSTGTGGAETSSLDPPSDSDAEITIPPRAIPHHQRVHGHGRRRSGMS AAAASASAGGYAPLDNPSSPLTPAYPTSSKRRGSMTALPPGAAPPAPPAPDTPPLPPS PPTNSALFTKALPSKPPPSSFAFPFQAYPGNPDPGLSIPGLSRRRSSLDSATMSGLLP ASHTYGDDGVGSGSGSFPRQGSLTDLRKPFAPFMAGSGAATPTSEGGGGNASSSSLPR SSSSNSLYKQSAAAPIAAATSGATVGAIPRNASVHSFRAPFLAPSSRPTSSLWSPPSY AHQYASMPLASPSPTASTTALPYNNNSNGTTYPPPLALVHKPKPPLPSTRLTAPIHPS EKPWLATREPRTRTSYCLTLLCVILGLAGAGLLCFTGITSVNVLDPSRLCMVLDEEFN GGSLDTAGTWNRDVELGGFGNGEFEMTTDSDDNLFLKNGELYIMPTLTSDKVNNILDG GKFTLDGCTTSNKTACSATSDASKGTVINPVQSARINTQGKKGIRFGKVEVRAKLPKG DWLWPAVWMLPTNPTYGAWPLSGEIDILEARGNSPAYPAQGSNFIRSSLNYGPFPALT HTIFGWFSLKRGDFAKEWHVYTLEWTESFIRMSVDRTTHTMLEISTRPRGPSFSLSTA PKASEKRSYWNQAGFPQTARNGSAAVVAVTNPYEGVDGATPAAPFDREFYLVVDLAAG GTSGWFPDGKGGKMWLDGSLTAMRDFARAQDTWAATWPSSPEDRAFRIDYIKMWEVCR JR316_0007697 MDYDRNDALLHWLFRQTQGDAWFRPNEENISSGVALRISDAANA SSPEFRVFPYENASLEPFETAVCALNPVVAVKVRSAAVHAALAEV JR316_0007698 MLMLPQADREQCAAFIRDERVMVIWSESLDRIIPICQDFEERLI KLLWRSRPIAPSTSSSAHGNVGGSGGIAGSTTGSIADHSQGSAEGLAPNGGAVRGSAS ASRPPSVTGRAPGSVRALKGLYGVQGRDYEDELADAAEKAAAAAAGGTDKEASSSVRA AHAGRARKQRYRRTWYGRKVPLPSVYSADADDGDDDEDRDMEGFRGEERRPVRLYAPL YNGLAAGIALVFVGNSFRTLLMEWWLDHNFTRFALVVVIPLLYCVSMFFALQIVQNVT MMIGPIAHYHQNSKYYSAVRPKPNKVVDNNLPHITIQMPVYKESLETVLAPSIRSLKK AMQTYARQGGTSTIFINDDGLRLLSPAERDERLAFYANHNIGWVARPKHDPAPDGFKR AGRFKKASNMNYGLALSLKMEKHLETLLTQQTNFTANNPGNMRTSVSTNAHGSANSHG AIVNGTGEKPNGQGEPIQYGIQYQNRDGDESVVWGHAGNGGGAGSGHAPAPGLLGLGD EDLEEKALGMAIEETWEESGRKWRPWAANGRATRIGEFVLIVDSDTVVPEDCLRDAAR EMRACPTVAIIQHESDVMQVAHHYFENGIAYFTRRINRCISISCANGEVAPFMGHNAF LRWKAIQDAAFIDPADGKEKIWSESNVSEDFDMALRLILKGYIVRWATYSKGGFKEGV SLSVDDELNRWQKYSYGCNELLFNPLVEWWRKGPINRQIHKFLWSRAPLHYKISMLSY GIAASITISLINYVLLGFQFPVDGFFMHSFEIFLATTVVFWGSGTVGYTLLEYRLGHK KLAILAHLFSYNIQWSATIKEVERSNFFKEIPKIARR JR316_0007699 MIAISSALSFFAFSATGAFAAIGPSTDLYIANKGISPNGYNRSA VLAGASPSTLQFPGPLITANKGDTFAINVIDQLTDTSMLTSTSIHWHGFFQKGTTWAD GPVGVNQCPIAPGHSFMYQFSAADQAGTYWYHSHHETQYCDGLRGPMVVYDPDDPHKS KYDVDDESTVITLSDWYEKPAPSAGNFPTPNATLVNGLGRAFNGPASPLTVINVAEDI RYRFRLVSMSCDPNYNFSIDGHTMTIIEVDGVSVQPLEVDSIQIFAGQRYSFVLHADQ AVDNYIIRAVPNLGHAGFEGGTNTAILRYVGASDQDPDFAVPAPHSFAPMLETNLHPL DTGAGTGAPGVHARGAADVNMQLDITFVGGAQSKFTISNGTAVGTFVPPPLPVLLQIL SKKYTPQELLPAGNVFELPPNKVVEITMPGGSGGSPHPIHLHGHNFYVIRSAGSSVDN YDNPVIRDVVSLGSSKDDRVTIRFVTDNSGPWIMHCHIDWHLQLGLAVVFAENIPEIE TFNPPPAYDELCPIYNALPPQTFN JR316_0007700 MTTTTSRSNANVAAKEIPYFTPAQDIPAGSSTSEGNHNLPVLFQ PIQIRGVRFQNRIWVSPMCQYSAENGHPTPWHLAHLGGIFIRGPGHTMIEATAVLPNG RITPEDVGIWSDDHIPTLKTLVTFAHSQSQKIGIQLAHAGRKASTVAPWIQGNPTASK DVGGWPDNTWAPSAIPYQDDFPHPVTMTIDNIKELVDAFEAGAKRSIEAGFDVVEIHA AHGYLLTEFLCPTSNKRTDEYGGSFENRLRCSRISATEWLEQSSPNEPSWRPEDTAQF APILYQHGIDLIDVSSGGNSPKQKVIGGPGYQAPFSKAVMQAMHATSPYLPPTMHNTL GGPPSRLVVGTVGGITSGIQANKLLEDGYADVVAVGRHFLRDPSLVWSWAEEFGDVDI RLANQIRWCFQGRGKKTGAGKASGTQSTKS JR316_0007701 MASILENRLFIAGEFVEGNGDMITVINPATKETIASVHVAGASE VDTAVDKAEKAWPAWANGDPSIRAKVLNKVADVVEENVNSIATVLSSEMGKILSDCIN DAYYLAHIFRYFAGMADKVHGKTSLNVPGFVGMEIRQPYGVTAGIIPWNSPLSMLAWK TAPAMATGNASIIKTSEKSPLNALVFAKLAKQAGVPDGILTILSGGRETGELLSSHMR IRKIAFTGSPAAGRAVAQAAARSNLKSVTLELGGKSPFIVFDDCDFEDMLPKAVASFT SNSGQVCIAGSRIYVQDTIYDKFIQRLATSVESISFGDPSDPNNVSGPMVDYLQYNRV MEYLEIGKKEGNLLAGGVAGSEKGYYVRPTVFTDVSDDARINREEIFGPVAVVHKFST EEEVLRRANDTEYGLSSYVFTKDISRAIRFAKGLEAGMVVINSSWTADSNLGFGGWKG SGIGRELGEHSINAYTEVKTIFIR JR316_0007702 MSRTEIWTERLLLRAVEETDLDAFTKWFTDPEAMKYWSTAPHTD IKQTKDFIDATIAGQYNGVLDFAVCLPDPQSEADQDREGSSMPVIGRAGIWDGKEIGF IFNREYWGKGYAFEALDALLKQFWAIHEEDPDASVKADVDPRNDASLRLLKRLGFVVI GSAKNTFETHLGWCDSIYLEVRRPTN JR316_0007703 MYRKLPTVQTWFRLPSNSEVHSAMLNTSTLRGASMTPTEIRTTR LLLRAAQIGDLDAYFKWFSDPDVMKYEILSPHTNIKQTKYFLDEVIESPYNGVLYFSV CIPDPAVSNNRRGRSKDRPPMIVIGRIAIRGEEEIEFMLDRQYWGKGFAFEMADALLK HFWATLPHDVVKADVDPRNERSLRLLARLGFEVVGKAEKTFETHIGWCDSVYLEARRP VG JR316_0007704 MVDDAQGELQTVPSSHLPGLVEVKIKASPTTRKRTRKDNLGEER PKKRVKIKEFTSTAEHDPLNTNGSVEGNPKKRGRSSKASLTGKGPATYAELDDSDEND DRETPKKKKRSSKVLLKDSVAGSATNSPKKEPAEPGQTKKRGRPAKSVAEVGNNKPKE SPKKKGKGTKGNETKEQPRIWFGSEAELRSTLPELTGSTCINGLSWEYYQTPILLLDD ANKAFQVTHDDADVGFVDLVTTRSFVHGTPKKPQTNIITDPTPTHSTPKEKPIVHELP QPTQDISIPTPIPETEPTAIIIKKEEELYRFSMEPDGMTSMDVSMDQSQCIPAQKIHP GSTEESEPPLPSLSPRPAHSVHVAPQVYHHNYVLNSNPHIQLNACHDTPWPGIPMTPY QKLPLTMPTDEPQSSGRIKFTDAPLPMYTSSTSSSLKSNPYKALATSSNHWGIPFQSG IMPYGQFSPMTPPAGRVWIPLHNTPVQSLYPITTAGQVPPGEDKSQASLPRPLPLPST SLKQVPRNVAGDQATVSLPQNEGHGLTKSGQDLESNPPINLPGGVKVSAPDTKTVHEP INTDVEIDGGLIPEVGSEEPLLSELIEEPAQEVFGTIRGQSCGGHSHQEDAQEVAENG AEPSQKTCSKHPPESSQETQAAIAPEGSRAAASVQASVADKSPISEQISEPILTVEAG ETSETANQTSDTLQNDPLVIPTTLPTEVQMIIDCYISGTPLTLIASYGHLLNRWSLRL KRDAAYAMMGYFRIVGIQETLLEPSDGDRQQGEQQSVSGQVKWLFRLQWRPGGEEFIM PDTDPLTLEYPWWVQRLDLNKDEKTELEEQNSPDKESLAEATQTPSDPEDDYPTTPKY RQARVTNHEYEFRHDHYRLIYESLLPISLLAPFGPFVLDSGFPRGWLCANCGRVNYQA ALRHRICGSSSCKDKPPSAEPYKVELFSMRDPQDRLPIAQPYDIWPKSVEPKVIRHEN GVQTITYPLDDSGTASIKHVFLGNFEELQKQATTLLDKIQLQVELKRPFNDSSKAPKT MQDPTTEAWPKVPDCINEAKASLSSARFLFGLEDSRISVNRLTVLAWITAGVRKGPEI LRAKEKCVVIVAFGCDIVMTLTSRSIESLSAAQKLPKINGDSSGNLISDLTHSVNLDE PTVQAPATLPKVKKSIKAAKSSLTLYLVHGDCVVLDGDDFEYSIKRDGTSFLLICGGT DWPKLGRKDRGGASGGEHVERENPDLLEPFLLRSLLNVKAVSAYTSCAGCHFVVLDIE GNAWLFGRNGFGCLGVPDVEYISENAPRLVKATDLGAPKGTKFVHAACGRNHTLLVGS DGTVWAAGQNNLGQCGQSICPEVSSFQSVSVSHGGKKEHVIQASAGITFSIVLTKSGK VFSFGSAEKGQLGNGTTGERITTGNKTSYDIETQPVYVKELDGKKIVRIVSGQQHSLA LDSAGVVYVWGYNGYCRLGLGNQVDVLKPKVVPQFAGPNEMTMACDIVAGPSNSVVVD NQKMYWMAGKWKNSGEGSSGSPFSTFRYMQDIMTCKVILARAGGVTHWLVTPDDDGTP MTVCWGQNANNGELGLGPEERKSASKPTRNLMLVGIDVFDIAAGQNTTVLLAKPNEKF SDMPRHPEDVSPPLLCVGCNKDEGEDDNPLECDKCDAPWHLKCLNPPLDAVPDGEWFC PDCEDDPGAPVGKWAVKKTKTAKPKAKRAGSPASDDGSQDGGVKRKDPPKTKTGAAPA AKKKKQ JR316_0007705 MAIHVSIDYVKGSFMRGFAIAKPAMNLLSERLNEARAFEGGTSN TVKPAKETSIPALIERHGRLETAVICAAVEHIAGAVLARDHGVRGAISRTLPFVSARA QDTFDGACDFLIDKAHTLVDAAVKVLEDNLEAASSSSAVVHTGVQAADAAMQKILGET YVEDAKNYIKDLPIRALEYSVVKAVEVYVPCEIKVNRMRRRSTVASVLFEGAVRSSFL GVLSVSSFFAFTHVYAISLVDDEAEVKAISSQPSDDTSSTVVPRKRWRRSASLFVSSP SITTLDTSSDVPGDEGDMEDNVDIISFSCSTTSDSDTCTANVSGSDACVVQEKFQEEV EEEVAFNYGIEVDGQKGGVEKDTNTVEEVGVDILGDGVGLEVVEPVVLVTEIVKPSYG PVRCDGNGEGESIGAYDGEDEAEVDGEYEDEIMGEVEHEVEDEGECEVEGDGAKVDDE GEFLLYDMLEGAAKDQGVEAMDNICVEGIKNATDIGTDDISNDVDGEVVLQEEMQICE ATRRDGDVGFGLFPCVSGWPATSTVDIMSRTQATCQPQPTNVNRYGVDGSLFPVDAQS HVYPPSISSLVTPFDLFVSSTSNIDNASSAISDSTLDIDAIIADTCINNTPITSSLVT PFVSLTSNIDNASSSISDSTLDIDAIIADTCINNTPITTTILIIITDADTTSTITSTD NDNDNDNDNDNNPKNITDKNTITIIIDDKSCNQSLEVDTPTIREISNEQGASKIQGLP EAVDTQVYPEVEAEEGREKTVRGAHPAFEAAVEQLDQSQIEIDTVHAALVGDVSRDSE AEDHFVVPSFTVSMPCLTAVAESASFKEALVFNTSVSFDGRLGSAQETVESEGVCTER DPAATTSVAMGVEPPHSSLTATAAAFVPRAQAPSSAGIAGVATPAHWAPAPRVAVTLE KPPVRKSRTASATGRRARHLALMGKGPKSPIDRTL JR316_0007706 MSVRAWALSVEDRERLIQGAFEAKKGSYSPYSKFPVGAAFLTAE GAIIKGANIENASYGGTICAERTAIVKAVSEGIRSFIGLAVVTNVNATVSPCGFCRQV IREFCLLEMPILLVPGDYPQQLPAGEEPKPGYTEGGVRETTLGELLPDSFGPEHLELP RK JR316_0007707 MASSKNYDVIIVGAGIAGCALAHGLSTAPRDKPLRIALVERSLA EPDRIVGELLQPGGVIALKRLGLESCLEGIDAIPVNGYCVVKDGQTVHIPYPGKYEGR SFHHGRFIMKLREAAMKAKGVDVIEGSVTELIQTKNSRRILGASVTKKGENGAEDTKY SLYADLVIVADGCFSNFRNQVMGKTSCKPVTKSHFVGAVLEDAKLPIPHHGTVALVKG FGPVLLYQISEHDTRILIDVKQPLPDDLKGHIINNIIPQLPSNLHQPIYTALEKDRLR RMPNSFLPPIKQGARHSKEGAILIGDSWNMRHPLTGGGMTVALNDVVVLRDLLVSLPD FKNWKAMKSTLHDWHWSRKPLASTVNILSVALYDLFGADSDELQVLRTGCFKYFELGG ECINGPVSLLSATTPSPALLAYHFFSVAFYAIWVMFTHPVEVPTQSPPKSISNGNGHA SGNGHANGVKPVLKRPSIIQYPALCIKSILVFWTACVVFGPLVWSEVRWWSPLDTSKR NHVLINALLPFVVLAVAVSGVVPGTEFLSIR JR316_0007708 MSTRFSREDTLILLESLGISLPPTTKISLDDLNKRLKDALDAAQ RLHAVIETLPLNPADHPIWPADKDLEEATRKFNFKEAIQMRLANAAPKVSSNKKDTFW EMRKVVTSFAQESPTGREFCMSDTLGELVNWGVYVNVTQIRCIKDTPVYILVYKEVIP QKGQTLYDALESVIGTSNRLLINVQTTDFERQSMLKLFRMNAKRLSPNFHAIDEKKAQ KMGLKTSFVLPLGPLNMKDTGKFSNVTGCEVCGKTNVKCQKQDWPNHKLTCRSLKGGT WRTLTFEVYDAVFLSHSDHTSQIETANAGVVPPDIHNGKVFLVKFQISLMQFKDEAHM LIYDRQRSFRGIWKRCKERDLFDEAEDSMGDNLRMYRWARRVGDYQLSVCLDRPPAKD PVW JR316_0007709 MASILPTNTRLSSDTLSPPEPTAQSVPDTLSLPPEVWLLVFPYL KPCDLRSISLTCSPFRYMAQPLLFSVLDVSPFFLAYNAEHPIPRPRAYLERFTERLKC YQIPHIAHGVRHCWVSPYSRTGFPARSQQDDLDPKLIINAIVDALPHFPNLSTLSWHC INISPQWWDIIQSLKISNLWLNSSSIPLSVTSPLPGIQHLDLDQWPWEGRVTNHVSIH EERAHGVDTVALQYVIQPDVIQYISVPRYDTACHLFSVLSKATYHLKSLKIPFSAASD PCFIDALEHCPSLASLCMFPPASDERSRGLRIGVLTPLTLPSLTSYEGPYSHVLQFAL QPLQSINLWGFDERPCVCDPDGLSETLDDLADTATANSLTSMTLTVIRITSDLLETFG LFTRLEQVDIMSQDGPSSDLPIPHNLSAAVPVSTLYTMMNEMSLPRSIQRLRFSTRLN SSKLDILAQEHEVACFMEAFASRHPNIQRIEIGYGIYWTGMYSGVWGRIRENTEVNEA QKLQADSQPVKKGGDIDDGGGYSSKSSSKDYIVSTVVSTVHPLPLGKLTFTEHRRKIL FPQDTVTAKGIERHEALATGDKRFWGYFWVPIKRLFGKIGI JR316_0007710 MASSSSLFAPTRRLALPLAFLTTLYQIPTTSAYSWSFQNDPKQC SNLTVSISGSDGVPPYRILILPIGSSPLKNNIEARKIMDVKFDGDSTSVDFLLKYPAD SQLVAVSSLLAQEHGFQNPVNNMHHIILVLGNGEIWPLSRSRSFHPRYVDQLSIYHLS PSLWKSPSSSTAGRSTQRKYDARLIATCIRKLRHRCCLSPCGAHSATSSNQGMLSPNL VFFKPPKRGFKHAFSRSWTTDFRPLFFAHQRQRKTNNSGTELWLDFDYARFRREAIVN LSRGHLHHAVPILSSQKQEDWYQFISIVCAWLSLATWNPRLSFDSTKFGSGGTSVAAK VADSSDSSCFSSDTNVSPDFVFSIEPPNQVVQCQNMRLWWDPATVQGTPNFLGVIPGG QSFAIPQGQITTVPSQGTGFTWKPNVRGGTTLLIVGGDNRGNGTAGSTFNVVSSGINN DGSCLSDSSPSSTPGSPAGGSYPTGSDGTRIGGGGGSSNTGAIVGGVIGGLIALIAIV LIIWFLRKKQKTQKRFKERPVDLMNADDDDGDESAPSGRPRTNELPQYYQPEPFMVPD PTINGSIAETSDDPESRRPLSGTASSFYTRDTRATSPDPTASLLGFGMTAGSSTGQER RKGVPRPMRAVNVIQHDDAGPSLPMEDKDGEDEPETIELPPAYTAVKRNAEGTSPPAE PAH JR316_0007711 MKQLRAIFIDAATGAFSSVLGPSSDLYIGNKKISPDGYWRSAAL AGACEDPERAPGDSEPCKKVSLEFPGPLIKGKKGDSFDINVINQLNDDRMLRNTSIHW HGFFQRNSSWADGPVGVTQCPIPPDYSFRYQFKVPDQAGTFWYHSHHSTQYCDGLRGP MVIYDPEDPHEHLYDVDDDSTIITLADWYHVYAKDVPRGIPPAPGSNLINGLGRQENG TETPLAVINVKQGKRYRFRLISMSCDPFYDFSIGGHNMTIIEADGENVKPVTVQALRI FAGQRYSFVLHANQIKDNYWIHSIPSAGYAGTTNFANSAILRYVGAPETYPRTKNVVP VLPLLDEMQLHPLHNIPAPGIPIPGHADVNLPLFVSLDTVAEEWLINNITYETPSLPT LLQLTSKAYRPQQILKPGGYIELPPNKVIELVLTGEAIGSPHPFHLHGHTFHVVRSAG SDVYNFRNPVIRDVVSMGTGSPNDKVTIRFVTDNAGPWFLHCHIDWHLEMGLSLVFAE DIKGIEQSFVPPTLDKMCKLDKYLGSHRHY JR316_0007712 MQMMRPSVPDDVFYVNPVQGEIVSRSRLLELKWPPLERLEKLFI RGVDTSQVPRKKMRSMGHGNREGEGDPLTGQFILLLSISGALAALGPSTNLVIGNKVI SPDGFSRSAVLAGASSNKLQFPGPAITAQKGDPFNINVVDQLTDTTMLTGTSIHWHGF FQQGSSWADGPVGVNQCPISSGHSFLYQFRAPDQAGTYWYHSHYAAQYCDGLRGPMVV YDPHDPHKSRYDIDDESTIITLSDWYHTPSPSAGLIPKADSVLINGLGRFAGGPASPL AVITVVPKKRYRFRLVSMSCDPNFTFSIDGHTMTVIEVDGVNVQPLVVDSLQIFAGQR YSFVLNANQPRGSYWIRANPNLAAAIGFDGGINSAILRYVGTPAVDPNTTLSTNNPLL ETNLHPLSNGGVPGVAQRGAADVNINLNIQFNGTAFNVNNATFKPPSLPVLLQILSKK YTPQELLPEGDVYVLPPNKVIEVSLPGGAAGSPHNFHVIRSAGSTVDNYVNPVIRDVV SLGSAGDNVTIRFVTDNAGPWIFHCHIDWHLQLGLAIVFAENIPGIASSRHPPSFDQL CPIFDEQPPQVFN JR316_0007713 MNFPRPAPGGPRERLTPLLSLNTQPQNQDRPLDLQHHLPLSRSG YNSHSNSNNATHSPSSTSLFSNAPSIFLKTPKPPKGKRVDAPHVTVDVGRRVSGNNGD GDQSTIRPEVTYSPQEGPRRPAEIPMGNMIGVVPPVYPSAPREDPIQTLRQAVSNISI GYSANSNQSGSMTHSPEGSSKSSPGSSATGGHGYHGLPEPGKPKEFNDEVFEVISRLG EGAGGAVHHVRDTRDGSIYARKTITTREVSTRQVVRELKIIATTSHVNIVQCFGAYMS PSSSEVKIIMEYCDGQSLEAIGKKLKERSAIIGEKIAGRIAEGILQGLTYLHSRRTIH RDIKPSNILLSREGIVKLCDFGVSGELTNESFLGTFTGTLVYMAPERVSGGEYTIRAD VWSAGISLLELVQNRFPFPAELSTIELILTITNSEPPSLEDDPEADITWSDEMKDFIR QTLIREPRSRPTPREMLDHPWIRIVMNQEDHMAKWIRQVWGWPKPSKRPRKGSSRYEN NGEDDGGNMSKHSTGSSVSPAD JR316_0007714 MTSKPISRDDLLVLLSSMGVVLPKDNKLPEEELNKRLKEALDTS QEYSDVIKKEPVDPLSLPKWSSEEKTLYQASQRDSLLENLGASFPSNAVSLAKEYTFK EMKQTLLGVAYTYDLGVKEISFLTHDDTWGIFIRIIGVYCLKNDIPLTYILYKELKPT SDTPLDVLRRQVRLEQDDVTSRISDLEGRAILTLFEQNGARLDPKLLQLAESQTVKHS GFRPSFILPLCPINMRNLGSLTKDPGCEQRCVLNVSEKIGELTSRHANQ JR316_0007715 MSSNDISRDELLLLLSSMGVVLAKDNKLPTEELNRRFGMALNTA QELSDVVDKTPINLASLPRWTSQKTLFDATKRGSLTEALVGYMSQPKKGYLSPKEEIF KEMRQSVLLMAHVCDVGLRDICFLTEGDELGIFVRIMDVLRIKDGVPLYYFVYRELVP TPDTPMDALIDGIGVDRTLIKTGISDLERRTLLRLFRKNTKKLDPKIIAMERDAQGKE TGHHPSFVLPLCPIAMRNLGKLTNNPGCEVCGKKNTSRCMQCMSVVYCSKQCQKEHWQ THKETCKSIKGGTWHSITVQEPDMGPPELNSIVNRLESMHNPQTAGISPSNNGIPPDV HGGKVFLAKFQVSLTYDNYGILAPDMLVYDRTRSFRFFWKRRSNPRLFAEAQKMMGSK LKFYRAPREDPLW JR316_0007716 MFARASTLVLALPLLAAATAVPRNSGDGPSNQCNTGTLNCCDQT LSATASSTNLLLGLLGIVLGPVTGLLGLSCSPIDVLGISGNSCSAQPVCCTGNTFNGI ITTGCTPININL JR316_0007717 MFARASFIALALPVFVAATAVPRQDAPSNQCNTGTLQCCSSVQS ATSSPVQSLLGLLGIALGSVTGEVGLTCNPITVLGVAGNSCSAQPACCTDTQFNGLVS LGCTPVNLNL JR316_0007718 MVPFAGYSMPLSYGDVGQVFTEPNNHSFRGTSVTQFLEWLTPSS LAQLKPYSSTLSVLLNPQGGIIDDTIVTKHSEKAFYVVTNAGRRKEDLAWFAQKLEEW NAGKSAEEAVEHEVLENWGLLALQGPEAASYLQSLTPFDLKQLTFGTSAFVPIEGFNL HVARGGYTGEDGFEISIPPSQTVEVATMLLKPPVQLIGLGARDSLRLEAGMCLYGNDL DETTTPVEAGLSWVIGKDRKVDGGFIGADIIQKQLKEGPTRRRVGLVVEGAPARRVVT SGIPSPTLGKNIAMGYIQSGWHKKGTEVEVEVRKQRRKAILTPMPFVKPNYWRG JR316_0007719 MSRFVRASKYRHVFGQPAKKEHGIENVKVTNSAWDTNIISASAE YISVNWNASGGGAFAILPLPSPFQAVPGLPYKLPETIPLARSHTAAVLDTDWSPHHDS IVASGGEDGKVMIWKVEPSLFEGWGQEGWVAQDFDPVLRIDASPRKVGQVLFHPTAEN VVASSSGEYTVKLWDLANPEDPRAVLTGHGDIVQSMSFNATGTLLATTSRDKKLRLFD PRVGGDPVRTNDGHTGIKGSRVAWMGEHDKIATTGFSKMSDRQVAIWETGGLGNVKTI SLDQSAGVVMPFWTDNNILFLAGKGDGNIRYYEYESDSLFALSEHKSSEPQRGMCFLP RRSLNVAECEIARAYKVHGSSIEPIAFIVPRKADSFQSDIYPPAPSIEPSLSASEFFN GKVAPRKLVNLADGSTISGPKPSSVPTAAPVQSPIQPTRTFSAAAPSPAPLAVPPPSA VPAAEPSPVSAAPAQKSWAPSPSPAIESRTPSRSQTSDSKDSFLASENARLEGELREA REKIRNLELQVESIRANARKAAQALLDQ JR316_0007720 MTDVPSPQEGPVPTPDSTVINGKGRYAGGPASPLAIVNVVQGTK YRFRLVSISCDPNFVFSIDGHTMKIIEVEGENVVPIDVDSIQIFAGQRYSFVLNANQE IDNYWIRAQPSVGTLGFLGGLNSAILRYSGAAATYPAFVLIPPVSTSPLREIDLHPLT NPAAPGLPTIGGADVNINLALGFNPLTLQFLVNGVPFVSPNVPVLLQILSGTTSAASL LPSGSIYPLPPNKVIEITIPGGLLTSVVGAPHPFHLHGHTFSVIRSAGSLTYNYVDPV RRDVVSTGILGDDVTIRFVTDNPGPWFLHCHIDWHLNTGLAVVMVEDIDDIESANPVP TAWEDLCPIYDTLTDNDKGAIVP JR316_0007721 MSSPKIAIVIYSMYGHIAQLAEAEKAGIEAAGGKATIYQVAETL PQEVLTKMYAPAKPDYPIATAETLTEYDGFIFGIPTRFGNMPAQLKTFWDTTGQLWAK GALAGKYAGVFVSTAGLGGGQESTILNFITTLAHHGILYVPLGYSTAFGQLTNVDEVH GGSPYGAGTLASGTGARQPSKLELEVATIQGKSFYETLSKVKL JR316_0007722 MSPNSKPKVAIVIYSMYGHILKMAESVKEGIVSKGGEADIYQVQ ETLSDEILTKMSAPPKSNYPIATPETLTKYGAFIFGIPTRYGNMPAQLKTFWDATGKL WAQGSLSGKYAGVFVGTSGPGGGQEMTVLTFMSTLVHHGMIFVPLGYATGYAKMVSLD EVHGGSPWGAGTFAGPDGARFPTERELEIAKLQGQAFYEAVSRVKGL JR316_0007723 MAEWTEEEWTSFIQSKVAEVLGQNVSTDDSTPLASLSTPSDPRF PLTIDHTLLKQDATPSQIDSLCDEAIQYGFKRVTERLAAANSKTIACCVVGFPLGAGS ADAKAYEAQQAIKDGALEVDTVIPVGLLLSDVTQYREIYNHLKTIITACAPVPVKVII ETGLIPSPELKIAACILSAEAGAAFVKTSTGFASGSGATKEDVRLMYRAVKYKGNVQV KASGGVRSFDACKEMFLAGAERIGTSSGVTLIKDAVVVGDGY JR316_0007724 MFARAASTFVLALPLLAAASAVPRNDGPSNQCNTGSLQCCDSTQ SATSSSVAGLLGLLGVVVGSVTGLVGVNCSPISVVGISGNSCSAQPVCCTDNSFNGVI ALGCSPINLNL JR316_0007725 MGLWRLCSFASNIVESEYSQRQQPYYFPDKNDSRNVWFYENARP SRDGTWDVDTANLVRSADNIDSENMFARTSALVLALPLLAAASAVPRTDGPSNQCNTG PVQCCNSLLSSTSSTSNSLLGLLGIVLGDITGLLGRSTLPVNCSPLSVVGIGGNSCST QPVCCTNNQFNGLINLGCSPININL JR316_0007726 MFARASTLVLALPLLAAATALPRTNGDGPSNQCNTGTISCCNQT LQSGTASTNLLLGLLGIVLGPVTGLLGLGCTPITVIGAGGNSCSAQPVCCTGNTFNGL INVGCTPINLNL JR316_0007727 MSSILAPTKSKPFSKHIGKAVLTNTAKRYSSISRPQTSQLLYSR TRTRPGPLLLVPSACVSLPRSGVLQNLRHYAIGPGGGGPGGGGGFPGFSFGQPQAKGE ALKEYSVDLTQMAKDGKLDPTIGRDEEIRRTIQILSRRTKSNPVLIGPPGVGKTAILE GLASRIVSKEVPESLHNKRVLSIDLSAIMAGSGIRGQFEEKFKALLRDIEEEGGKVIC FIDEVHTLFNLGKAEGSIDAGQMIKPALARGLQLVGATTPDEYRKTIGKDAALERRFQ PVTIEEPTVASTISILRGLKPRYEVHHGVEISDGALVTAAVYSARYISDRFLPDKAID LVDEAASSLRLAQESKPDELEALDREIMTLQIELESLKKETDVFSVERRGKVEGDLLV KRQMAEELTGLWQAARDRLQKIKNTKKQLEDAKYQLEVAQRQGQFELASRLRFSTIPE LEKQLPTESEATAAEQEESPLAMLHDRVTSNDISRVVAKATGIPVQNLLKGERDKLVH MEEALRQRVVGQDHVVEAISDAVRISRAGLQAPNRPVASFLFLGPTGVGKTELCKALA SFLYNDEQRGLITINMSEYHDRHTISRLIGAAPGYVGFEEGGQLTEAVRRKPYAVVLL DELEKAHKDVAMILLQILDEGTITDSQGRKVDFKNTIICLTSNLGSDILAHSTASDPE TGLVTASAKAEVLERTQEYFPPELLNRLDSMLVFNKLSRTSILKVVGLRLDDVSERLK HRRITLDVDDQAREWLAAHGYSEMYGARAIARVVRTDVLFPLAQKLLRGTIRDGDTVQ IRVSGDALDIKENHPPDPTLARPDSEVNPDENPPLESDEHH JR316_0007728 MTLLHDYFHTRNLQAFQRLLDGSAGVNSSSYDRGSHYNSGSNAA PSSSPGSSWTPGRSAKGNKVNVNARDWLGRTVLHLVCASPESSSVEYARSLLKHPSID VNLADTESMWTPLHRALYTANLPVALLLLQRSDIDAGVKDAEGYTAIDLYNSTVNGTK PDPAQRDAELYTWGANKNAALGLADADDRVHPDRVLIKPAIAPPAHAPLSVRFAPIPV RQVCMSKLHTVVVTGESEGNVRVCGFGSGGRLGASQHMQYALKPLPALSAPLPGSAPA AFSGSSVSSSSFASSTISPGTTPTPGTTPTPTLPSAHTHGSHPQIQIQIASVAVGQDH TLALTTSGDVYSWGLNRFAQLGYIVESSSNSSSTSSYTYTPSSSSAANANAGAGSRGY GYGQDEEQIQSVPKRVVGVLRREVVAGVAASRNASACWTRLSSVGGGQESTAAGGGGG GVVYTWGTNTGQLGYDRHAQPVQVLPKQVTRLSAPVVGLAMSDTVLVALLVTHHVECI WNDRHYRISFPTHAFPSGIEPYRPPHSIRDSWISKVTGCEDMFAALSSNGEVFTFSAP SGDGATVSGESGGGGGGRAGSSVFRPQRVWALRRKFSAVKDVALGADGSIIICTESGH VFVRTRNVPTGISITSSPSSSPSLYTTPSFSSGGGSGGAGGKAFKFTRVPFLQRVVKV CANASGAFGALRVEYRPRGVDAHADGRSFGEEIRRVRPFVVSMWDEEEAGKVVGGEGV QEGGGGDDDDGDGKDLEGRDKANAKGKGNEVKMKGDALDADEQEEGGVGIEGDVTRVL ELCDVLLRQQQKQQRVSASQTQGQGQGPNSPHATFDLHSHLKSGKLPYGGDAVVHVHS FAFPVHSVLLAARSGVLQALLQGEVPGDSISEADGRQGVWASVKLLPSLRVPNPNSSS NSNSNPSPGVGQAVSRIMRLEVKGCHHPLTVIVLLHYLYADEVLAVWDRRIGGAEEVQ RVWALLSAGAAGKSGATTGGESAKGGVKRGGKSANANVNSKVDGNTSATVNPTRVRQE LQLLAKMLDLPALSAALEAPVKREPAGTLARDMKRLFDAVQVDPSSSSKTALRPDVIL QLADREVRCHSFILRARSPLFESFFGLEDWTRNRWGEDGIVRVDMRHMSWDVVRFVTG YMCCGQDEEMFWVLDFVNSVEELVQFMFQVLAAANELLLDRLVLLCSSVILAHTNISN ACYILADASHYHVQPLIASLHEYIAVNMESFLIDNRILDDLPHALVKQLAHFVRARQV EKTPFARGGEFVEGLLEKYKVWLENEDVPDVAGFVRAASAVKPLKRDFSKAAPQSQAK GAASSATQSRTWSVLGKNSTAASSTSSLSKTAAEFTPRQTLRRPPSGDDIFAMDDHSD SAAYPTIQESGNKLAMPMTSPAAGKSPAVWKAAGAPRVDMKAVMAEAASQSQSATSSS RPATTNKPSQAGSSGPGLTTPSKQAMTRQPSGSGPPLTWRINADPNAAPRGLPSTPPS TSVFASGSPKDGMANARLGAGAGVVTVPSSASKVQQGGAPLTPARPAQTPGLGPVITP TRQTSSSKVSLSTHRNAVAFVNVSGGKAWSQSQPFGPPPTSTSTSTTTTTSSPSAPTK GVSFLAIQNSQLEATPVRDKRTLREIQDEERELQAEADFMMWWTAEEQRVRAEQEREA LALAQFQSNANANGNASGSKKSRKPKPRGPPKPKSDAAAAGGASALTPTSGKPEAGSS SAPPQGSEGAGSTHTPRRRPHKPRQKSHADP JR316_0007733 MGAANWIALLLTHPLEFRTLIQFYLYHEQKRDIAAIKEHPTSGW DRDSMRRCWEFLDMTSRSFAAVIKELEGDLARTIALFYLVLRGLDTIEDDMTIPDDVK QPLLRAFHVHTVTPGWKFEGSGPNEKDRQLLVEYDTVVEEVNRLNPDYKSIIIDIALK METGMADYAHKAATTGSIYLETVAEYDLYCHYVAGLVGEGLSRIFSASGKEGAFLGDQ LELSNSMGLLLQKTNIIRDYREDCDEQRYFWPREIWGRKEYGFTEMHQMSATDPDTVK RAMWAQSGMVLDALRHTTDALDYLRLLKNQSVFNFCAIPATMAIATLELCFMNKEMFQ RNIKIRKAEAAKLIMRSTNPREVGLIFREFARKIHAKAVPSDPNFIKISIACCKIETW CEHNYPSFVHFSPETGKQSLEPTDARTALIMREQALEAQLVKKKRAEDIRNGVSPSNA YVDKLENREMSSKEIMMYVAAVFGLMLVMGVSIIYTVIYFVGDR JR316_0007734 MDAPLSPPLRIHPISETPLTTRTAQKTTEAFLRDFQARSTSAQG GNTAVTVQLQKLANALKEERKKSKKDAAPL JR316_0007735 MGHANHLQTQCLLTVSSILSISILVVSILNFNTRSFILNAIGTN LALANQAFVTLKQIRSVDKLRYQGYRPAPSLITIATSALVSTIWIIALYCSVQLAVQD PQPFAEVTNPPNLSTIQGRDDTDDTPQGIFVWRKDRQVGISSLIGAELLVMGMYICLS FIQRRRVVSDSLSKQIGNMRSETGKGGNVFDIKFPAVENGDADCVKEDLDYCDEEKGA VQEVSKENSRSFLRPARRTIQLLTPAILKSRPESSWDFVTPK JR316_0007736 MYTNPFGAFWDLPGVSDASNEQRLATGSSGLTFGALPLADNPCT MKFTFNSHQTGVLNSNVTGPNTLVYFNVSSSTTTTTVSRKNGDVYATVEWTRHPMMQI YGETGRIPTSHWISLSPDRTQRVVMIKGRYYYWIPRGTRICLYGDDDETATISGEIAH ISRTDGEVSLNISLVAFNNGFLDACVLATVLFMSGRNID JR316_0007737 MHSSTRRLSVQICHLQSLSTSSSWLSSSSSSWLSTSQCCSRPAS LRSTRTNGDAKTKTNTTGCLSSRTYATVTGDEHGASSSSSSASSSAPPKNPYPYPRHA KPTPYQIFHLPVSATQAEIKARYYELVKAHHPDSHHASRIASDIAHTRFRNIQAAYDF LRGRTLSPHPNARPTPAGGTRGFDPYVHEMARRRRAYYASHSRGYDDAEEDGDGKGGG RGWRSDPNERTMWHEDGWRERLIFGLGMMASIIPLVLAGLFPNMPVTIASTFMPTTFS ASPTPPLSSVQDRATTATTNATTKPPSSNTNSFPLPFVIDLDKGHREAVSALVEARNE RETLGAERREGVRQRVREIKSANGDVELTRGVQGDLDARAEDVTDHTQTVQAGSCDEQ RSSSSTSCSDSVSP JR316_0007740 MLSTTTISRTAAVACLVLLHHTVYFVATNAQRKRVKNKNKNSPG GGRLLIDPEEWEVPGTKDEANEFAMPIPFQKFNIAVLILLLVVTATAFGIMVGGVTNG ALNSEDEDEDVDLKARDVRAEKVQTVVLGCVVVALGVNLAMCALGRRRARKANEEKNA REFVGAGN JR316_0007741 MSRATNTNAFTSYSPFFSSGLLAQSTNPRLQRRGTFNSDISEPW DDELSDSYRSSSPGPADSLMDTDPDADANTAADAANTSLSRRSATPTPRSNGESLSIS PNAPHSLASPLPTPTQQQPRLRRRRSSLTQAATSPMNAIRGPARAAENALHLQKQLPV PSRARSGSVGADTTMSLYTGLDGTTTIVVGRMRSGSCSSVLSLPTPVSAAHLVPSSSS SASRYTNVSITRSRATGLTTISLHRPRRAVRRGAPIPVPALLAPPPTAPLPALPPTPA GHGPAKLRPANVNPSAGNTMKSPAARTSARARGLSVSSSALGGAENRIDEEMKEN JR316_0007743 MSKNQYPVPAYILYITLLTMVICIPMLALSVVNFGLLSIWLNAA VGALILIHHTSYLFITWAHSNRPSSKPTDFLIDPEAEADSKSSESLSPTLDEKSAWED DTEPDYAMPLPFQPLNIASLAFLSIITSMAFSVMVDITSRGAMKSTLPAERVGSHKWN IKIEIGQTAVLGCAMIALGLNLAVCLLGRRRVVEANEEKEAREFGWVEN JR316_0007744 MHILYSIIGLSCGLRAYALMIGPSANLIIGNKAIAPDGYKRSAV LAGASFNTLSFPGPVIRATKGDTLQINVVDQLTDSTMLMGTSIHWHGFYQKGTSWADG VVGVTQCPIAPGHSFLYQFPTADQAGTFWYHSHYSTQYCDGLRGALIVYDPTDPYRTC RFYARTAQVDNHCILISETTIITLADWYHLASPQQSLPANINSVLINGQGRFPGGPES PLSVIKVVPNKRYRFRLISMSCDPAFTFSIDGHPMTVIEADSQSVQPLTVNEITIHAG QRYSFILYANNPIGNYWIRSHPNDDGLKGYAGGINSAILRYYGAPTKDPTTSKASVSN PLIEANLRPLYNPAAPGIPSPGAADVNINLEITYNNDTQKFLVNNVPFADVPVPVLLQ ILSGGQSAIDLLPAGSVYTLPPNKVIEISMPGGSTGSPHPMHLHGHDFSVVRSAGSKQ YNYVNPVRRDVVNLGESRAEDNVTVRFKTDNSGPWILHCHIDWHLEAGLAVVFAEDAP SVKLSNPPPAWDQLCPIYNALPPQTFH JR316_0007745 MHLLKGLIALGWVLQAYAVAIGPTTNLVIGNQVIAPDGLRRSAV LAGASASTLSFPGPVIRATKGDTLQVNVIDQLTDTSMLTGTSIHWHGIHQKGTSWADG VVGVTQCPIAPGKSFLYKFPTAEQAGTFWYHSHYSTQYCDGLRGALIVYDPEDPYRTW YDIDDESTVITLADWYHKVAHLEPIPTEADATLINGKGRYPGGPASPLSTVIEADSQS VQPLTVNEITIYAGQRYSFVLYANNPVGNYWIRALPDEDGPSKDLFKNGINSAILRYS GAPAVDPTTQKASPANPLVEANLRPLYNPAAPGVPSPGKADVNLKIGITFNNTTSKFF VNNATFTEVKVPVLLQILSKKYQPNQLLPAGSVYTLPPNKVIEISMPGGSTGSPHPMH LHGVRY JR316_0007746 MFSRTNHHHQHGQRASSPAPLLGTRRQRSPDSTPGPTESESDGR KLLQTKRSPHTKRLIKKGRTHPRKSVDAPPGPSPQHSVNTPIPLADPHSAPNTDAAPP STLPPPAVSSDIVAGQVAHLETLLDSILATVAIIQSISHGTVTLSDRTMHAALLLHST LFPADPEYHPQNPERVTEPRSYATVAKTTPVAQPAHTPQRTSPAPIAGGPVKTRPHVT RPTRHYSSNNRLIASWDDFPLTQPSGPLTDFVERLNSELSPMSRDPPRHVLGANVSKS GRLIIHTANDTGTARIKARPMTILQAAQASDCFPNFDSTIGDPPTVHSDVPWHGIVVH DLPADSLRDSFDSATPKNSIWSLLENEAGVSRQDIRGQIRALCRDGEEFQKDRLSILI RFEDKNITERLYHSGIFLFGSWCRVSRYRERKRKPQPSTPTPAPHAENTTPQ JR316_0007747 MTVIEADSQSVQPLTVNEITIFAGQRYSFILYANNPVGNYWIRS QPTYPDDGIQGYAGGINSAILRYSGAPAVNPTTKKASITIPLVEADLRPLYSPAAPGL PSPGAADVNIKLDISYNSPSETFFVNNFTFPEVPVPVLLQILSGAQSANDLLPAGSVY TLPPNKVIEISMPGGRPGSPHPMHLHGHDFSVVRSAGSNRYNYANPVRRDVVNIGRED TDNVTIRFKTDNSGPWILHCHIFMP JR316_0007748 MPPFSIIALIIAGCALGASAKLDIRKEEPTVFTAKEIYRTLIPE SPYIVDRTTTITWTEFPTATSS JR316_0007749 MTLTILVLSALISNAIALPQFSGNGNNGNINSGNGGNESGNGHG GYNSANRNGGFYPDYRIPFYRREPEPEPQFSGDENNGNINSGNGGYGSGNGDGGAYNS NENDDMFSYGGYKYDMPIPMYHREPEPEPQFSGNGNNGNINSGNGGHGSGNGNGGTHN SNGNGGVSSHRGYYPYDMPVYYREPEPEPQFTGDGNNGNVNSGNGGHHSGNGYGGSGN AHGNGGHRYHY JR316_0007750 MSIQLEERLFIAGKFVEGNGERIDVINPANKEKLASVHVAGQKE VDAAVDAAEKAWPAWADGDPSTRSRIMHKLADLVDENANTLGMVQSLEMGKPLKDSII EAHGVAYVYRWFAGAADKIHGKTSLNVPGFLGLEIRQPYGVTAGIIPWNGPLAMLAWK TAPALATGNASIIKTSEKSPLSALIFAELAVKAGLPDGVLSILSGARETGQLLASHMR IRKIAFTGSAIAGKAVAEAAARSNLKSCTLELGGKSPVIVFDDCDMEDAVTRVLGGFN RNSGQICIAGTRVYVQDTIFDKFSEKLSAAAQSYKVGDPTDESYSSGPQVDILQHKRV LQYIETGKAEGAKVLTGGAAGDEKGFYVKPTIFVDVKDDAIINKEEIFGPVAILHKFT TEEEVLKRANDTEYGLAAYVFTKDVSRAIRFAKGLEAGQVGVNTTGNAHPDLAFGGWK GSGIGRELGHHSIDAYTEVKTIFIR JR316_0007751 MSIELEERLFIGGKFTEGNGKRIVVVNPATKQEIASVHVAGEKE VDAAVDAAEKAWPAWANGDPALRAKVLHKLGDLVDEHADRLGTVQTMEMGKPVVQSIG EVHYVAYLFRYFAGIADKVHGKTSLNVPGFLGMEIRQPYGVTAGIIPWNGPLATLAWK VAPALATGNASIIKTSEKSPLSALLFAALAKDAGIPDGILSILSGARETGELIVSHMR IRKISFTGSTATGKAVARAAATSNLKTVTLELGGKSPVIVFDDCDLEDAVSRVHGGFT QNSGQMCAAATRIYVQDTIFDSFSEKLIAAAKTLKVGDPTDSTSWSGPQVDSIQHNRV IQYIETGKTEGGSVLIGGVPGDEKGYYVKPTIFVNVDEDATISKEEIFGPVGVLHKFI TEEEALKRANNSEYGLAAYVFTKDISRAVRFVKGLESGQVGVNTVAMAHPNLAYGGWK GSGVGRELGDYAIEAYTEVKTIFIR JR316_0007752 MTIKIPIDYVKETFLNRFSIAKPALDLLSERLDDARAFGRGASV FIPAEQRTSIPDLVERHGRLETAVLCATVEHIACAILLRNYGVRGAISRTLPVISTRA QKTFDSACDFLIGRAHTLVVAAVKALDGKLEDASSSSVVLHAGVHIADTGMRKVFGEH YVEDSMEYVKALPARAGEYSKAKAVEVYIPCKLRAERMREESRLGFILFEGAVRSSFF GVMGISAFFAFTHVYAISADDVEEEKMVSPKPSPISHASPDALAIAPYRDWRRSGVLF VVSPLPATPSTEASFFSDINDSRRYGVDLEDNSVVLSLTSTSLSHSDHGTPHPFGPDA CDIDVQPEVGTENAAVVEDMGCFDSLEDGHEDVCGVRDIDDIEFEKEGADDEGEEDRF AFEDRVTARYVEDLSASLDVGEVVQSQDGRRVKTEAEIGIECARFMAAITAAINNLEA ECEGDDMAWVGGLENVSMESIDIDLAATDVVQVDEYGSEGLTRRDGGTGIRVLPCVSS RRTARTVDNLSRTQLASRSQPTQVTRHDANEPTFQFDAQSHVYPSISAFTTSSDNIDS PTNDYSSASSNNDAISTPNATNITVTATIIIASTDDANLSSDTAIYDTDSFPAIDYNV FSSTSVNNETHDNPAAIVNHASSSTSAFKSSLLPSSILETIDEIDEDEWNAQEVSRLN VSEVVHFEVFVSGADECEGRTRRQKVFKMIDWATDVEASFSPLQVDFIHAALLGGIYG EDIGQTMVYKTPSSTTCRLVEEDADSPFGASASIGSYEEVAERMDYREALCFTVSMPC LVPEASSASVREALVLNTSVSFDCRLNLAMGPWSGGEDTMKMLAGCHSNGPAVESGAM EVKPSKLFSHGERRINGLGECHAQARVLGTSTPDHSGGYPEAAGTAQPYGHNDGAARK APGAQEHKGKFK JR316_0007753 MATLDVEKARSHFPILSSGFIFADNAGGSQAAKEVVDMISDYLL NTNVQLGADYSFGVKSTTRVLVDGPREAAKLFNARYPDEVVFGSSSTLNLENLARGLE KDIHPGDEFIITGEHEANVGPWKKLAIRKDAVIKHWRPTPTIPDNPYSVKLKIEELLP LITSKTRIVAITACSNVLGSIVPVKEVVKAIREEAKRKGSEKVEISVDCVAYAPHRLI DVQDWDVDFCTFSFYKVYGPHISGMYVRASVLEHSISSIVHHFIKADKVAYKLQPGGP GYEIVYGATGVIPYLLSLTPHNDLKESFKAIAAHEQTLIEPLLSFLTDPVQRERGVRV VGEETVNLDRVPTISFVVVGQRPIKSKTIVGVFDKKGGIGIRYGHFYAHTLIDELSPK LDTNDGVVRISLVHYNTVEEVHKIIAVLKEIFEA JR316_0007754 MKFTSLTTAASLLLVAFTSGVSALPANTLEQRDVFVPPIVEPHA GAVWTSGQRRLVTWDISDRPVNITNKIGRIMLRRDDLTTPLILAQNFDILQGKIQVTV PLVVEGDYQLVLFGDSGNFSPTFKIKGSGVQF JR316_0007755 MKFTKFSTFASVLVLGLVSSVSGAALDVFVPPITKPVAGEIWTS GQQQLVTWDVSNAPATITNKVGLVKLRKDDLTTPLILAENFNILDGQIEVTVPLVVEG SDYELLREH JR316_0007756 MGKNKRKGGLTGVSRFLFQSMKADEGSEGSQALEKAKESTAEKA DDHPAKKRKMNDGSSYAENVDDTKTRWVEKYDATGLVPHYTEASQVPQHLQKYFSQRE RFFSLYSTAPGCLLDEEGWYSVTPELLADQIAERCRCDTILDAFCGVGGNAIAFAKTC QRVVALDTSPTRLALARHNAQIYGVADRIEFVLTDYISFVRSQMTLPSHSNPESDDHQ ERRKFDVVFLSPPWGGPSYLSGSTDDVSALDNDKDIASSQAPEHPSYSLSSIRPIHGT ELFDLTRKITKNVAYYLPRNTRLEEISELLSQERKRSSGQSLKQKNNPAVQSAPPVEE KVEVEEEWMGNKLKALTCYFGGLVSGQEGMF JR316_0007757 MASRAKFRVAICGAGIGGLTTAVALSRYPDIEVQIYEAAEKLAE IGAGIGLWGRSWEIIRKLGLDEDLACCTETKPSQDPTPSFRYRKSDKPEGLEFYTLVT KGKILTFHRPDFQNVLLKHIPSSYRIHCSKRLRSYTQRQGGPITLLFEDGTRASCDVL LGADGLKSAVRRSLLGEKALWAQSQNRWSEAADITAMIEPVWSGTNAYRALIPADRLK LRKPDHRVFTQPTQYLGKNGYIIAYPISHGKMINFVAFKSQHDMEGSKFNGPWVCVTD KAEFASWYRDWEPEVQALVDCVDKPLRWAIHVVKPLGSYVSGNVAILGDAAHAATPHQ GSGAGQAIEDAFILATLLGHPLTTRETLTRALGIYDHVRRPFAHKVYQKSRLNGQYFT LNTPEIDFNSVPDHELLAKLRILGQVFTKNWEWAWTTSLGSSVQEALRLLESS JR316_0007758 MPSSPSFSVAGMSASTSSHHSPNLNTWGSSSTGSALSPGFGDSL SQSRSHYQSGYLMSASQSNDAPQENQRVNEVPVVQTKAKMNHILSRGTAPDFGMDSMF QSSRQRQPLADEDAPPTSSINDIPNEINLDSSPGRFQTRQTGNERPNFSSSRRQNTAP APSASQTVPPLFVIVFGYPPDKYSLTVEYFRSLGETIDVDTSKDITNCFKIGYADPAD AVRAVRKNGEVLNGTWMVGAKWADAAQAEAILGQPIARSPFGASISYNQTPESSSNNS NAMAVDEPYSPSHNANTSTPSVGTPIKLAPSMAAFRKAPGVSGTSSKPATPQHATWGS GLLSTSISTPGQKSTGSVQPSPSKGVIGQMSDLIFGW JR316_0007759 MDAPITKRLIVSGLTPAISAEDISRRLTTFGTVKAADGFGLPDG VGQPRKFGYVTLETTVGKLSKCMNLLSGSTWKGAKLRFGEAKPDFAERIALENRKAAE EPPKKKRVKRNVGVYGEDMSLVTSQNAAQKSGWKVSSLGRITTPVKMRPSHPLIEPVE VKKPKKTKTDDKGLKKKKRIKDPDSRARRKTIDMTKYGSTHLKGMWLDLEVSGSSRKS PPREFELSSSSSSSRSSESDDEPAAIIPSPSPSLAVPEIVAGPSTVQQSIAPIFPVPV QAPQETVDISQEKSQTLNLLNSLFGQDDDDWIGQESVGSDIDADELVKGDKMLVDDDR VDFEIVPKNAPLKATQHIESDEEEDEDEDARMDIDRKEPEKAVSPPKQPTLKDLFAPR EDEGGFSLLGHLDLDIELDEDAPFLAEDPIAQQSHTHETYAPSIPVSQPYQQSQNIQA PLSLNPRQALFFPLSLSEPASVRARQRDVYDIAKDNGWNWRDPAVGFYRTGTEEDIKK RWEESKGDLTRDWKRRSREAGKVNRRKRGGVDDAEL JR316_0007760 MQVEIVLDEKKLPGIDKLMTEILTLLDVCVSRLCRFDLRLEDIP ISVLSGFSQLASRATYLQQLSLCNVSSRRTSFDLAEGGDYSSLCNITSLSRLRFTGLS LPFNPHLVSLDVRDLQLTYSDLQTIFGVECRLRNLVLYGLRPIHPSPQSPSRPIQVKS LKTIALSINAAIASVDSTYPFSFLDMPNVTYLEMDCSASLTAIFGKSLASANIDTLKF TNHLPRLVAPPHTVENHEEIQFLQSFSSLRKLELTHVPAKFLLSKFTTNSSPSRREVT RQRSIGSHMLQASRVDYRAHNITVEPLEETVATWPNLAFIRLDTAIAEDVVSLISFIQ CHRSVRTIELSTSAMRHLSFLKRKGDTVSTSPPDILFRLNRRRTLEGDEGSTEDVKEW LSSIVDLKTAAPTNNLLSNGGSGLLSRLP JR316_0007761 MSTEETPLLSVLAGNPSCQTSTIDVYSRFSTRRKKVVIGLVSWC GLIPFFVNGTFTPSVPQIASDLNTTGSVVNYAISVSWIAASLGGLIGGAYSTFYGRRI IYNYSLPLVALGSMGVAISQNIPMLLCWRFLQALGASPSQVLGAGVIGDIYKLEERGG AMAIFFATILVGPSLAPVAGGWVAFYYSWRIMQASLGVLAILSYFVMFVFFPETSHPG SRGIDKARSQCFNQSGRDIGFVFLNPLRLMLLLRSPILLSISVILSASVMTVFVMLVP LVYTVGERYNISSEALVGLCFLPTGLGNMAGAIVVGRISDYTVVQWRRKRAGVWYPED RLRVAIVPAATLVPISLIAFGLTVRFIDGTLGLTICLFCLFLNGLGVQMTFGPCAAYL VDIMQSRSAEALAANSGLRSFIVAGGIAIVLPMINCFGIVVTNSVFALVAWLTLILLC VVINYGDRLRAWVDVGFVSVDDN JR316_0007762 MSGSARQVIVDDNDSTIVYSPNWSSQFAADKDNQGNFGKTLRST LHGTNSNGTFQFQFSGTQIEVFGSLNMKNTSGILDPTWDCQINTTSIGATAPFLFPEN NWSLCSADNLPTGTHTLTLTTQSHRRTFLFDYIQYTPSNPNDLNDALVKVPPTDPEIV YDSSWSNLANIANITRTKGSTMTFNFTGISATWYGIVPSELPPTSSQGTYAIDGNPAM PFVLAGLSPNSVTEYNQIFIQTPQLSPGPHTMTVTYEGDTESTPLTLQYILVQNVTEG SKSITSSASASSETSSNVSLSAMNDKKQNVAGIVSGVLAVVIVICLSAFIYLKYIRDR RKGAGTPRWENIVKPFSISRAPRRVVESASMSTIGLFGPSDDTTRPNHPRPTSGFTIP TRTRPRSFLREPTVPPPLPDLPQVQSTPQPKPKLKPIQTTFNNPEQPQASGSRPPRRP KKKKEPPKVAVNDLDENTSYYGGYQTWGQTKALEAASGARARDSYI JR316_0007763 MSLDPVKGHVSGSVVESLTESSSSKAGGNLILYSLYDWQNIPTI HLSAIGLPILPYKFEHGILIVKMMTRPRPGPPPFDHPDADVILRSSDLEPVDFRVFKL LLSLSSPFFSDIFTLPQPIPSNPSSFYSDEYQESSKGLPVIQMSEDKETLTLLLGLCF PISAHETPRISSLQEIQKVAEAAVKFEMDGIVRYLQRELVAPRFIESQPVRVFAIAYR YGWDAEAKKAARHTLRQPVDTPFVAELELISGATLFRLREYHRVCGEVASSRARLQPA LSEFDDAWTWISCKMCPSAWKSRSVNFPEARKWWVDWIEDVAEALKLKPWGETVRNWD LRNKALDHAAGCPQCNLHAREDLEAFSQILAVEIERDISSVS JR316_0007764 MPSCRICLEALKKPVSLPCGHIFCSDCIVKTVQAVKPYTHLHPC PICRSFYNIAPLNFNIVPPNLRPFVTPSIRRLYIDDPPSQQASTDAKDTSENPDIKES SPSDVKEPSPPVVKEPPAMSASALELARLRAENQALRNNCSMWRKRAEMHGTANLGLL NFARMVRDQASMLVRERDELKRHCHALKRKMQDVELSQYPELAPIGPFLQLTTDAREH QSRSGSPDPDSVLFAPHPIQVFDEHPEVMPAQQFTSHHQPEAFSGSSSSTDADISRPR KRMKPDTPSPSLDEMALSEVGDVLSRIAALTSTASRPS JR316_0007765 MVSDETPLLLAGMMLTAEKTTPTNVMKEPRIEKLVINISVGESG DRLTRASKVLEQLTGQTPVTSKARYTVRTFGIRRNEKIAVHVTIRGPKAEEILERGLK VKEYELRRRNFSETGNFGFGIQEHIDLGARYDPGIGIFGMDFYVVMGRPGARVARRRQ QKARVGFSHRIKKEDTMAWFKQRFDGIILK JR316_0007766 MLVDDSVSQHAALVEGMNKMGPDTPLISVKKAGKKLEAVVKDHI DKRQQPEGSSSSGSGSANVTALLKAIEDFNERIIPLFTQSLDQQAQIKLLLADQQTYV RQRDNLSKERDELRQKNTKLETDMQKSARQRSDALQLAEDAKKKLEEAYKSSTGWKQR AEDMEKEKNRLVDILERQKQTAQERLKVQKSEIASLKRKLEDAEREPAHDVSHGSHTL GHDQSRTQQSITVSSPPQPSLRHIENQPPDTLDFVGMPPPKFGSQWQISKPHNGGGFK KLRLNTETCLPLELDSKNRPTKAVALGPKQTVRVRR JR316_0007767 MPNVTYLELDLDTSIFGVFGQSLSSSKIHTLRIRNALPIVREAE NEDTNVLRSFSSLQSLELIRVSAKTLLDRFTVSSGGKFPNRKPSMGSIIDSNGLPPAV LHAKSKGSEDSLEAVWPKLHTISLDTMLADDVVSLISFITYHKGIRSLNLSYLAMRHL RFLRRKGNTVITSPPIILSGRGSPFEEDKDIGTADVEEWLSVMVHLDLMEDTLDLESL LPSTKEVPLVQLP JR316_0007768 MSLSTHTTPSGYLLPSIHSAPPFFTEQPNPTTQSTATEQWIRLI LGYARHRKLFVLRVEDAEAAGSEWDEILRNERINRRILPDHLSNIIATMVSKNLASYE PAKQTRSALIYWRLPEEWAEVLYDWVVSIGQLNTILTFYDITDPPLESALTNIPIPLL RKAIAILGKTGRSQMIAIADGEGVRFLARSR JR316_0007769 MTAISQIIAKNQITNGGPIILVQAENEYSASASNDIYMQAIIDL YRSNGIVIPTTHNDQHAGLNGNFSPDKPGTHVDIYCGDSYPQGSNSWAQVQSVYYSNH VASAPSDPLCLAELGGGFLLGWGSLIYRYF JR316_0007770 MSISESRVAGPKMNEMRLQGLFLRVSRDLLSSTLIANGTNYTTS SLIHTAELRNLDTGAAFYFSRHDQSTSTALTTTQLTVTTSAGTILIPSTGSLTFNGRD SKIIVTDYVFGQNNFKMLYSTAEVMTWTTIGSTDVIILYAPSGQSGETAIQLTNPVLD LSSFPNISSKFSNGLLTLNYITGGSQLVQIQNGNTNLAVFIMDKATANTWHAPVLTQS GTFGNYFSIGSNATVLVGGPYLVRSAAVINDTLALAGDLNGTTTIKAIVPPNVEKITW NSQLLTSSKNSSGLISAIAQSSFPSPAIPPLPTLTRWKVSGSLPEISPDFDDSGFATG SQTTTNYTNLPVLAGDKVLYSQQYGFYGGNLIFRGHFNATGQETAIQTTVQFGFAGGY SAWLNGVFLGSSQGNSTVSFTTDTWKVTSSMLRVGRDNVFVILQDHMGQRREGTPRNS GLRDHRRKRKGGAANTPDTFRGYLNEGGLYAERVGAHLPDFPDASWADGTPLTGGGVK GAGVNFFRTTFDLRVPAGIDMPVRLSITPSAITSNFRAQIYLNGWQIGKYINNIGPQT LFVLPAGILRRNSTNTLALSLWSLDGGGASLAGLNLVSDGTFETSFQFNDYVTPDYAD QAHLRPAAIFDAPM JR316_0007771 MSSNPSVSELQRAATKLVRSAAKQSALGQLTQRIIRQKIEEEFE LAKGSLDVPQYKGPIKQATLDAIEASKRDGSEEDEDAPTEEAAIPPKSKTKATMKKTS QPDASNPTTKKRSANGKLKKVVVSDNDEEDDAPTPKTAKHVVDKVDDDEEDSLWGSPV KKSAKLDVKGEKPASKTTPKTTKSTPRKAKSKSRTVLSDTEDEEDHEPDLQKDDENVD DDEYEDEEPKAKPKSKQKTNKVEDSKPASVSKAKTTGKGKEKQSDNKKFKSLEHVPTS DMEQDEPDDPLSKKPLSSPVKKPRSKTVDSTPRKAAPAKPSSKPKSKSKPVAKKTEDE EEGNKSDASVLSVLIDEPPKKKRKSTSGTSEKKSSKSTSKKPAAAISKDEATIKRLKS LMIACGMRKVWSRFLEGCDTPQQQIRKLKETLTELGMTGRMSLEQAKAIKEKRELEQE IQDVLEFEKKSGRESRSRSAVSKNPDDDDDDEDKSDQGKDEDESEDEVPAPRKMANAR RSIAAFLQDQSDEE JR316_0007772 MSAPLLSSQLGSTYIPNEIELVTIKQHISEHMAELKVLEARVDL ALKAYEDLRTQYDTLSLKIDQYKALASPLRRLPTEILAEIFFYCLPTETNSIISPNEA PLILTRVCSKWRKIALTTPIIWSTIHISTPPIDSRNSLTLRDDYISRQVECRTKLVFD WIARSGECPLYISIFIPVNSHPVWAGFEKQVLRCLNSNSHRWKETNFNMPSRYLSDIF AMDRSKFPMLTSLAITVVDLYLLDRPTLAQRHGICEASNLTAVSFENIPEDLTQLPLH WARLHTLSLTCGSRSISDVGKVLESCKSLFRLHIVATPNSSSSYNKTITLPMLNSLIL FDTGCNFLDCLDVPSLQYIRYHYTYPEGKGTLLSFIPRVANGLQTLISDFQLLSNEDF LLCLRHCPNLVNLSSCPKPNLAIFVRVSRTQQTPQRHLNFNDALLDLLCTPDSAGRFF LPKLQIIDWGTPAHFSDEKLLEFIHLRRDRSSPGVSKLKRIVVLREHESDNSQMETIL KQFNDKDSFKVDIRYAKPSNSRIVLQVDPRLGLPSQLWLS JR316_0007773 MACGICLSEFKGPVMSLPCGHLYCEECITQHVNLRSDSVGMTST CPECRSDFPLVVPDLRFLPKQYHAFVSHSLRRVYISPTSQTDAAAASAAEIEHLQNEL EKVYKKLADRKKREQNLMASYDRLQATMHAHRTGEADLRDHANELEEKLGDLESEFQE YIGSARKTIDDVKAERDSLKTENERLAEMMQEMEERLRHSDARRISVEEGLCSVLRKE RASSAQSSPSNSHDMSYPRLRNAVWEQSSPNRDRTGTWQERETISLAHVSDLSVSTVT EQRVIRPIPRRHRLTRSQEVDVSVSISSVSKRARVRG JR316_0007774 MTNNSQSHSSHSHLNLRLNQNRRENESEEEEDMQRSSTFQSHNN NSQNPPPATQPCNTSSNTASMESQTDDTNTQQNDRNRSNRAEQIERLMAQVEALRQQE RSEVQENSTPTQQLFVDEDTLARIRAEAANKGTDLVKKKVSLPEIVPGFKASESYNLI PRAFLFKIDCGYAIAASVP JR316_0007775 MSCIICLALFCRPGEDDNAQPYALSCGMLWCSEATSITAIPIQY RKHVILPMVKLLIDFTPPTSTEENECLKAEIDSLRAELASTRATVERYRTENDRLQFK LADCRNHFSKRAQAAEERFTRIYREAGCKVSLLEHEHVRLQNETIYLRHRYDTLQLQY TQLQNEYKVIEDASSGLSGEVEALNFRVKTLTSQLTLMQEDQNASSSTDTLKTASLVN AIAATSSALMYTDDSDEEDVSCGTTDGVNSHKSCREHPATCWEKENIPPPSMPRSPSH RLVRPMPRRSIAPRVTLESSPSHHRHNGRHS JR316_0007776 MARHVMYVINKLSYVVKQEKGQLESQLQEVATLAMAFIKHQDQS DSSSTSHTTVQERQIVDTAFTDVSPTTSVEPLEDEISAAVAEALEPKVPSAKLRRDAA AAIYDGLRHRQAAVKGGKENIAPVASTSRLPPGSCRPSSTSGTAVASIQDQVGVRTGG RPMRPLPRRAGSSVVAQGSASAERAADGTEDYDRVRIAIQE JR316_0007777 MSSNISNSKESKRTARTDDLAPPPIPVIPDNLAPPPMPVIPDNL APPPMPVISSNLAPPPMPVIRKDLAPPPMPVIPANLAPPPMPVIPANLAPPPMPVIRK DLAPPPMPVIPASLAPPPMPVIPANLAPPPMPVIRKDLAPPPMPVIPASLAPPPMPVI PANLAPPPMPVIRNDTAPPPMPVIRNDTAPPPMPVIRNNTAPPPMPIIPDNLAPPPMP VIPPTLAPPPMPVIPDTLDPPPMPVIRDDVAPPLMAAHVSYTSVVDKIIMDSRPAISS ERKQGRYSPPPEACYLLLQNPYFRTYGRFDPMGGYPGSPPTQSDIEFEDVTDQTAYFN PPFLYNYTEQQLRATYDQIIDSSVGDNSYDSKVTTFKNLCLHQLQSEILTYNALEEID AGLAGIESSLEKHLYTGRDE JR316_0007778 MPTIASPDADPLPSTANATTHNGPRSGQTAEGDFPPEESNIWTT KEMRVLKKHVQPYKDTSKSSKADYIQNTVIPELQATWDHRYSRRARKEDKQLHKEWKV KKHRIFNWFRNHASNRIGVKLEGLNSRITFQTVFREEKSAEIDSEVALLSGNAARGSK DWMKFYQQGRKQVEARLTQEERDRFMEILEEWNKKGVSKSMKAKTAARQGRKILRQME KLKWQRMGMRSITFEGHYDIEGKIEYSMTQTSDLALDDVRIPSFGQLFPSELAAFRRA FVQYLVHISEIEKGVANPALPDASFHEKSLKFSSNGFPIVPSPIYGSTGREVAYAQKS IIRIYMNKVYALAKDRPGSSVPWDALERRSAEMIDPEYWPSSIPVTDPSRLRLESTSA ILKLWRDRQAQGDIPFKFSKVFGNGYDIMEPLYPSNLFDGLEAHPIPPPPAAITKRRL RQKAIRLQTQSSSDSESSNLSEFDNGRGSPASAMPRTTARFEVTPETDETPTDAPSRS SEPPSLPSRSSPTIVNPSSSPTPEVEEAPPKPARKIMPRKRTKPYVGTAEMDGPDEES SVPPPVKPKPTRRIQPRKRTKPYSDPLNTVEEDGVQTGTTQDTDNTRAGRDVTCDAFV ETVTSPDTDETRARRNVTSDALALQEASLLAVAGKRQRKKTLKA JR316_0007779 MGFKKVPDRGSDEEFPNVREASWSSGAKKRKRGRPRIHKLPAES SSTNLPQTTTYSFQNHEHNILEEEIPHYPGDLTDQQVLDNLRQLDDDRTGGKSQNDYL REWLPKREVYLGTMIGGEAPDPDLNGRCQQCQGMSGVWRCCQCFGSRILCSECLRRNH QFTHFHRVEKWTGLYFRPGALWEVGVKLYLGHNGKRCPYPTDPSHLGCDGGNNSSGSH GHGGNNSPIFEDEHGLDLDPLPASNDQESFTAQLLADPEVPHLVELDDGDDEDDDLFE EVDTTYLDQPRPKAADNNGIPFKAIVHTSGVHYLPVRTCTCRSVRLPSIDLQYLEMGL FAASFENVQTVFTVEVLEDFRMDNLECKTSAYQYYQKLRRLTSPAFPKKVLNRYRELR RLSREYRDLVLRRQYGEGHTREAVVPYYHHCHDSSPERMGINVSDPIDGGSDSPSQEV GMSTDPSLGHGGLDGPPAPQMTRTEDHPKVEDRRGKLALFCPACPQPGINLPDTWIDD ADRQVLVILQGYVADGNFKADHLNQKNEGDYVWLSVGEGYMTAPGPYKEHIKEAISLA PRYKRTECLYNYASTYVPGVGIIDGEILEPLWSVLNDTSRSTRSATTAHRAEVLDDHM GDSNWKKTINMAATIAAKFKRAREQSGITDRFYRGITDQQDSGLINTWEDEISKAEAD REQGVADAVGKVMASKVKTAAGRQEIELHLSNMELTSNGATGKAAWISSGLKLEQAQL ELRDHVRKLGKHPSTAQKLDLVNKRRSMRTRVEAFCRSAMTFMGEDVLEDIQGDIAPI LDYEVSDNDDPDLGNVNITRADPERQPLPFPSAVKQDFFDGLDAGTNLILKGLRKLEL QIRHGHAEDCLEAVRSALIQLSWQYKYQVRTADSVYMGTRAWDGVKLLNASWKLHRRL YNTNRQKMIYLSAGVRDEDNIRKQYPILQVHDCKHSNAVSDPNIRGGSSDRLSWIWRS RQGLDNDNQLYVNEFFRLNWLRARAQRNRWQEELALTKKEMEWTVRFYVYMAKTWRAR HDFVPDRLVGHRANAQKQIAMWNDLGRAADKVFRQINPEYPSVSLNILVVSHLVEFMH LPRLFKPPVEDEHHLLTYDERRALAKVHVHICGARIRAGYRLFIANGDSVSSVRRQKW MYLLDLEVAILLRVLGYCYVNGFQVDIPFFISEILNQSVHAVLNRTPHHRAVLFESLN QSWSAWEDDEILNQTKSWGYWWRDGFAEGDEWQVAFVTVESQAREFWNKVVLPEYQQE VLRLNNQRKEAKEGASSSTSGPPRNQENNPKGKGKAAERTSVPTSGKHGSALTGKHGS AFSPPTGKPNPPTRVANTRDETSPIAISDHRPPYCPRCGQPILTGVMRELAHLRRNVA DKMKNAHKAVTTSSAALGRYSVLEKMWIDSKEHPFSNGKGLSAKYKFQHPVHPSPDSW GAITAQARSFEVSKLKYHSPPSNTANIHLPTDTLPTPGSVSPPSNAHTSNAFLFNARS RPVFPGEEDDIDRTSDTTESSTPATFLEHLAQDFEEEADEESSAGDTTEESDASGNSE ELSEDVSETPWDEES JR316_0007780 MDYAFELTQSLSNYMKAKIASRLWHAELPNQIRENFSAETMFEC NLAVEVILQAFENQEYTTWDAEEYLTHLSARCTGQNSAVEARLKDQFPPVHSTLQYQT LPGTVIDSAGNILVWYLPGILSETRVESVWNSLRDIETMIHKAAPLATSWRVNDSYFR HEPGWLQPGNLNFSPAWFQQGHETSNPLEVSLDLCNPIGQEFIRDMMTSSALLGAILS IIHPEQYRAGIKFLQRLAAEPEFVHKAEILKQILTIWSSPFGVMTAISNRDTPYHRDN GSCYSWYDFLMPLGKGEHGRLELPGLGLRYKYDPMTLVAITGRLLQHGAVCEGDRAVI VYYMRRNVFKELGVQEAGWSTTYDLFANLPATNTFDFEI JR316_0007781 MAFRTQMPEIQPEADEVLVGHYVPLFVDFSSEADEIQALLSKIA RLEAALAESKSLNEQLRVDNSVLEGSVRRGAAVVETMVSGMKALEAQLEEVKPHKTVY L JR316_0007782 MPSSPTKAANSSQATQNAYTTSEGALSPFKTARERSVSRPSSRS SLRTHSPSFSLDDPVSVRNHMSTLKHNIRQQQAQLNNLENIVRSGPRPYGPELLDDLV STSNVNAMASSSSSTSISPGSGPSSYTPASPTPPGTTTRIQRRSSHDVLLSLAGPESN LPLPRRSDPSDDAGPSSLVQSGIREGIPSPTAYTTKRPASPTRTLSRIPVSAVGNARA LAEEGNISISSSRHTPSSLQPDTSSASLTPGLHPPPTPSSPNKRLSLTPGAGGTTKVL ADLQTGVVNARNALENTKAQLRLAQRSVAQLTRQTEDLKEGRERLRLENEGLNNVVAR KERLLQEVLERARKAEAEAATLKSQLKSETSTSKKTIRELESSLAECTARTAKAEREY TVLRESVKGMGDTWRADVEGLRVEMGRREERVGEEAKRVGAMARKLEEEVRRKGKGQS QEEEEVRRLREEDARSAKEVEKYWMERVKEMKEEVDKESSACEEARKVAKHLSEELAR LKRLMRSAGRGEPESGQSKSNVGDGGGGNGSPQDSGTPTTRPPPPS JR316_0007783 MPLQCSICLFAFREPVSLPCGHIYCKICLTDHVNVPTNKGMTST CPECRKPFHLTVPDVTYLPEKYRPFIAHAIRRVYIDTAPTEAEADVALLTEKVAELSR QVVKLQGKLTRQAKIEAMLDECECLRIKYMYGEIDRL JR316_0007784 MDEQGVQFGLAIDRDGLRLNVAIDGHNGHTKISVNVDTNNKVKI VFSVEEPANRRVDTNPVALTNGQIREREGTGQQVVDVANCIEDLGTVEGGNVKMRDGE GDDQDVEMME JR316_0007785 MDDNNDSNGSSDSEESDLDSNAITLSGFDFWEARAPSVGAASDR NPGLPGNLQLEADFDSEMCMDPQPSSIPIQNCAPGGTSLLDHNALRASPAFVDCFEGH AGAPIHTIHPDLTRYASYGEKVGSKENRWAPFSSEMDWKIAQWAKLQGPSATAFNELL EIGGLCSMLGLLYKNSKELNQLIDKSLPRKRPTFECREIEVEGEVLEYFSCDVLECIR ELYGNPEHAQYLAFVPERHYSDANRTMRLYHEIYTGRWWWSTQASCNLFCGL JR316_0007786 MLTPTQIEKALTAIDTNKELECSELADTLKGARWIHQSINPFMN VKSVIQEGLEFLANHITDGMTRQQILDLQPKLWSFYEMQDLMPDLMDIIKKCEDNSAL IGKVIDLVSSAAAHSRSDDLFKAHKLIPELIPFDLRVPLDLQAYKLACARVNRGYKYP AYAALLIPRVKFAELTDLNAREILVRCESLEADTKNGDALDWPACLYDPDIPFDIDNE VQGLFQPPLGPQFVQRMLVGEANRLGGRASKGQIHKLVIAISGKTWPEAKSILKADRL YASILTLLSGLSNDDTWAKETVAWWQENIILPSEPVDHDKKSSPLEGSARDGRLRDPV ERRKAQMAAAAQAPAPACAPACAPAPAPPCAPTPEPARVPTPAPASPQARAPSFHPTP DNGSAPALAKAFAAISLAEPVPQQVSRRRMALNAIRIPSESPPDLPSEDSSSLSSENE RSPSPPAPIKIKLPAKRKAAPRRPGPKRKDLQAPPSDPFETGNEALPTPNSDATAADG EEPPAKKAKKGAQTMGTRQPIKRKAKKF JR316_0007787 MPPTTPSFDWSQGSVFLQDGIIYYSPNCNRPVRIKAPERNHPHP FPERAEPDPTSVKHPVWWTDTFGWMSFIPLNPSFISDPFDTFTWQPELDVTPSYNTPP GPTLYQLEIHTIKHWRFKEQCLLEAAHKMKLWYHVPASQPPPPSIFKYDEPYTSKEEA YRQIKLARDWFAVWMGFFAYFAACAKYDKYCAGKMVREKGELLPRWYTRLLEEVPMLQ RSWLDGLLTSPACVFSPDTQRAGIVIPWYEYDNRRPEIQFFLDQQISVFFPWCAIAEQ AIINNPTLRYLEPPANLVRDALEKFLNRFPSVPLAGLILRSYFRFHDRPLHSTKHILR MEHSTLLVTKYMYEKFASQTDKVKAAMEENQVEATVAELREIVSRAMDMDLAEAERAI ANLPTHDWMDKGDYHRRGELYDHVSIFLEKRERNQRFIIATETKDAKIKRLQREEALP GYNTSVYRWKSVTTPGGKELYMRVRLLRSKHERLFAKVPPSQRTYNAVSNEWDIFDEV DLPRKYLQFVDPPPRKDGYIYDYPIQAARINPQSIIPDNDVPRVESIPVIPEPCVDSS SGGATSPHEPQYKTFPMDTEEFQEGPSQPPVHHPEPGPSTMDTNTDEALKIHNYDWDT ADLISNLRYSYGFVASVVPKKEDKTPEGWKHACQHFGFRKDGAEQFVSNTDRQLICQF HDGLMGSTDRPLPQDIQDLHPQNYLSLQVLGNLSLIHRPIPNLFVFAHHDIRAPQEES DRMSADWSIGVETPEAALYVLRVFQSHPGHTVVSVAHRLLSKGVQFRTLVGRKNVVQV HQPYKEVTFYRKVSYKFTNDDYESSMLACRQILDQQRGRAALLMGGIVGRIAKEYLST ESVLQGPSVELLRNGRGYVANPEAELLAYCDDGLTEHDIAIIIGSYSLMTDFKNQVGV KSWFPPPAVWNEIDRNGIGWLEWTERNEYWYQTRLELIRNGKAQPLTLQDWKSLLKNK PVRVLRESVRARSAAFVHEHIPVTRNPRR JR316_0007788 MSKVLKGFQENFSRQNQNSNVASGSSHQATLNNEPGPMEVDVHH EYLNVDMVPPPMPPAPPPKSPTPPPHPPTPPPPIERPRGLPPRVIRLPQRFRDEVPPK PPLIIPPVVEEEPPQPQEPPSVESLYRTPMNGYGIFREYTYGPPSITPDKHFILSSVS DSPNIAKDPADSLRKASEGTPMALPSDWSLDSEPKDDKSLLFKNRSTQLIMSWFYNGH GTKSYADTNKLIHQVVLDPDFDPKDFDSSFSTAREVARLDEISTQKNSTDPTLSEVCR PEAGWIKGSFSIPVPCDGFIFDSEEEAPQFVVENIMYQKPLEVIKQAFAECTSETYTT IPYREFWRPSPNKPPERLFSESYVADIFNEEYEKIKSEPRTGPHRHLEPFVVGIGIFS DSTHLTSFGDASIWPILMYILNQSKYTRGKPKEFAAHHIAYIPKLTDTFQDWHQRQFG KAATSEMLTHMRREVNTGVWGLLLDEDVKRAYAEGEAVELADRVCRAIYLRFIFSSND YPEKMLQSCCKCRGTCLCPRCLIQTMNVPKVGSKMDMRNRLKLARVDSKTRQFDIETA RKALFLGKKVNSKAVNGLLQQTSAFPTRNAFSKALFEYGFNFYRMFTVNFMHEVELGV WKALFSHLLRILYTSSNQNAIATLNERYRQVSPFGLTTIRRFARNASDMKKLAARDFE DLLQCSIPVFEGLLPEPAHNKIIQNLLFEMATWHALAKLRLHTDTTLDELGNSCTWLC DLLRQFQKEVCSQYATRELPSETAARGRRQAAKAKKAASAGLPVPPTQPNEPKTRTFN MQTYKLHSLPDYVDSIRQFGTTDGTSTQMGESEHKRAKLFYKRVKKGDHIRGIAKHIY REHVVHRTSRVEMRKLLREDRELLEPTPPDLHYHISSDVRRKLDILPWMSQNQNDPAT RDFMLRLKTHLYARLSGANKFSDSIGTHERLQITILGDRIYEHQVLRINYTSYDMRQI QDTLKPNSSQCDIMVLASNKGSDQQVHPYWYARIIGIYHANVVISTPDNYYRASKHKV DFLHVRWLGVCEDCHYGWKYRRLPQLAFGDINDSASFGFVDPSLVLRATHLIPRFILG KIPTLGPSVAYRSKENNEGEEWERYYVNFFVDRDMVMLYRGGGVGHASTQATTNSLRQ DRCADDIASRKKRREAHEAPDPDLEPDGASDQEQDAQDQAEVAPENSADSDDNEDDSD QHTDSEEEEEEEEEEEEESDEDEDRGDLDELGFAEY JR316_0007789 MAARASFQLPPPPPPPPNPPQRASESPLHSNGITRVNGRRQMDE QGVQFGLAIDRDGLRLNVAVDGRNGHAKIGVNVDTDNKVEIVVSVEEPATGRVDTKPV VSIHGKIREREEQVVAVENRIEDSGTVEDSDVEMRDGEGNDQDVEMME JR316_0007790 MIAWVPKKPPVPRKPKTTAPNTKKLQVLHKQAASSVAEQDFKRR KSDKQVLNESDFDQESVAESTTRKTNLSSHTGMRRHAPAVIDIEGLDSSHNRPEHWKG HRLPPKLRAKHDARADNTISTPAAALLDGTVKPSLPRTSRKPP JR316_0007791 MPDLTKIVDKAKQYIGLVKLFKILVFGILVFCTTIFGDVIEICR VLNRWVLVQSTKELHKSMVEGVSYEQLREERVRRTFNVKMCSQNRESRVVIEGSIQEN TNVTIGELTREHSGVPFALHLRRSRLTQPRSPVLPATELIVPYDV JR316_0007792 MVDTFEDTPQQQDNSMDVDDSPQEQEAFPDDFPDDFPDESDNTE RENQTGDSKPASPYFKENYPNAGRVYSVGSTFMEKFNQDKNADKRRLNVFYPFASSQE WELALFLLRSQMSMEKINKYLKLRIVKSQSLSFRSAQELRNRAEMLPSGPAWKSQRVV PAVPTQKPVYLFYRNPVECLQVLISSPLLLDKIHFTPFRLWESAAKVTRVYTEWLSGD AAHFMQDELEDGATLIGAIISSDKTSISAQTGNRQAHPLLISSGNIFMDVRNKGTGHH FILLALLPIPIFHHPQRNIRGMLEARLTHECLDIVLAPLKVAAAVGVMMSDPVGNVRR CYTPLAAYIVDTPESALISGVAGKTLSLTMAHNKQFGDPFRHPPRTGLSTLRALHSLE QPPLNLNPWELETYRKAAFDKFRLNGVHRPFWRDWPLSDPSVFLTPEPLHHWHKLFWD HEVKWCINAVGGAELDFRFKVLQGHAGFRHFKEGISSLKQVTGREHPPVIDEEQCTKI SNALQGFHQYKKSIIDARARRGQKGIGINHWFIPKLEILQSVVPNIRCNGAAIQWSAD TTEHAHIELVKEPASASNNQKYEAQICRYLDRMEKLRNFDLATSVQMTGLQFGNAPPP QDDSDSGSGSDLSELEDLETGPTVKTVDDLLHYLNYYTPYSGSQSREIANYFQDARYL KQSSPGIYPYPLRTQQISKNVAFHLSRDSSYKRMSIQKVAQMFNLPDLQAALADYIQK VSRIADTNFTGNSQPPSGHIDYIGGRRYASMDSILPVKTLEVWEKVCLQSTSYFYPHN RLPPVTMRAFPPSEKHPCGLFDSAIANVDLDHTWPQSGLKGHIVVDVRFIFRAVPTSP NTAIPYVTDKFLTYSQRFEVVLQPAASGSRDRGLYPEPSTSMYILKRSKRADGNPMAD ILPLDQLCALADLTPRLGVKANPVLNTNNSHSLSLEFRLNRYFTKEFYWALSSGWNSP P JR316_0007793 MLEYPNVQIDWEQGCVFVQDGTIYCSPNLQRPVVIPPEPGHVVS PFEGKRLNAAMFKQPVWWSDVFGWLSFVPLAPSFLSYPFENLCWIPIIEPLTSKESED SEKEEHPKYVMQEADRLHWETTERNILCAAHYLRLQHGIPGTSPPPPSFFHYNKPQKS PEILRTMITLARSWFVVWMGYLSYLITQALRHEYQVAIKPQHTYQPYPYWYKKLSEQS SLEGPWLDGLCSSSVLSFDWTTPCAGVILRLSKHDKSRPPIQFFFDHHIPVYYPWTMV EDEAVLLDRSLKAFEPPEALVRKALGSILKGSDAMMCMLLIQRYCNPHNADARKIFPL DWKQKPTVVRNIFYEYMSNEDMANEAQFPEILKRLESKVSAESSAKKEVAHLAANAPM REAIGWLDEMERGSLFANWKAFFDNRAKRNSEFLAIEREDMIEYFKICQKTPPPLEQS NIYQWKIIRSSRGKKLYLRLRVNKNNQLLMYHSYPKSQRVYDAITNEWNLCTGFKPPL ELAQGDDMDDEVDYGDPKDLSDVDDSESSDMAPYFSEQLSQPSSHVDDSRQELEKPTI DSTPELFVVADTVRQTPKQDTILTLKYGYGYVPALPNVNATETSSESWKAVSRCFGFE VGGQDEQLSPTERQCIIKFFTALVKSDSQMLAELCDLNDTNHSSLSHLLDFSSIQRPS PNMFIFTKPFTTAVDWVLGVESPAIALYICRLALSNPRYTLLTIARHLLKECIAFRTL VPLRLSPDTQTLRAAYAATLFRKAGYEFSHHDFQASMIYSKAMVNQPRGRAALLKGGI ISRIAREHTSLDGALEGPSIEVTEHHSGFVFHSVSDGSAYCDDEMTEDELAVICGTYS IYTEIKGQVTLKLWFPPPYVWSTFNGYNWLGWTERNERFFLDHLKEIENGGQPLSANA WKRILRGGKVNRELIDNNALQAKKFLDKHLPASACSINVKVKKPKFSVPIVISEDEFE LVMGIFKKVMHDKTEYLHHSLETGMEFPAFSKYQDCAKFDTYTASLAQNARTIYPYWK ERRLERRGHQIIPTVNGNESDTLNESYICFRRQESKAVLKTRASQVTSSDRLARLQAE FSYPLKLAKAILTTTRTPNHKSQVVWEKRLAFMDLKRKFPSLNDKIDEELLVNKEQST KRADTGLTRSLCFFAYI JR316_0007794 MITTSFAVVDNEIITLQQAFKMLKHAKDELVQRREKQLELCIRV DEAIAAMKKADVELFEAELELGRVRHIISKIGVELPLLDRQRTPNNIEEFLFKTHGYW RHDANLMSNDKSKNTGTRKANANSKTSQPQPRAMTQQTASRVHQANGDKDNNAIDMPA NGRPVQSTRGQGGSLTQLQKTSNVVGRQLELMQQKQQQKRQCSRDDQPTPTRLEKGGE SLARNDLAPPAKRARGEARVTIVDRHDAPLFSGVSNLGYASSTARQQNSGAAPPNASI RLEQPGRTNQTSLNTSAPPSQPQSLARASNGNAPQPAQPQVQQRGPQEHQRASTAAMP LQYERVGQTEQTLIQQHGGAQRAENQYTNYQLNTIHDDIYGSPSNDRNHNNHADDYDD NHAGVENDMDADGEEDEEAGEVDKPEESNGYDDGLEHASQARQGPADITLDLSQVNPF DNRFYPGGEFDYMGQQQTGTNLDGNSGLRVVDQISDGEEERRAMQHLWDFVPERNAHN NYLAEVAARQEPRCRTTSKSAELPEEEQGKEQDEEQFKQQFEDDSVSFSGDNNNNNNN NNDNDNDNDNDNDNNNDNNNNSKNNKKKGSRKRDPDMTCLRPYPKPIQTVLEHAKNLY RKHIVEEHSFPSRRVDLTDATTCIAQAFSAHKKEHNMRFSDKYAINRSMSVLVFKEAA CYRGSLKTMCRNLVQIHYRDVITVSKETRRAFTRPQLVQEHENEDAIPLSDGVHPVNK YGAQQVDDDDDIEEPFPQQRDEPTLICQQVEHVLGEDCLFLAGKNDSNEKRTNFGHPC VEAAVLQFFYNPRNGIAKLFPESFKESISNNALALVATIIKCCLDEYATGTFIGLELT TAKYGNVHTGMLAFIDMIQKNSYHGAKLEQRCRHWAKKGMEFLRSCPNVSNQLQFRPV LD JR316_0007795 MSHSNTNVNNNLDNTPRVLCSQQRVDTNRSMHASPIMDETADYA GTPEDELEEFFTGSARTETQMSQADKVMREPSPQPPQTEHEPATPPKKVWRTIQIPFK RRPNTPPGTTPADFPPLPPPSSSNMVPTARAPAIGRSQAKAPAPKAGETDNRKRQRAA DPTDNQPAKRASRDHPPPPPPREPHIPTHTGGVLPSVTLELPPHPPIPNPFRDKPQSE RHISPDDHDSEGDDDDDALSYLSMYSEALTDQAYGEVKGLHPTPEDGYDVVQGVTLDI ITANLDPKVLEDWKRVITRGGTCYAVPVGGIPEGDAPDIADAVNTCLNKAFPHNPNIN VAPGIYISTNPKLPCPLLISGLERHDRKITEQRVAWSLLPGFTFLTFKTTPFQTHYVM TLGNLNYKATRPSERVIEETIRNHITTNNELKNHLSREMATITSDPEITGSRIQRDMA STVAVHALEVLQPGGKATRVVFRVYVAPICTNNVVMNRIRQLLRQGRYSTPRGAAIPL PDFECSFCMGRDHPTGLCPLGTSRHIHRHPPPTTKPPPRKTTRGEGSSKPKGGGFKAT SHKDFKGQVKRR JR316_0007797 MPLQCSICLFVFREPVSLPCGHIYCKICLTAHVNVPTNKGMTST CPECRKPFHLTVPDVTYLPEKYRPFIAHAIRRVYIDTAPTEAEADVALLTEKVAELSR QVVKLQGKLTRKAEIEAMLVRECESLSEDVTEHRDALKAEHERATEYVGKLQQLKEEL KYQKERSKKKHLDMTSGLAVLQEQKQNYRDALNESLKENAQLTKKLTRSEEEKARMEV ELRELREAVTAAGLTDRAKSSSSAPKTASHSPKAGPSRNRVRASASASVPSSDNESIS DTDDIAHLIAAFTPIRARTSASASGSGSSSGSGSGSGLRLIKPLPKRLRVTRAREDDS AVLSASAKRARVLRG JR316_0007798 MAFRTQIPKIQPEADEVLVGHYVPLFVDFSSEADENQALLSKIA RLEAALAESESLNEQLRMDNNLLEGSVKRGAAVVETMVSGMKALEAQLEEVKQEKGQL ESQLQEVATLAMAFIKHQDQSNSSSTSHTMVQERQIVETASTDVSPTTSVEHLEDEIS AAVTEALEPKVPSAKLRRDAAAAIYDGLRHRQAAVEGGKENIAPVASTSRLPPGSCRP SSTSLAGTAVASIQDQVGVRTGGRPMRPLPRRAGSSVVAQGSASAERAADGTEDYDRV RIAIQE JR316_0007799 MPPIPPNKKPPILNSKLFSLTPLQHTSMGPAPDTFLSLYHPAVH TANGRMHHSGGTRQPTPSCSGIRWKQAILQEFAALSCVICLQSFVASEKQPVALPCRH VFCIGCISRWTEQQDEDRGYYECPNRCSINAMNHGIPHSDAKNYRQPEADEVLVGHYV PLFVNFSSEADKNQAFLSKIARLEAALEESKSLNKQLRVDNSVLEGSVRRGAAVVETM VSGMKALEAQLEELKQEKGQLESQLQEVATLAMAFIEQHNQSNSSSLHTTVQERQIVD TASTDVSPTTPVEPLEDEISVAVAEALEPTVPSAKLRRDAAAAIYDGLRHRQAAVEGG KENVAPVASTSRLPPASCRPPLTSGTYLCCNFDHTTTRGIRRGDIHCNFQCGLYGHAL DLLGDGSRFQVAPAIRVPYRMYDLTWLYLDVPEILFFQLAYLPEQYRPFISHAMRRIY LDVSTHVDKNKALLNQIAQLQSKLTEKSKCEQTLRQECHRLQLEAINARAAERKQLAE LYMAQDAVSYEKFIRAKDKKQMESKAALLQKLNTELHNKCSNMQSMSQLASSRIQSLE STMRQAEQTIRGLREEVSKLNAQKRHTDTSSTPNPPHPQSDTRSVYNLRSRLNKNGSL SVSGPSPAPMESSTVSRTVSEPLLKKQGTKRSLAEDKTMKSMKRIKIILL JR316_0007800 MDSAAPELGRPDSSLSKETTPIKLEPCRYSNTNQLSATTPGLPT SPTQTDSQAEEPSKEVPCANTKQRRLIKYWILSSIAFCIIAPLISILLGFFLHTKGLS YIPPSGGFAGRNLSISAFLVSADPTSSIITFDWGVDSDTCVRDSKAVGECTDVSIFFD NNLLDNSDDIGNGVPSSDVPSTPLFRFNATASSSDFRSNTPTFRTNIRLFQSGSPRRL SLVNYPFDTYAANIFMYAQDSNNKSVNLHLDVVGGTAVGFTASLLYIDQSNFGLDAEI IATEISVRRGALIIAFCIIITIAIWIITLALFLLSFTAVVFGFRQRTEVLIIPVATVF AFTQLRASMPGAPPGFDFVGLLPCLGILSFSCALTLGALLITDPTQKEELTWKMVKPE ILPALGIKKRASYNSSGGNSSGVESVSTA JR316_0007801 MSPKKTSSGAASAMKQSTLSFNTAKRTGSTAATGKPQAKAGSGG SIAAFFNAGAASAAAPPPAPPQEHAQDQDTAEFQVQVQEEAAQTPGSKRPSSSTKADT ASSRLKAKDKPTAKDTDADYRDDIEPADDFDADEDEDVEYIASDTESSVSTFETVEEK PVSVVQGRTTRAQAAAAETASPAGKNVNGNGSGIGGIDKDKDVGTPIQTPTPKKRKVI KDEVVDEIESAGEMDVDDAGATGKDAGMFKPSNRTEDDVAKRVEANARLESGVKATLN GNPGVKDLKAMEVKEDKEKEKAVEKAMEKENENEKEPTQLKVKAIKWRKYLDKLKEKY GDVSDIHGENENRIHQILRYFDNDYAYGPCVGVTRRERWDRAQALGLNPPKEIDEILS TIEGRTMDEYVQSWPFWEGKEGGVYRRARPVRVSRVLYV JR316_0007802 MSSSPSSASSSSHPNPITLHVAFRGTTHTLSLPPDTPLDALHAR IEELTEVPPALQKLLYKGKRSIAAGGDATVEAVGLRDGVKVQMLGSTMREVEGVRAVE GERARREGVMHKRAMKPAVKVGTTGPAGNSISTLSSSSSSSSLQHRFHALQPLAHLPN PDAAHALLTKLSSDPAILHIMHTHQFSVGLLTELAPHEHPELLGLNENRGEKIKLRLR TDKYDGFRLYSDVRRVLCHELTHNVWGDHDENFKALNSKLNREVAEYERAVAQGTHYL SGRPGEVYEPAAELEAEARAYTLGGGASSSRAVSDSMAAFDVETQEERRMRVLAATMN RLRKEEEDIEHSCGTGKGRASRTSA JR316_0007803 MAVITTPITELFGIKHPILLAGMNVAAGPELAAAVTNAGGLGVI GGIGYTPKILRQQIQLLKKDLKNPNAPFGVDLLLPQVGGSARKTNTDYTKGQLPELIQ VIIEEKATLFVSAVGVPPAWAVQELHKAGILVMNMVGHPKHVKKALDVGVDLICAQAG EGGGHTGDIAASILIPACVDAVKGAKSPLTGKDVYVVGAGAVFDGRGLAANLAWGAQA VWVGTRFVASVEAGAPKLHKELVVSAGFEDVARTVIFTGRPMRVRKTPYVADWEENRQ AEIKELTSKGIIPHYNELEKHPEKSVAGRPWLMGSVSSVIKDILPAQVIVDNMVRDAA AILQRNAGLVKVGPKL JR316_0007804 MPADRREPHSASPRKAQTNARLHPTSPVSPAPLAYLAAKHNAPA NQRSKGFRPARVIAPPVTVEGERDGLGGADAEGEWDGDGEGRGSGDEGYARGGGGGRR RGGAGESDSDSDSDVESVNEIDAFETETEEVDYLQQHNEEDEEEARDMHDSESKARYE HEPASPPAAAAAAAAAAPRQGTQSNTTPKPIKFRPLRILARPTTPEPNPHTEERGSSD SGSSHEDSYEDDEDEDDYSDYEGSERRRRRRRLDSDSDSEVSSVNEAEAWETDDVDEQ WELELEYEAMERAYYAEMAEMEWEMEREMEREMEIPPEEPEPELASNLGDDDDDDDDT ARLAEVEAKIDALLKERNAILAARARDGKKKKQAPNTSPVPGPNPILIFTLGPAPTPT PAPVQIPVRTPKTPTPTDPTNTNTDKDTDPAALDVKAEKWVAYHATLVQKYGDQYPGS YSSLL JR316_0007805 MAAKNSSRTTRSASSKSLSKTTLPKKPTKTQAKKAKSTRRAKQK EAEVLVESSDGESDCSEIENEAEGEDLSKCSIDWHKDHP JR316_0007806 MLVIDRTPALMIEGAASSGKSNSEQSTRSDVSRCSSAYSNISSD STVSTMHDMIDQFEEDDVDEQMEAMAREHAGMSGDGEGMGAMGVDN JR316_0007807 MDITTPEMRRRPDTSLSTETQIKVEPSRYSNVAQLPVTTLPGRP VSPTQTGPHEEGSTKEAYSANMHQRRLIKYWILSSIAFCIIMPLLSILLGFFLHTKGL SYTSPAGSFGGRNLSISAYLISADPTSSVITFDWGVDTDTCILESKTVGECTDVNIFF DNNLLDNSDDNNNGVPSTDVPSTPLFRFNATAFSSDFRANTPTFRTNIRLFQKGTPRR LSLVNYPFDTYAATVFMYAQDSNNKPVNLHLDIVGGTAV JR316_0007808 MSTKTVAFAGNAFITTAATGASEVINDNGLANWNSASTITSAYF RMASAGAVTVGLNAYLAGSNNSTVKVTINGTAFNVQLSGTTPKTYPVGTVSVAAPGYV KVDLQGVTKDGGYFGDVSGLSITTSSATNFANDPANFYWSRRGPSVHMNYTIPPNNDY FYNEVTVPVGQDVVGSYFMAAGFDGGYSGIQVRENDRWVLFSVWDADNGQKTTLVSKG AGVVDNSFDHEGSGGQTYLVFNWIAGNTYKFITRIRPDGAGSTLYSAWFFAPELNTWR YIATWKRPATTAYQSGTHSFLENFIDTKGYTGRRALYGNQWGRNLNGTWTELTTGYYT GDATANNAQRMDYAGGVENGRFYLRNGGFFSDYVPLNATFSRPATGQIPAVDVGSLPT Q JR316_0007809 MKHTVPSETDAELLMEYTDHKARSVGDVVACTNFLLENEPFLKT VKEYIEIIQNWGIYCGISKKAFYAECQHTIMEADRLLRQIRYQIASLRNLSQLIHVRL KILKAQGHKEKLTQVVIVDGSMHVLLSSFLMIYLPLLFAAMLFGMNIEELVPGTRLSL KHYVTVVVPVTVITVICIITMEYRSKRLGRADIYPDLESELQDSDAQATDDAEITPLD VNQQIAEDVEFDNNLIHAIRPPSAAHNTFEPLINRPSDIEMMPTGRESNGARGHDFMF GHPPDHWSTTPSGDDMGSGR JR316_0007810 MSMFKNSSNVLVTGGNFTAHQPVTVTHNHSHNHNHRSVERNRYT PPRKIHRDGECPSSWNRIYRYSTVRPFVDVVGLLLNQSALAGLLDSEERFDAPKCDPD TRLGIIDALSNWVEAGSSSSSSSLLWLHGPAGVGKSALGQQIALLLKEKDNHAGSFFF SRTYPGRNNGRLLIATLAYQLAMNFPPVRTYLAKNLKKNPGVFSLSNKVKMQLLIVDP INALSKSWRYNISNLLFPSRVQPRLMVIDGLDECDDPEIQCDILMVVAYAVQQLRMPF RIIIASRPEAHILSTLRHEIFNTINYTHKNLGEDSEADSDVLTFLTKEFEHIRSNHKL AAYLPESWPSTADIEKLVLKSSSGFIYPATVIKYIKSDHLRPDECLKVILGLSAIDTT DRPYALLDDLYDYIFRNTHLAHRQSIKAIFDVLVIPRVDGDELGVFTTPANLEDLLSF PKGHVEHVLGYLLCLVSLHGRDKPVKLLHASLSDFLLDRNRSGPFFTDVGLAHRSLAS GYVKRIQKNGIYEKETTPTNYVLAARHSDEAREHGLPSVKAYAPLDELHEQILRSTPT IRTIINVLLSPRGDDKQSFDTPLTVDMLQQKLTTQAHRDYVAHALDALPYLFDVKDDL VFGLQSSFLGYLLDESRSGSYSAANLYSS JR316_0007811 MQSNATPSPKSRSLRANIAELAHRPLVLPTASDASASGKSALAA FKEVEKPTGIKLLKVYNQLAKSNLTILVVLTSMSGVALSPFPATIPVLLATALGTVLC SASANTLNQLQEVPFDAQMARTRMRPFVRRAITPLHGLGFAITTGIAGPAILWGMTNA TTACLGLANIVLYAGGYTWLKRRSIANTWVGAVVGGIPPLMGWTACGGRLLPETWTLE SLPLFLPPFIADAASMPVPVADLTTLDNPLAPFAFFMFLFSWQFFHFMPLSHIVRHSY AQAGYHMLAVFDQRKNALVALRHTLLLVPVCSVLFPISGLTTWWFAATTLVPNAICAR GAWRFWQKGGEKEARVLFQQSLWYLPVVMGLMMFHKQGMSWLEWLGIVDKEENINTVE T JR316_0007812 MTMHQVQQRNHAHRMLQLRQDPPNTTDPNGHNDIPGGGNGGGPS GGVGGGGNEPPLSHSSSSSVAGPTSLSSSRSLPVQPSSTVRPSSSTSRPVSSSTTPSS TVRPTSSSSSSSSSSSSSSSSSSSSSRSSSSSSSSSSSSITRTPALLATSSTPTNLGA TSFFRSVTPTNIDGAGSTITADPVTASDAKKSSGGAIAGGVIGGLLGLGLLAFIILTM IRRHQRARRHARARRHESDFGTFNRAAFVKEKEPEVVPDMVEQRGHNVAPSLSGEAVA NMATPPSQLAAASAAATAAAAAPAAPLQERPKYVYGAPVAGQDSAEEQAKEAANGGAY SVDPQAQTAYNAEAYGSYAKYDDAGAGVVGGVAPGAVYQDAQREYQGQQGYYDQSQYQ QGYYDQSQHQQYYDQSTGQYYDQSQYVVDGQYSQQQQQYDYTQQHSQYAAAQPAYPEQ TATYQPPHPYATRPAGNEAYGGM JR316_0007814 MPETSGTRRRHNKTTEVLHPNSSSSVFQNEDIIELILSFFDPEA FWGDGIWDIDISTRKALFFACLTARSFVAPASGLLWRMMTSLIPIFSILPTFEKAPFG DVYDSYSSHFIMRMMAEKDLTLFPALKTLYIPNLPPSFHRGTDNLLAPLFLIHGLSLT NLILGGINTTTEVFTESLLSTLAPKATAVQELILSGRLSFEILRCIPKFSRLQVLNAT FIPASDVPSSFFRDCTNLPDLTHLLINLSHSAIVDVANVSVGDSTLYFPSLQDLQLTG DPVETSKILRMLNPTRSLTTVKLAQCTNILQHTPASMGLATQGMFSDTALALQASIER IATIAPALQVFDVNMGTGRSILLGENTLRPLIRCNNLVFFGMTGVSLPITDRHIEEFC LGNNWRNLRTLSLPSSIEGNSPSFCSLLTLAESCPALKNLTLPLDTITHPPEVLNSLR QRIVHRSSKIERLSIHKVTTNRFNSETDTLEMTIAVARFIEHCFPHLKPGWLVHNLST RADTEWWHDVDTLIAAFAQVRHEALDDIRKATIPSMSTES JR316_0007815 MRIEEGFHPTPFTEDNAYTADPVLPSLLKRVLPRSVFKEVVPDL ERCGHEVVTSLRTLSDSGKVFPPKLLQYDQWGRRIDDLQTSEGWRELKAISQREGIPG IFYERKFGEHSRVYGFAKMMVMVGDSNEIFCPMSMTDGTARVIELLGSPEMKRDILPR LISRDPAHAFTSGQWMTERPGGSDISLTETKAISTGQASIYGPKYTLDGVKWFSSATD SEVSVALARTGSQQDGSRGLSLFLVPLRLPLLRTPSDPVPSPISNNILVHRLKNKVGT HILPTAELSLESTEGYLIGELGKGVKNISPVLNITRLWSATASIGNLRKCLAIATSYA KVRAIHSGTVLLIDTPIHVEQLASVNILYRALTHLTFGVVGLLGKVESGGATPDEERR LRMLTPVAKAYAAEKACAGMEEAMAAMGGAGYMEENGIGRSIRDALVEKIWEGTIVVL ALDLVRVAQDKACMQAFVTWSNGIFKSCPSDFAKQISSEMRILQEAIHEFAPSYNKPM KPLVPRPAIMLAGAITSSIYLLEHAIWSYKNSEPSSTLDMEVFKRWVVDSGLEANVQS LKRSKADTGERVKINSSLVFGVGAQKEQAKL JR316_0007816 MSSNPPEATSPSPSPSPADHARALMARKDEIESELQEQIDILKS NNVTMDTPLVDAEGFPRADIDLWVVRTARKRVIELRNDLRALMDEIAGALEKVYDPSV PSPSLRNGVASSSAVPTKPFARVEAVAPGSPSAEAALQRGDLVLKFGGLDHNSFQSSS LQPIAELVAASENRAIRILVSRDNVTKALTLTPRKNWGGRGTLGCHIVPYTAS JR316_0007817 MASEGGSKLRPFPVEITDAIIDATAELAFTSPTQSDARAHRKSL LSFHATSTDCHRRALVHLFNRISLPLIGGQGRHRLASLRELLNSPTSPRMGLDLVRYI KDVELKFSTAVRKNTRFPYGSQNAFSVERVINDENVALFLHQLHGDGYGIEKLTLAVD SDDSSGGWWIITKGVDWTNLSSHFRLAFQNLLQSPYLAWLDVRNFIYLPYELFRGSKV TSLTWNLDKNIVIPPAWSYQADASSVPDLNHTLSMEYPQIEYLDTNCLHRLPDTAACA SVKGLKTLCVGSLAGNVPPTVSSNLLRLCGGSLKMLGLEFSGPLHFEIPDISSIPNLE VFAVTQSQLQSSPNTIPVQNIEPLAQLLNAQNPMRSLAEVHLYLTIAAAGAIRVAHPA WRAIDEALASVDVYPALCVVKVYVTFMVTVVYRADFSSKDFEEETRRYLEAQFVGCKG RERVELVLDFECDVEALEGDYNPACF JR316_0007818 MVKRPKSPEIREEARYFTVYQPYPLHANWIMEEDQKKCAMWVAE CIGVNHLWAIHYKPKARGMILLEVSRKFTDHGRLLGEHRWSSTLTSPDPLEARAATKV FHSFYTTGRDAQKDGWKAIAIPNVWFKDWVPGNGLFVRPYPATHWCAVPVEDRTNKPM CRPLPSEQKPPPPRSKGQVVGSSQWVEKQVDPSSPTPGHSQNSSIGGSPVSSHTSLPL LPLTGALGPGIATGMGTGTGTGMGTGTGMGTGGTSEATAKLSMATAKFSMATAKFSMA TAKSSMATSTSTGTGTSTATPALGVGEAPTGPTGPKPTNANVPTAPRAMRGKQTTMTM SLNEFIAGPSKPKKAGWNNDKGSSAGGNKKFSLKDAEADADAPPVNAWSKKLHIPPSE KQTMILPTPAIWTLDETTDYSGWDIPMSPAPAHERELAPEPEFGPELGHGYGPDFALA QKANAKAKAKEGSTWAGKAKASASTSASAAKPKAQAHAQAKPKTTSNEKTKANANAKA KTGSSKETRTRPQAETDQEPDSEPDQEPEPEQDQDRDQASLSLSPLSPRFSPRADTDG EGEHPHKSRRRDRGSKGKGKERERGDTSERDDVDKGYSHSYNHNYTSRTQSNAQEDVE MADAQNDDNDNDKEKDKGESKVIYVPEPDPTNPSMFTPKEMQRERDRNGGLRPWERGN IMWDVDMDWAAYVQENGGGGRGVGGGEGGGGGGDEKGKGKEKERDKGKGRERDKYKER DRDRDRDHVRDREHDRDRDRERDRDRDRDRDRDRNRDRDRERERDKDKDKDKARARDK DTDRDRDRDRDRDRDRHHKDKDKYKDGERDRDRERDRDRGKDGYKSSHRERERESRRD KDRHGEKERDRDRDRDRDRDRDRDRDRERRDRDKDRDRDRDRDRDRHRNKDRDRDYDR DRDTRDRNNDHDHDHDHDHDHDQDHDHDRDQDRPNHNHSQQDKEDPSNSQSQFPSQTQ TQTQTQTQTHPQTPSNHTNKRKSDTSFDINGELRYDANGNPDNSHESRKRMSPGPVRG RGDGRGRGGGDWGGGDGAATWASFGASALEAQAQSQSQAEPSFGSSASPFKAPAQAEP TIIWDLTAPTSNANAYGASPWADDPAPSPAPAPGSASGHGHGHGHSHAHTHSATASPW ADDPSPAPAPVPTPGPGPGPDPGPADSADIPMPSPWASSPARPHNRAEEDARAWGSGG GADSSRSRNRAEEDEKAWGGGGGGVADSQWASILGVGDSTANSWSGSVAGSPFKSDRG GGRGRGRGRGGSIHGANSSIYGGDRDHGGSTIRDHGSIRGSDRGGDRGGGRGRGRGRY RDPHDTYIPPAPFGSGERRKPGEGLARAAKFAVEWAPRKRRGGDGGGSGGGNKNKDKE KEVGGWDGNAEKRRKEMEERKAQTQDVDMSAINDWANPYPNPNPNQGKTLTGINDWAN DGIDDASEWADTGAEAESESGAHIRNWANAVVDDGSEWAEHDRPPFASAPPSAVDEEE EVEDVDVEDVNMEEGVEDPPASVDDGSASASVSASAEAGAGAEFNEEEGGGGDDTVEA DTTAHMDVDMDWASAPAPAPTKTSYALPASSSSFAHITNTKTKKDRAAEAEAEWGLPP PVPDKAKSKKEEKNKAVEYDWAGNLIDVDEYMKEWGAPSPPPAFAPTMKEKGGERKEK EKQVKLDWAGNPIGGDPDADMAVWGGGGGVERKDKYKQQEKEKDKEKEKEKEVKLDWA GNPIGGDPDADMAVWGGGAKQKQKEREKEKEVELDWAGNPIGGDPDADMAVWGGGGGG GDEFASSSSYSKTNEKADRKEFKKPDFKRKESRWGEGRSESRRNESRGEGRWGESRSE SRNESRKGESRWGESRRDDSSRRDDSRSRNYDTRSYDNKRNDSRNYESKRNSSGKNYD GDGRSNRDDSRSRSNAFSKKEKRDKGSKGSKKYEGGKSDFDWVASLNLNFNHNHNHNV NHNEPKSDRAPVELDWAGNPITVDPAEWGLTPEDVAPLPSPFPSSTKANKKRKDVPPD SDWAGSSAHGEKAEAEAETEAEAEAGNEVELDWAGNPIVRDIAAEMAAWGLSAADFAA PSSSTSTSDVGRGAGVWNVNLDVTTAGAGAGAGKSVKEEELICPTHNIACRKGICRDM AELVRVNRKRREREMRERGREGGREGGREGGRDREGREGREGRDRDRDRDRDRDRQKD KDRRGGTSRTPLPSPSKRQQQPYRAPETEKEKEMEMEVELDWAGNPVKGDPTEWGLTP EEVALAPTASSSKLKQPKQTEVELDWAGNPIKGDPTEWGLTPEEVAPAPIASSSKPKP KPKPTRQPEVELDWAGNPVKGDPTEWGLTPEEVAPAPVASSSKPKPKPKPTRQPEVEL DWAGNPVKGDPTEWGLTEEDVAPLPSTKGKQKEKEVELDWAGNPVNDDPSVWGLTEED VAPLPSNKGKGKGKQKTVELDWAGNPVNDDPSVWGLTEEDVAPLPSNKGKGKQKTVEL DWAGNPVNDDPSVWGLTEDDLQGEEEKEPELDWAGNPTTTTSWASIAGAGAYKSPVDN ITRVEDLVCPVHGRACKRDGCPDMANLTWEFNWRERQRVKAAQREREREREREMAARG GSQGLWGTPEAPAPAAKRRRRRSRKTEPKHIMKPMLMGTMRGFHTDDLKRAKAEKEAR KLMEEAELAEGEAEGGSGGEAEGEDGGGGVDKSRLEDVAEDDREGADAEDDRMAVEV JR316_0007819 MTETPKKFVHWQFASPSLSNLNSPFFNTTNTSASSVDSTSSLEF INSQLVAHGYVPSPGLSLEGISNESCDRVVKCLLALLSQRMEDMSRTEELTAKVRTVT YDYERMVSMHRKAKEESANAEREMNMHKSRLTATLKTLQSTETAHKQTSAELQRTRTV LQGVRATHVAELKKKEKEVERILDKWQKISDAQAKLTAAPSGIVRCLNAAVVEGSEVF GKGQGYLEIALEQAEQARSSLSDDNLFLRKVLVRAVNELQSIIHHARCLIAGDHHNLE EPTPLTMTAVFPVAPPHAVNDRLNAIFKQLRESISSIAEISTKAPEITAQIPVGEVER LQGIITALKDELALTKKHSTAQPSATQAMFDKFAQDHRVVSGEIGEMSIELMSAPLQD EAKERLDALRKELDEERRRFTDAAVKFGKEKAALEAERFKFHEEKRSWQVAQMLAELP PTPLPTHPTHSPSPTNHPTKTKAPTCFSPKKSPAHKAASFKSPRKSPARKVPVGKAGS GRKAHRVSRRPSASPNAVAGAVRYETEYMPPLPALSLPPMGPIAPPLTSSLLPTSFVL PPPSPRASLPTNPALPLPTTTASSSANSPPESTTPDSDHSSSLPPQHRSTTPPGSDDS NNSSSPPPPPPLPQSSSSSSNLQIPATPHHPFPVAKPFALRMIHAYSPAKPSPLSRIL MLGDSPLTPAPAHAPAMSLDELASPTAGSGLGSGSGSGSGSAPLDTVAEAEAEGAEDH GYRHGNNVLFPPPPSTTHEKQEKQISLAAELGVESPPDTPLQERNVPEPPALAPVQTR ERVFFPFPDPNGNGNGNGNGKRGGYTGAEKGKSKAKANAKANAKAKADADHPPAGSRG TRSSAVGEKENANSRREKASSAPGSGGGGGVGVGGGGAKSGKISPLPPPPPPPGTTST ARKVSPTGGPTVKTSIKAVVKASTTTTTTASSVTMNRPKITTKPPGPPTAGTGPRRVP INSADAPPIGKGWKG JR316_0007820 MAANPIVKESKVDVLIVGAGPAGVMACNALARSGVNVRIIDQRP DKVAAGQADGIQPRTIEVFQSYGLAERLLKEGNQMHMAAFYNPSADGGIELTDRVPDV TATSARYPFEVTLHQGAIESIFLDSMREHGVEISRPVIPTSLTLSEDETLLNDPQAYP VRVELQKILENGEAGETEVVHAKFVIGADGAHSWVRRTLSISMDGEQTDYIWGVVDLN PDTDFPDIRNKTAIHSNNGSCMIIPREDDKVRIYIQLDDKTAHVTQDGRVDRSKLRPG LLLEQVQKTLHPYYIRTPEKYDWWTIYIIGQRVASKFSVKERVFIVGDACHTHSPKAG QGMNASMNDSHNLAWKLVHVLNGWAQMNLLKTYEYERRKYAQDLIDFDRQFAKLFSGK PRTKETEDGVSHEDFLKAFQTFGGFTSGIGICYLPSAITDTTYQSLASKLTVGQRVLP QIFLRAADSRPIEIQDLLPSDARFKLLVFTGDSSNPVQLEEIRAISNKLEVALASLTG GRSVGDAYGIVPISSATKANVRYNDLPKFLWSHWSRVLIDDVDVKGTLGGNGYDSYGI DPTHGAIVVVRPDGYVGTICPLQEMDFLVTYLGGFVGRG JR316_0007821 MSSNGIVVSSLFNSSLGSLAVINLPDNSISQFTINFIPSSTPTR FDLQSLVIAVPADAVITSFLPSQSLPPSIALPTFSTTASSTSASASPTTSTFTAHSNK KMIAQAVGLTVGLGLGLTAVAVMAFYYWRRRRRQHDAVSMQDARSGWTGERPRRFP JR316_0007822 MGQGTFTSSSPVVSKDEETVALQSIMRVFVPLVSLTSLVLSAVA SPLNGENFIARRDISQDLFNDLAFYFQYAASAYADSCPKPNGNSLVLQFSQKVTDTQG FVARDDNRKEIVVSLRGSESFTDALTDINILLSPFLSPGVDAPLGSLAHSGFLIAWNS VAHLVISTVQAELSAHPGYSLVSTGHSLGGALSSLAGISLQQNFPNRRGSFLSNVRMF TYGQPRTFNPIGAAFINSQFGDQAFRSVHTTDGVPTLIPRILGYRHHGIEYFQSPDPA SPATTKKCAADGEDPTCSDSIPSQGLDDAHGTGSVRVGTGICWEMCTALTTDNPKLQL KDVDDLLK JR316_0007823 MDQSSLVYGAVILLSGGIASLYTLLVLWSPPPIITHDSEKKYLS NASPKKPLPLTRLADPSTVDLTIVVPAFNETERLPAMMQDTIQHLASVSAGLEHKRTY EILVVDDGSSDGTSVTALELAKKYPQANIKVVTLEKNIGKGGAVRHGMLYAGGERLLM ADADGASRIQDLEELWKAMDKIAPDNGPGVVVGSRAHLVKSEAVVKRSLLRNILMYGL HTILRIVGVGHIRDTQCGFKLFSRSAAQQIFPAQHLPTWIFDVELLLLAKQLRIPVAE VPIEWHEVAGSKLNVVTASVQMLRDLLIVRANHLLGRWTAYPAKQKSE JR316_0007824 MSDLPEGDIPQTTTPPNNTLTPPRSPPSADAIESELKLSRKRER EVSVEPVTTPSATNDTDPLLRGRKDTAIPLKKNRRHLDPTEEEEDGGSGSGSGSPPTP AALASPRQEMKRKVRQISRGVEDINWKNKKLNASEKDVELDADIDVVAPKLSSRQEHA AQVASQQSIDQDIEIAEEDSPPKTPEDSALSQSVQDVKSQDATMATEAPSSQESNHLS AGSTENNDKGLKRKFLERGTSHGPPENGESTNHVSEPLKRPRDESDKDDNPRESKRPT PPPSPPRPSPPSPKVPKKSGFMAYASTSSPFASVKGQNLFVSGKGTNTPPASSSPATS TMSTPAIESTSTFGQTSSSAVASAVPSLSAVSTATPAKRTGFEAFASSSSPFASAAAR SHSPVLGSVSKLGANALSTPHKTSSALNSNPNPFASYAGPSHGFGLPLQKKARAGSPD GSGRSSLERTGSAGIFGGSGDSGKGSDDGDEEEQEDGGATTFGERLRASKNGAEEGKW DEDNKVQLEEQDVMTGEEEEETLHQVRGKLFSLHENSWKERGTGLLKLNVKVVDGTGA RLIMRKDAVHTLLLNITLFPGMRCSLAQDPRYIRFSAIENGNATTYNLKVSNAKIAAD LLEEINANIPS JR316_0007825 MLIVRVPLNTALLAVQNMEASGCVAKDQEIEFHALCGSLSMMSK VLNDVLDFNRMDSGKFESASRPYGFHQVMRSLFVPLRLATDARGLKFETELDPNIDLV ARKAAYKAMGESNEAIRKHIEETPNVDGVVTGDETRLRQIITNLASNACKFTPSGGKL SIKTQLIVPNIPPDADPLADPREDLLNVAAAAAADATNSQRPLSTDYLTQHNIQHGKP PAPLEMIVVRIEVSDTGYGIKAQDMAQSKLFSAFNQTEQGRQQGGKGTGLGLALVRQI VKLSGGRLGVRSKTGEGSTFWVELPLGVGTKTFITGPPELPDGSSSSDLDTLQRTGAR MNHLSDSADGVAMAVDAAARKASRSRKISRTSRSNAAMQGIMEQGGRVELVLRERAYS RGTSPTIASRPKDSVIAVDASQASASSSAAIPEDVPTKPPEELSDCVTPKQRPLSPEG SSERPNLTIPIPSSSEGGGGGRIQPIRRPTYVQLPSPKKFTMDEENDGQQPLPTESAG SSYSQHSSDSKSFNNLKMFDSNFMRGSPSASFTALNIEPGMPVLVVDDDQLTRTLMKR ILTRLGCTVSCAENGEVALEMILGQKIALGSTPSSDASGNAGPILEQQQEQPVFDEGK YAVVFLDNQMPVMSGLKVVEKMRELGRTDFIVGVTGNALLSDQEEYLEAGVDRVLTKP VLERSLRDILVLADEKRRGVQEGKTP JR316_0007826 MKVIPFESNSVASIEFYERLESGVNEGSAYWRQAQANELPLSQD LPGGGDPDRSWIFLGEHIHKGCLVNLYDIHNAALGSSTLLPSMLKWFAQFLSSNTPPQ WTEDHYTKSSLELSAEKNTQASPVPSMNTPTTSTAIDTLPPPAVQSLSSSNSKARRKN KKTARVKGLSVHWASFKKRIGTGTAPDSSSLFGESAVESNFTRRLESAETSDYVDEVV VDRNWSEEIKSSISHSEHGGSPEKTTSHPPDRGNSDHESIADDKFWSLSMPITFMRYR AWPLFMEIFSSRFLDDKAEQHYAQESWFLKKSLALWASLWLICNWVLGCIFIPHNPIA KLDKVFYFGVAPFLSLPIVFMVMYDWPRDRPYFYQIFLVVSIWCWSFYQVIFIIVCGF YTAHPNCQNRDFMATFYYTSALQTIALFGLKLNRFPAALGALIFMLFASIAIIPHRNT WGRSMINFFFFQTFLIYVHYVRESSERRLYTLRDQLKIQFKATQKAQINERKASDSKR RLTS JR316_0007827 MQFLPPEDAPKGLEGMNYLYTRVRLAWYYRPSDVSDRPVADPRL LLAAIYSEVCDINQLRAKCHVVHRDKISDLSGWKKRPDRFYFNRLFDPYIKKEFEVIP SHDVRNLPDHIRDVLISRYEYVVAEKEVIPDLTDTIRLCDTCQVWCPSADSVRCDRCK KYFHMKCVQPPLIAKPSRGYGWTCAPCSRKHEEQVDSHELRHATPVQVKIKSNAPAAR GRGRPRKDKSLAEKEENLPVKHFNMWPFRYFGQHTVAEDTLDPEDLIFPRTASRVGPK YQANVPLDPQPFNPPPDMEERGLDNTVEVLGIFSNLTESELAEAEEIKDRLTKDPKIR SSVDWLTEVVKKLSEAIMEGTMSSVKLTPMHQEKWKKYETPVTDKEWNREEVVAFEDA IQQHGAELRAVRDEVVSRSMPEVVRFYGHWKAEKLGEETRRRKAAGPPAKPVRLQYKT PAEANDGQRVGHADDESSIIAQPSKTPSCGACRTRESKMWWKAPKGLPTNILCDNCGT NWRKYADLNVRPLREESVPTTKTREKREGTPLAGPSTKRPRTSISAQSTPPPVITIAP QNRCLGCQRTGPPGKVLKCKQCQFRVHAGSCGAVLDSTTDAWVCELCENEETLEASIN PDCLLCPRSAPEDRRKKPWPPADNFLRACKPTESQGWVHVLCAVFTPELSFTDPSRLR LVEGVNTVVRHKWTTRCCLCGETEGAAIRCSDCIKEFHPSCAWKQGHKFGFEIQPVKS SRRDTTITVNFKGETGCMNAIVSCKDHDHSKRDIYDICETNEGGETALQVYCRSYKQA PVGQAHALLRKARRLDTILSLRNDVQFGGSTTYYHHLPMIIPTEPEPVCRRCHSQFSP AFYRIPSAAAKGENALPGLEGFEGDWMCHQCKFTWSESLNTAAASPAAAPVVSAPSPM VM JR316_0007828 MPGVALLPNNPPSAPSHTPTSSTANGQRLPNSSTSGGPGYADSP ISVADIKNKAKEGVHKEARGFSAVTLIRSSRTQVLLAKDYEAKGDLRAALGSYIKAAT LAKMAMDSPEYIQESKGKNSTIRRELNDLLANDARDISARTNAVEEKLKAIEKAQAAE AKEQPVGASIADRLRALQDNGLSLGPTKLRDRDSYTSSSNHSSTNHSHNNSINLPTPP AASPHFPSVPQSPYASSFSGSNSAANASSSSSSSNYYTNANANAPPLSPSISASTSTS SQSIHALVNPNSFGPPSPLSTPSSSPTTSSVLKSSYPSTSASSSIGRSYSSSSTGGGS GSSSYGSYNYDKEISGFNQAFPSIDELDEDPAFNSALATLPSVPSGLPGAGASGSPKS NTSTSTSTSAYANLPAVPSLPSKPSKEFRNSSSNEPTSPSPLAAFRNFTVPIERPSST PISPTAVFAMSSRPPSPSGGGGSGGGGGGGYPMVNNRSTAPHRPSGLSNGISSTSTNG TPTTNASGSGSGKPRTPIPVRNTAFPRELQMYLQDHNVLVVDVRTREEFDREHIRANA VVCVEPSVLMRDGVDAEALEQSMVIGPKQELSLFANRDKFDLVAVYDASSTSFASSSS SHDSPLGILVRVIFERAFRKVLKRMPMMLVGGLEAWKREIGEVGLIRGSGSTPPGAGG VGMDIQKPVPTKPVGVAQSYSSGGVAVNMTGQQQHEVWTPPQQQQQQHRVSMSVDQSG HTRAPADTGYNGASGSDRGDKNLQRRPAMLRPSSGSISFSRSLNDGPSSSASGMGTTV PGSVSVSASTSTSTSTISYPQFARHISSPPVSASGGYSPSPVTVSSAGVVTSANITST NPFISPPPTSVPSPSPYAYPSQYQYDIASPPQASINPSLSRRRSDYIDQSQEALSFST GGGSSNARAPMQIDYPDLSAGMGGMSISGMGSAGLTGMGMGRMGLASPPPNVTIPRPP PVAAPPSERQDNRPRVQQITGSSLSGSGTGGLYANGSVNGSTSALVLGGPKPPRIASD YPVAYWPEVNVGTSGLKNLGNTCYMNAPIQCLNATVPFSRFFTEGRWKSAVNYTNPLG TKGKLTGVFAKLLHEMWGGDSSYIVPTDFRQSICQLKSQYKGSEQHDSQEFLSFLIDG IHEDLNRIIAKPTYTPSPEEEAELERLPPQIASDREWRAWRSRNDSLIVDFFQGQFRN RLECLTCHTTSTTYNVFSILQLPIPHARSGKVPIEKCLDAFFNEEVLEKDDAWDCPKC KTKRRASKKLSLARLPPILMIHLKRFEANGRFSDKVDTFVDFPMKSLDLTNYMPPPLA PDADRSQLNGGQPLSLDDPRTQLPPYRLALRIDTAFIASRGGWMYCDDSSVKPVDPKQ VVNQKAYVLFYKRVRP JR316_0007829 MLTQAKVRYRTTIFLQEKLVKMVCVDDRTRVNPLVYKFPLDVLA ILHNHSALAGLLDAKERFDAPKCDPSTRIGIIKKLTNWVESESQSLPSSLLWLHGPAG VGKSALMQQLGLIMQEKGNHAASYFFSRTAVGRSDGNSLIVTLAYQLAMNFPPIRAHI ASNLNKNPGIFSLSNKVKMQLLVVDPIDKLCATSFWKRFFRERFLRLRSTMQIPRLVI VDGLDECDNQDIQSDILLLVAHAISQLRLPLRFIIASRPEAHLLSSFNNSIFDKISYT HINLGEDGDAEHDIFLFLTKEFKEIRLNHPLGRLLPDPWPTPAQMDTLVMNLQEDLYI LRRC JR316_0007830 MQAETTFFNHASNVVVNGGSFSAVSKQLHTHLGSSALQNNYIPP GKIGKDDVLAILHNHSALAGLLDAKERFDAPKCDPSTRIGIIKKLTNWVESESQSLPS SLLWLHGPAGVGKSALMQQLGLIMQEKGNHAASFFFSRTAVGRSDGNSLIVTLAYQLA MNFPPIRAHIASNLNKNPGIFSLSNKVKMQLLVVDPIDKLCATSFWKPFIREHFSRLQ IPRLVIVDGLDECDNQDIQSDILLLVAHAISKLRLPLRFIIASRPEAHLLSSFNNSIF DKILYRLNLGADAKEFKEIRLNHPLGRHLPDPWPTTAQISTLVMKSSGGFVYPATVLK YIKSPYLRPDECLKVILGLSSTPSSDCPYAQLDDLYSHIFNSAHEAHKTSVKLIFSVL AVPSTQQYSKTIISPALLNT JR316_0007831 MSFFNNASNVVVNGGNFTASHQPLANPPQDNRTAVHSKYNAPAG KIHRDDVVAVLHNHSALAGLLDAEERFDAPKCDPSTRLAIIERLTKWVKSERKSLSSS LLWLHGPAGVGKSALMQQLGLLMQEKNEHAASFFFSRTSTGRNNGNSLIVTLAYQLAM NFPPIRSHIAKNLKRNPGIFSLTNKKKLQLLIIDPINKLRGKKKIFRHLFSTKTSKPP RLIIIDGLDECDNKDIQCDILLLIAHAINELHLPLRIIIASRPEAHLISSFNNSIFDK IPYTHINLGADVDAERDVLLFLTKEFKELRSTHPLGKHLPNPWPTSAQIDTLVMKSSG GFIYPTTVLKYIKSPYLRPDECLKVILGLCSIPTSDRPYAQLDDLYSYIFNSAHDAHK ESIKLLFSILVIPRTEYDNLGNFATPALLDKLLFFPLGHVEQVLGNFLCLVSLQGAQR PIKLLHASLSDFLLDIKRSGEFCADLGLAHKALARGYSTLGLQCMQDGQSGGIQVEQS IMPLGPHHCYDLAAEHSEFAFKNGIPFYEAYAQLDKLHGLVIPFAPFLFKCIDSPKVQ LQWELNRHNITDFRSILCLFDEFREEANVRVVCTAKPPLYKFLMDQSRSGLQILTGEL PQDCRAIPFQAIPVDL JR316_0007832 MFKSTYIVALAVLAVSNYGTLASPIVQPSVIHHHHHHHHHNTSR AVRPTPTVQATTTHEAALAEATQKNLKRDAGNVPGGNTELTASKDYSKTIMPPAKTAK KSTNDIQNTARLFSHGAMQAGANFRDGAIATGSQILGAGANMFSGGANEGALNV JR316_0007833 MPARNHPHPQPSREVIAKNNDIQFSSTGWKTTHHGGNSTTGKAS LSYTFFGSKVQIYGKINQDNRSVSPTLVCALDGQQFNSNNTNHATNDDDRLCEWHSDA QAGSHTVMLNFTDNGGDSLRFERILYRPDPTIPLNDVTIYVPHSDPAIRYEGDWKQVN GSHIATNGQANISFSFIGSSLQWFCDTPSTTNNSLNQSIQFSLDSSQTFRPVDRDSND GDKSPRRSFFKIPDLGSGQHNITIFTKGFSNLTLSHLVLLNSEIQASTSGILSSPLPS STSSDNTLPSSTPSETSDHKHKKQDHDHHSSQMSFKEKMVIGFVVGGLSLFFLALYTY LFCRRRRRRNRMNGCKCEEKNTGMPKVSKRGHQATTTLPAIFSHEYPFARQSPAQLRS NQRQVNASKKRLTVSSSSTAANTLFQVAPLSALPTKSEPDTPRTNRPNVARFFRFSRF SETSRWTTSSSLTLLPSPKRPFVALPDDDDQTVVMGYRPGTNRVVQSHSRPPEPLPPY PGR JR316_0007834 MMRKNQHQALQQQQQAAQRANANARGGGPPGPPGPPGVGVGVGQ PSALQMNMGGGPQGMQGMQHGPPQPQQQQQQQQQPGGPGQGHQQGQPGQQGHPGGMPG GPPGMGPGPGPSQQQQQQQQQQMRMVNGMVPGMAQRPPSAATGGPGPGPGGYMTNGAG GGGGMPNGMPPQQQQQQQGPGQMSFGGMGGHGHGGPPPPPPGMGPGQQGQGQQQANGI GGPQHGPGGPGQGQGQGQGGPMQFGVLQGQGGRQPVMNGLGAQQQQQQQQRPGPGPGQ NPNGPPNGGGAGGGGGGGGAGPGGPFQSPTMAHSPGQGPPPGGPGQGGPMGPQGQAPM AQLGGPGPGPSPHMPPMGMRPGPQGMPPPSGGVNGGGVGGGGPQQQQQGGQQGGPGGG PPTPGYAPGQTPGPPGSQQQQQQQQGQGQGQQQPGQGGRPPSRTNTPRGSAGGPGGGM MPHASPSLAARQTPGVGPMVGVVPGMGVGLGGMGGPPGMMVGGGLPPAPPPPGGMPMS MGMGGMGGGGGNSASAQDNQINAEIMGIQTHTLNALKAELGIGDKDLTNLSMLEKNRI LAMWKTRNGKSGPMQGMGGPPQGQRPGQPGQQQQQQQQRTKRNSTSPGEEHETLPRTE SSPPERKRVKRSPADTPLMGYAQHPGQQQQPGQPGQQGPGGSGGPPGSLQQQQQQQQQ QPGQGPPGPGQQHQMMMLMATRSQPHHPMGNAGLGGGGGGGGPGGPPPGAPGGPPGPM GGQPGQQGAGMMGMHPPGPGGMMQAPQQAQMHMYHMKQEQPFNPGPPPPGPGALGQFS AGPLNRMGAKPPMVGMMPPPSPAQGGPPKTIKQEGAGAGGSGAGGNVNSQGPNGQGQG QQGQQMNPDGSPRNGPPGAGGASGGGTAPPTPVPGNRPNPQQGQPGGPQQQNPPPPQQ QQQQPQQSQQSQQQQQQQQPQNQNQNPMSGMMASSSPLLNLPPTGGMGGLGADMFTFD NMVDDFESNFLVNNTTGDINFERDFGQWFNHPDDLGSGLDMK JR316_0007835 MASHPPPPLAHPSSGPGVGSGPGVGSGPGMGMGVGAGPGSSMGM GVGSGPGSSMGMGVGQGMGVGAPQPSPMQTDSQAGSGPGTGNGSGTGAGNGSGTGAGA GSGSGSGTGTQLSWEGDKMFNIYIWDYCYKRGFRNTAKELMAEAEIVPDPTPPINARQ GLLFDNNNTD JR316_0007836 MSDGSRLRQSWYSALNDAEAQFRQLLTSPPTEWKRLTHSTDSSP AKQKGKARATSIPELGDVVVHRNSTKSGEDVYRMVLDVPTGEEHVSLEPWKAVLTTPE LRQEWDPAVEEAHLIELFDRSTRISKTNYTLGWPANPRDSVTISRAFYDATTLIDIST SLPRSPDEPAYLRPSPPYVRSNVQLFSWCIQHIQPIPPTSPNDKKSGSPGRIRLTCFW QHDLKALWGFGTSTAALTQQLATMTLSLLKTVMKRGGRVPNLVGYGNGVSVERVRYQN DREALTIDYAIIPDDEEHHELGLQGMDEVHALREHRRLTRSIECVLPSLAGWDVQVTM KGSSEEVERLPWSAHATRSSSNPLTSSTPDQVILRLTHGALTDDDAVLKVKVVIEVSG GTRGLRLNGLATKIHDLEERDPTSYTIPQKMLQDVASAVDLSIQTSSSFGTVSSGASS SNGQPMRPHPERTPAAEKSILSKVRRNYIYFSSLLQEPEAKWKRTTEARGVSITQLDS IDPTLVVYRAEATFVGVGLWDLYGAVVSPGARIYWDKQHEDGRLLEDVNELTELWHFK TKPAWPVNGRDAVVLKTVYKSPSTIHVFSFSADDPQLFPHIPPVEPNVIRTQVDLQGW AIEALSPTTTLLTLLEQSDPKGWTNKTSIPTQMINTLAGIGEFAIKCGGPPFVTRLAG SKANEIRYDHERGMFKVEYEPSASRRSTSSAAAAPQNGTSGQDEGGFPAIECEIRCDI DTWGASLDIVVDPPPQNITCLRRHRLSAEGGGLWLTLTHDSIFVDDERLLALVRRAPG KEKGLVMVNGSRIQVDVEELPEHEIKSLTRQKRVKPPRIPLDQPPVMSVVRRRKAEWT GAETETKAEENTASTSSWASAPKISSPLARFFTYYVDQATATTQQAVAAISPANAAGG PSTLDPAKPPMQYALEALAWTQQFHSQPQLPDGWTLVSDKGMIVQKKLMPEISPFIPV HKGFKVIEGVSAEELAAVITELDSRKAWDERHDSARVLESYGANARTAFVVAKGWFPF RDRGFYLASVMAREHGASYTSLSASSSSSSSSASSASASAPGVRRTASADVADQSPST ARNAIFCVSASYSPERISSSSSSFSTAKYNPHTLPLGRAYIDAWVLETLDPYTREKYA IPSARCTRLVAVDFAGSVPAAVNAMINAAMARGVGALEAYVRRDAARASVPVMRVPAS GVVVSDRHGVGVGGASASETAGGGEDAGGVGTSISSGGGGGWKLRRRDENRVLIEARF DAEKRVYMSSVLVVFPPPRQARGGSARPNARREASNSTVVGVGGVGVSARDGDDADSG GDAHTHGHGQGRHITPRPSRLALNDKSSLSLSLKRSQQSLSATAHHDDDDDDDARAGQ VMPGSMVVTPAPAPAPAPAIGGGTGAGADATPFPSASSSPPTSSSASARARALSPSPA RNMQVQVHVRAHTTSSSSSSAVGSSSGTGSGSGLQAGLQAGLHARSLGRQSVFDMDRD RESARSRYNTHSNIDAVSPPIASPPRTMTMTMTTPVRMRQRAASSGAGVSVSYRNVHA GVGVGGGFGSGFGAGSGAGGLGASSSASSSAYALSNSNSNWAGGGAQQQQQQQQRGRT ISSGAGAGVSLGVGKGKSKERETFVDLDADVARMKMKESNGISKAGEKEKVSDKDKAG EKEKENYKETEKESKSRKRKSKKRRMVVLEVVLDSRMVGRNGFRCFVGARRRGVGAAV GGGGGGGGGKAVALGVGPLTLPGQNSSANANANASSGSNADQTLGTLATTNQSLATTN QSLATTNQLQSQSQTQINVPFVTTVYTLPPAPLHSSSLVDGGGAGAGAGGGERAVRFL VRVEVEVDVDEGGEEGGLLGVEGEERSRSEKENGKESRSRSRERDDPLMGSGVRGRKE GKVVRGGESRADRKDDEKEMPHEEEQEEEDGEHQREHRGEHQGGEGREGREGGRGDMR PLWLRALRSEGLVVDFIVEGSSSPSVAAAASTSGKTTSSRAHKHAKEKEKEKEKVWVN GVPQSVFGEKESLGMIVRDEVGVGGIGGFGGFGEDVLVRIPNEYEAMLPEELRVPVGI ARDLLVSPTQPAPPLIAGQSSSSSAELLANVLATSGSGSGGGVEGGVLGEERGKAGGK DSEVGKGKGGDETKSELGQGRAGEVSAVQQASGGGDGSVLGTGGFLGFWNPLVMRFGV SAGMGRVPVLPGTDVKMPVVPEAAGEEKKKEEEEGKKKKEMETLEGGSAATNAEVETN AGGSATALATMGGAGEEQRSSRVYTLSTLVFVGLIAFLMGSLLRSLLSPADFIYVVTD LGAAEEAAVGTEGEGGLVGWREIRRLLEIKYLVGGWDFQVAVVRRH JR316_0007837 MANNLERVLSIQSHVVFGYVGGKAAVFPLQCLGYDVDVVNTVNF SNHSGYGRSGGTKTTAAELNSMFEIMEHNELLRPTRLLTGYIPGAEALSAVEKLAKKL KHSKPSLIYLLDPVMGDAGRLYVAADVIPVYQEMLPLATIITPNWFEVELLTGVELKD MPSLHRALDILHKDYHVPNVVISSIPLKSWLAAALPSTILPPSSTSSSHLLCISSSLH IPSAADTHILHTSASPRSTVHAQCVPLIPGYFSGVGDLFSALLLAHFHPDNTPENLNA TCLSEAVSQALTKTHAILLMTQGQSEGLPEEERQPTDDELDKEDPLRKTRRMRGRELA LVKGQDLIRGSGISHVREMMLWDGFWDGASS JR316_0007838 MDSDLPLVVDNGTGFVKVGYAGSNFPEHVFPSVVGRPILRAEER VGSAIIKDIMVGDEAADNRNYLQVTQPMEHGIVKNWEDMKHLWDYTFDEKLKINPNGK KVLLTEPPMNPKVNRQRMCQVMFEEYGFQGVYVAIQAVLTLYAQGLTTGVVVDSGDGV THIVPVYDGFALPHLTRRLDIAGRDVTRYLIKLLLMRGYAFNRTADFETVREIKEKLC YVSYDLELDKRLAEETTVLVESYTLPDGRTIKVGSERYEAPECMFQPHLVDVEQPGVA EMLFQTIQAAAVDIRADLYKHIVLSGGSSMYPGLPSRLEKEIKQLHLTRLLNGDPSRL NKFKIKIEDPPRRKHMVFLGGAVLADIMKNREDFWISREEWFEQGIRSLDKLGRGES JR316_0007839 MSYGTFLHGVSCYNCIGKEQLLHCTEILKYFASSPDSMFTLHIN ILSDVAFVALVALTAYYAIKRLHYHPLSKYPGPVLAALTSWYRAYYDIVKDGGWSEHL EYLHSKYGKIIRIGPNELHFSHPRAYNEIYGIGTRHIKQPEMYSCFSTDKSVFAMVDH HEVMQRRNLIGPFFSRRAILNLEKTVQEKIDLLVSRLLEYTPRKQSANLDLAFRATSL EVITSYCFARSSNALDSRNFQNEILSAIDQTLPMIWVFKHFPLVKRILLGVPECFASV LKPSTTGILEQRRQMGSQIDQILKDPTSLHSADHETIYHHFITPQPDNERMPPVHRDW LLDEGLYLRFAGSDTVGNTCTVAAYYILSSPHVHRKLFQSLLEAWPDKEMPASYETLE RLPYLTAVIKESLRMAHGVVSPLPRIVGPADAVISGEVIPAGTVVSMAAPILHRNAEI FPNPLTFIPERWLKDESAELEKYLVAFSKGPRSCLGINLAWCELYLIIGTVFRKLDLT PDSPSIDNISFREYFVPIHRGRHFHAFVAPTKR JR316_0007840 MAQALFDEDAGESPFTIPGSSSELERELDPNYSDFDDDTSDDTS SDTIPDWLPQYITEFLELLQATLNSAQLPKSSNSFVEKFKYNVISSTLLAPSLPASHG RRSSRNFSIPGKLHHSATPSEDFDRPISPSLSPPPINSQDLSYGPITVAVGLFSIAMS IGYSFLALIFAMVALFLLYHLTHTAETPKHDMTLSFNSLDELVAANDVWESVVQDAVA FLEKEERTYIQGISGPSSPSPLRVALHSCLQTTHSQCDNIRQLFSALTSPTNLSQISE MYAPPSPTKSAFNLDNARSFVFPSPNRQSISSREPTTPYNKRSTWNGSYASLGYTASP TSLVTRRRDRHKLNLSDVFQAGSSSAPSTPLPPTPPNNLTQVPEHSPLEGLPSSSTSY FSPSPSSNFGSAALDLQRRRRSGGIEAFGEPPAAYFLPDVKSPRSTRSNMFPSTISSS SKFTNPQSARHPLSYSALTYTLQGALSAKRYTCSHLLALRFEDDEDEGYWEDVRSVIG LLTSSLTDGYSRLSAALEEVEQRNLAEQNPTPDTSTTTFNLSEPSDIKFSQAVDLNGE IKRRKHQSRISFAPMPSHISRFATHVAAISAALDDARENLEQCVDALKAGPVPGPSKS PRHSRSPSAMASLPTDEDQDAESKDPPALQAYERLRRELGMALRECERGRERLLELVN PVTQSDDEDEEEFDDLPGLGHDGSDDSDKPDANSPSSGDEDDLQTNAARFQHPTVVAA GEDPTEADDATSHLLLATSTKHLPMPGIEEVFEADTGGKVGFTRERTKMSREERIKLA KARRESGMGLAIGGGQHINGEEGGEKMTKELCGHGSEVVQELKDVIWKVGERKRKLAA NPEATLSPPAATPMEILESL JR316_0007841 MASDPVLIIGAGPSGLVLALTLGKNGIPVRIMEKEPSPRRAERG PGLQPRSLELHKILGTLPDILNAGTDLPMMTVYDPADGTKVIKTTILVPQTEATPQLP LINPVMLGQHYQERILRDHLAKIGVTVEFGSELRTFTQTEDHVLAQIVRVVDGKDVIE EVKAVWMVGTDGAHSVVRKTLGLEFLGETRYSEEIIVGDLKVKGAIRETWDNWGSPAS RMATLRPSGQKDNVAQFIIAGRDVDCSKVMASSENLFEEFYTITGRRDIEFQELISVA KYRPNIRMAKQLRSHRVFIGGDAAHCHSPAGGQFNLGWKLALVYKGYAPASLLDTYEE ERIPIIAEMLGKTTELHNHTISRGHGISDMPRDSLLKQLGVNYRGSSIVYEDDVEIPT AKTTGYSAESETAARPGDRAPGAPGLVDTAGNIQTYEIYDLFAVSRHTILIFSDPSFD YLSLSKTIQKFRNGTVLGVLILSKGTSLSDTDTTALFDKTVADRDGFAFSGYHIEGPT VVIVRPDGVIGARVRGVSGIERYFKGIFA JR316_0007842 MPASCCHSASSVSSATPAASSSSSSSPYARTTVLNAMSIDFPQQ PPPPPPHPAPARTQSAKIARPRGLWKARGTLASKLGHASDYSTPPTPPTTSFPGKRYS HPDPHPDAHSDRWLSPDPFSECEYDSDSSGASLSLHQQHLDLYHDGHTFLRDPPTLSK RRRRDTDSPPTSLDERDTEEVIYWDYSRKCTPNLDIEKDWTRSKLMDTSPITMSSMAP ATPGAMEAAAAAQRATTDLEDWEDLKELFAKAAEMYESQSPSETLPLLRGVIHECHRF LQMYHDPSVIYTSPPSNNGTPPAVDKKIINDWLLAERLHAQHQAKSQSVATDASTVAP STTTTTTTAEKKCKCKDLPTAFYTILGTTLFFFGNIVDASPSLCMPGEPANPVVYWLC ALDAFEIGENLPARTSGRVNNVKGDYFSSGGSTGVVSRSAYAARAAGVTPPPEDWRMA VVWGRTLVCIAEEVVRRQHERSKQGLPPLSHTSPQLGFALAPGMQLSNYADVVPLAPT MPASPSAFPLPQQRLAEAQKDAAAAGSNLDSPVWPPNSPFATIISRRPALPPRLTLDS GVSPHELLLLAQDQFSRGILHMPHPQHLVHTRLPRVDHTNSTVPDSFLFTPALGPSTT YDKKNARSASSISTVSSQQTASTSTSPPTTTTSSSSVSISPPAHSPAASTETFSRAKE LYTIAYEVLLLAEKLDSASERQTWAQWADSVFSQMKMEADTDAWRGHITAARGRCCVV IGSALAEGVEERLEKAGGGVDDDMTGGGGGKRKREDESGVPRETEEQVLASEEAREAR EVLSEAIGYLERAKEVFEARMQEEKEQAARGGAASAQQAQDEEEEEEDEEGMIVIEDA DEEDESEDSDEIVADDSSATPTPATTRGAAVSSPISHLRMPGSRSLGKVTAATQTVSE EDASKTASTLPSSSSTSKSASASSSSALSPPASSNPNPSSGNTAEDEVEELKTLLAEA LLSLANLTVDGAERERLYARAQVEGGDKFELDEWDEEDRMDED JR316_0007843 MRLSGEEGTPLDHSGPSNGPEMRQTGSGLVDPLIVRPQTYYGEG PFDPPSSDDEDDIIVRRGSQEDDAESLSLLPVNKSVPTSPGRAERGDVSPRRSATYEK SRSSVRLLAIILVTLVSLAALIGVLAGFAYSGKSYFTPGRRRITMDHIFNGTFWARQP DLNWVAEAGDGVFSLSEGGYIKLVDLKSNTTTNLVRNSDVQDEHGNPLWISNWKLSDD MKYLLVKADYRKQWRWSSFGNYYVHDIAQKTTHPIIPPSNPSKTAYATWAPTGNAIAF VTDNDLYILPSADPKATPIRVTTTGNASLFHGVPDWVYEEEIFSADYALWWSPDSKKV AFLALDETAVPEFTFPIYNPTEDANAVIPYTEEVVMKYPKPGYPNPLVSVHIFDLEKY LEIDAGSGIDAKYEILTLDWDQRHPITNSIISEVTWVGNSSLMLKEVNRNADDGNVVL FELEGDSLARARGTVVRKLGKNGEQGDSGWIDHDQSIYPVPVALSAFAGTNAYLDIVP TPDGYNHIALFNPANSKTPQFLTSGEWEVAGNIRGVDAKKGLVYFVAAKPSTERHLFS VPIPTSSSQKAVEPQPLTDVTKPSYYNAKFSPEAGFYVLGYLGPGTPWTKVVDANKTD FEFVLESNNDLNNATQEYEAANIVHSTIIIDGNELNVKELRPPRMDDSGRTKYPVLFR VYGGPVSQLVDQRFSRDWHEYLACGLQYITVTVDGRGTGFKGRKLRNPVKGNLGFWET RDQIEAAKAWAKKPYVDPKRIGIWGWSYGGFMSSKVVEADAKIHSLAMAVAPVTSWRL YDSIYTERYMNVPELNAGGYVNASISNVEGFKKVDYLLAHGSGDDNVHYANSAHLLDM FTREQVRGFRFRMFTDSDHSINRRGGQREVYEYLTGFLVEKWGKGARKRE JR316_0007844 MAPAKTTLSNWDPVLLLSQIFSMQALHYLTLSIIVPPLLATFAD TASLNYEGGAASVGMIMDWRQMAGRPTVIGMPIGEKWWAWSGGRKIGYGWREDQWDGK MDPMRGWIIAICWIVACSADIYYLYKLVRRPRLILDFALTLVFNHLVLTTYYSAALPT SLFFWLVLLGGAVLTIIVAEQLCVKREMAEGLNVAPIRPEDIQVEEMEMGGLLPRID JR316_0007845 MDAIKSMLSPLGMSTGAIQDTLKLVVIGGTVETARRASVSAWNG FVDSFFLTAHFSQDDYPYDWLMHWLAKQPSWGRSREFDITTRSVNRNGMSQSTSGDLE EEEEEEDDTTLVHGRRKRKVAFMPSLDTTHTIYYRGHWLRITRTKNFQDYSHGYALKI SVVARNNDILKKLVLEAKREYEKDAEHRVHIFMADTTYGCWRWNGARQKRPMSSIVLQ PGVKDMLLADCKDFLCSEEWYAERGIPFRRGYLLHGVPGSGKTSLIHSLAGELGLDIY VVSLSSKGMSDNTLTTLMGNVPSRCILLLEDLDAAFTRSVSRDSNSTGAPTAATSKEA KEAAETTDGSTLSLSGLLNSLDGVAAAEGRLLFATTNHIERLDPALSRPGRMDVWVNF THATKWQAEGIFKCFFPYKPSTPATATSQDSSTPKDPSQQNLPLPKRKAFKHAIPLLT EEEISELAKRFAEAIPEDELSVAGLQGYLLKNKTRPRECVDEVGEWVIQERETREKLK KEKAEREAKEKKEAEEAAKKEKEEKEAKEKEEKETKEKLERKERAKAKKAREATKSDS SQATSTSSTEQTAPTATTTGEAASEATSSSSSSESETTTGVDADTEESVTSEEDEGEK STTEEDSKKEKWVAVKPSSSTSTPATPAPATDAAP JR316_0007846 MSTAYPIPSDISPVTVKNTASLLRIVALALISGAAIASRLFAVI NFESIIHEFDPCCFWSRATRVLAAKGFYEFWNWFDPTAWYPLGRVVGGTVYPGLMVTS GVIYNILHALNLPVDIRNVCVLLAPGFSALTAWATYMFTKEMKDESAGLLAAAFIGIV PGYISRSVAGSYDNEAIAIFLLMFTFYCWIKALKQGSALFGTIAAVFYFYMVAAWGGY VFITNMIPLHALVLVLMGRYSDRLYVAYSSWYAIGTLSSMQVPFVGFQPVSTSEHMGA LGVFGLLQIVAFAQLVRTHVSSQQFQKLLFGSVVATGILGAFAFIFLTYKGKIAPWTG RFYSLWDTGYAKKYIPIIASVSEHQPTAWPSFFMDLQFLIFLFPAGVILCFRELRDEH LFVIIYAVMASYFAGVMVRLMLTLTPVVCVASAIALSSLLDTYIDPTEPPVTEAVDDA ASVGTNGSSPAVAAKKNKKPANAAPLQGIALGDILSASTPAAKGNVAKPKGIFGIDAR LVVILNTMMMLFFFVFHSTWVTSNAYSSPSVVLASQNPDGSQHIIDDFREAYYWLRQN TPQDSVIMSWWDYGYQIAGMADRPTLVDNNTWNNTHIATVGKAMSSSEEVAYPILRKH DVDYVLVIFGGLIGYSGDDINKFLWMVRIAQGVWPDEIQEPNYFTPQGEYRIDDRAST AMKESLMYKMSYYRFNELFGGNQGTDRVRQQQIPKVGPTLDYLDEAFTSENWIVRIYQ VKKEDSLGRDLKSANQFSQGKKRKRSKPAPRRRAIV JR316_0007847 MSDSPFLPTENTPLLVDNNATASSSIPTGQSSSSSLTAISNFPD VETVTQDSGAIKLDFKLFKSLLWDSIPVILSYILQNSIQTASIVIVGRLGPNELSVAA FSLMLAFVTGWCVALGGTTALDTLGSQSFTGGSNKTDLSIHFQRCVIILWILLIPVCF LWAFIEPVLVAFGQPDHLCRDVQQFLRILMLGAPGYIGFESLKKYLQCQGIMGASTTV LLLVSPVNVALNIILVHYTSLGLWGSPVAVSITYWLCFIVLGVWTSFSTAHKENGTWG GVNLLVVLELKSCIEFLKLALPGILMVGTEWAAFEIVALAAGRLGEIPLAAQSIIMTT DQILNTLPFGIGVAASTRVGNLIGARSPTGAKIAAHAAALLSVIVGTFVMIAMMASKD VYGLLFSDDIDVVKLVSKVMPLVASFQVADGLAGSCGGVLRGQGRQHLGAFFNIVAYY VLALPMGITMAFHPKINLGLEGLWIGQVVALFLVGLGEYSVVWLGTDWDLEVAKGIER NREEAKRQRLASAGSDTSI JR316_0007848 MKFSVLLGFCFFVTTLAHEGHNHGEQTPLDYVRFPQPIYRTSNG EVTADAIFSGITTFAKLPWVQCLTRERHIPFDIAFIGAPFDTGTSYRPGARFGPAGIR AGSRRLTLYGGYNVPLDVNPFNSGLRIVDCGDIPVTPYDNKYAIQQIEDGHKSLLQRE AYSPLGNDSITGQKLTPISTDGKNHPRIITLGGDHTIVLPLLRSIYSAYGPISVIHFD SHLDTWKPSVFGGAPSEQAAINHGTYFYWASQEGLINNGTSIASHGAIRTTLSGPEDY TNDDDAGFKRIEAREIDTIDPAFAPATGTPETGGWSTRELRTILRGLDGLQIVSADIV EVAPAYDTNAELTTMVLFEVLSVMAKTPLGKVAGK JR316_0007849 MDIKSEKGDAQVLTVDHTDTNSIIRADDLKLAELGYRSEFRREF SRLETVCFAFSIMGVIASVSSTLSFGIFNGGHVGMVFGWLIPSCFVMFVALSMAELAS SMPTSAGLYYFSAKLAPPKYAPLASWITGWANVTGQVTLVCGIDFTCAQMITTAIAVN SDGAIILSSGATFGILLAILFSHGIVCSSATSVLARLNLFYVLVNVGTSIAAIVALLV LSDGKRASTSDAFTLFENNSGWNNGWAFMLAFTAPMWTLTGYDSAAHISEETANAAKA APLAIIVSVIGTASLGWLLLIATSFAVPSVSDILTTDLPLPMGQVFLNVLGKRGMLTI WSLIIVVQYVTGAAQAVDASRVVFAFARDNALPGSRLWKRMNKYTQTPVNAVWFVIGV AALCGVLGFSETALTSLAGSSVIGLYTSYAAPIFLRITSGRNKLIPGPFSLGKWATPI GAVAVSWVTFVVLMLFFPPHQVTSAQDMNYSVVIIMGVFIFASLSWVISARKWFTGPV RNIDESPTMSIKQS JR316_0007850 MPAKRVLIGFGVDVDAVAGWLGSYGGEDSPLDISRGMYAGEVGT PRLLKLFSKYGIKTTWFIPGHSLETFPEQMKAVRDAGHELGLHGYSHENPVAMTLQQQ KDILDHTYNLLTEFNNGIPPKGSVAPWWETSKEGTDILLDKGIEYDHSSMAHDSQAYY MRDADSWTKIDYTANAATWMQPLKKGNETGLVCIPANWYLDDLPPMMLVIQHLYLIDT AAFKANDITSVGSLNQARTPMDGSIPGTLNSYGKTRSLIAIANDQCISQERFIEWVNT HENVHWVPMIEMARDFRKKNSPAPNAKMPVGLKQEI JR316_0007851 MSGAPHLHDELANNPERVTVETAFGPVIGGRAQNGSAIFLEIPY ALPPGRFEDPVALPSNYRYDKDREYIRETTYGVQPLNDGQAADMPFKDKVGYGEPSEN PLFLNIAIPPTFPEVRRFPVRVYIHGGFLQFGSPHTLGSQAQYIAAERSEIWVNVGYR LSAFGFIACDKPKLTGNYGFKDQWVALEWIKANIEAFGGNPNDIQITGLSAGAHSVHQ LLHHASLLPEGKKAPFNSAVLQSNAMLTDPKTPEELRPQFIALCEALGLDPEAPDVLN TLKDPSKISWQSITKVIETDAIGHFGTFRGCLSEDWISVNPGPMEWQRCGGLSRGLRT RGVSSIVVGDLIEEWYLYSIAHPIKHPEDILPNLERYMPTDLAQKLLEVFPKLPPDAG AKDSAKLYGDILSCTQVHLPARLLAKDLEAQGYPIMRYEIRWTPEKYRPLGYVTHGCD RLLWALRIPSLEPVEVDVAKEWIENVSKEVEGMNAGESCHNSRLMLTLKEDKSIGWTT DEKWEDLMKLEPILESRKGKFGVAPYNL JR316_0007852 MSLQINLSSTEIAKAYQDVIDGNGIDWAIFTYQGGTNDLKVQAT GNGGLDELEEEFSDGRIQYAFVKVVDPNSQLPKFVQVNWCGDGVPEAKKGLFHTHSSA VSRFLRGTHVVITARNENDVTPSLIMSRVEAASGAKYSNQKETPRKFEPIAPVGSSYT PVGKVDIAALKKAPPPASKPAAPSSSRPAFSAPKTSTPSAGSLYGKTIPGGSAPADSW PEEEVAPVSTPPPPPPASSRPPTIPTSNRPAFSASPAFSASPAFSASPAFSASPAFSA SVPKQQPTPAFSAVRPSPASAAAPVASANVPTKPSEEDRIEPAKSAYTPVSLPTPKKL KNPFAAMEQQSAAQGSNSPAGGTKKLSWAERQALAKKQAEEEEARSRSAAFIAPAASP VAKPVFKSSAPAFGKASVPQSTPRNFGSVGAAAGAGVGVGAAAGIAYAAQSSQPPPPP PSAPTSYVSAREAVQEAAWGAEDAAEEPEPEPEPEYEAPPPPPPPPPPPPPPPPARAA EAEVAEPEYEAPPPPPPPPPPPPPPPPPPPPAPAPVAQVEPEYEAPPPPPPPPPPPPP PPPPAPPVAQMAALSVAPEPEPEPEPEPVHVPQSGQGIVAVVLYEYEATEDNEMNLVE GEYIEQIEEIDEGWWSGVGPGGKSGLFPANYVEIVEHAPEEEAAPPAPPPPPPPPPPP AAPAAPPAPPRAPTPPPADVGITAIALYDYDAAEDNEITFREGETITEIAPASEDWWQ GKNPRGEIGLFPANYVEVQGED JR316_0007853 MPIAEYTLDAGFDEARIRILEPPISISIVPEAHKSVRAQRETAR KGSPLKSPLLPLARDCDSAVAPAAPANQRKRRLGNEDSLLAQHAHDVHVLEVERPTKK VKIKRDAHDDEPVRISDVDEPILVASPSAPQKHSKSDILAEESGGVPVLESGAKHVYF HHPKLARRQAEAVAKSKHAFKKCIVRTENTSTTQPKKAGARSTQ JR316_0007854 MRAIPHEIWLHIAHFLPALVLQDLLTVNSAFFQLAMDCRYRQMS FAYLDNRMLRRLARLKDPLVAKRVRILHVYPGFLKEALDKERHDPEPILRRSLRDKLV DIANLVLEPKRPTKHPRIRLLRTLKRAEDVVQLMLEVLSGLPNVTDYYVTWCGMPSIS ATAVPFLSTVFQGNLRKLSLDLSLENMRNLLTPSFKVDNLQELQLTIHSENIDSVWER NEILTAHLAPAISRLRSTLRILMIQSWEPADLSPLLCAVKRLPALEQLVIAVPVESTH LGDPRGLAQFLSVHRLSLRTLCLRATQIGGRGLTPDLVSFDTWIRSAINGVNLPKLRV LDISSNLFPVYTSLSCLSQFASTITSLSLTGCYRTFDDVEEVVSMLSGRKQGLSRLRI GLVSLSPQLIDIISTKLSQLHRLELVVKYILPHAWDCPEFTSVVTGAGQQAEQIDLFL SEMSTRQYSHWNLEHMSVLADFLPYRPHYESLLEQVFMRCIPSIRTFT JR316_0007855 MDAVSVSVPAFPSLPSFNLGVAHTLLKPTTWIVVLATLVVLSSI RAALLYVRPPTWTEGRKRVSFVAIAKQESGSTSVGASSPASESRSQPGREKPSSKASE PAQTDSKKTSSWLWGLVKWDSLPAAPPRSERGGWQAPSTPQMQQTRRPGPAFDHPLPA LYDTQVPASMAKMIMSRHTFRRPASRPPPVRATNVPQYQRRTPSMV JR316_0007856 MARLVVVDDTDSNFRYSGDWSLIDGADYARQGNFGAPYRSSLHR TTAGEASFSYSFDGSAGKIYGTINVKTTNGVTDPTWECKVDNTIISLETPFKYTENNW VLCKWDESTVPAGRHTITVTAKSNGQAFLFDYFLYTPSPGANVQNAEILVPFNNPDIQ YGSGWVKLGTDATMAPDGGAVMTFPFYGTGITWVGTIPRELPQGSTSAEYSIDGQTTT FQLIGNPGSTTQYFQKYFQVSGLPLRTHTLQVTSRGRTSLTPLVLQNVIIQGGSLQEP KDTDGTDNAGGNSGNNGNTGSSGGSTNVSGGTTQSSTTRSATTSGTSSRTSTPVGVIV TTDSTGSTITSSPTASATSGLGQAVDSDADSVNPTDSSNSVSSIGPIIGGVVGGISVV IFIIIALLLYRRRRARRSASIQEYLGESQSPAGFGYTRQAQPFYTDATGGSVVSYSQA GATGRSEAVANGAVADRVAVESQHQMRQMHGNGASYTYAPAGNSKRARMLSESTSDPF SDTDANYNRTFNPSSSSGLPLGQSQANYNDSQRLSSSVSAYTSSASVAASSDQLLPLR GPSKFHEAFGYTEASQQQQQPFDGPSQTRRANVVINHEDSGYRMPQPSPPTTEIIEYP PMYTPS JR316_0007857 MPARSLAHLYETIIVDVGNVLFTWSSKGLNNAVDSKIFRRMVSS QIWGDHETGKLTNDEAYATLAKEFKVSKEDVRSAMISTIGTLSPRQEMFDLIRSLKAG RKIYAMSNMSEHSWNIVRNAGAKQWEIFDDVFISGSVGCRKPETPFYKHVLQKTGAKP SHTIFVDDTLENLATARIFGIRPVLYDNFPSVERTLRNLCGDPVSRARAFLVNNSKKH QSYASTGDIIHENFCQLMILEATQDPSLVDYLTYEAEWDFFQELSGQFTDAVFPADLD TTSMAWTVIPDITTDAIKHRVMDRMVADFLDNDGIPFTYFDRNRARRDHGVCVNVLTL FYANGRGHELTRATDYVYSLLQSGAYLEGSRYYRSPEIFLYFVSRLLETSPLLERRMG DLFKECCRQRIGTPADPLALAMRLHCCTRCGLDASTDFKRLLDLQQVDGGWESGILYT YGLVNITVGNRGLTTAIAQRAIIEYLTKFGPIPS JR316_0007858 MLSVDARFALFTVVCCISTLCILPSTSVNAAVISRNPASSSSLP NEARSIDDSTAHSNQLPWSSQSIESKGVHKVRTTNPIKGLADAFMSRIKHPRSNRKRS HKSIIIPTDHGKNYLLSHARRADTALPQWISGHKNTTNAGNTTTAQSEESGTPGAVRI QSQSRDSTQGHRNLGSLYLTSSSGVYTLNASENNETRLYMVNAPPSTTRSSFDSSADD STPVYLMIPRLQDSNDYCATYNDSPNKPEPMTMQLCNNYTAPDGSSTSQTFMYNPKSN ELRPTWVDNTNTTAQAASLSNSTSTGSAGGSQPTSTGLASRDDSTVNTQNVTLVWDPA SSKVNAADISNSPSVSQGSPSSSAVTMTRTVTVYQSGSSTMTSGSPSATGLNVEVVGS SSYSTSAFAASSMSMMDFSGSSASSVAPSPSMNAAAIAASIASSMSSSMSSASTSSTF SMASAADFSASASATSSADPSSGTFVAAVMQRSPWKKADSRL JR316_0007859 MQSGEPGPEVGFHAFRLAVFAIPPARSVSVALQAAFRDPSSPFH IPPGSTGPESPDSPPTQARLTTLASSESKSDYPDLLTEGYEKLRRGGFDPESFWEQRI VWGDHDSFQHVNNVRYVRFFESARIQWLMSLGKEIGGPEKAKAMINGQGISLILKSIE VKFRRPVTYPDSLLIGYRPLSLSSGEDPSTFHVTASAYSVAQGAYVAHSKEALVWYDY DILKKCDPGERAINVLRGRMTKN JR316_0007860 MTVPLAFLDVATLPKGLSLGEHFSNLISKSPVHSLLDCLASGET PAIFSPDIHRPPLLHSDLRNFVANFALPSAARYGGRRALGRNDRIMVVLPSGPENALA LLALSNYHSCAPVNASCTRQELMDDMRRLGAKAIITTPDIAKHLDTKLIQDELDCEVI LLHARSTGPAGLFDLSIAGGHHSAITPPHPSEVHGLDDISLILHTSGTSGKKKVVRYS LRTLLVGTWCVVHSWNLLPNDINLNMMPLFHVGGIVRNLLAPVLSGGSAIMCAGFDPN AFWQYALELRATWYYAAPTVHHAILASKPDTMVPSKDLRIRLICNAAGGLLPSLASEL KDTFDATVLPSYGMTECMPIATPLTNYKLDRPGCSGIACGPYLSIRLPNDLEREVGPG KTGAVCVRGLPTFDGYEISPDIQIPLDTSTFSSEGWFDSGDVGYMDEDGYLYITGRSK EIINKGGEVVSPFEVEEAIVTAAKDYVKTALAFSVDHDVLQETIGVVIVPDQSQPRVG LRQLHDMLKRGHLHPSKWPFAIVFMDDVPKNSAGKPLRIGLSKRLGIGQLTDDHSILS RHFEAVAPPITQSIHSPIPCSTVSVDPSVVDSAFRNIPKVVDFAVEHHSDGSLDAYIS VEPTWSFSANEVKQLVTPRLPGYSIPNQIHIVNGPLLRDGSGSHDFRAMERQAAKSAN IQMTKRQMLVRDIVAELLNIDPTHIHTGSDFFLLGGNSLLLGKLSHSVRRQSGINIGI TELFSESTIHGIAALLEEREAANADTDTETKAHGDQEKVKDPNTRNSSTTAFGDDYDF EQDAEYAETKRSRSQDHPLCLIVQAIPFVFFYPLKTAWTWSMLIFMLSYLAPLINGTY WERMVALLTSILVARVTARIVCPLTSILFKWIVIGRYKPGTYQTWSTYYLRWWIVNQS IRISGRGIFALHPSLTTLYYRLLGAKIGKDVHIDEDTKLFECDLLDLRDGCRLQTSTI RGFAVERNGYFTLAPVTIGRKAFVNQYTHIAPGSLIDDGSVYGPHASSYDDPSPRSYA AYNGTLLAKPSLILQMLIAWPIILIVVFLSYVPWMAAIFVMVNQTHITRAGLNDLESV IYWFATPKRVLFHALSRIVRALLRPIIRVALGIMVKRLLGLNSETTSSRDSQLVLLRR YINSILLSQSELKDAFSIVGTHYEVVSMVYRAMGAKIGKRIYWPGSGIACMDPELLEI GDDVVFGSRSALVTTDRLGSGKIVIESGAMVADRVVLLPGTKLGRRAVMGSGSLGKRN AEYLPGSTWMGNDKGEAVCLVKGSKEAGSGDTSTPFGRAFYQKKANYFVFPYTMILAI SVIMTAVSAAYWSISAVGAAQVLKDARIHRFHVFRPRWYLFGVIYGVISVCFIVILTV QGLFSIIWVIVAKWLIIGRRRPGRYDWDQSSYCQRWQLHLVVSRLMYKGYGYGGVLAP LAGSAYIVWYFRALGAVIGDNCAIWAGGRPGLMTEPDLVELGDEVNLDDCSVVAHINS RGNFALNRLKIGNQCAMRSGSRLLSGASMEDSSMLCEHTLLTSGDVADAGVAYYGWPA KRLEDAADSNAGAVKPAFLTCPICRRFPKDSAVSGCGHLFCHR JR316_0007861 MSKTESSTLRPGGGLSTSQRTLSAGTLKERLSKLAATPLSVPNP VAALQANIEFVPAKIPRKRRLQMLAVAVWSCMIVITTCAWLLLWSIPPLWPVLTVYFV WARFIDQAPERGGRSWHWWRSSRFWRYFADYYPASYEAELPADRPYVFGYHPHGIIGM GALATFATEATGFSAAFPGIKPHLLTLTTNFQMPFYRDILLALGVCSVSRQSCSNILK AGAGSAITIVVGGAAESLSAHPGTADLTLRRRLGFIKLAIQHGADLVPVFSFGENDIY QQMPNEKGTTVYALQRKFQSMFGFTLPLFHGRGLLNYNLGLMPYRRQIVAVIGKPIRI QQCDKPSQEEIVRVQEKYIEELLRIWNTYKDTFAKARLRELNIID JR316_0007862 MADPSGAAPQPGPDDISTAILRPKKSPNRLIVDEATADDNSVAT LNPATMELLSLFRGDTIIVRGKKRRDTVLICLSSDDVEEGRIQMNKVARNNLRVKLGD LVNVHQCLDIKYGKRIHVLPFDDSIEGLSGNIFDVYLKPYFLEAYRPVRKGDTFLVRG GMRTVEFKVIETDPSEFCIVAQDTVIHTEGDPVKREDEESNLNDVGYDDIGGCRKQMA QIRELVELPLRHPQLFKSIGIKPPRGILMFGPPGTGKTLMARAVANETGAFFFLINGP EIMSKMAGESESNLRKAFEEAEKNSPAIIFIDEIDSIAPKREKTNGEVERRVVSQLLT LMDGLKARSNVVVMAATNRPNSIDPALRRFGRFDREVDIGIPDPTGRLEILRIHTKNM KLADDVDLEQIAADTHGYVGSDVASLCSEAAMQQIREKMDLIDLDEDTIDAEVLDSLG VTMDNFRFALGTSNPSALRETVVEVPTVTWDDVGGLEKVKQELQETVQYPVEHPEKFL KYGMSPSKGVLFYGPPGTGKTMLAKAIANECNANFISIKGPELLTMWFGESEANVRDV FDKARAAAPCVMFFDELDSIAKARGGSGAGGDGGGAGDRVLNQILTEMDGMNAKKNVF IIGATNRPDQIDSALLRPGRLDQLIYIPLPDEPSRLSILKAALKKSPVSPDVDLAFLA KSTHGFSGADLTEICQRAAKLAIRASIDADIRAARERKEREDAAEDNMEEDAEEAEDP VPQITREHFEEAMKFARRSVSDQDIRRYEMFAQNLQQSRGFGNNFKFPESEGQVAGAE TAGGNAGFADDTADDDLYA JR316_0007863 MVVNVFVISADTHSERRIDPHISVEQLKGKLELITGVPVANQAI SILASESDPRVLAELNDDSKPLGFYGLADWQVIKVVDTNPASSLTGQLTDVTQVDKFE LSDAEYAQRQDTVLAYKQRNKIGRFAPQNSTPEPERPSVNVPIGSRCEVESAEAGLSK RGTVRFCGPTKFSQGTWVGVEYDEPFGKNDGSVQGERYFSCRPNYGVFVKPEKVKVGD YPVEELEFEDEEM JR316_0007864 MVYTAGITLALLFGLANAAALPVELEARATSVLSAATIASYKPY TYYASAAYCKPASTLAWNCGTNCAKNPTFKPIASGGNGAAIQYWYVGYDATLKTIIVA YQGTDGSKIIPIVTNADFFLDGLESSYFPGVSSSVKTHNGFGEAHKRSASAVLAAVRQ GMATYATNKVTVVGHSLGGALAIIGSAHIAVNIPSANVKTVSYAAPRVGNAAFADYVN AKADMVRINNKKDIVPIVPGRFVGFAHTEGEIHITSSGTWLSCPGQDSTDAGCTIDAV PNILAGDVDDHSGPFDGVMIGC JR316_0007865 MVWSALSGSTALPAEHYFLTCAVEELGESKLVAMHTTIFYSLSF LALGVLAALPGLPDKIYGVNLGSWLVLEPWMLPAEWIAMGGQQCDDCSQCIASEFAFA EAYPDTVDTIFDGHWESWFNQPDVDQLVDAGINTVRIPLGYWIVEPLVNRTSEFYPKG GILQLKRGLKQLKASGIAAILDHHALPGVASPGQMFAGRCTNDVEFYTPYNYHRALIW TAVMTVLSHIDPDFGTVAGIEAMNEPIMDANQTPGLGGFQTNFVQVVRAVELALGIPV QGIPPFSGFSSAVNVASSLSSVAKLSSIFNSEVQQVLRDAAPILVQVSTQLRLDITLA TLSSLLSKRRSLVTSFMDINWQHNTPANPADAAIGPQGYDNHLYYSLHGVADANEDAY LTSVCNLERIQADAALGNTPLWFGEWALSTQFSASDTFLRKWADAQKFSYGKGAGWIF WNFKIEKSDLAGDTARQWSYMEGLRLGYLTQDPSKFNDPHVCDPYLNVTSV JR316_0007866 MSRFTQFFNLGLTGAAILGLGNAMPLRRSSTTSFSTLSASQISS FKPFSFYAAMAYCQPSQILDLSCGQNCDANPTFQPIASGGDGVDVQFWYVGVDPTLET VIVGHQGTDPSKIVPLLTDADFFLKNLNSTLFPGIDPSIQVHNGFADEHAKTADSVLA AVQTAIQQSGINKVTMVGHSLGAALALLESVYLPLFIPDATFKTIGYGMPRVGNQAFA TYIDNNVDLDHVNNKQDLVPTIPGRFLGFHHPQGEKHIQNDLSWVACPGEDNTDSRCS TGDVSNVFEGKIGDHDGPYDVVTMGSATCN JR316_0007867 MISDPKSAQFIAWTELGTSFVVSNVGEFSRSILGSHFKHNNFSS FVRQLNMYGFHKINRTPRAQRTSTDAQTWEFSHSKFLRGRQDLLDEIKRKALEPDPGM KHRVELPGEVAAQLNSMREDNIRMWDQLAAERRKVEKLVNVVGRLWEVVKNNYPGGQV LLPQFPSELLDSDSPNIYITSPTSTSRYPPPLSMNMHTMHTLNSPNSSPTAADFPSHM HHGHALSRQHSFQHVNGFRGDSTSSTPLPSSPGSISMELFDDGSSDNIPSGRGSTKRQ RLDENGTANGINNSSDSISLMSSVSSPGSGTGPGISSLTVPKKSSRARSDSAPLGYGA ALWQQGGLSSGGGGPGQQGGVGRPRSGSGLVPRGIPNIGTMTRNNNASTPLLSITTAD GDLNGR JR316_0007868 MAHMDSYSTDYSQRQHWPSHLLPPHPQSSFPSPPGSDHGHHYPP FFQTQAQAHVAQQQQQQQQQQQQPDRAQGADPMNRATSSLSLNLSSLSVASPTNLSPI NGPSAVAAAALSPATPISPSTNPFAGSQQQHHHLHGVHAHSHPHHIQTSPFSYDPSQG ASGQQSPHHYDDQAPPPGSSAGYDTRRTPAPSRSSSSGASASQLPRKRSFSANPNGAN SSAVKNSNGGPPSAAAGQSGGLSINVNVNPPLVEEGMYDDEARDSAMELASAASYDDL DVRNGYEGCARPGPGAGGSGGSPVDGSGSTSGAEESFGIIGSGGGGVGGTMNILGKPI ATNNFVTKLYHIPTRPDMRMPFFSGPGFFFAPVLRTWWMYAYAPCTI JR316_0007869 MSYTTRTPRKCTNDENKTPLRRPASTIVFRQPKLTPSQTTPSRE PLTPFKSNSTPISFVDGLTPQTKKRKAQSDIQEQKERRRKEKEVKVLSQQQQENEDID HYLGLLNDGGYTLHSFMSALLRTTHPVRSSQVSRMLVNHGKSLLDNIMARERVVATDW ALSTTRQLAAMEADALAAEFTPSRGQSMFETLAHFSLSGFLQDAERIAPTLYNHFRVV AFPPSSEKYKHKQHDLILATVFCMLAKSRSEHATDFQTSMCLYLLACGTSKSLFNVLN HAGLTLSYSQAVEKLKKLSDELLEETRTIAHLVTFMIIWDNINFAFRVSQQRHDAKDH FDNGTTATLVPLFGVEVGSLPTSLKPPRVVRPQVLEFDGLDLLPSCEEAFRVQNGQLW HIEDILYNAFPDLRSKLFAHILPAPTVHQIPVHQTRQYPLPAMHIDESSLDGTLNVLS TILQTTLQMSEEDVKRHGIIICAGDQLSLSLLDKASAIRRDDTNFLDNIGRFTEGQEG LLHLKFSHARMIANEFWGKPNARSPWSLWKVNTLLARKPISAGWKVKSPAPFRPVYEL ILDLTLPANILDAFRIHCGYESLETWIKTGVTSVDDVRRVSQLVLDKNCSGRRVQSLR ATKMRDIPLENIILFNRDALYLRQLKYAIKKGDVGVVLDLCTHLMLAFRGTGKTPKYA DALFGIVMRLKKMNSTLRDAWLNNWLANLSGKVDGFKEMDLLQEHQNFWLKIIYSAKG SNRSWQWLSMVSVCIFALRDVMRRMHKEYSTPFNSISHTTPTTATDIATLRTHLEAQS LQTYTPKRENNDDCVEARDLLQAGSEYANKPSAYHNFKYAKIKTTHRGTKSSNLSEES VYENANDKGVFEDGNDIDIGSDLCMSIEDLLMDNEEFPIGADGDYYVSIVQGIANELS IYN JR316_0007870 MSLFSHSAQELPYPILEVCFNSHSGSHRSNRPSNSPFQNLKTYA SLSNGPEQLSLTWDVINSGAQSLEAVSIENYGKFSVPPMALTLDRLVNLTYLSYSQRH IPWFTPENYDQNIGKLSQFLITKAPMKHLERIDLELQCHVAGVPDPSFDAETVAQWRH FDHVFSGPQYPALQYLFVKIFVEIILESISDDFDVNIFTDLATLELERCFVQLSTRDK TVFKVDVGASVEVV JR316_0007871 MVSRIAISALRAAARPRAFVALPQSYSARSMATNPPPSERASEL IEKLPSSPNLITKTGTALLGVGAAAAAISSELYVVNEETIILVASAIVFTYIAKVIRE PYTEWADGHVQRIKKILNDARAEHTGAVKERIDSVGQMKDVVSVTEALFALSKETAKL EAENFVQHQKITMAAELKSVLDSWVRYEQQLKESEQADLTKTVIDKVVAALKDEKTQK DILASAVAEIEQLVKSKAI JR316_0007872 MMDVKQLPHTSNALVKSLGSLAIEPIKPAHGIILPQEVLDLIID EIGTPPEDRDTFLEHQRTLRSFLFVSSAFRTRSLSILFRRLAVTAHNQLSLDRIRALT EILKSPFGPAFDSAGRHLQEITVSFLPGFEHDDDSYRVLGRETPIRDVMGHQWVIDLF RTLYTNAPNLCAFFLHIKPDDSVDWTTLDAGFQQALRNLVRSRSLIHLQIAHISRLPS TFIKGAQIKVLQVYQLLDDSDASDFSAPNLGDINLPDPPLEIFITDHTYPFGTDGEHL QSTSLFARLRILVTFIGSQDDSQRTWKIIAASPFLANLQLDQMGHIEGPPPDFNLGKL NHLKGFVLTHNRTSFTPYVPGTDRSLIGLYDLLNVPVPMETFEHLEIEFKFQEYNVPT NFFFPDEKSDNRQWDELDKLLSGPKYPSLKQVSLGFRVTIRVHPAFKDFDNQAFLEFT ARRLKDEFPRLNDSKTVDFSITVESMVLVAVSIFDEQ JR316_0007873 MFKIITSFIALALFLEGACAAPILNVVPNTSAAGVQTDDTAVGT TRTANILLNEYFPSEFGLTSREDDVVDVEAGDSTTPAGFGVSNDVINGLPVIGGSGTS GTSDTGLGGFSGILGGLTGGLGLSSVTSGLGSMGLPLGGSLPGVSGVTGESYLLQLQN EAREFNKQSPGALGGVTSGLPAMGSLSDLPGLVKSEDASIA JR316_0007874 MFAASTFAALIAVAAPLLVRADVTPSEPGPGDVFNIGSPCIIKW TGDADSTTAWKNMNIELMTGSDLGQIHITTVAQNQDGTEDGTFSYTCPEVTPTSAIYF YQFTSPDTSSVTWTTRFTIASSTGQTTPPPNATQPGTDKAIPWGIGALVDPSKAVPPP TRGTSNPGSTNSTTTGTTGTTGTTGTTGNTPASSSSSPAVKSPPNDQSLAASATTDPS GSSASPKPTSAASTIGFDNRVWLSVGAFVFATLVWPRGTQKSGLAGWCYESGRSQTFI FGIPHIMIAKFHSAPGHSMETTLRFNLPPKQEIRMIKEKERAREGAQTDKEAKAEERR EKRQRKKEEQERAKLHEADLERQGENDHRESHVKEHASSRAYTERSGSYKDKFQRMRE RYDKVTAAHEACQRDLELLNAKIKKLQSENDLLLDAMLASETDLYERYFERSASGSPE PNSTHPTQVPRNQPQAPTPRSTHYREPPPPFPGTPASTAGSMAPPFSTPTGGGSGSGG LRPMPPPPPFSPSHPSANSNGATNLSNGVNTMPPPGMTSINGMVDAPRPGWSLHASPF MSGTPRSRRRSSGADRNNVPLAPEEVTSNGDAPRPDLRTPVPVALDTQQEGSGSARPP RINGNSSPAVNGNGANIRTHVTISRETTPVRDPIHQEQDASAGEGQIMELDVDDDVGP ASPGPEAGAGVHSPIDDEESDEDNQVMDVDDEPRSPSDLSRRGAAFGSLMRGGRA JR316_0007875 MFPVSDFNFVVAGIATFAATVGYACSRRLNASSSFNTPSEEVPQ HSFAGDRRVEEKESTIPSPHRSLDEPQMEVELKQNSDLSEMEEVILGYPHNLANIYPN KRCRTPSSDSGELSAPDVAPPVAADSIAPLESTPEAERIPEMPATLQDSVSIPTPATE PPQSPSPAPEQPEQPTRRPSPTPISAFPLSMSVPTPQPIFALEITPRPATPKAFSNTS GGFAAFAGSSSPFAAVNKPKTSAFKPAKSIWNTSDLTPRQNGEETVKIPSAFTPETED HAIAEAKASPLHPTEKYTPMTGEEDEDVELEQKGVKLYTKRGDKPFSEGVLGHVKLLS NRLTLEERILFRRDPLWKVSMNIRVRPSVRCTYVPEENVLRLILKETVEKTDPKGEEK QEVVIYAIKPGRTCSKQDFKDFAQALMKSSHFKEISS JR316_0007876 MGPNDGEVVDQVGLNPTVDFVAGTIAGMTGLVVGFPFDTVKVRF QNPAFTSRYHSTFPAIATIIKEEKFIGLYKGITSPLATTALMNGLVFASYRFFLKLQM VHPDSIPTISQIALAGAGSGIVSSIVTTPTELIKIRQQSLLTQSTARQVGLQIFKEGG LSALYRGVTATALRDCGYGAYFAAYEITCRYFSTPITTGSASSTIYSENGAGAQKVSW PALLLAGGIAGVVGWLATFPLDVVKTRVQSSQPIYSPAVSTPITTGASSTLVMPLLAK DTMVDVNPYRSTLSTIIHSYREEGIGVFFRGLSPTLIRAIPVNMVTFAMFEAVVHFLT JR316_0007877 MDQIDRQPDTISCLVEGEPVVVEDRMELDASSTNLTGTSTPCNE THSETTSEVTIAIESQTSVSSTTTRISPTPPVMDDADKRFISTFRGRTIHGLTIDLPS GYSGLVLRGEASNPSRQSHENEQNNKATDSNNNQGHKTNTGEKVMVAEAQKPTKRPRG RLTRSAASKPTAMTIEDENEGENMPTDATQNVPDVNSELEEQKIVEAQDTLPVRHITP QAQFSSFTLWHADRPVDKGNDEYYRSITEWISLAQEQDTSLRKKRPSPEGGSHAGTPA PSEKILKGPEMSLFVSVTLINSHSDAALYSAADC JR316_0007878 MILATQLIALTTLALTPSLVSAGLFPKDSLVKPLDAKTFKQAMK ANQTSLVAFVAPWCGHCQKMVPEYSKAALGLYPLIPTYAVNCHAEKNKRLCAEQGVQG FPTVKLFPRGNTQAPILYDAERTASGFWYFATRRVPKAYEKFYSVDDIPGWVSSNVKK HRTLLLTKDKKVPLLWQVLANKYSHTDLVFASHRDRKGKSSIKMGLEDTKEGKVLIYP AGSTTPIRYTGILKHDSLIKFFDSVLDGTAELTQIIEEAEAEEFVPDPEELEIERKQE AQKIALMHGGYTDLIDFEKAMLEGGANYHDTHGYGAMIGGIPEHMKKKQAEKKVPQEP LDKPETPSISVGDAPITTHPPTATPDVKAPSDADQVPLGQRAAEATGTSRDEL JR316_0007879 MARGHHPSTPETVALPKVEFEIILDEKSKQPSGSFSKQAISFGF NTHAYFTKPEHYIRHTDPLESDLSKTVEYDMDEQDEAWLNLVNAERKKNGFGTVSLED FEIIMDRLEKEWFDLTKNIPKPEFAMPSEDSTCAICDDSEGENSNAIVFCDGCNLAVH QDCYGVPYIPEGQWLCRKCTVSPENPVSCYLCPNEGGAFKQTTHGEWVHLLCAIWIPE TRVANEVFMEPVTGVERISKQRWKLRCSICEVREGACIQCTKPSCFVAFHATCARKEK LLMPMKSAHGSEPASLTCFCDKHLPPEQQEVRATALEGEEKQDRAGQNTSKAARAYAK TYKPGPPLVPAIIVKRILIYIKRLNIRKKQEFLQQMCRYWSLKREARRGAPLLKRLHL EPWTSTAATKVLTQEERLMRIDQLKRLRQDLINVRGLTDLCRKREIRKYRQMEVIYDV LAQTLFPSHQAIRDAFEVVQGLDKDDYFKNPVSKSDVPDYYEIIKHPMCWTIIDAKLD QHQYWNLDEFRHDLELVSDNALLYNQPKTDFYNTAKKTKEKTRAVLDSLESIRYHHDP SSWAHMPPELKQEGEENNSVPPIGDLESPVNVLELLMTSNPIREDLNMEMETDPVTSL LNYEFARVKPPPLHPPTPPPVPHARPRKTKAKRDRKAEAERAKANKEAREAAEAVGVA GYRSSASEFEKQEDQEKQAQERLRRSLAREEVKDLHAVLDASAGFRAPRTRNAKAIAA AFEAEAHSSSSASGPVLPLYPSEQETLSENSKAERLKKRSSIVSISQPSTPMLVDHVD NRDSFNMFNAGWILPPDQKRGGRVPTERQALPPPKKRQKTDHGGLRLSIVSTAPSEGH DPNSTNTAPSSSVPPESKYHPDHSVDIMDIDEQRGAGPSVHRNIEPSSISRAGSHLGD NEVDGPLNVVTQPNGVVIIEKLDTPAIRRERNMRRKAEKRRQAALGPDGTSISAGSST ISGIKPSADQPEEITSGNFSLRPPASMEDVEANSAKEIVRNGEDDDESELSELSDDSD ASEFIDGEDAPPPTPPPPPVHVPPPPVFKGRKPRPRKAPRMEQYEDGTVDSYPWWPAV VHSDTNSEVPGNILQAHKEKRMKRKIKLFIVQYYDKQASWQSVPRDKLQLLGEDKNID EEMLSSNAKQKWKTSASKQQCREAYEMALAAIDNDGNPAHHGRTRPGTSGDTSNAGK JR316_0007880 MQAPSRTPDHNLNTRHIRTQDKVCHHWLQGKCRFGSTCKFRHGL EEKGRQKVLGCISIQRPRINNYTRKTSNQASIADVGKVVPGNLPLNQLVVLDKEDLAH QAFSRGPLSKDLNVRDRSARDSPQHIQSSNSNLPSFVPGIRNAQPRSTNVDTKLCWDW KSGKCSRGERCRFSHVDPLNVERTANQAQEIQIRREQDAKVVERYPILDSSLVSFGAG LNILKIVAGFDLCTMRVKNLPMDARTEEIEDIFLQQGISKSDFFVRQVKVELDKREAT VLISSEYGTTLTPGLEGIPFRNEYLSFTLDNNTIGTSMDTTTHSAPFVIVSWKIPSDT IVAGYHSMEQAQTMSQKLNMTTWKGRQIRAVMNERPQGVAGLRSFNPASVKLMNLPIT GSVPPDFHQFTGTMDHKLLKSSSFNIEESHARIKELLGELPGVLKHSYEVINVGTTDT SGEAKVKVHFEEWEDAKNAHTTISELECGLHKPTFKPWLPPKPLRYTIKIPAQQYEA JR316_0007881 MFFIKELTHTILLHPSYFGPRMLSFLENKLYSDVEGTCSGQFGY IIAVVSVLDIGKGMVLSGSGQAEFITRYRAIVFKPFKGEVVDGVVNNVNKMLDRSRSL YLIKYTPLASFEVFAYSSPFQLILPDMKFDPNSNPPSFASEDQIIEKNTKIRLKIVGT RVDATEIFAIGTIKEDHLGVID JR316_0007883 MKRYRMDHPAALHRLKVGVPATVEHSSEAGPETGKWIAKTTQDF ITFMDGLKLRMRAKDQLHPLLQELVTGYARFKGSKDWEGRSRMVSWLITLNGMKASEV LTEDQARQLAFDVDHAYAEFFRSLGGEKSDVS JR316_0007884 MATLINGKGRYAGGPNSPLAVINVVPALRYRFRLVSISCDPDFT FSIDGHSLTIIEVDSVNVAPLVVDEINILAGQRYSFVLNANQPIGSYWIRANPNSGTK GFDNGINSGILRYLGARKEDPKTNSTVNNPLLETNLHPLVNPAAPGLPFPGGADVKIH LDIQFDFSAFKYTVNNVSYSPPAIPVLLQILSGKSRAQDLLNPGSMYGLPPNKVIEIS MNSGSLASPHPMHLHGHKFSVIESADGTGFNFINPVRRDVVSIGSDPNRNVTIRFKTD NPGPWIFHCHVDWHLEAGLSVVFAEDIPTIAKSKHPMAWDKLCPAFDALPPQTFP JR316_0007885 MPSSIRWGAWRSIFMLTPVLVGAPWFAYQQHYKLPKPLSALTNP DTQLPQISEERILGVAQHLSEGIGFRTVGTYEHALADAWMVDAAEEVKKRCEEIVLET GRKLKCEVWHQEGSGGHRFDMMGKRLYKTYVNLTNIIVRISDGTPEGMEHAVLVNSHL DSTLPSPGAADDALAVGIMLDCMRVLIETPKWSPKHAIIFLFNHAEESLQDGSHLFST QHPIASTVRAVINLEAAGTTGREILFQATSEQMIEAYSHVPRPYGTIFANDIFSSGVI ITDFRQFELYLNVTGLDMAVVGNSYLYHMRKDLVENIEPGVAQHMGENTLALLRHLSS NGSPLPALTEGYTRPTTVFFAHVGPTFFMYSFTTAKIMYSVLFLASVILVRWTFVDPA PALKTGHGFWREQIKGLMAVLVGMVGTIAVPNAVAIIMKFALKKSMSWFKSPFAPIGL YGPPALLGAMASQYLIGEVHEQSVFTAMLLIQAFFAIALQMVNIGSAAMFFLIALPFF VALLLNLVFVGSNKRISLWTYAIGQLLPALTGSLLMFGVVEVFVPLTGRMGADAPADN IVAIIVSSLGALAFPLTLPFAHRFGKRTLYKGVVFMSMVTTLSIAYYATLEPFDEMHQ KRLFIIRSENITTHEHYLHIAAADGAPGFESLVEAITKEFGGAGVQSVPVVMDDYNSD WDSLYPFSAFLAPYKLPLTVDADYVSPWVNEKQFTVTSVIHNENSIPGTRNITVHVSH PGLIWTAIAFDAHVLKWSLDNNPPDEYARHHIKEGSFYGHDTYSFDMVVKLQPGASPD DKDILVNFIGMQENGIWPAKKAVKAQGGVAMQLFENIDTWLDEKTGGKVDALLFGSVA GVSHI JR316_0007886 MAFSSTHNRNPLGRNQHGYVLKATDPVLQEALYEYHRQLITNDK LISELLMADHNINMKPRTVQKRRMELGLQSSRKTLKLIDSNEAEQLVIDQMDLDPAKN MGPRKIRHKISMKTGIHLPRDYVADTMRIHDSKGFEKRHPKAKLIHRATKVNIGNDIF DNPGLPASSASQSSTNYHNSTPLPTSESVRSSAPRQLRSMAFRTHPWSRNDVKMDNYK FTRFNAVYQADGNVTIDAGFTANLDTIEITNDWGRGQTLWKKSDPQHFKCGFLGCGST KHAIYARYQGKEYALVQPYDNQMEPSEARRILKAELQLLAQCDGIKKVFDQYVEESEN VCRRFRASIGSFYFNFEGSFYGELEPLSASGKKSLPHIAFLATPMLPSGRYDEPIKKF TGSDKIGPAYDMMTKTIHAYVHFAFIYTRGSILFCNMQGTKDRNGRMCLINPHAHTYV JR316_0007887 MTSRLKRQLDELGVNTSSSKANENFCLIGTPLPPLTKSKDTGEF VPLWKQEVRDEKGRRRLHGAFTGGFSAGYFNTVGSKEGWTPKSFVSSRGDRAKRAEAK PEDFMDEEDLQDLKDSRNIVDTTEEMDFLGGTRAELLGKEDEDDSSKDPITRALQASM LPMAKDSVGSKILKKMGWRLGQGIGPRISLKQRKAQDALAVDANTGSRYQGTTLNIAD DDDEANKHTYAPRDTPVLAVKRKDNNHGLGYTPGLSLNDSLGNKPEDSGKGPKLAGGF GLGALNDADEDDLDVYDTSNHNSRRQLAYDHAEGEDDDTVIIGHRSEKQKRPAEATQQ SFTSHSFRDGRPVLAGFVLSDEPVAEDRWFPVPEVPSDWVPNPRKLWDSEKENLKPSE SEPHTHGRKLRTPNQRGEILGEVSLPSVPRSVFDYMSQKDRERIKSIASSLAAGASTE PDRSFLPPPTSGSKLEPHIAQAALRGFQPFTANPAKQARYNTYLQSQANPDGSSPSLK PMPGQNAEEFTKEIEDYAKAASLFKPISGAMAGRFTSAAVIDLGPKIHEGLHTPSHED IAAKEAQRRKEEEDKVSPKVHAAHANMYGQLTRERKPWLPAKLLCKRFGVKEPNPEPE MPTGPVPSSSKFTASFTVPGQGQTPASQASYAKPGDGGIEDEAVGDDETLIQEMPTYE RPSMDVFKAIFASDDEDSDTEEDNDQQDIPAAPTNSGTGFKSARSIVEDGPIDIDTFK PKFIPREGKAKKNDNENNAKEKKEKKERKEKKKKDKKNVLVSFEMDQDADDASEVKQS KDRPKKKRKTPNETEEKEEHTAMWEADTAGIVPPSIVAPSDVSISFASDAGSKSRKRA IDFM JR316_0007888 MTVKHSQNKGVTRPAKKAKIEVPSKTKISPSPKRNSLPQQAQSR KVTTPHEDNGEEIHGISEKGKGKEKEVSTASKPKVKRKTHEEKAQLPNSFKVVAGSYE KLLYGLEGTVTVDEDLKLKYELKPTFIFPAHVSCIKAVAASPQGGKWLATGSADEIIK VWDLRRRKEIGGLMHHEGSITHLTFPSRSHLFSASEDGTLCLFRARDWAVLRSLKGHK GRVNSMAVHPSGKVALSVGKDRALRMWDLMRGKGVASTKLGKEGEIVRWSIDGSKFLV QSASTMDVYAVNMDLLYTITHPSRIHDAKFCSRVDGEGELLLVAAEDRKLSIYDVPKD SSSPKIIAHLTGHTNRVKAVDTLNIALPESSGRKSTTILVTVSSDGFINLHDIAAVSK LSNKSDTVQAFEPLTSYDSKGTRLTCVTLGDGDIAGASAPVDGKRKRDASEEPDDSGS ENDNDDEGDADAFGAGWEEEEEIQEEDEEEDEEEGEEESE JR316_0007889 MLKDFISPFDATVVKILQDSGADIIGKTNCDEFGMGSLNIHSTH GPVKNPVGLYQVNGLVEERSAGGSSGGSAAAVAAGICKAALGTDTGGSVRLPASYCGI VGLKPSYGLVSRWGVISYADSLDCVGVLATDVQTTKQVFDQIALYDELDPTSAPNEIR AKAFKHIEERTVHKEGAVGILKGLRVGIPQEYFPSEMSPSIIQHVRRVISKLKEHGAE VISVSLPSTSYALSSYYVLATAEASSNLARYDGVQYGSYVKPPPGTELTKTSKIYAHT RTTNFGKEVQKRILLGTYALSAEYAQRIRQLICDDFNGVFAIPNYFSDLIERQQELNG QCVDVLIHPSAIRTAPLLSEAKTTGPNLDTYLQDVLTVPASLSGLPALSIPVNIDNDE HTKLQNEIRWPIGISIVGQWGTDQLVLSVGAAMEALQH JR316_0007890 MAAPASIGVIINTANVSKAADSGTEVSGATIFLQARSQVLKTQE LLDTILQHIRPGFGGHADIATLYATNVGEEAFSQHLLWGSLSQPNPDDLHVSTCLKRC VQNTGSKLSSIDILGDIYVSWNAFMPIAKVSQPITIRINIDGLMMTDNDILNFFSNRK WLKLQHLEIMGFATRPDLDTLSPVSLGIIAMHSPSLMSLKLSFTINTETTALQNLKTQ LHSDASGLKHLENVSVAVCKPPGEEFDPGPNAVKGAAIISQYMDRCLSNVQLIELKHP NNEGSQWLDGVYEMLTNYRSVRGLK JR316_0007891 MLNHRTSQESTLLGDDLVVWRGSLTAAHILAILSCIFRLHRRKM TGQFWLDDWFALVAFIMECVFFPTLWLRGVSSRSTLIVTAWFAQLSFIPLIWSSRISL AYSITRVIPPHQKARKASIWLVYFFGIVCLVYLIQTAIECRQDYGSWANNFPYQCPLS STAAISRMIMDCIADGLLIAIPFCAFWRQMKLAQTSRRLIKACFCASVLTTLVSFLAS GILFSHHYSKSDTERSETSFMASVLAHLVAAISLLVCNMLIIVTSFYRFFRSTEVVKQ NKQPQQQPPPQNSTSLVTETGNSSSSGEQSSQNQEIHQIQIGPLVAIEDTSTSSASEV IVLTELFESDLIHSLPPQQSQQISSLEQSQICDTNGTGINEQPC JR316_0007892 MSSAFALDTSHVTPGEDALNELFDAVIRQFSDTPISPAGSEYLN DVYGDSDIERHRSFDGSVHSIQHSSSYTPSPLSQHSSSQIPSRPSPSQTSSSGQSRPS RPLPRPPGASSAPTIPTIPTTNTNIPQSSFSQSTPSAPQVIDAPTPSSDRKSRIYSRA QPNLEPIVDAPIDNAAGPSGSQQPWSGEADNIPPTSPSTEFPEHNAYLPGYVRYAAED PNATASLGRAPEGIDAFIPHYEGPPSSEPYEESVVSGESGYDSRYQSIMDPHYQSIES GESIRERWERAPDVSTFIDGYLPILNPPNPSVSRIPRPADSIHEEPEPYEPSVSDYTI PEALNDNARSQTNDSYETNHNDWNDPGPSNLIRNPTQLIQQIARFQSTHLELHEKEGD PFDEDDRRFINPALLSHLAVQLRDKVTRDTHVKGSIPYPRSFTGKDIVSTIHCIIQER MGREHSYTPDRKAALLVARSLQTMLFFYEVEWGAQVLQDGVEDVYMFMDDQEGGSDAP IERAELPTGVNTILTKCYSASCDGAGCYAYGCPRKGMKQLASAIDAPERLMREDWTKK VPTEVLTRLSQNEINRQTIIHKLISKEVQYIQDLEIIEKVFIQPLRTASPPVMTPLVL SEFVDEVFGNILELLECNRRLLEFLEVRQREQYPVIQSIGDIFLEIATEFRIVYPTYV GHHPLAEKRLKEELEHNLEFRLFIEKCSRQLAARPGSSPRLDLKHYLSRPAEHLQKYP VLLDAVYRETDESNPDGEFLLEAINAIQNLQNVAQLRTFQSAMGKGSTGKWEWHNLVS EETKSSLTNEECRRQSVIFELIKGEMAYVRDLENIETMYVRPLRNAEPPIIPIERLNQ FLTEVFHNFNELHAYHRRLMDKLHEIQREEHPVIRSITPAIFDAALNFREAYMEYIPN YPIAAYRIDDEMNNNPAFKQFVNHTVRHPDAHRLDMKSFINRPIPRLLRYELLLQSIL SETPPYHEDRSEIPTVIDLIKTLGRETEPGVISSKQKVELWKYSSNLVFKPGEHVDMD LLNEQRSLIHTGKLLRLDNGQDRDELFVMLFDNYFVMTHPREKDGITKYIVYRRPIPL DLLSLVNFTDNPVQRSTSILRNLWNNDRQPETASVSSRSADNGDTRQVYPFTIHHNGR LGGPYVFYAESAASRLEWKQKLDESLGLRKVVQESNKVFEIESLSVDTFILPALTTGP NSSVWHDGTLFTGKVTCSVPFNTPDGRGLVAIGCAEGVWIGYRHDSRSLRRVLHLKMV TQCAILEDFGLFLVLADKVLFAYHLEALVPTTPGSIHASQTPQKINGKDVHFFSVGVL DGRTLVIYMKKKGVCLYSYFRLPFVDLFLQNNSDSVFHAVEPVIGKINERPKASGSRS YLFRKTPPEWFRPYKEFSFPDAHDLIFLRARIAVLCAKGFMIMDLKDYKSVTIPQRDD PKHPYLAKRCDSCHPMGLFRSREDEFLLCYNEFGLFVDRHGHPSRSTGIIEWEGTADR VAMHAPYVLLFDTRFIEIRHLETGRLVQIISGNDIRCVWDGRSLDSNMAVTSADGAED RMEQEPRVHAVMNMTDLPLQPGGRTVHRGVMQHVFELFPTIPLYLPGSLSSPTTAPYF PLSFSPPRSPPLRPHHI JR316_0007893 MSRPLPEAESNKKVASSVQDVLENKPAFGSRFLTEEDDVWSQNA WDHVPPPDDQVETIEKSLSKQRLNPVPLEDKIKLNEKPAKHWDNFYKMNADNFFRNRK WLHNEFPELVDATKADGGAVSITEVGCGAGNSVFPLLASNQNPELYIRAYDYSSHAVK LVQNNPLYTNPPTGKIQASVWDVTSANSLPSDLAPGSADIVIMVFVMSALHPDEWGQA INNVHKILKPGGVVLFRDYGRYDLTQLRFKGGRLLDENFYIRGDKTRVYFFELGNNLS ENKLETTISTTEVAQDEDGNDIHTPSPEVNAEKQGLSSLSSCSVISESSLSTEGSMCT PPIPAPTVIHPNLLSPLSNCPPHPLFAAEQLGVDRRLIVNRKRQIKMYRVWMQGKFRK L JR316_0007894 MEKKEQEKSNRDGRENDSLEDGVVPPPYGAVRQTNKAFHFDEHD LDRVQRRLKQRHVQMIAIAGTIGTGLFLGSGGALATAGPLGALIAYALVGTVAYSSLC AVGEMSTWAPISGTFPHFAARWVDPALGFATGWNYFYTNAISTPSEITAAVILLTFWD HNTDHIPAYTAVLCVLVCLINIFGVRYFGESEFIFALIKLTLIIGLLLVGLVVDLGGG PKGDRIGFRYWKNPGAINRAGLVNSHIGIDRFLAMLSVLVNAAFSFQGMELVVIAASE TESPRRNIAKAVRRVFYRILIFYILGILMIGMLVAYNDEDLLSLQGNNTASSSPFVIA IKRAGINVLPHIVNGCIFTSAFSAGNSFLFCSSRVLYGLALRGQAPRILTYCTKKGLP LYAVLVASCFSFLSFMSVSSGSNKVFVWFVNLSTTGGFTSWFVMNITYIYFRRGMLAQ GYDLTKNSYHNKWQPYVSYWGAAWTLFFIFVNGFAVFWNFDAAGFLTAYINIPIFVVL YLGYKVWKRTQIWDPMEMDFVTGIPTLEETEEPEIPPKNIGEKIADIIF JR316_0007895 MHADHLASIQLLSSEALSEIFLKGLLAIDYELFADSLLRIITPR STNLRVLEVSQMCRLNMDIIQGFKKLRRVKLEMENVRVAPRMRTDFVRELACLEELEE LELYFILPKSPVPPIQERVQFRSLKTLRLYTTVVGLHHFLNSLEAEKLVDLDIFFTIA ELDYSKYYPSDIVKTAALLQQSLSDINSISQVIRTIKMTCLDIAVMVSHTTLYHILRC KHLKLLSLPLDVDGRMLSSLFSRGEVWPAVEQLRFFPSLPLAPNASVLLASELHPFTV LFPNLKELWVPVSFNFLASNAIEPVEGTPSYHQLRKLHFQWISTESDDQDRRSEFGPS KALDTEEGAEEIEFLARRIAQSFPHVEKVSAIGLSPMTDVILCGQLQSMAH JR316_0007896 MNVAALLQDSPSDRRAPPTQPSSDNNTSSTSTNNPNPPPSPQWQ RSSTGTHHPPAWNHPHSHSFARPDPPAPAAPATPTTGSASLSALVNPKEPPLWSPSSS STSRILSPKPYASIDRDQPSSRSRAGSHSSTQIPPVSSFHAPPPPSPRPILSSNSSNN TTITNNNTNTNNTNNTRGSGIPPLTPTPTPSSLNLAPMHSPPGRAAMHESSSILASSS PGRGSGGPAGSPAVSPPISGNSNAHMGSSVSASGQSGVWGGHVGGCPGGVSSAAAAGV TNNGSSLMDQRHTHTLARAGGPMDMRDMHRERERERDMQRRRLSNASSHSSSAPITQP APLVGPGSGPSPFLMNDEKQSLPPLSTSTTIPGTASSASMQAPPPRRTDSAATPSSAP GQSHTPTLSRRSSGADRDADGGMPRDREREMQRDRDRDRDRERERDMRERELRDMRER ERERDRERDMRERERERDLRDMREREREREMRDRELREMSMRDRDRDIRGIGAGPGGP PPPPLPPPPTAPSSASSQPQYAPREYRERERSDSLLRAQQQQQGSPLPPPPSSTSAPS LFPQRERERDLQRERERSMVLPGPGIIGPGIGPGMSSSSAAMDRERERDRGPLVRAGT PSGMRERDMRDVRDRDIGMRDIGMRDVREIRDVRDVGMRDVRDMRERDMRDRDLLRER DMRDMRERERERDRERERERDMRERDMRDREREREKERDWIERERIIHHRPSSSGSYP PPPASSQIPPPAPSSSRKDPGGPAPPPPPMSASGGSLSSANGGGMSNPHPFTHPLGHV QPHAQQQQHPSHSHIHPSQAHVHPMGHAHSHSSHSHSHSHSHTHGHSHSHGHSHDGGR PHQTQQQQQQHMMGPGGHAHGHSRDHSQPQSRQPSIGPGQTQAQTQGSGAPPPSSSSS QLPGAQTTSPPGTSSGPPPTVSPSTSGQLVPASSLPASAGGVPPQRQFQSQFHTQFVS GLQPPPPPSQQQVMQGGGGGGGGGGSRVNSPVMMGDKRDREREREREREREREREREG KKVSGRSSVLGMVGKDRDDRERERERERIKAREMYTPSGRRGVGPADDGYPPPPSTQQ DYPAPPPSSSSSQQQQQQQQRPGAYPPHSQQQQSLGHGHSGQMHDRERDSMMVDEPQQ HLQIHGPEGRHVYSHPRDRDRERDRDRDHVMRDRDREQQRDRERDRDRDSHRDPRDRD RDRLVAERDRDVDMMDVRDYHGRVAPQQQQQQQPPTSQPMSMSMSSGGGAGPAPGMMV DDGQWYDAPPRGHVVHSSGEYGAPHVGRRERERERERERDREGHRDREREREKDRDRD RERERARELEIHHQLQQARIRHHEQQQQQQQQQRQQPYMPSQQQQQQQQQPQHVHRHN APLPPPQQPQAMQEPNFYHDAGLGGFEDPRRSRDIYNSGVGVNVGAGGEYAIDAPFSD PRHPHAHVHPHGHGHGIPLPPSSISASASASASASAAAIAAQAQAQAQAQADAEALDE WDEWRAEAEGESTRAKVRVDLGTWVYPRVPFPYFFELGAGLLAAKEREEAAAARRREE ERERKVREEEEERVRVEEEKERRRKEEEAKEKEKEEQEKERKEKEEKDREAEKTTGKT SEKMEEGEIDEEAEMKVEQGLEEGEEAAKDPKKEVTVNPMDVDDDNSKSAQPTSTSKP DESTQSDLAGSTSLSQTRIHEDTIPDPPIQQPLLDMETRTTILIPSGYIPTEKPLRPR LWGGGGFDPRPRPPLPVRSRRKGGSLNGKRTRPPTRQNSHLANSTTSANGVNGNGNLA VSSSSSSGGANGLVSSASASARRLRSRRPRRVYTDDSDIFLCAIHSGWLTWSGARKAK AKGRDLRIEVRVIRCAGAGAGSVFARGVGMGRVPVNASGVAGVGAGAPVMSVSPAAAA AAAAAGGMDNGLVNAEPSYVREEMVGRFIGGYGERCFNPLGRSGKVAGEEDEEEDDDG VIRGRRKRNGDIGSLRREEEEDEDEVVFDDPEDDGRSLVSAAWGTGHDGSAIEIVGVE FVERGTAHDSPGVGLRNRSQRLREYAERRASVLGLPTSTAPFNRLAGRKRRRGWGGWP VEPRRLLPNATALHTIPEAADEEEEEEEPSEFLLRTADGRRVDNDGGVNNVGLSQLRV EREEKLMDVRTMVFGMGAAGDLRVGYKYVPSLLQEILFPSPRSSEPEPPRPRKRRRTQ ESDDVDMADDSTTLDSTTTTITTSTHSSAALIDNDPLLRPLILETAKEAYLLSPNIIS PTAPSPVAGAGAAVLPAERVYDIALILETEVVVEDEPVAEAEVVEAAPGVVAGDATPV NAANEPAVDSKDKGAELIEAEDTKEAGGQTSTEHVSAEAAVTAGSDQPSPAAAEPSSS TAAPTNVQPETPSKSKMTTAEPGSSPLPPSRASPVHSPVHSPEPEPEHDGPPSSPLPT DDNDTSLLDDKDNAKDTTKHTKQGKGKPSSLLLLDDPDHDADVDTDVDTIPKPQFSVQ VLQRNLTRHMFRFEKDSVYVKDPSGPGSGPGGQADGDGVGEGDGMEAEYEWAIQVKNW KWAPKGARERLVDAV JR316_0007897 MATPYSNQAEIAPQIWLFLEQHRQLNNISFPRLRNLMVNLVSLP TGHIPILFLLPTLALENISLKWEAETEGLVVSSFLHILSSRSPNLVGLHLEGPIYSDT LDLQNAHCFPKLRDVTLHFRGYKNSSRAPEIDHYWSQLTALENLTTLSIISPSVTVWP SQHKGKKRISEPHKKWTFPSLQTLNVNLSSGSATNFFRDFWAPNLVDTNIIFNKETDR NDLSHVKMAQSIKISTGSLTSLNIEAQCRDLKLLIPSSLLPSLLWSWRLCKLMLCINL NVVELISHLEQDRTVSKWPNLKLLKLYPSPETPFESPILLTSMKDIVPAFPNLQTLSV PTSFQMTAETIEAMKERIGMPHPYTHPSLEVLHLAPVSQPTPPSNNWDSTSVAQRRRD AHATAYGPGSASIEKLIVAAQYIDSVFPKLRRVEAESWYVDAEWLRGLETAVVTFQET RKRATNAAQARADADFASYLEKCEAWKRDGLL JR316_0007898 MDLDATTSTILSFESDESMRGSVSQTVDLNFHTRSQMSEQQVRC ARSISAQAEVLGNEDLLGVIFASAQPVLVNVEETMPSSWAARDILRIALTCKNFYEPA ISVLWRSMISWAPLLRLIPSVVQREGIFTTDSPIMVEDLSRFNFYAKQIQHFNLTPKH AKGKNSLPVSSHLGALLAHHRRLENILFPVLQTLTIDMSSMLPGGIPILFLLPPAALK DIRLKWTNPFDRHVASSFINVLSQLSPALSCLHLEGYLADGTLDVRRVHDFKQLREVS LKLSPEGQNMTAIPDLWSQLTNLQHLTTLCLSLPGSEYLGQFYVPCVFNSLDTFNIKG PMDSVVVILRLIKVSHLLNFNLTLDEASLAGLSRMKTLLPASLASLSIHSVCVDAIIN FSPDIQSIDIVSVCAASIIPNAFFAKFSSISRLRKLSICLEVINFLFVESLHSAKWKW PNL JR316_0007899 MSSDGAESRPTTHANFDHNNTNGSGDRVTFISSDRVLFNLHRKN LDVTAGVFPGPEFVFASAPPAQESTAIPLQEPACVLEILFQFVYPDRRAKLTESLEFA VLAQVAETAEKYQIYSAIDACEQEFERRWLPQYALPILTHALKHGIIKLIDASALCLC ITPISEVLNQGLPLQYAARWSKYHEDCRNLLFKDAEAELGTLYLSMELDNTHRSCVVA ALDWMYDTSRKIRSLNDIEHYTYKPDRYRHDEFSPRCSIDLDMSGEQSCYVLEQALVS VKRRIVEIKEISFWDCYIKPIPAPKPMPQ JR316_0007900 MSSEDCVETHSDFDYHDCDTVTFSSSDNVLFNLHRTNLRITAGI FPGPEFDDSGEVIPLQESGDVLSAVFQFVYPERHPKLQMSFEFDFIAEVAEAAEKYQI FTAIDACEARFE JR316_0007901 MTPSLGNNAALFNNENPDIKVQSADGVIFELHRAMLSAHTGSFP GSEIEVAGEPVKLTESADVLRICFDFIYPKRHPDLEDVEDFELLAAVAEAVGKYEIFS AVNTCNTRLRAFLPSHPIEIFVIAVKHDHPRLMNEAAHCLARSSFTSVLKQLPHSFMV QWSMYRDAWTAVFKDSVKHITQIEHCSKTYCHEHENRIFGSQGPTGLEVSRICSTCRS LLYAWIAKLEEIDSVDVLDKTIESACDKNIRLPGCCAAIERMHTIKARPDFKCTHIIN LAKICRARILEIRSFDSFIPSSLIDA JR316_0007902 MSALFRSSDGVEFDLHRTLLGANTGAFPGPELETDGQVVELSEP AKVLQICFDFIYPKRHPDLEDITSFELLASVAEAVGKYEIFAAINTCNTRLRSFIPQY ATEIFVYAIKHDHPKLMNDAVPYLARSPFVPVLKQIPPAYMVPWSRYHDAWLAVFREA TKHIKKILPSSTNQCHVATSSSFSSSFSMLPDQICNACRCSLFTWVSNLEEINNVTVL NTALRNASEKNIGLPCCAVSDGSRGMQLGSPQLISFAPSKPDHAKCTHIVKLAKICQD LIQAIPSFDAFIGQN JR316_0007903 MSDKSSADDALTPVLVNCNNADVTFKSADGVDFHLHRSLLEAHT GAFPGPSIDTRGEVVQLQEPAKVLEILFDFVYPKRHLDLVDTDIELLFDVAEAVEKYE VFSAMFVCNARLSALLPRYAERILAHAVKHNYPKLIDEATPHIARSSSVSAMQKLPVC YSLPWFRYQESWASLFRGARRYTKNMPLLQLADGRKEHRTCHSAPGTICNTCQNSMFL WIATLEEIETISALNEVLDQFPTAISNASCCKDSGPVTATDWAAANMMTYGSGRPTSG SPQYKCNHLLNLARSMQYQIKAIPLFSDFVNGQYLDKTKRVKQEE JR316_0007904 MKRQTRTTAVDATDSDITIISGDNVAFKLHRKYLDVNTGVFPGA EIVDSKEEPIHLQEPASVLAIVFQFLYPRKHPKLNDESFDTVLAVSEAVEKYQVFSAM NTCETRLIKYLQTPEHAAEILGHAIKHDYPDIINTAVPHLARAPLMPLLEKLPQHCVL PWVRFQEEWKAVFQKATQEVNSKLLTTTACEHSNLCINCRTYLLARISELERLETTAG LEAALKSNVTPYPEHRICTICKGTCKYLPEIVESCQQGIASIPIFTHFLSKAR JR316_0007905 MDTSTHKRPRASSSSFATFPDGGDPPHKSSRIAISSKNPPLSSF EASTMATEGSALVTGHPLYCSLPPTCHHKPTPIANTQDLERHYGTYHAHVCELDNCGC VFPDARLMELHQNECHDPIAALRRERGEKIFQCHIPAPACGRNFLTPKARRLHLIQSH GYLKEYFFAVTNKGIGGLLKKWGEGATMIRKEWKPREGKGDSDQMDEDDSDEEQLSQD DEDKVLYQKDDDRTEDEEEEPADLEATPRMLPRTTGIHSPQSSIASSKGSDRRQSRQR NRQHAANNDATATVAGLTDSMNSLSLVPDSIRFGRGGKSGAIIPNPRGFRGRGRGRGG HGGSQAANANVGPTGRAGGGFGPSATGNTVDGPQPEWVSRGRGRGRPMLRGRGRGRGD JR316_0007907 MSPSSGHNARSASPVEEDLQRLYNEVWAQFSEETPSSERDLENI YNGYADDNYPSQSSSAPSNPQLKPPARYTAGLPPSPRPVNTKFESYTSSPTSATSPTG RRRLPPTPGSSTSSTYSPVKSYPMPEPVPYRPSGSSQDTYSPISAGSLSAGGPSIDNR KAAIASDINFTERALPSVSGYSNDYTQVLDDGQRTPISSDDYRPHGSLSSYSTAPSFT TQLGSTSSSGTSHTRPSGASPPALPPKPSTYNDSSTRPSYSENPFNAYDLDDREVDYK YQTAPNTHSSRIQHSSHPSAPPPLPPKIPTNGHEQYSSYPQTTTYAASPIALSPYDGS SDFAPKNVTGSATKNNFFDNGAQLISPQAGQQPEAGPSRSSDRNSREEDNWDPDSYTY DDDQEVVDPSGGPSNFVRRPTDMLKTLADYSGNAPVELLDDPADDYWEDEDEEDEARF VNFSLLSHIAMQLRDKVPRGTHVKGSIPYPRAFTGKDIVSTIQTQIQRELAINHGVST NDRRVALHVARSLQSQLFFYEVEWGGHVLQDGVEDVYMFLDDVDGASDAVPEREELPT GVITMLTRCYSPSCGDGIPCYSYTCPRKGNYAYDIPAAQPEVPVVVREEEWSKSIDPA VIKSLPASEISRQNIIHKLIDKEKQYIQDLDLVESVFIKPLRAANPPIFAPDVLEDVI DDIFGNILDLRECNRRLLEVMNVRQREEGPIILRIGDIFLQAAAEFRFAYPTYIGHYP ISEKRLKDEMDSNSEFRLFLEASTLRPEHLQKYPVLLEAVLHETVAENSDGEFLVEAI EAIKNLQSISQLRTFQAAMGKGTPGKWEWHDIVSTEIREHLSKKEQKRQAIIFELIKG EMAYVKDLENIYNIYIVPLRSAEPPIIARDRLEQFIHDVFHNYDELYEHHKKLVDNLH EIQREQHPQIRTITAALFDAALNFREAYMEYIPNYPIAAYRIDDEMANNLPFKTFVDQ CVRHPDAHRLDMKNFINRPIPRLLRYELLLKGIMEETPEGHDDHEAIPQVIDVIKALG KESEPGVFSAKQKVEVWRYNANLVFKPGEAIDMDLLDQNRSLIHSGKLLRQPDSGLEW NGWSELHVLLFDNYLVMTKTKEKDGVTKYQVNRRPIPLDLLTLVNFTDPPTQRSAGIL RNLRGGEKHDGASMNPGLSPESATDSRSVYPLTLHHNGRTGGPYILYAESAQIRAEWK AKLEDALGLRKAVQESNKVFEIEYLSRDTFIMPSIAANATGPAWNQDNQYTGKVTCSV PFNTPDGRALVAIGCTEGVWIGFRHDPKSIRRVLHLKMVTQCAMLEDFGIFLVLADKA LFAYHIEALVPSSPHGAHTSQVPQKLSGTKDVHFFSVGTLQGRTLIIYMKKKSLNSIF RVLEPVGDKINEGVKAPAGFGSRLGFRSTKSEWFRIYRDFFLPSDSFDVIFLKARIAI FCAKGFEIMNLHDFDSITIPQREDPRHAQLAKRCESARPLGMFRSTDEEFLLCYDEFG LYVDKHGDPCRSFGTIEWEGTAERVAFHSPYILLFDSRFIEIRHVETGRLAQIISGNE VRCTWDGRGVSPPVTNTVNDLGDDSVIQEAQVHFVMNSTDSTSGPGGMRTRNIVQHVC ELIPTIPLYPSGTPSSAISPAGSSGQPGNVYGTYTPGHVPSHSYASSFSAAHHYAPSQ APSSASTAQYYSPTHPPHKQAYAPVPLPSNGPAGNAYYSRDGYFDNTSPSSGTMPRR JR316_0007908 MAGDKDTLLGMGFDPARVEWALKATGNRGLQPAMDHILEHEGEA VPDLGAVSEQTGSRSAPMDVDEDDEDAEALKSLGALKGEAVEAKSIKCSECGKIFKNT ALANFHAEKSGHDQFEESTEEIKPLTEEEKKQKLQELKEKMAAKRANKAVEEAKEHKA NEALRRKAGKDMHKIREELMAKEAIKEAEAKRREKIEDAKAKAAIKAQIEADKKARAE KAAREKALREGQPVLDSSASAGPSRPAAAPVATVAGKDFKETRLQIRMSTGGQPYTTT LSSDAPLREVAEYLGGQLATVNVETVTFAQHFPRKTFSREDFSKSLKDLGLTPSAVLI ATP JR316_0007909 MTATLPTDIFRLILGHLEADDIRVCRYVCKEFLELSNLRSVWDA LLQKAIQRNIPIPGLNQKLIESLSAKELEISLHKAITLRNNWTSASPTLKRSISLNAP PQHRIVSVQFVPGDDHRWLISLSMSQPRSFVLHCWDLQTSTCIARRSIRHFAGMALNK TSIEGGIVAIMNPHIEILGLDHEATDPDNGFKTLATYPEDSQTHGMHLFSGSSLVTRD NNGRLTLWDMKNHMKIDIRNPELPLSTPLVDILVTKDLILALRLSTLELYALPDLSTS ASVPNMVINPIYVYKFPWRVDNGVMTIRQRPGTRRFNDICVVLRYGSYYPWAINLLHH YEIRPNRFFLNDGPINTGNLPYQFPPVLQETIASPVRLHAISDLAVGPYGTILWTDSH TEDYFNHADRGQRLAGRFSGFVEGDNDEIELSDQIATTRAESVYAYQEEDSWVRIALD ERDGKIALGRDDGKISVLEFI JR316_0007910 MDALQARVNSFKKSKRVKNPSKPSSTTNLKWPHPLHFKANPESL AEAGFYYDPSFDDPDNVTCYVCEKELGGWEEEDDPFLIHWTKCGQTCCWASARCGLTS DLDGKGRFVSTDKSRIPTHKSMEKARHDTYSTGKGWIHDKTPNHGANSKMMARAGFVY TPQHNGDDLATCFYCNLSLSGWDEGDDPLEEHRKRDNKMGFPCAFFAAASSEMLPSTK PNSRAQSSKPPSKSQAKPPSRSTSRSKHQDVIQPTKTFDGELEDESDAPTTNTAPITK TPGKGRSNSSGTRKSSAKTPGNKIRSSSRSGLKNVVEEEVEEEEEDAPPVPQPPTTKK NRTRSKSVVRSEAEDAVVPDDADLPVTRKPSRSRSKKAISDAEEEIPRKTSRSKSKSS VAPSATETKPSRSKSKSKILDSEQEEVPPAATKTKQKAKAIESEQEENGPGVTKTKHT RTTSRAKTKSSAEASDIELETVAAEPAPKKKNATKSKPQAPAAQNLFDDDVFTDHYVP PLAPYPPTEAIQESIAQLQPLFIPKRTTGTKSEISTDSAADTVHTEKQKKTKPKFAYS RQMQSEDEDTQRPPSIEEHKPLAPVSANPSPVPNLKTGAGKQKLKVVEISSDEEPQEK EKILVKPIQNQDKENSVNMSLPPIQVPPPQVLIAQPDPPTNPVSAQPKRQKKSVIVET VQPIQGPRSSSPKPQSPLANGDVSMEDIDPEHSDKMQVVPVTPPRAIPQRTSSEEEIQ QAIIPAEANVDSAEAPFIPPLSKLPFTPLHALSEPELDMTVEEWIRYQMDVEFDKFKR DGERELQRFRKRAEEVRKVIEGL JR316_0007911 MVSPMGLSSHISKTYGKRSGVKRKQTNDSTDRRDINDVPATAKR RRRSPDLETTPSPAETDAVSKDSTPPRPSPKTVYGTPCQTASTPVVQHSPSKPARDLS LIFEDILPVNNTLPSPSKLAKRMLSRSKTESSVEGQSSLQETKVERTPSLPNLSSPSK TEEPAASTSKSISAILPLVTPSSNAPVTRTYAGKFRSFLVSFPATSTAHDPLSTVIDA DDLDARESYSSLRNRWGVDNSEDDPYHYASPSPSKSLKSDLSTPDTSPSRHGKRKGKA VSSFQNHSISMPDLMNLKSISELRNKGENRRFFDEVGYLFEGMDKTGGIGLRRASALE ITTKLCDPDFTRKAKAADFFTKTWDVFCGAGAGQGGDKLLDTILAFFVTLVARDPSLL ADLAQHVSSAPFPSQLAPKQHRVESSSFVGVLLHLLERSCSDCDPLRLVMPNSTTEDS DYKKAGIMKKDHSTLASVYKTIIKSDLFSAETMISTSLLISYTLSNLPPALISTAFFP SFLASFRATLAYGTSTSLMSALSLHWKDVAESISYETIYYHLQLLDTYLLDQWGNSVP EGSQPEDGQRQPHEAIMEEARDTWLAEDLISLAICVELEGTNGPEFAQGCLDITLRIL VSLTHADELWARRVLNCEYTMGWLLRLIHKCGQDLQHNQQQVKVEDGVKSEEENHLLS DNLSDSATSSSALDTLCLALGLLTNLVQVVKEARQIVSDIRLNPSCTLQKRACIRKCV CSRSVSGVEVLAHLYSSFQIKPEALPTSLDEESPEARAEADASFLRGHLSVLFGLLMK ENSENQSKILAALPTPTLTTSKNVNMVKRAKMSRLVEQAKDFAAFYTAVSSKLGSDTN NSTVKEVVAFLAKTRDSYA JR316_0007912 MNWSSSVLTSVSSDTEPCIIVTFDNAKYIFNTSENTTRAFLQTG RNWKRTRALFYTQARIEKVGGFPGLLMTFADATIENLNVFAPPGFNHILASMRFYTYR DTINIKSTELPWVQTAPSSSPKPCYTDDNLKVYSIPVLPEDSASSSEKTRSVITSEST DLMDCETSSDASGKRKREPSPDFPHKKHHVEDTKRNAPGKILYSDALWKAMQKEGFKP EFLTGELADEYRSAIIRSMFPNTRPNSLKTEKKPKPQQGKKKDSKDANVVSVSPQEEE KVDIDDYKRSTKISPPPGFRLQLPNPESNLRSSTNPPAMSYILVGPQYRGKFNAQRAL ELGIPNGKLRSQLAQGQTVTFEVKEEEETIIKTVRPEEVLGVAEKTAAIVILDVPSVA HISSLISSFQESDFYQKFWAKDPAVFETTEREYNMRVVYHMCGPGVLEDKRYKAFMHG FGPIAHHIIGSREHCADPVTFTSAAHSHLRLKALDEKIFHIPKYNLTPKKPLSEVSGL PPNVIEMKSTLKINMRPWTPPEPDPEVVKRDKFHPIVTGTLPLEFSLALKESIKEVKQ SIAQVEAQKDKSMSGEPGANVGVITLGTGGSLPSKYRNVLSTLITVPKYGNILLDAGE GTWGQMVRNFGLEDSTYNVWQALRDLKCIFISHMHADHHIGLANILAKRKLLDPPPDQ PLYVVTIRGVHMYLREMNAVQDIGLDDPSGNGVVPVLSESVHYNSRNGYTGQGIWHIG GDEPWLDYTTSVKNGKRMRASLGLESFQTVDVFHRCRCFGVVFRHTDGWSIAFSADTA PSESLCRRARKVTVLIHEATMADEEAELAKKKNHSTIGQAIAEGKKLDLRMDAKNIVL THFSARYPKMPPYLTKNTPTPSNFAMQNAIVPTFDHVNLTIGDMWKMQFYMPSVNHNF SDTFDQDEDDDVATPTGNVSLG JR316_0007913 MSYILRIAFTSCLLAYVVAHEHHDELSEEEANAPVDNILWIHMF LQAFVWGIMFPIGMVLGITRSRWHVPLQSAGYVLTAGGYLLGHSHKGQCLAHYIMGSG FIAYAVIMTIIMLVGEQWVRRSGRSPEFFDSSVITLWGIGEDPYKQTSLHAHVSRQST HSLNIMGEPGQSKTCSTRWTGGILGIYLSRNNRRNVVPAVIIFLTGWAMSEHAQALML STKVHAMFGSALMLAGVTRIVEVCYFVPTYAGEGAEDDAHSEHTLADGVNTRTSSTSR TVAARSFQYLTPFLLTTAGLLFMSATDEELDYVHDNQMDHVTYILIVISFAFLLYTFI VFLVNMYSTTGRNVSNVSLNTVDESSIEMVTPGGRSKWYSRVPVRGNGAQTHVLGDDD EDEDFMAPQMARR JR316_0007914 MAGKFKPLLNLAYFQQTSSQTALIIGATGQTGRHLLQNLLASPH FSHVGEYGRRVTPTEEITTGKDKLEQKTIDFEKISESGLKQKNWDVVFITLGTTRANA GSAEAFEKIDREYVLAAAKEARSSDPSASQRLVYLSSGGANSSSPFLYPKSKGLTEEG LASLGYSDTIIFRPGFLAGTNRSDHRLAESVFGKITGVLSYVTSAVEINIRTLGKAMA EAGRLGSASLPPAVHAAQAGKDGAKFTVINNAGAIKLAELTK JR316_0007915 MSDTSSVRSSMRASTMLNRPQIAPMGPRTRQGGIARGSALLESS TQSRLSALDLTEFGGRSTMNGSPTPMSPSSSSLQSTARKSLPPLSEEATSSDQAPAYE HESEDLSDVASSSQVPLSPFGVEPDSPSSIPYYRDEEYSSHAEVSSILQPPTNSEEHE YSVPPYEDEERYISQTEPAPTVQSLAAVQPEHQGVEYDEENISTSLPHPPDPPVVEPP VSEFSDTPLLELVVPQTPSQSMQETEPSAPELLTAEPPISEVSSAAVTPTPGTPGRDT DTPTPSFTEPAVQAPMATVKASAASLPPPRLIPPAPIKFESTPVPWKGLPLDAALWTV DSGELQDVVSRAIRSSASESFVRLLTAENLDKVLPAELERLESLKIVTQSKYRFLVHR RTMLFQALNSTSLGQQKDGEDGVSVVSRLATQLADTIGECDKNLEEVLRITDQIAQIN KLIDTHWSSALAIALRKLNGSYARRTVDLTAAKDRIAQLEAELGDAWKEAERVAQELD DYEAAIEADDTVAIIGTAEVVAVPKPTPPTHARRASIPMSPTLVAFTPMSPTGSGPKT PALPPSPGPSQFVFPEVHLKAKETEAEDVPDTVSTRSAKSYRSAWTAESNSHVAGIQA AKKRGHRASQSSLRLNTGHVRMYSDPRSRTPYEDHPPVPELPVQFAPFNGIMSAGSAN ASSALINHFDTHLSPRLRRQVSLDSVRTGASRAAPSSYRGRAAAVDDLYIRSQNMNRH PLASSEDTEINNIPRTFSMEDYGSNSNKTSGSPTTSRPPAKDTSKGIPSMWMNVDAVK PHATPTRSKSPEEPQPPKSPVPSSTSPVSSGVSHHTHLSKMTHNTQASMKSSTYDKIR GLTKRYSVSLPLFNSQSKSSLHRGG JR316_0007916 MDEKNLAMHKVEVDNTAQNASSNSEETSSKHLSRDDSDHVSIDP KAEQKLIRKLDFVLLPLFTLIYACNFIDRTAIGNARIAGLEKDLDMHGFDFNIALTTF YISYIVSEIPSNLALKRFGSIWIAFLVISFGAVAIGSAFVKSFGSLLVTRVLLGFAEG GTLSGLVYILARYYRRHELVLRVGIFFGLSPSLAGAFGGLLASGLLSVSDIGDVQRWR KIFLIEGIITIGFGILLIFFMPADPTVTRLFNDEERELAIARLDADQVVKTGGRKEPT TWKLVLRSFNFNDIPLLSRLRTLTQGFGQLGSVIADMADKRTTSVWTYLPTDAPDFHK GNTLNLITSVISCVITALGGVYILWENRKRDRGERDGRLEGKSQHEIEQLGYLHPEFR YQL JR316_0007917 MVPLIPALILAFVSFIASAFVILRIVIPILPPHPLSKRVSPAEF GLPTFRSLSPADKSHIWLASLDIVALAIFVWQVAVESTSGPTGGIALALNPGSAVRLW IAVTIRQTCLLFIAAVTLLHVRMAQSVSFGGRHWMLWAPTALFVITSTTVAGVLSGSG VHTLFYGLTAYSITIGVLTGVCFGYLIRTLFIIKKNLEAMNEPESWPPVRQMEEKPRP SFATEEIDAIRDGASWITSNASSRRASMSAWSFTTHQTATTTNHGQGRPQSAMHPSVP AKSSFWFGSTTANDIQVPPVPPLPSPYGPMSPVTPECDPFRRSLPPLPNQQKPRMGSQ SSWLTSSQGSHTTISSWSYPTTIHDLEGTTPRPSTQDFRTAYSPGPETARTATPAMAD AQVLGGYGYAPGGMEVEKGLASLAAPPGTTIQISMLPAYGWSVMICTPLFLPLPYFII LAQNSTPSMAVQILFILSVTLSSPLLALNLLFGAHLPIPVGLFDVRENLPTDPNRALV QGSLPTNKFSHEYKRSTSCSVTVVEGRRSGDVWLSKGDAVDGKGKIGRAVSMLTATPK LSVLPLEEPHDEYDMPPVPFKEDSGPVHVNGTPQSDNSVQFGRFRPESKASSHLSAGD ESMAFASRIMVAQRHYSALAQTLHVAGAGNSVSGGARDSIGVNTLVSVASGAATNKRA SQSSHLRSRSVTSTNAPDTPTSQERFNISPPPSFPLPPTPPNVRATRLAMLAHKKSFS SGQSFSFNAVDDINEIDALTAGVLPLLIPGLTLGDDIKIKNGDYAPPASYSKGKGRKA GKKLKEFGEDFSSPEVHSTPARTRLPRGRKESGHKRNHFSLPSLSLGKDHMHSLAAWS TDIRNALESKVGQYTAVPSNVDINRRNTVLGVETALSQLQVVEEEEEKFSKANLGRSM STRSLGLRADVPHNVDSDTARSSVISISNMPPSAASTVTLFEEFEAGLLAEPQGESTP HQSTLTKKSAPRQQRTSLLEKRRSAIRYIKSDNDQNQPTVQSSEQVIEEIENAGPSTI SSIAQWSSRAVQPLMPKVINQLARSPSDASSPKEGLRKLTLLQDRDSTSGPAKIKPLT LVGKRQKMRAAAQDENAAPGPAPAPRNKNHKALTLARSDTSKMRGILRKNEILPDVVV RPPSMSEHNAFSYSFRD JR316_0007918 MTSKATESLPVSSIPIFTTVADYRAWRNKAFADGKTVGFVPTMG ALHDGHLSLVRESLAHNDLTVVSIFVNPAQFAPHEDLATYPRTLPRDLELLEAEKVPS AASPSEVHTPSAVFVPTVSEMYPSGIVQNVAEQKGTFIEVKGYGHQMEGVSRPTFFRG VATVVTKLFNVVQPTNAYFGQKDIQQALLLKRLVRDLLLSHPEPSNLHIIPTTRDSTT GLALSSRNAYLSTDGYKVAPTLRQALQAAEAAWNAGHSKKKCIEAAIAVVESRKAQAQ TEGLDVTMKLDYLEMNNAHTFDILDHQLSKKDVDLVILSGALNVDKTRLIDNILLGDT QQVLG JR316_0007919 MTLDLSFGVTLSLDVVLTVVHVIAITLTAFRVYYRAVTQRYWWD DFVATMALVGDCVYLSALWFEYAKPNSVLQEHKVLVARYWLGLMLFLLVEWMTRISLA LAIARIFPPQQPTRRFAVGLALLSTAFCLAILTEAAVICAHQPALVSGPEAACQWPDS LRTLIVVANISSDSLLIATPLYKLWRVRLPRKQRRLILCGFTASALTTSATVACAVFL FAPESLEPGKTILRGKLSYFETGISLIACNLLVVMAYFYSVFHRGEDTELLEYTSETC ETRSVGTISHSNHMPSSVATPYTLTEITDQSTLEDIGCTGGSVLTSGRSTSEHRTDFS RSTH JR316_0007920 MASSQKPKLDPIGAATDNLPSPLVPDETPIASLATPDIPLSANP WNDSPAESSSVPSPKEKPAIAPLDPSLFEQPIFAGLNDGASTPEPKREVTKEVLSEFD PLASQEEKAAREAWETSESHPPPPPQPRTPTPPVPPLKDLYITSPTSPGPPTADSSGA TAITSPSSFPSFAAFAKSFSIPLRNRPLSLDASAKAVPSPSTISSFASQQAAPATQRP DGKAVASGSSTPNRTGSGSASPVPKASDGGFDFQKFLDQMKTKSADPVSKYLRSFLSN FAKRTFTVNDQMKIINDFLVFIAAQMRECDVWRNATETEFENAMEGMEKLVMNRLYDF TFTPQLVHANPPRPITTDDLERDRVLSQRIALFGWLEEKHLDVPEGEGSKGFLMFAEQ ELLKINHYKAPRDKLICILNCCKVIFGLIRHLHKEEGADSFVPILIFVVLKANPEHLL SNVEFINRFRNPSKLQSEAGYYLSSLMGAVSFIESMDHTSLSCITQEEFEKNVEEAIQ ALPPSEPQSPEVPFAEAKMRGLNTLPASPHAGEESAQPLAISTPTQTLSEDAKRLLQK TQVTISKPLNAIGRIFTEALDGAENKLSYLPGPFAPFELGREGRTDGPSGSGAPPVPQ WSHPNSWQDGPHSAQTPHTPYGDAPYSAAIQTPYKPRVRRMPSSPGNSPGYGPEETPS RMGPYTHHQLAMGPSQPVYQQQALQIPRVQSLADEGAHISRTPTPALDFAGVQAQIDT ATENAAAASRDTLRQIFPAVDVEVVEWVLEANEGDLGKSIEQLLDIGGGA JR316_0007921 MMAFYLHRFINTCLVFSLLNAIPVASILLTMPLRVVTTVYDYVI VGGGTAGLVLASRLTENSSVTVLVLEAGLNNQGITSLQVPFLAPTLAPQTAFDWNTST SIQPGLLDRTVPYIRGRVLGGSSSINFLFHQYCTSDDWNRFGNVGGDKGWRWANMAQY VTKHEKMVPPADNHNTTGQFDPTDHGTTGVLPVSLPGNNQSIDARVWATTQQLPEFFF NEDTAGGNHGLLGVGFIQSSAGGGIRSSSSNTYLANAINRPNLFVLVNATVLSLVQTG NSSTGAPSFRGVQFMASPIPPNTTIGPTQMVTARKDVILSAGTVGTTQLLQLSGIGNS SDLGRIRINTIIDNPSVGANLSDHILIPNVFEVNGNGTANALLRDANASSAALSQWLS KKTGPFANSVANNYGFLRFPANSTIFQTTPDPTPGHSSPHWEIIFSNLFFEPGVSIPA TRDFLTVVTALLSPTSRGTISLRNNDPFTPPIVDPQYMTTDFDVFAVRESIKGALRFV SAPAWSDYVVGPFGSAFAGATTDPLIESYARGVAGSAFHAVGTASMSPFNANWGVVNP DLTVKGADGLRIVDASVFPFVPSCHTQGPVYLLAERAADIIKAAG JR316_0007922 MTVGVLEFYSGIGGLHLALARSSVDAKVIQALDWDQTACNVYRA NHGNVARKVDISTLTATELSRLKADLWLLSPACQPYTVLNPNAKGADDPRAQSFIHLV KNVLPALASCDDHPRRLLVENVAGFEDSTTRQTLVTTLRSIGYNTVELLLTPLQFGIP NSRLRYYLLAKKAPLAFKHVSPGASNEVWRQIPGSGPAWSDSRLDIEKDSTIDLSDIL EIKSYLDKPSDEEKANENAVPDKILLKWGRLFDIVKPSSKRTCCFTRGYTQLVERAGS ILQENEQLDTTSTFDEFLEAQSSGHPNAVDILHPLKLRYFSPSELLRIFAFESIGTDA KFIWPDNVSRKTKYKLIGNSVNVKVVQELIEYLFDECESG JR316_0007923 MTKATFVASKLVFEDEEDPEYPPEFNGYDYDDSEDEFEDGDPAE KRPLYRFNAEENIWARHIVDSTITPHANPKLFAIATWNVDFSKNFLAPRLTTCLDHLQ SHIEPLLAEDPPIPTIILIQELDCNIFETLLKHPFIQKHYDLTNISPAAWRHSYGSVT LVPSSMQVTSAFRSIFTTSRMGRDALYIDISFPADDTDDVQGNPVKVVRVANVHLESL DGHGDIERPRQLAIVASHLNETGVYGGIVGGDMNPIGPRDAAVPAELGFTDGWTACHS QQLGSESASTGNAPENTRASKVDRGNEESHTWGYQPRGRFPPCRMDKVLSIGNVKVRT IERIGVGLKVDPSLVQGQGWIWASDHYGLLAKVEVV JR316_0007924 MGRALFSLAYATPAPVIRTEPEPEVDICERWSAWNRFDPDSDDF FEHAEYEAFVGPSRSAAQEAAMPPLVEADAQLDSPESTENSVNEQGSPMAVGSDDPAI LIADAYSIRATTWGNDEEDSTSSPTEAEWREADLAISSSPTDEHDQTSIPPFIPPVAF REASPALETVSLPSNDPPRSPTLRRAVNITPIIISRTQTEAIDRSPSPDSPSMPSTPP SGVAFPQALLTPSPPPSVTPRIYSWQRHSIPSLPSSPSLLRGNRDGLLTNPHARMSFA RIDSSPARIRIPSGVM JR316_0007925 MKFTASAVFFSLASLVASASISKRNCVPAAKFGALSAFPSMISP GETLNIAVNFNCGAQFGVSPQFLEYTLEVPENVNNGEQPILLAQRPFSIAPGTIQPSD NFALPLPHAFYTPGATYNLVLNVVYPTNGTDGSTVLLKGSTSVPMSISS JR316_0007926 MSVNQPQPQRVHEEFDFNDADVVFSSSDNVLFRLHRKNLELLGE IFPGGEFSADGEVVHLQEPSKRRKRLDDLGHVTLADVAEAAEKYRMYTAMDACEMRLE AILPVSPSLVLAHALKHNIPKLINASARQMCLWNVQNVFRGRVPNEYVVPWGEYHTCC QEILFDKLTNFVESHLNASKYDENDQACAITSAYWFTKKLRSRPTPVFTSIQDRLFWN ESLREHTELTPTCPYIPTAEAVSEGGPETCWIHYEIFDFLMGCIREVNGLSFTSFIEQ DRKRTMPMDWY JR316_0007927 MDAPAPEKRRLKVTVGALPSSKIASLAASHPFSQQIDVVFPSDP RLVEALRGLEISYVRAKARLVDILHHADVFATSASESDPVIVCSNEADEEDVWCVDPR GHLSLSVTAESYQRLGLLGQKLPFKNQSDRHVIDIPLRKNAQSAANQAKQKKSLENWD ERRGLWNVIYSANSTVSAPFQETTTVPVQCEVQEFKDILVPTPSLSVRPEKSSTQRSS GGQPTRDEIEDMIEDWDLRTQSLFEWVGMACLGAQRLRANDRVDPYVAVYEPLQPSQM QDVTHIRWRGLLSPGFVKRVIDTVCSGLQGTISTNASFIAITCQALSSSPVSYIPYTT SATGTLQTPPSVPLKLPRKEGEDTWSLIIERPVGNGDDVAMNGSNVADGPKWCLVESL GQWDARWG JR316_0007928 MTIPLNAPAVTASEIPRPKDVGVLAMEVYFPRRCISETDLEVFD GVSKGKYTIGLGQEYMAWPDDREDINSFALNAVSGLLEKFNIDPKSIGRIDVGTETII DKSKSVKTTIMDLFAESGNFDIEGIDSKNACYGGTAALFNAINWIESSSWDGRNAIVV AGDIAVYAEGPARPAGGAGACAILIGPNAPIVFDPVHGNYMANTYDFYKPQLSSEYPE VDGPVSVVTYVAALDAAYTAYREKLAKVAKRAHLNGTSTEKPSEVPFSLEDVDYAIFH SPYGKQAVKGHARMMFNDFLANPKAPRFANIPNPDAFLSATHAASLTDKNVEKVFINA SKESFATKTDPGMACSRRLGNMYTASLYGCLASLIASVEPQTLLGKRTSLFSFGSGCA SSVWTMRVKGDTTEIRDKMNLLPRLAAMKVVPPSEFVHALSLREKNHNASDYTPEGSV DDIWPGAYYLEHVDSKFRRKYVRAPLA JR316_0007929 MFSTIISLALAASTVFAAPAFPAAPAGLPFPTPGKLTCSISTAK MTLPAGQTALSAPTDPISSVILGVGIQNYTCTDAGTYASAGAVADLYDLSCLTKLGPI FNGVQDLAFAAWKIMPASIKTPGRTPLMGYGFLGSHFFVTSPSGTGISPVWDYRAGSA KGNSNAFVLAAKVGNTPAPTGKQDVDWLQLKSVQGSLATQIYRTDTRGGQPPASCKPG SAPISVKYVSKYWLFGGSVNV JR316_0007930 MSEKRTPSPTVESIEAAKGNLKVEVYNAHVDTSGVDERKLMRKI DLALIPWLSFLYLLSFLDRTSIGNAKLYNLEKDLHMTDNQYLLSLTIFFFSYAIFEVP SNVFLKRLRPSLWLSFLMFFWGVMMTLQGVVHNFSQLMGMRWLLGMFEAGLFPGVNYY LSCWYKRSEFGLRAAIFFSAATVSGAFGGLLAAAISNMEGIGGKPAWAWIFIIEGLIT VVAGAASFWIIQDFPDTAKFLTEAERTVVIRRLQSDNQFSAAGEEFKLKYVFASLKDW KTWLGMVVYMGVDMPLYAFSLFLPSIINQVRFTATPANLLTVPVYTFACIITCGVGFL ADRWGRRGYFNVGFTCLGAIGYIILIVSRNAALSYFAVYMATCGIYPVISNTISWVAN NVEGSYKRSVSMAMVISFGNINGAVSSNVYRARDKPWYPLGHGLVLMYIVFGILSSTL FIILLKRENARRDRGERNEYIEDQPETHDPANEKNGRYATVDDAKRAKGDKWSGYRYT I JR316_0007931 MANYLPVLITSISANATPDDSALVTLPRGQVDYLSHEWQEEDVW RSWRNMTRQKNEITNGVRLENASWRTWWKQRNKLKTISPETLNWLKDSDVTWLYGPLH TAVEWTPPPKPTPVPDTVDAANPASAHDRLDLSSSSASRRAPRPAPYKPILKHRSISE LLTSDLPPTSPIFSPVESEDEQSDTTKQNTQANRTDPTTLVSHSQFSSKLAGKRPTLT HTKSDTHITRWGPSRAFRKDSPPRIDPPGFDSSQAHGYFPPVSSSSNSPSSLSQPSTN GSIRASLSQDSNSSESGGNGGGVTSSGGDTRGGHHSHSHGTTTHKKKHISFNTFVEQC IAIEKPKKNASGYFGASAGAQIGWPAGRVNYADDDGYDEDEEDSEDEEDEIYAAGGSQ WHTPRQSGARAVRNDSDSPIEEEDDDDEEDDGIIEMRSSSSSYRARTPKPTPKKFPRS QSKVSTASSSSSTSNSSYGSGSNSNSTLDTTNSSPSGSLSPSRRTPSNNSYNRKSPNT SSSSTPNTNDIRTLNRRASNGSTSTYRPSSTRRGPPPLIRTTSDSHSHSHQQHRVNVT IAPIAPTILKTTGVWAENFGDDGASDDGFGFASGFPWTENRQHGYGRNGYHSDSGNYG SFGIGRGSSRGFYGGAEDETQESDGTPVELVYVPPLGSNYLLSLGVGDESPEYDHHHV QYGGFRGGKRGGYFEEDEDSGHVEQVEDVNAVYHHPPNAGMASVGFSVGGPSSSQRPV NGATAGAGSAPIPIHHSHSSSALNAHAHGGVPNVVVNPGGPLSSSVPNIVYRGARAIP RQQPEVEEEDAYDFFEGPDLGEDYYYARRGGRGYERERESDKAYAAAAAAAASTKSPT LTVPSNAGYGSSKSERDRDRGSDRRHSRSHHGSGFGGGGAGEERQSRSRSRSQSRTPS PAFTVSPTVGGTATPAPAPASASSSSSNPLLGVGTGVVVVGRRRSSSASSPSGPNTWI TGGGAPASNSAEQRLSPPARGRQQDLASSTTNRSSATSGQPARGRSSTRTSSSSSWER ERGSSAAGSLTGSPIGSLSPGPDGLGRAGSGVGIGAVLGGAAVLAGGGRADREREREK DKDRERERGRERRGRDRTSGKVLTASETESMASGSGSLGRDDTASVDSSSVSASFTGS VSGVGTESGSSGSRTVAPTAHMESSFYSTTSSSSSSTNTSNSTIMAAPATVVPSPSPA GDDEGVDVEMQFFRRAEEEMRRRSVPTPSNSPVVEMRRMAPNGVGDPPKSASTSTSNS NSSGGTTPTGATTPSPPLSTSNAEAPLFAPVPSTAAALAMKRAGSPGLNYGRSSASPV GGISASQPLSASVGSNSNPNSPSNKLRPPPLIPPPAGVAKPSTSFTAVSSPRSAGAVA GLSSPASASAVVSAPVSAPTAAAVARARSPPVSEKESSGTIVGKAVDMVSSAGAFLGW WSRDTTTATEGQ JR316_0007932 MVKRHKAATSLRHPSDTVSVVLSSALYLGPCSAASSESFLSTKS ITQVLSVGSTPSPKVEGVVYHRLSLSDSTTSSISNTIDAATEIIKAALQSNKGRGRIL VHCSAGVSRSPTIVCGYLMKEHNMSLKNALGLIVRARPQVSPNPGFLNQLKDLEVALF GSSSLDIDELPRREIDRLALFNDDGDNVQLSHTVNN JR316_0007933 MPNGNAPPVPTAPHLDHERLSKPIHEERPLKVICIGAAASGLLV AYKLQRSFENYELIVYDKNDDIGGTWYENKYPGCSCDVAAHTYTWSFEPNPNWSSVYA GSKEIYEYFKGIAKKYDLEKYCKLSHRVQKAVWNVEKGRWEVEVQNLVDGTIIQDSCD MIINAGGLLNTWKLPDIEGINDFKGPLLHTAHWDTSVDLEGKRVGIIGNGSSAIQVVP AIAPQVDKIINFIRRPTWITPMNVEQHIYTEEERRAFEDPETLLKYRKELETAFSSIW PLFYADSDSQKGIFAAVVTEMKEKLRDEKLRELLIPTWAVGCRRITPGTGYLEALSSD KVEVVFGEVSKITETGCIGGDGVEHPLDVLICATGFDTSNRPQFPVIGIEGKDLNDIW AKESESYWGIATHGFPNYFMVGGPSTPVANGPVLIALEAQIDYALKMIDRWQTENIHH VQPKLEAVREFNEHKNKFMERMVWSQDCRSWYKNNSVTGKVTVIWPGSTLQYLEAMAE PRYEDWDIQYTGNRFAWLGNGYSQTEMDSTADWAYYLRYQDDSPFQSRGKRRKAMTRK KVDKSEMSDVF JR316_0007934 MATMLETPSRIWRRIEAIEDEDMPSLPSLPPFEHSGIIEETTRE PSDQPDSDDQFDEDFESETSPLQSTPASTQHTITSALRAPSSTSSTARFAHSIASRSN RSVNNMTASRGMSSRRSHHDSFDIPSLPRIQPNSAPTTRQDESDGGEEESKSSVPEVY LPPEGDADDHEQEYSLTDALQSISRSSTPPISAQVGRENTPKKNYDYSVSLKSEPKAS PFEKYRNVALRRTNPTSARIRTPSLSRTSSSQTTSPANSTPQSNRSGQLPRSQTASPI SARGIPLPRSTTASPATHIRSPEGDEDQSIEAPNFSNDTNDNSARSMDITDVHVSPPR VDGEDGQTTEESESQQESHQDLGTYTEGSHDGHEPTFSSEGEPTPHANNSATAQNKSA FSSPSQSVAFTPTPAFPRPRARFDLPPPPSDLLTTPASRNPEEDEDYEPHGDLLTPHT RRRSFLLSVINSTARPRMKVPTPHPKRFGSPLASAEESTPGPSSSASPGTSLQSAFAG VTPRPRMAVVRRMSHPLSQAVTASSGSSDGARNPASWTTPAQASPYDGVADRASFIST ASSHDLTTHQRANTSFDPAMGFGSGAPGHGVGRFNAGKLNTYLHGLNRRLQEENEILI EKMRKMEEEKKTEPSSSSAPGDTSRRLSAASRRASGVGTSLANVQDVPEEGWLEEKAE LEDMVEAFKIEVTNCMAEKEEVEQALEREKAERERDIVRWKERMSEVEQGVSGIVQGL EQKVIEAEERVKTVEQEAERRIKDVEKALAEAQGERDVATERASKAERVLESGKELGG ALKEANDRVAQVMGDLRNANAQIKELEDEVMHSDARIDELEKEAKEDKEIISGLETEL TSTSDALAAERAKSKQLEDTIRRLDEELRSTKAYVDELEEGAGDAMQKIEQLEGELEL AKENMKVMATSEEQASQDVKSLQNELIKAQETARQMEDALEDAEQKMMKDEEALAELR SKVATLERERQREASNTSRDVSRGPVEAGPTEEEYQELEKELDDAEREIARLNALLNQ SPARKAMDKAKDTKIELLEREKEELLERNRALRMTFNEMHTPNKLINASGISPIHRQV LSMSIRAPRTPGGPLRDMSWLHTANSETSVTPLVSEINRLQGELDRANESIDDKLDKL EDAGMGVVGLTKKLEDARAKIVTLEDEIARLSRKEDRRVRSLARVRCQNCDVKVDLRH LTKDNDNSLDVHEDQLLAQPPTKTSEALKANLESVNKHLEELKSQWEAEKRVLLGEKA VLEDAANRLNSQVKNTKEEARKIAEQTRAGEKLRANVENELERAKRTISVLENELGSE RARLRSMVTEQERIQREKKQILTDLTRTESDMEEVKQQLQRFKKENHELEKELRENAN IEQKARLLETRVVENAETIEQLRQERALLAADHKELQRRFAEASETANNLRNEYVAHS TSHDNRRHELDLHRLEIEDLRRALDERVTDLHRVEKEKEKIASEKSDVARTVASLEAD LRRVRKDAEAFGHDLRQLRGEKERLEVKSKEELSKAERAKKQMQTQIRLLTEQLDGQK EKTLHALDQLKSHVCSADATQLSELKLRHNKECKGLMVQIRYLKAKCTRESSFRDGLT YQKKYLLELLAAFEKSERTIFASIARIGFPVANPPPKRKVHKLKSVARMVIFLSRIR JR316_0007935 MLQRTSIQSIRISQLFRSSSCYKRQPCIRQAFARSCFTIKYLGI SATTRSFSSTRLQHNAPIPDAPKKSGIRENIYTLPNLLTLSRICSCPILAWSIVDGNY YLATGLLAYAGLTDAVDGYLARRYNMSSVLGTVLDPAADKILMTTLVLSLTHQDLIPV PLAVIILGRDVLLSLSAFYIRYSTLPPPKTWVRYWDVSLPSAEVRPTYISKVNTTLQL VLMGVTTVNPILPFDLGLALQGLQYIVATTTIWSGFSYLVTKNAFRVVSQTKNKTPNS P JR316_0007936 MRDGPRTSLDLTHVLYDDSSYFSLALALVTLSPILLMASYAALA VQTREFIIIVMWAGQFAGELLNWIIKRIIKQERPIESIGNGYGFPSSHSQYMAYFASF LIAHLYSRHRFSSTGSKIVDFIWRMVVYAALLGWAGLVAYSRYYLGYHSAHQIIWGLA IGFVLGSTVYILSEAIPTRYPNSWLGRLKASILDNPIVVWLQIRDGWAIWADGGREGE WVRWRREWEAQKKTSKPKSR JR316_0007937 MASPLLLAQQFLSAVTTSGISDQYSGCINSTGSNSTLSSDATQT PGIPTDISSLIGFLVSFSALRDWLKLIVFGSALESCRRLCLYLYTKLYNSFFITARFE EDDSSYDWMMVWLSKQPSWKTARDVQISTRTFNLSSNAVMIEGEDEDPNSIAHGNRPL AYLPSESTVHSFWYKRRWVRVTRVIRQGYYNRREEVIELCIMSTTHKVLNELLIEAKK TYQEAQQNTISIYSSDCNNNWNHIASRPKRPLTSIVLDPGVKDLLIDDARDFMASKSW YSARGIPFRRGYLLYGAPGSGKTSIIHSLAGELGLDVYIISLSRSLLDDTALSDLISN LPEKCIALMEDIDAAFNQTLHRDLDPEDDKENPEEDDEKKSQASTPFAPTTSRVTLSG LLNALDGVGAQEGRILFATTNKYSALDPALCRPGRMDIHIHFKLASKFQARELYRCFY LPDSEKEEREENEKKAIDIKAKPADDSGYTSVDEKTTLLSSSSSSDAGSTVSSDPEPV IFNGSSHRARGPQLSAAEVAALAMQFAEIIPEREFSMAALQGYLMAYKVRPFEAIKDA PAWIEKERHEAAKKAAKASKKTTPSDNV JR316_0007938 MANDSIPLSSTPTPLSAHHRHDKPIHYERPVKVICIGAGASGLL LAYKLQRSFENFDLVLYEKNDGVSGTWYENRYPGCACDIAAHVYTWSFEPNPSWSSVY AGAEEVYHYFRHFSEKYDLGKYIELRHKVTKAVWDAKKGRWTVEITNLADGSIIRDTC DILVNAGGVLNAWKWPEISGIETYKGKLVHTANWDASIDLRGKHVGVIGNGSSGIQVL PAISSQASKITAFLRGPAWVFPAQSIDQRVYSDEERRVFETDPNALLQYRKEMESTLN SFFTMFIADSDVQKATFEATVGMMKEKVPDENLQKLIIPSWSVGCRRITPGINYLETL TSEKVDLVFGTIKEITEKGCIGQDGQEHPVDVLICATGFDTSYVPRFPIIGSNGIDLR EAWSKESKSYLGMAAHGFPNYLMFVGPNSPVGNGPVLVAIEAQADYICKLIDRWQTEN IHSFAPKLEAVEDFVDYKDEFMKSTVWHQECRSWYKADSATGKVTALWPGSSMHFQEA IAEPRYDDWDIKYTGNRFAFLGNGYSRTETDTTADWSYYIKNEDDSPYLSRGKRRKVY SKSGTVNREAAAEALML JR316_0007939 MGSNRVGSVFLGDFQRHRHLSSSTHSNSPPSSPPPTSAGGSSGN TIAGVFSFHQPQHQQLATAAEEIDNIDMEFDGNKNAAPPAQRQGVSDGSAHSGIEHIK PGKVDPVLALELRLRWLEALILGMKHQDSVASLGRKGKAREEFAGTANLKNGETLMRL TKNVESGLGKVVEGNDGLRKFMSNYDQNAHLLTPSFALSGILPDPPTYDDMTAEELNA LLVEMEPDIRSADRDMLEIDALEKKGVTGAGSLPEYERLEPRLKSLLEAYEEDAKLAA SLEMRISSLVERHTTYVDTLSELFVAWDDTLTEAEDKLAVKEREKAERLRLGFE JR316_0007940 MSSFLNNILARSPLSASFPQSDSPSPSSKPLMDDEYAKKLTSAM PESEKCELRVEGMTCGACVEAIEGMLRDQKGIHSVKVALLAERAVIEYDPLHWTVVKL VNEISDIGFDATLIPPARADTVQLKIYGMTCAACTNSIETGLSALPGITGVAVSLATE TCVVNFDRAIITPREMVGHIEDMGFDAILSDQQDATQLQSLTRMKEVLEWRSRFIWSL AFAVPVFFLHMIGPRIPGVRDVLAYRLFSAIYLGDVLAFIITTPSQFWIGARFYRNAY KSLKHGSATMDVLIVLGTSAAYFYSVFSMLAAMFNTTPDYRPHLFFETSTMLFMFVSL GRYLENKAKGRTSAALTDLMSLAPSMATIYTDAPACTQEKRIPTELVEVGDTVKLVPG DKIPADGNVIRGSSSVDESAITGEALPVTKLVGDSVIGGTVNGLGTFDMVVTRAGKDT ALSQIVRLVEEAQTSKAPIQAFADKVAGHFVPTVISLSALTLLAWLLLSYFVDDDHLP KMFHMHGTSKLAVCLQMCISVIVVACPCALGLATPTAIMVGTGIGAKNGILIKGGRAL EASKSIKHVLVDKTGTVTVGKLTVVGMHWVPAPGLISNTELYAGDTDLEGFCADGVTS RKQIIAMVSATEARSEHPLAKAIATYGKELLGTSGPQADVQGFESVTGQGVRAKITCQ GKSATLLVGSAAFVTMSGEGSLLDANRDYAHQYIPSSLLAYEEQETSVGRTIIFVSLV PNSGKAVHPQPLLAVSLADAPKPSSRHAIMALEKMGIEVGMLTGDGKSTAIAIAKQVG IRPENVTAGMSPKGKASIVTEMMERYGGGIAMVGDGINDSPALVAATVGIALSSGTSV AIEAADIVLMRSDLLDVVAALHLSRKIFSVIRRNLIWACIYNVLGIPLAMGFFLPFGL YMHPMLAGAAMAFSSVSVVCSSLTLKWWTRPMDSVMPEERLARFGSRGGVALAATPTA GLATADSGAGWTGMFMDSAASVLDTARVRAFGNLFSAGVRQPEAYGYSQLPVEMEQTR NQGQTGLDRSPV JR316_0007941 MANDGTPALPQGSASDTQYRYSQPIHHERRLKVICIGAGASGLL LAYKLQRSFRNFELVIYEKNDDISGTWHENKYPGCACDVAAHIYTWSFEPNPHWSSVY SGSEEIQRYFQNFSKKYELEKYIKLKHQVSKATWDDKKGGWDVEIANLSNGNVIQDSC DILVNAAGVLNAWKWPDIPGLHKYKGELLHTARWDTTVDLNGKHVGLIGNGSSAIQVL PAISSQASKITTFIRGPTWVFPSQSIEQRAYSQEELTTFETNPDAHIEYRKELESSLN ALFPMFIADSDVQKATFSATVATMKEKLQNDALEKIVIPSWAVGCRRMTPGINYLETL VSKKVDVVHGNITEITEKGCVGENGQEHAIDVLICATGFDTSYVPRFPIIGTQGDLRE AWAKESKSYLGLAASGFPNYFMFIGPNSPIGNGPVLISIEAQADYICKLMDRWQTENI HSFSPKLEAVEDFIEHKDNFMKDTVWQQECRSWYKANSVTGKVTALWPGSTMHYLEAI AEPRYDDWDVKYNGNRFAFLGNGYSRTEVDTTADWAYYIRNHDDSPHLSRSKRRELYS KSGTIERAVERGAILL JR316_0007942 MTSILRRTRNASKWTSSLRFNHHSQGVRTIHSASASTTGTNSVN TDEIAHFSKLSSEWWDEKGEFNFLHKMNPVRIQFIREKMLEVAQAENPDLDSGDMLTG LDVLDVGCGGGLLSESLARLGAKTTGIDASESNIAIASLHAAADPKLSPEGTTPNLSY LHTSAENLLPNPKRYDVVCSMEVLEHVDNPATFLATCAELLKPGGHLFLSTISRTPLA YALTIFMAEDVLRQVSQGTHTYSKYIKPSELVQFFRDYPQQDKSNPLSGSSSSRPWIS TPSGSNYLPRMEAELRGLIYNPFQARWHLAPKDAWGALECNYIFWVRKPMES JR316_0007943 MRKQLDPRIPILINNNVKKNHRSFIVLVGDKGRDQIVNLHFLLS QARVSARPSVLWCYKKELGFTSHRKKREAKIKRDVKRGIREANEQDPFEIFVTVTDIR YTYYKESHKILGNTYGMCVLQDFEAITPNLLARTIETVEGGGLVVLLLKTMTSLRQLY TMTMDVHSRYRTSSHDSVVARFNERFILSLGSCPDCLVLDDELNVLPISRGKDIKPIE DTPGKNKESDSAETQLVELRESLADVKPAGELAKLAKTIDQAQAILTFIDAIAEKTLS STVTLTAARGRGKSAALGLAIAAALAHGYANIFVTSPSPENLKTLFEFVFKGLDALGY EEHLDYDIAVAQNLGENKEESSKSVVRVNVFRDHRQTIQYIQPQDAHVLGQAELVIID EAAAIPLPLVRNLIGPYLVFMASTINGYEGTGRSLSLKLIQQLRESTRPSLTKDAGHN DKEESATASSSKKPTIKAAPKSRTLREIKLETPIRYSAGDQIEKWLNGLLCLDATILP RSSAQGCPHPSQCELFYVSRDTLFSYHPASELFLQRMMALYVASHYKNQPNDLQLLSD APAHHLFVLLPPIKDDETHLPEPLVVLQVALEGQISKEAIMEGLGRGMRAGGDMIPWL ISQQFQENAFAKLSGARVVRVACHPDYANMGYGSRALKALNSFYSGEYFNLDESAKPE THYPDPSAVEPGVDLLTENPTVRAPSAMPPLLQRLTERKPENLDYLGVSYGLTPQLLR FWKRAGYVPLYVRQTASELTGEHTCVMVRGLNSSVESEMAWLHEFAKDFRRRFLTLLS YKFREFGSVTSLSILEAANAGIKDDANDTVLTPQELAIRFTPFDLKRLESYANNMLDY HVILDLMPSIADLYFQKRLGAPATADEAQTTEGPVRLTAVQSSILLAIGLQRKTIEDL EVELQLPAAQTLALFAKMIRKISKRLIDIRKAAISAELPQAKVTPLGDSTRLEDMEGV ATTMLDELDEAGNEVTTALKERQREMINALDLKKYAINDSGADWSLAEAQIANPGKST TVSVKSTVTVGQKRKAEEGAPASNAGGPKEKKNRRSMKKSKQ JR316_0007944 MKNIYCVFLLLGAFFAGISATVFQNIGQLSTTSFDFIVVGGGTA GPVVANRLSENPNFHVLVIEAGPTNEGVLNAMIPSFSVQLQQTTFDWNYTTVPGIGLN NRTLAYPRGRILGGCSSHNAMFYTRGSMDDYDRWANITGDDGWNWKNILPYILKARLF IYNEKWTPPSDEHNTTGEFNPVVHGFNGLMFTSLPGSPQTIDNMILEVPDQLPDQFPF LLDMNAGKPLGLGWFQGTIGNGTRSSAATAYLSTAFTNRPNLHVLLNTKVKRISGSKI HGIPALNNVEIDGSQLTLTAEKEVILSAGPINTPQILMNSGIGDRNVLAKLQIPTVLH LPSVGQNLTDQPITTVLYSVNSNKPLDNLDTNATLQAQALAQWEFNRTGPLVDPGPNF IAWSRLSSNSAIIKEFGDPSAGQNTPHLELAPFSAFSIASQPGHTGGMAIAVVTPKSR GSVTLNSTDPFGKPIIDLGFFTDEFDIRAMIEAIKLSQVFYQAPVWKDYIISQVAPAV NATDAELEQYIRSTSATTLHGVGTAAMSAPDADYGVVNPNLLVKGASGLRIVDASIMP FINSGHPQAPVYAIAERASDLIKSCNLHIDMTIIKIQALAAAFSNF JR316_0007945 MFNAPRPAQRYTGSTSNGFGGSFVDENPLSSSVYDDGLDPWSAA PSPSPTPIPQAPSSVFNAVIADATVPSIYHRAYASVDPANTGETSVNALSRVLSTSSL PASTIDRIVNLVSSRPRVSRLEFFVALALVALAQSGKDVSIEQVAALSSQNTLPEPTL DLQALSTITSPASIPRRNTNQTIRATLPAYSSDDPWNSNPRFPANTGNTSFGGAIDGL PTGQPSGLAGTGLPSEWWRKEENIKITILGQQGFILNRYTVYEIQSDRRQTAVARRYS EFTFLWDVLVRRYPFRLFPALPPKRVGADEQFLEQRRRGLTRALNFVVNHPIIKEDGV LAVFLSEPSFETWRKQSAISLEEESASRRVDRIEEMTIPSDLEDKLAVVRGKISPLIE QWQRICILAERIIKRREAAAVRTPALRRAFIRTHLTLPELSPSSTSSSLPPGSPRSMT ASLSQSFLGFNTNPNVLSSDNQGDLARLTNTLRVVVEVNETCWRGDDCELSNGVRSGL SQLAAHTQRHSEISELRSRSLQDTTLESLKAQRDLYLATRDLFIRHDRLSLDQVERLK KRIETTSVKLDGIRAAQKENWQEEAEKLTAMIEKDQATIAAQLSRRVFIRACMWHELR VVLHNRENALLTQAVKEFAHEEHEYAENVANNWESLSEAVESMPFE JR316_0007946 MQALDVLCPELYDYIIDFLHDDDAALRACALVCRAWLPSSRCHL FYRLKLSGSGPSPISSSWANNTACRRIYGTLLSSPHLAAYVNELSIQEHNVLRPSTYR WVSEEITFPSLLKKLVNIRALEFNFPPPNSGAIKTAWSTMTFREISEAMSSMSLETLA LRQFSFSSLPDFVKILDSCRQIKTLQLDHVDIATATHLSPSALDHVLNLNLPDSISHT AETNTVLETLILRSNSLALIIPVLLRTNSFLNLSSLQHLIMNVTPDSYTNILELLEII PNLQHLELDIDHDFDYDAHLAHKDTLSLTYLPALKYLSLHTHILLGRMEPVSWLLAMF STAGPAPSQLVDFALTCTVDKPPPSLTVQAFDSVLAGWHNLDNLLTQPTFDAMKRFRL DFALDGPIGDESVKSVSLEFIKQLRNLRTKGLLEVDICEV JR316_0007947 MTKHSKSPTAAYKASGGFETSTGTLYVSKPYRSKASKKLRALIT FAPRKSTFDITNDRSSSNEFRTYVRSIETNGHALNLHFATMFSQDAVTLALSDAVLVL STGICVPFAKALKRGWIRYYWTGVILQHLLQTTILFTAIYWTFNRDWPWVQSGYLTLH SLVMIMKMHSYITVNGQLHSVSEQANDLLASMRTATKAVGGWEEAMAVAQAKQRELEA PQNSSSSQLSTPPNGNSSTSESTPNGTPDVPEGMSTSYVDVKTANALRKRLAAVAAQS QSAPQDSDVGVRTVHNLNKDSSPLKDASINGTSTFIVEADDLPEGLHPHPLVYHPDPQ ISDMAKDYSELQSELLSSGPTYVRWPDNITWKNFAVYQLIPTLVYELEYPRTDRIRPL YVFEKTVATFGTFALLYTVTESFILPYTPRADQSFLRSLLDLALPFMIAYILLFFIIF ECICNGFAELSYFADRQFYEDWSVLTLHRWNSTSWDEFSRKWNKPVHTFLLRHVYAAT IMSYRFSRSTAMFVTFLLSACAHELVMVVVTRKVRMYLFTLQLIQIPLIVIGRTPAIK RNKLMGNVVFWLGLYAGFPLLCVAYVAY JR316_0007948 MPVASSSQSAQAGAVPSAQEENAELAKFRAEWLEELQRRKDEAS GASKNSAPTGSTSSTQVNRAGQPTTGVLQFDTFKIQENLAVSAARDTAATTPPALTHP AVRDGAIQPPPQTFPILEKALKAYRQAVDYEQKGNYDEALVLYRQAFRLDDNVDRAYR REEKLKAIVREQQALQAPKPATEIDELAENFKEGARITSPPILKKGHGITGTIASIVA PFLENALQFEPEKEGEPVLLNMLPEELLVVILGNLDPTSIERFAKVSKKARVVSLDPG IWRKLVQRTYLPPQIPDYDVIVPVIEKFSWDFRRVYIEQPRLRLDGVYIATCHYVRPG LSENSWVNTSHLITYHRYLRFYPNGLVLSLLANEEHSPQSIIPLLKPTLRMNGLFSGQ WTLNGTIVQLTNLLDASGRFSTSLPSSDGVLSGGVSRVSGHSHGNANSTSDRSDQEPR YTFSMTLNLRSRPLGRWNRMDIESYDSVNIETGDVYPIMLKHERPFWFSKVRSYS JR316_0007949 MEGNKDEAVRCLAIARKHYEAGNWSAARKFTSKSISLFSLPEAT ALLAKINASAAKEEETPSASTNSTTEEHPSAAGIKHRHTSAQPKAGQAAGTAGGMGGE KRDYTPEQLAVVKRVRSCKVTEYYEILAVQKGCDEAEIKKAYRKLALALHPDKNGAPG ADEAFKLVSKAFQVLSDPQKRAVFDSGTDPEDRFGGMPSRSPGFASGGGARFDGELSP EDLFNMFFGGTGGAFGPGFGQGFQTFGGGPTVFTFGGNGFRTQTFTRGAPRTGENANA EPRSLFVQLLPLLMLIGFSLLSSLPNLFTTPPTPDPRFAFSPAGKYSTEMETGGLGVR YFVNPTEFTQHPVIGAEFAKEGVKVGRVVEEPVNDDSPDAEGQKDSTEKGTKSKSKKK QKLQKVVKGKGKKRGPALTRFEDTVDQTYTQDLYARCRAGLNRKEQRKDAEIGIFGFG TDWEKVKKIQDEVIESCEELKRLGVWNGSNR JR316_0007950 MAVTIADIIASSPPIGPPDISLSSTTTLNKPWPSLLSPANSENA FGSTLAAPLALVSLQDTLTVERQENFYIKLEKERAAAAAASS JR316_0007951 MEDPSGSGGWRFAQCFGDKGEVEDITEADIISTVEFDSTGNYLA TGDKGGRVVLFERNEMKKGCEYKFYTEFQSHEPEFDYLKSLEIEEKINKIKWCKRQNS AHFLLSTNDKTIKLWKVFEKSLRVVSESNHFDGQQPIAAPSSTSHLRLPRMTQQDNII AAVPRKVYSNAHAYHIHSISVNSDQETYISADDLRINLWNLNTSDQSFNIVDIKPVNM EELTEVITATEFHPTQCNLFMYSSSKSNIKLADMRDSALCDRHAKCFEEEEDPTTRSF FSEIISSISDVKFSHDGRYILSRDYLSLKIWDINMESKPVKTIPIHDHLRGKLCDLYE NDCIFDKFECIWGGDDKHVLTGSYHNYFRIYDTDTMNDVVLQADKSAFKAKKIGGPLP GGKPGMKNGPRPGGLRDAMAMDALDFNKKILHASWHPRENTIAIAATNNLFLYSAA JR316_0007952 MDQESFRRLLQTPRPGGSSTLGSASSSRSSLLPPPKKKIAEDAS QPAFKPRTVKKKAPDAKTSKYRDRAAERRVGGGNDYAHVEAVLEDFERKNADEDKAKV EEQRKYLGGDSEHSILVKGLDFALLEQNKARSVMTNEDLDALDQAFLESSSHPPTTVP KKRTREELLRELKEQRAGSKSSNNFADGAADEEARLLEQAKLKGKFKPIGQPEVKKKK KLKSDGAEGEKKKKKRKVDNEDSTLSKANAPTGSSMPPPPDPVKAGSEPAKVDTTSVP AQEEVIDEDFDIFAGAGDYEGIDLGDDDEESETEANKPKSDNNPEIPRGKSPVPDGPR RWIETDDVELPTKPSIPLPTSITKQASSSRSSPPRHGDLSDDEDMEGDQPMRLVPLSS SALPSIKEFLAMDKAASSRDKKKKRKDKKNDGDDRDEESSKKSLEAKVDRDYQRLKSF TDKKAAADKK JR316_0007953 MTSTASGIQTTLPTDIHHRANATNGNPKKLVVTEAGREVDKRLD KHETYEFGGPLGVCAIMTGFPILMYYLWICLWFYDGSLVHPTSVDDIKPFLWRMWGHI AKDASPNLYAWKVYSGNFFFQLFIAWLLPGYQQDGLPVPSLGYKTLRYNCNALACLYT TLITAAILHYYHIFRLTEIIDNYGHLMTVSMFYGFGVSLITYLYAVLTNTQIRMSGNF FYDYFMGACLNPRLGTIDLKMWAEVRIPWVIVFFLSVSGACKQYEQLGYVTPNMAFMI LATGFYINACAKGEECIPQTWDMFHEKWGFMVIFWNFSGVPFSYVYSVVYMASHDPST YRFSTGGYIFIYTVLCTAYYIWDTAMAQKSHFKMQTQGITEFRKTFPQLPWNTVKNPT FIETAHGNRLLTSGWWAYSRKPNYVADWTMSLTWGAIIGTASIIPYFYSIFFIVVLLH RCTRDFERCSIKYGKDWERYCQIVKYKYIPGIY JR316_0007954 MASSVFYKFNSRKDESRVSFDGTGISVFDLKREIILANNMGKAN DFDLYVYDATSKQEFKDDAQIIPRSSSVIVKRLPAARPGKGKASLYVNGGGPPPIPTS EPVSRGGSAPGGITWHKGSMSKRFDGKEEPTTVSPSKQSTPTPTPVVASHITKEDEAA AMAAMFQAQTQNWEETQEKMSQLVLPFRGFNRGGKPFQPHQSHSDKPLPPSYVCYRCG QKGHWIQDCPTNSDREFDNRPRIKRTTGIPRSMLKAVENPNSSELTQGVMVTPDGGYV VAQPDVASWQKKVARVKGLTALEVREKVPSDATLACPIDNKLFRDAVKTPCCNKAYCE ECIQTYLLERDFVCPNCQKKIPSLDKLAVDKPTRTKVTDYIEKAIEESRKEGGEDGSA AKSDANGTQTGASDDANLGEQDIYSDQQPDLNMDMSQMLVDQIPQLQAQIAQISQALQ NPNLPRAVRQQTELQHQQLQMQLAHAQAVAQTLALASSYQQQQVQVQQAAAAAVAAAA AGVGVPGMGGFAALGGYQQQQQQQQWNQFGGMGGQDSAYQRLPVNNRRRNLKRDRPAD FFETTNPDGSKVPRYWE JR316_0007955 MDGFDDLLAPSRHALEDNPFADPFAKPRSNSPDPWATPFATENA FADSTSPFTTEDPPSTIPDHSDPLDSAAHHSDDDDESRSNPRSPGFRESIPTTSFSET ATIRPDNLEEHTTHDSTNPSDIASPAPPLSPTQSSSAPPAPSSSSAAFRTPLDAPLER SVAALSLGGEALGGWQTEHEQTPWQSESFPTPTAAPPAPAKPQDDDDSDDDKPIMQAY QKQHPEATTPTTSSAKPPANGLQPVFTITVDDPQKVGDPIRSFTMYTVHTRTTSPLFA KSSFSVLRRYSDFLWLYETLSANNPGVVVPPVPEKNTFGRFDDQFVRQRRVALEKCIT KTANHPVLGKDPDLKMFLESDSFALDIKHRKAEIASEKGGLIASIGQSITGPRFYETD EWFDRQKVYLDGLESQLRGLVKSIELVSKHRVELATATGEFAQNVTELSESDVGKQLA HSLAGLADIERAAQDLQSAQAEHDLTTLMATVDEYARLINSVRLAFSSRIRVYHAWKN QENELLRTKQTHEKNRAQGKIPTDRLGYSLTQIADAERRASDAKLEYEQVSKLVKTEM ARFEQERIEDFKESLQAFLEGMISRQKELIAAWVNYQQMLLKKVGGGGGGGAGAAQAQ AQAQA JR316_0007956 MDNSSSSSSTSSSSSSSSSSSTTTLSSSSVSSSTTPPPPSSSSS SSTTPPPSSSSSSSQPPPPPPPQSSSSSLPVSSSSTQPPPPPPPSSSSRPPPSPSPSP DPPPPTPQVIISTFSTRVVITTTDSRGFVSTTAPLVITETSTSTLQDGSLVTVTQTYS NPTLSPDNNNSKGNSFFSNTGAVAAVFVLVGLAAAAIILYLLFALRRRRRTLRLEHDT AVSATLAAAGFHRTPLDDDDDPAAGARHSRLYSGVGSSIDDPFQARAILQRRSSSGLA MSSVPSASAGRTSAYLDDNGDFNPYASGDYILPAAARDGANYLNNGTQAPPNAALVGG MRRDRSNSSGLAEMSAHTHNYSNSGSFEPLLASYYRRSMGTGNPPSPVLPLVPLGASA GPDPAPDVGQEPNTVRFPPAYSSSHGHEQPSVEPLVQISGDDNGNDNADKDAASSVYS SNSLLVDERLDPGLRLRQRLQRDTSTRDLRDEEDYSRPVLGVRNLPDAASQVSA JR316_0007957 MSAQTAPQFAFLSQPPPPLHKKRPTPLPSPPGYPLPPIPVQATP VTRRRSSTFSSIAAWAAHVQPGSPAPRSPHRRLSTTSARRPSLTRLARRPSITHARAP SGSFAHIIDIDALDTPSAASNFELDLTAHGYTSLFVRLPKTPTTPSPLLRAYNAQHAK PAAAPAKAPLSASFAIANIPIPPVPQEPKRAPAHSKGGMKRFRSLTILRPKAKQAPAP AQPTTPAATSSQRAASIAQRKRSKYAHVRPPPPLANELALMQFADGGSLDANIKRVME AQAKATAGHSAAPGGVGDVYRDAQGGVWWDADEEMEYAHLLEGDGDVGMDMDMVDMHW EEDFDMVDASEPVAAHIAAPATNPAANSTADKENLPVSLSRRTSLTSEDSDLDPKYLL PLPENEDPRLAPPDDRVLVSTTSKTNAKMSVLSLPARPRRRAAHLCKTGFLVDGVAWE LPGPVPSSPRSPRSPTRSVGGMGVGQARPKGKARRRPAPLKLASSNITSSPVSRRPAT PAAAAKPTVPSPQRLRNEFIADSFEPVPALPASLPVQHTSTTAVPASPVVSTISKATR HAKVRGFFGMGRKVGE JR316_0007958 MSSSRTQKSQERLGGRGLPHQSSRHNLSASGPATVSTLKIEAAL RNHYKKNLRNEHLMKDLESRLIEDLSNFRAVDNLINEVYTDLQRTQLRAQTSLTQQVP QIKKELENAMDTLTDLGETLPIIDAEVSDIREVYDSGRVKAQTLVADLTWLNTEFYER WRSIIFTSSSPVSWRWKLYLRTLFVFSFVVCSWLFYISLTGAYRAHRHRLVWGEKLMS JR316_0007959 MCFWLCISTGTKLNKRLSVRVLSRETDTLHDTQSLFYPDDYRKL VEFRGRLVAMKISSIWIFTLPIIAICSASAFPVNATTALDLLDHNAREVLRRATPAAP HFVVYADSYDPSTTGPPAASAIKGFNVFALSFLLIEGAWDKAYEWTTFTDAQRTTIKS QYASAGIKLVVSVFGSTDVPTSTNADPIATANTMAAWVKQYQLDGIDVDYEDFNAFAA GDGKAEAWLISFTKQLRTQLPQGTYLLTHAQGASEYTTCANLLTTSSNTWPKTAVFQI AANGVPLEKIVIGKPATSSDASNGFMSTSTLASCLQQAKNNGWTGGAMVWQYPKATSS WITAVRSLSWPV JR316_0007960 MFAGILSLVGVNGYPLEPHGHNMSQDAVGKFISEAKNGVPAAPH FVIYSDAPVSGETGPPPVSQIQGFNVFALSFLLTNGAFDKALEWTKLSDSQRTTIKSQ YAAAGIKLIVSVFGSTDAPTTKGADPVATANTMAAWVKKYQLDGVDVDYEDFDAINAG NGKGELLHHGKYYELPGINSYNEYQQVLSWKVWRRRLSEKGSSEYTTCTGLLTASSST WPQSSLFQISANGVPLSKLVIGKPALTSDASNGFMSTSTLASCVSQAKAKGWSAGVMV WEFPDAAASWINAVRAQAFPE JR316_0007961 MFKGNLWSSILLVLGLVAVLVFSSPLEDPGTNAQRLARGLSPNP PKFLRDKVIARRATTPTPRLDARQGTPSVGPSVTITGRLQVRNPQDGNILGYVRNWSG GGTIAGINALGPEEDLIVKMTFHRSDPTQINILATVSSFPNLEINFDLGFEFVKNPAF PPPFYVGAGTFDAFTVPTLAAGSRETVPFTNVEKTPKGSPPKVPARQAFVESAIWTID KKTHELHAQWINEDGSRPPTILAYDIRENQLFFVGDIAAYNLNNDLPASAVQLFIETL SH JR316_0007962 MATAVLPNPKPSAPFHRSPRVAASSPIPSSSSSHSPDSPAPSPE PSTKAFRRLKSSLEQSIRTATRSKKAPPPVDEFATLTAKGKGKAKDEPPPPQEKEREK MGMLRRVTFRRRESATPSPNPPTNQGRVAGVTSFITPSMRLASMSSPALHLSSQALPS PKSQPAVPLSSSSNTDGLVSPTRQRSSKPSLQLNTHAQHSAASKEPSTRHRSSSKSNA PVPPSPSSPSLPLHGSSTPTRPRKDRDRDTPDTPSPRGNGHLARGTSLRPSPITPRAA PSSALRPRSPSAATRSTQVTPPRGLTSASATHLPLTSSPSPPPTPTRRRPSLDPSKRP SLDAPRRPSIDATPRRSSRDSPIGLRRNESPSPSPVIRPRAISPTQRSYAQNRHFNIS SGSLVLSNNHPPPTPTRGEDATPRDLIRTATTLICKQVQKPPHHMCRTEQGIRDWEEV EVRTRNLVRLEKGWAKGVASTARRSSVDVTSSGLSGEDKERKLFCEALRDGYVLCQLM NKLRQSSIVRPDAREDGVVRTANVTKFLAACASYGLPSEDLFLRDDLMEITNNKDAAV RVAKTVIKLVAFSEESEEDRIRERQKGKWIRGGGMSPSHSHSQSSGGGQLSSSASTSG SRSPYGTIGSISSRGSASTPNLLAAHQIYQPPSSPTRKRWSPPEDMTPLRSSSPENSV HGSGSGSGSTVADATAREASRSKLVINSQKGAKVVRGRGTYEFEEEETGEEDEDAGEE AEFKTAPVPMMGPPPPPRSPLRKPSMKRVEDASGLALANSRVTSSSPNKTPVTAAVTP TKRRVSASASSTSGGLTASPSISTSGTVAVPTSPSLRDSIADSTRASIGDASIRDELI LPSTSRPGHGYGHPPHPGSPGLGSGLSQQRMQMRQSIASSALSVAVSEAETTLTSAKS SLLDGGRSSSLFGGGQSAGNGYGYGYSGSTGNGNGNGSHHKYGTIRTVTTDFTSEAPS ISRTEGSAMSEELEAMLAMKRRVSGDSLGNSIGNGNGNGHGKYSRERKLSEAPLLDLS RVAEEAEETVSSKGQRGAYTKRAPMVLQGRSRSQEQNIFPPPTGDKPTAVYLRKGKWP DDFLDAFQAPVNPASSSAPISSSSQPQNKTQPQSIPAKSQHHHQRHPYLQDREESPSP SPSTPVSISPPRKLAIVSRRVSGEGVGDGPYAQLPRRPTHRPRHSIDSPVGVGGGNGG LNGNVGGSVLLPKESILRREASPDSASVSSSGRVVIRRNSTKPGVGSTSANSGVSGRM AKGSNGLEDPPSSAGSVPFPRSISASGDPSPRSSFGENVGEQPRMVRGRFQSDVEGSA RRKARPSSYDELGGRPQRSRFESMVNLGVASGTTSASDLMSRDSIDGSAVRMRLVVRE DGKPPTHFQLGNCIGRGQFGSVYRALNLNTGQMVAVKRIRLEGLKEEEVTTLMREVDL VKSLSHPSIVKYEGMARDDDTLSIVLEYAENGSLGQTLKSFGKLNEKLVASYVVKILE GLHYLHTSDVVHCDLKAANILTTKNGNVKLSDFGVSLNLRAMEREIKDVAGTPNWMAP EVIELKGASTKSDIWSLGCTVIELLTGRPPYADIANSMSVMFRIVEDEMPPMPEGCSE LLKDFLEQCFHKDPTRRPDAETLCEHPWLKNNWVALKELRPQDSIPFLRRVSTDLHKS DAVRYLAQMDLPDTPISGSPMRDSPMGRRTSASSVRPVEPDFLPREHTFVKTTFSKPM VCRVCLANVKKSAVLCSQCSLISHAKCAINAPPTCDLRAQLLLYAQYAEKGNPASAYS NPAEGLDVGQNVAMSDVPFVEHNTPRTSVDSPQPPLLASSNAVDHPPTAFKFMAAFKR SRSNLSPEPAAASASSSPVPTPPSKDSDDAPAKRRVLQRRSDRPQSMTSNSTTLSSMR SAATAAESFSSRQNTGPRSHISGGAESDKSKRPASEGGLLDKSRSNKAAPSGRLENTT TTKTRTTHNDLHDDIHTNNLPGALPIDPRNRNKKQKNNKSPSSSSCNLQ JR316_0007963 MDIDSLDENDGVKLYAKRKGKAKQEKLRAPLRSIDCTCVKGDDG SPMIQCSECKIWYHFTCVDLSEPEAEEINIYICPTCTTSTGRRSTMNWEGDAANEEYV DDEVTITARRKAALSKSRDGKRVSEMAEESEESSSSEDEYIDVKREHVEQSKLVSKRT SNASDSDSEDHNRKRRFTRKVSASPVTSVQHSTLKRKAAPHSNPVQASPAHKRKRSTE SHGHGSTAADDPARKYCLGKLEELFKDVFLRYPHIRTQSESSAAGQPMDVTEDSNETA KLVPKDPELLTEEEKETVLNRARQFANELESSVFEIYAEPDKNGNPHAGGKYKERFRM LQFNLSKVDRVIIHQRITSGNISAKEISLMSSTDLADEETKQSIKLAEKEALEHSILL KSSAPRAKITHKGLQDIEDVNGEVATAQQIERLKEREQEEDERRERERMARLRTVQRQ RTASISVPPESPIVPSGEYQWGAPPPVPPHAISPTAAESEENQGQSLRPSLFLQTSEV NNVEPELNLDDLINIDGEQESPRPSPIQESTSGTSTDTKLESLLSTHPSPIVSTPTGI SPFASRPERMRGASFDLSSIWNAPKDEASTSESAQKAISVPAAAPSGESPTEGSDKDD AMELESVEANDQDFDMFLEDNQVESPSDDLIVTPIPSLQDVESLPQVWTGKLAMPLDS SMPQETYLIARQVAGRGIPPESPLWRTLFPSDQLRIEGRVPVDNSVKYLMQMRLNASK ELYAAAFVPASAANAEDFKTFCNFLKSKSRHGLVFPWGNRPKDYHPGRELYMIPLLQS EPLPEFVELLDGLKLPTTRTKDYLLGIWILNRGKLAVLPNSTTTQAPPKQTPPPASMP PSQLPPPVSMPPVQPPQHIPRIPVIPPPMFNTPPPHVPQNLPIPTPPLSIPQTIPGMP AIAPAALAAEVASLTPEQLQEVLRTLAATTQIPLPPPTGHIPQPPSQPPFSQNRPPFP PHAPPHIPPGSQSTQPWMHHTPPPPPPPGQYPVNYPPPNAPYQQPPRGSNSPAPALYD RHDYDHDYRSGPHYSHGGRGGHDDRGWRGNQSNRGRGRGRGDGYDRDYNGRRSSDSGW PRRRHDNQGGPW JR316_0007964 MSNTEVTGNAEIYNPRKVLDHPDFRVLKLGEKPSPDANIAAFYN PAHEIHLVEKPRPKPGPGQVLLHVRATGICGSDVHFWQHGRIGDSMVVTDECGSGHES AGEVVEVGEGVTQWKAGDRVAIEAGVPCSQPACEACRTGRYNACPDVVFFSTPPFHGT LTRWHVHPAQWIHRLPDSVSFEEGSLCEPLAVALAGIERSGLRLGDPLLICGAGPIGL ISLLSARAAGAEPIVITDLFQSRLDFAKKLVPGVRTVLISRNTTSKEQAAQIKEAAGC PLAVALECTGVESSVHTAVHSMKFGGKVFIIGVGKNEQVFPFMHLSANEIDVSFQYRY ANQYPKAIRLVAGGLINIKPLVTHRFPLEEAVAAFHVAADPSQGAIKVQIQD JR316_0007965 MHQPSSGPSSPVNHLAPDQNSNKSRSDDYVYFERSTAEFTSDAV ARSTAAKLKLESYYKVAVDSAIERNARRIEMETRLSQLHTPDAKEREIRKYSKTESQY LRLRRTKIKLTDFRTVKVIGKGAFGEVRLVQKVDTGKVYAMKSLQKAEMLKRDQLAHV RAERDVLAESTSPWVVQLFYSFQDPLYLYLIMEFLPGGDLMTMLMKYDVFSEDVTRFY MAECILAIEAVHNLGYIHRDIKPDNVLIDKNGHLKLSDFGLSTGLHKVSDGEYYKRYL EQEKSRDTARNSVQVNPINLTMSREQIATWKANRRKLAYSTVGTPDYIAPEVFLMKGY GKECDWWSLGAIFFECLVGYAPFCSDSPGNTYKKIIDWPHYLYFPEEVYISREGEDLI RSMLTWADKRLTVQQIKSHPFFYGADWNSLRHIEPPFVPHLQSITDTSYFPTDDLGTL PDQLEKVEGVGAEKDLAFLGFTFKRFTGGSQASG JR316_0007966 MLACQFGAEVRLHKALTATQTHLQGLGQLSSFLADYELQKDENF VLSNAQKRFGSFCYQKRKLQKTNIPAGKTRGRVADGEDYWSKVDQWLKRLDDELGDNT AELKQSEFSLVFSMSYAKLVNRFIAECLEMDSLRTNKGLDFSSHPSERSFTSPSDVTS DPQPTTIQSFPSNSSSRLPEPHLNFGNAIAQLFSGPSTGRPF JR316_0007967 MSTNTLDNCLPSRLLSPKTPSPKKSFRKHNQDEDDEAPKKYLST LNAGDTPGSTCQSDLEDQPVVSKMPEKNDHSSKVPRNKTTISLNRAYPRSRRDLEAEI TVWREIAIEATREAVAYKNQL JR316_0007968 MQFTNNTSTPTALIHDVSTPSTRESMTSIHDVSTPSTRESTTSA HNASTPSTCESMLSAHNASTLSTRESMLSVYNASTPSTRESTTSAHDVSIPSTRESTT SAHNASTPSTRESMLSVHNASTPSTRESTTSAHDVSIPSTRESTTSAHNASTPSTRES MLSAHDALTPSVDNMLTPPIVPSQSSTKLSLSLPQRDPSVQTASLKRPYNGLSNSHRS RKYQRTLQYNKHGHTPKPSLLSMLPSCQPEALNVKLDTLPATQGAYGAKPTKPHKSHL YSLDAIKALGFRIIPWDRCTPVPFVSEDGRIFMVLAGRPKDPAYERATEEAFDLLREA GHTTVFTHKDYSENRGHYPALNIGVTHGVGTHSPLNRVQRHPKITAKLLQSESIQQMA SFASSAFATWSPKVYNHYKLYMDKIFANDSTLVRLFRRSIFPAATFNLGSVVCTIPHF DIKNCPYGWCAIQSLGKFNAKKGGHFVVWGLKVAIEFPAGSTILMLSAVLEHSNTSIE HGEERASFTQYASGGLFRWVDYGYRTEKELKRTNPKLYQEQMELRPTRWKRGLGMLCT LQDLISKAAFEVSGQV JR316_0007969 MSDPSPIDFASAQICQATLDFLNSNNESDGSDDGGGSPPLSESS NEQANSDTEQVDNESLPRKRMRGIHTDPAEARKWYLWIDKILDLFLWLLRINGVNDVP SVKAMQGINKALQNLCGIETKEYKGKLGNVYFVNSLSQILAQEMSNPQVRPFLYFYPE DTGKSISETYQASGWLREINPEDGTPMIRLNNNDFFIFEPTMLIDGRCCIPIQWFLRE GVFYAKAWLMEDTPSGWVVSEDREIKITQSQLLKNFIQLSKDHLLCAIHSGPGLSKWT RTDPTIGNRWRVLAKGYRVYSLPLWMYCDDTSGNQSKKWNKHNSYLFILAGLPRKKSS QEYNIHFLCTSDIAPPLEMLDGVVDQLQLAQKHGIWVWDCIHKEAVMIFPPVFALLGD NPMHSEFACHIGMQGKYFCQICWAKGVDSQECPHKNLPHDSCARPNFPTLSIHSDVDS EDTFTRPSHKRRRYKESMESMLRRIGKPRRKKETMATLDSFLEQAKMIGTKSKLRAAK TETGIKDVFQDFFIEKLFKSYKGKVSTQAKEKALKAAVDKLPGDIKSPVWKLGLDPHQ DTPVKILHVVLLGFVKYFWRDLVQNQVTPAKKQTLIIRLNSLSVAGLGIPTLNGSTLV NYAGSLTGRDFRIIAQVAPFVIYNMVSQEVYDAWVSLSTLVPVIWQPAISNIDEYLPR LEADIKYFLLKTATWTCAWFNKTKFHIILHLPEHVRRFGPAILFATESFESFNAIIRA KSIHSNHQAPSHDIARGFAQGNRIRHLLSGGFFLPQELYQSWKKDPTNVANSEWRTAG PGGLHLIDAPDSTPASYLGLQKPAASKAGSCKSNGTDPQPFHRTLCGQKLPNIVLNTA ASQQLYVTNSQVYLRNEDLCTIGQFVIAQIHPSQPPLIGCVREILQQVGSPNHLQNRP DGILIQTALHQPPSHILPAGQLQPVFMLRLILQQEWSFIPWSCLLCTVNTQHDCQRHG CQANGLQYIYQEQIQTDQTKATILHQNSLDDMLLLNMCQMRDAAHLQSFHLHSAPLNE DAIIQRSVAQAIVQRKVGEASQSSTSKPTKTPTAALRQHAQSPLGTPTLAATPSGGVS LPQHASAGTSSGGVSSPRRSRQASVVYPGQITLDFR JR316_0007970 MAELTLDTLMDDGNYLGTERARLENKVILDELERKKKARTMAVP TDDNRVKARLREIGEPITLFGERAADRRDRLIYVLSQINAARGDDAMHVDEESSDDSE EEEEEFYSPGSLELLEARRRIAEFSLPRAQKRIAQQRIDSKMDLGRIVDIRKKVFAEV KRFSNMGSQIGDERPISQVRFAPNNQILATGSWSGTVKLWNVPSCTPIRSLRGHSDRV GGVAWHPQATLSQGEDLVNLVSGGGEGSVNLWSLNSDSPISVLKGHQGRVCRVAFHPS GDYVASASFDTTWRLWDVNSSKELLLQEGHSKEVYSIEFQCDGSLVGSGGLDAIGRVW DLRTGRTAMVLDGHIQPIFSMAFSPTGYQVATGAGDDTIRIWDMRAIKSIYTIPAHVS NVSDVKFFHSNDLHFKRPTPPDISMNGTDESEAKTDVPEKEYATLEEEWRYRSGLFFA SSGYDGFVKLWSADDWQLLKRLTTDAGKVMSVDISSDGNMLVSGTYNRNFQLFTPEEV JR316_0007971 MQAGPRTPGSTQPPVKIYNAVYSSVQVYECMVRGIAVMRRRNDS YVNATQILKVAGVDKGRRTKILEKEILPGKHEIVQGGYGKYQGTWIPLERGRDIALQY GVAPLLSPLFDFTPTTNSLGALPVSAPTGTASPRPLSASSSYSSIGAAGNYMAALPSS LAPPPIMPGSALRLLNQGRAQGLFTPSTSGQSGLVQTRSSGFISPGPYQSGAFSSSQT PPPTAGLKRTHSEADAEFLNIPATGLLVLILRLQRYTYIGVTPKDYTHLSRPGSVNSQ ANGDENASPAKRPRTNSVPQETSSSQSTNWISRPQTNHPTTSQQNPNGTPRPSSSMST TNGTAIKATNASETDVQRTRFASKPAMPRPGEPVSHYKDSRRTAVIASICQRDDSSVV IDLLREISSDPSNPDPSGNVDVDIVLDDQGHTALHLAASLARQQTVEALKENGADIHR GNFLGETPLIRACLATNNSDQQSFPILVASLYPSIHTLDTSRKSVLHHIVSLAGVKGR ASAARYYLEQIFMWIVQQQGGDFRSIVDLQDEHGDTALNIAARVGNRSLVRILLDVGA NRILPNKLGLRPGDFGVETEELSGGPRAEDLLASLRSGPPPTVQKSQDVIADMTTMIQ NLSAEFQGEIKTKQDALDVTQAHLRAATRELSEQRKQIQLWQSRCAELDQVNQRVRNV ERAIGDEDSFDWTGRTDLDGNDGRESAGPAFQYRGAGSTMVGIGGSVDISFSVDSEPP LPTADSVATLIKLRRLKAWHTRMEELVKARLKGLQGATAEKEYQCKKIVALCTGLPID KVEDMLDNLVIALESEISVIDLGRVSGFMQKVRDGTI JR316_0007972 MSESQPELQPLLSPMLCFELNRQAINAPSTILLTSPDLTYTLVR PLVDKYLAIQDEGNLSVVFCFLINCVHFIRDDNVATMSLSQSRANLCEILATRVFREN ANNLLHLTMALTTSWPVYSGVDAALITRARDERDDDLEDHVGNAIEMAILGKSKRFIK SSSCQKVIDAIWSFLILFILFVVAIEFNERDRINASEVLFMVYALGFTLEKVAAMQEH GIKVYFKGTWNGFDLAFVTTFLIYTFLRLYGVYHHKTWARILGIDVLALIACLMFPRL AFVTFKDSLLVLSLRAMIMQFMFLMVIAAFCFCGFLYALWTLSRDDASYSAGLIAWWM LDLWFGLDASGFDRATTFHPIFGPVLMVTYACLSNTLLLTGLFFMFILSNTFASVNED AAAEVVISLYERQSKQAGTTNLYETLAHMAEKAFDTLPRSLKRMTFFEGLAGADADID AVFDLEDEFGNESALDTGDNGNGVSIRSQRRLSGHSTRRGRVVRQSSPGRMPRYSTSP QRMPRHSSPSKPIPQARARLNSAMNHENFSPLAQVFNPLLVEDDHITDQGPAMSSGIS YGPATRRRLTSFVASNKNLAPDISMPSISNPSKKFGDKSSPSEQGILISGSHDLLQPP QHISTATEIKDAAEEDTGESREESAIISRLEEIERRQQRIESLLTRISHSIDSKKVD JR316_0007973 MASKRSSVFRMSSTNTANGSAAKSNGAAAIDKDFQAPNSVNAPK VLKEIREQIKKGLPVNLNTTTVSAIIDLVRHKEALDDRKLLLEHALSFVSKLEDGTLA RTLKDKIVQLLYNDLSHPPATSISNKYAWRTADGSYNNIDIPDLGKAGTPYSRSVQQS HPLPRNQLPDAGLIFDTLLRREGFVKHPGGLSSLMFSFAALVIHSVFRTSHRDWNINE TSSYVDLAPLYGNSQKDQDRIRKRDGYGTLYPDVFAEDRLLLLPPAVCVLLVLFNRNH NYIAAKLLEINERGTYVDPATVSMDDPASKAKILAQEEEIFQIARLINCGWFGMIVFS DYFSTILGLVRDGSSWSLTPFDEIRLDDHSCFERGKGNQCSVEFNCLYRWHATTSQED EQWTSKIFDQLFEGKPSDEVTVDDFKTAAMRAQRMQPDITHWTFGGLQRKADGSFSDD DLANVLQNATEHPAGAFRARGTPSIMRLNEVMGIEQNRKWGVCSLNDFRKYLGLKPFS TFLEWNSNPEIAEAAEKLYGNIEYLELYVGLQAEEAKPLVDGAGLCPGYTISRAILSD AIALTRGDRFFTHDFTPYNLTAWGFADCQRDPNAFGFGSTLGRLLLRVLPNNYTENSI YTFFPLMTPESMKTNLTKLGVVGQYDLNRPQPKSTTISVEGHARVSSILNNTEGFVTP YKQRVDRILRQNKGFFPIESEQEQRAIISALCGGADLSDAIGNYFYQSTKKLINANSY NLVGGKTSGIDIVKQVLTTVPVYWVATDLAGIQLRTTKHNGPYTAAELFIFLDVEASK IMVLQEKVKSSVHKLLGLIREKLGGAVGSRMSISGIVGTVSTILNKKQKTEYNDIVKR LYELGHSTDKLANTILALMVVSGVELSLAATNTVNFYVASEQATALSKLAKAAEKDTP FHGYVYEALRLDPTFRGVFRISTKDQVIDGQNFKNGDRVFLNTASANVDEYAFSNPTA IDLNRSTKGRLYPDGVFKYLGEDLTIKIVSQILRGIFEFDNVRLAPGQSGVLQRFKNH TRQELCYAYLDNTQLPSEWPTSMSLQFDTPK JR316_0007974 MDSSDLYNVKQQFTLSAFQALVNQDLPDESSPNYIPALIYKARS YIALNEPKSALNLIPENAENVAVKAVASLARYVIAEGSSDVESTLEELRDLSVEIEGD DVEGSEKDKALVRVLAGTAFARAGEIEEALETLGSETEDLEAVALIVQIYLSINRPDL AKKQFDRSKRWAEDDLLLQLIESTVGLATGKDNYSNTSSFYTEQLGNPSLTSPHLLTA RGVTRILRGEIQEAKSDLEESLHQQKGDAEAEAAYAVATGLGSSKRSEADDIWAKLAS EHPSHPLVADVNQKADMFDQYASNFTVPPQAGTVSA JR316_0007975 MPKLTPRLESIRLTVMRKSIDPALEPGCELPLEFLSSADYLRRI ELTRFIINWESLVSQNLTHVKIHDVPPSARPTVTILWKALQKMPLLELLELSNVLTDG DTDTLLFSDVSSIHLPHLRILSLVATTPQVSNILRYLSFPQTAHIHIDCKGTHTTKFD FSDITSWASTFLAAPKKARGPMIRTFEVRSSYPTPGLRFRAFSEVLSDSDFTKSGLDP IFNLTLTSGFITDISVAEIDKMLVDVFIAMPLHGTVALRLDQNYHSMSSSALTNTFGT LNQLRSVTASGIVTEFLVKALGDILPNRRSTRSHHAQATFPALRSVSLCNVDLEFEVP LKSLEDALKARKKRGVEVRELKLEMCSRITQVDVSGLQRIVPAVKWDENELGFTDEEE EEDYYYDEYAYEFDIYDSDGTVCGDDQKSFLEVDGYPWCSWASIMTDLASTSVSPFVS VTLSSTSDQNSTDNAVQAIDREIRELKTRRNDLIPIAKLPPEVLSRVFHIVRQDSRFT QWVKLSFVCRRWRGVALDTPSFWDSPPLLSPHWTEECLSRSKMSNLTLNFPYHKPASN QRIASLSKILRQHSSRIREINFALTHGSCEIGNILSLLPKSLPCLESFSLEVNLLTRA YHPQYNPLVPLQVPDDSLHEMERIRSLKMIGVGFNWKAHLPNTLTELKLSDIPHSCLP TLTQFWNAMKNMPLLHSLSLKDAFPEHPGGTLLTEKINFHHLQNLSISASSMKQIEMF LAGVSFPPTIVLNIACCEQANLLNLSTHHELFSTMAYVVEGNTRPLQLDVTLPLLSTG RFGLKIDVYSDSVLELHKLEFHREPLEYPVLSFSTGGNSNWSLLDDYDQALTELFNAL PLEETIQLRIRDSTRTDPLSPQTILQTFGCLPRLEALALRPTPNYLSALKTTLPVIPS EPQRPSSAISFPSLHSITVVDTDFDLNKYDMDTSISTKRLLDCLTWRCENDAKLQNVR FRLCTRLSKKVINTVSVVVGNVDWDEAEKGFVNEEQETDEEVSSTPCYDDYDDYTFYH DSE JR316_0007976 MSFSQSTLNQRSYPNQPHRQSKTVEYHLPSRASIQEYQALLADH AVEAAKSSAFIPSRQAPAPPSHSAYRSKTPTETSLPPPPASAPAPTQGRVRNSLQKPH PRTRNNSLTTPPVIVEHDPFAANVNEPPLAQHLKTHKSGSMAAGTSPPPRPSRANTAN LNDIIPNPSQLAARRLSVPATPMFEDGHFYADPQETLPSGPSNDYTSSAPPSTNTRIR SRSGTTGKTKKGVLGMMSEMFNTPKRVEISTPFDPVHLTHVGFNSSTGEFTGLPKEWQ QLLQESGISRSEQEKNPQAVMEIVKFYQEGHGDVWDKLGNVGGNQDVSFLQSKLEESF QNPRSPPPPPKKHGAQSTTPSTSVTSPSSYRPAPTPPSAATPALDRSTSQRAPTKTTK TPDLGRANTTRDRRSPAPGQTASGPTLGNQQKAQSTKPSPVSSSSDLPLKQQQLTASP AVRERSDPRAQNQAPSPAASSLAKVSGVATPRRREKKVDKGKEEDIVKRLQAICTDAD PTRLYRNLVKIGQGASGGVYTAYQVGTNLSVAIKQMDLEKQPKKDLIINEILVMRSSR HPNIVNYIDSFLHKNDLWVVMEYMEGGSLTDVVTANLMTEGQIAAVSRETCQGLEHLH RHGVIHRDIKSDNVLLSMVGDIKLTDFGFCAQISDPAHAKRTTMVGTPYWMAPEVVTR KEYGPKVDIWSLGIMAIEMIEGEPPYLNQNPLKALYLIATNGTPTIANPENLSPVFSD YLAKTLEVDAEKRPNATELLQHPFFKLSEPLRTLAPLIKAARDIAKNK JR316_0007977 MSNLTALKSIIENLKSDKVKQRQDALVALREAFSQDRVLASLQY NEEGNRLPKHWLAIFQALFSTVQIEKKASTQRTKSGPSTAASLRRLSEAASTVRWLIE RTVQFMTKTIARVVFSHLISMLPSRPTADAELFTPVALDYAKALKCLVSYTPHLEHLD DDMWISIVQMSFNVILDEPIQSRFAGKYVGAEDEDEARQVDSDMYEDDSEEMDEDMDG TDSDNLSSLATANDKKRRRTPAATPSTSKKRPRTHSPPTPIQSPRNAPGIYRTPVSLE QVEFASLLSIMIGSPIAPILRFSNLPSAILQRLEQFLQRYPSDSSLLHDFLSILSSTL SHISLNKKFEVAKFSRSAWSALVGLWGTKDKSIKAGIIDILRQLLPFTMCPVEIKRSK LPQFDCAKEFGRLKDLLAGETENRWGIAGLSLDALRLQNIGFDEEDKQSNEIFVAKTF RAGWNFDADQALSWAVLELYANCVGQLYQFSESMDSTYGTGLSRTGIKRKPVDPVLSI LNLIHSVTLPTTRCYHLQTLLFVIDHYWSTLHSKLKEQIVDTLLQNVVAEHAVVQSWV FLNFTAVIQAEGSLARRLMPTTIDIMKWDSIWTHAVRRVNVPAICRAACHAGYTILFS HPYISKSSTASLSSHRILSEIETLTKDMDIQGPPYPFDSVCRFLSQCLLIASQDSRLY RMHLEDKIASWLVDAWEITGNKMKMPTNTVADILFLLETICGLSKRSGLVVQPLIPQS QITDTILKENKVRVVQDYVLHAKLPPFVLNNDPVPNLLSAKSFSTHNNHPSDSSQLAV SNVRARKMSSFLLHSLEALIERWNATKDSGIHPTIETTRQSIDIAIIGIIFETLLSLN GTPSNRQVVQSSAKLLSALVVLINDTRWSITERLLLAHSLEILTGNDHTIQVDDFHGP LGVPGGHSGIKAQVLLKLLHSHHSGPAEDTRESHHVLRMIWQNVDLQDALDLATSTLR ATMSELLLGKSVSSNGFGKDQEDHDGFGSIRTAHQSSTLDGGESSDRPIEHIFRICIG VLSYGPFLQSLSAEANQDKDLARSILEGANTRPERFVVICPIYLNALRQGILHLSTKQ LSDFFDVFGNLLRRYPFSRNERFHRLVIYLLMSSIGKWEGKDPMNQKVRSQFRDLSSW LCRTFVVDENKKVPLGFRSWILRDAFAQFLDEYLLVDSDEKFWPGQNAQEFPTSMLLA MNTDHDTRVRFRVATIMSNLSSERGTDTYGRIADSLPIDLDAFEHILTRLLALGNIMI VNSALRGGSYWHLLEASLYHEAYSTHIESILMGVSTRLGISPFSALFEAYMTQIVHCV NATDKDFMHFPPQILGYHDRKQFSEATFRVLAPTHILHDRIEEFGRHCKMVQKPLSKG VEDCFGEIVGFWILESLGNIPQHLDPLAELDAFIQGKLSDTSNFKSLCEENMDGIVIQ LFRSLGDQDCSDNGPMASALQQHDDGNRSMRTFVRANIFRRFDTFSTHPPNRPMYHTQ TVLDGLALLKKTYPSAFSVATTYHVIQGLMNEIHRTPLVNEQLRLVNALTLWLAIQHQ DMQDVIIHQVILQGATLLISQWDLARSAQSLLDLVFRHYKNVNVKDARFSNAFIRICS IAHDYVTNAHDTAISKLGQDLQSWVDEQALEMSKASTTDNAIMRALPAWPRLPSSELL PLFDQTSLQSLISVLEDHQITSNKFRIVRRFKEQTERYGYDNDDEFANTYFWRFKDCM PLPEMLQEQDLESFADLIFLHHGHIQSFDVELHNLNKARTRHVRNLKSKPTPNESKID PARDAITLALLIMLEDEKPVNVYNAYSTLRFIAAVSSTPSQELGLSHENRTALKLFKV HRRSPLTLQVVPMADLLKSDAYIEAATNFPRWISLMTILLSNNLSKINLFYGQLSSIL TSDTNFAEEVFPILVFTLLHSDRDGVQSFKKILSEYFSLILLSGSTDNACLRAIVDVV LHLRYFLPYPTDRLSYNHWLEIDFTLLAKSAISCGAYTTALLFVELAAEEGAKTLQEN NIVENVLYDIYAHIDEPDGFYGIKTQDLHQFLIRRFHHEHQWDKAFRFHGATLEAGIN ANLGQQGLIDSFHSFGFDHLAVDTLQKITDDFSSDSKLSAINFELGWRTENWDLPDQS HAPRSPLYRALRAIHRERDPIAVEDIIKASLRQEVGRLRSLGSENLTEIRAVSRDLMS LSQIKEWWKTIGQELRPDDVPKEWHNLADMDTRFEFADLEQIMATRISLVRSVRKKEE RRQIGTLISPSVRSLRDVEKRCLIRLSEAARSSGKIQIALNSIVKAQKLDSMPTSNVS AEFAHVLWVHKEEKMAVQYLKNVVEVTTAGDTSWDLEQKALWLSSLGTWTSEAYLAKP TEIWDQYFFPAVDLLEQLRELQQPITEASQATVYRECAMFAERQYHTTLKSPDALRWK VYVDRKKREIEDRSQEMATIPEGTVRYKELTREQANANKILSEDSELFNRHNTLRESF LKQAIEMHSRCLGVSSGYDNDSAVRFCSLWFANFDDLSILEAVRQGIERIPSHKLVFL AHQLTARVAHSSVTTLPPAQQNLQDLVLRMCREHPFHSLYQVYCSADHIPPDFNRRQS GRGSSQTTQSTQTERGAAASNILNRLRDDPITGQRVRDVEQICDAIVEWAVFPISKKD AYKNKASYDVPSAMKILRIQNMKVPVSTARIPVDPSMKYDNCAWLKCYSRTFSTAGGV NLPKICKCQDMEGRAYKQLFKGEGKDDLRQDAVMEQVFDLVNSLLKQDRETRRRHLQV RDYKVIPLNSTAGLLEFVGNTTTLRDWLGAAHIKYRPQDEKPQIVADKIRKYQALYAH RPDKQRDAYEHVKKNFKPVFRHYFTENHKNPISWFKMRLRYTRSVATTSIVGHVLGLG DRHVSNILIDNGTGDVVHIDLGIAFDQGRRLPIPERVPFRMTADIIDGMGISGTSGVF QRCAEETLRVLRDESGVIMTVLEVFKHDPLHTWTASETKVMQAQSEAPIAAPIVQDAA RFNMGIGIDINSGSAEEAADRALSSVARKLDKSLSVESTVNELVAEATDLQNLATIYH GWSPHL JR316_0007978 MPSQILDNALGGVGHTPLIRLDKIAKANDLKCNLLGKVEFMSAG GSVKDRIAKAMVEAAERDGKLIAGKSVVIEPTSGNTGIGLAMACAIKGYSVIITLPNK MSLEKEALLRALGAEVIRTPTEAAWDSPESHIGVANRLQREIPYGIILDQYRNLNNPL AHELTTGPEIIEAVVSTPSTSSRPSTEKVDVMVAGAGTGGTVTGIARAIKKTHNAECT VVAIDPKGSILAQPENLNTANNGEAYIVEGIGYDFVPDVLDRSEVDTWIKTADEESFS AVQALMRSEGLLVGGSSGSALAGALKFLKSDAGKDIANTPGKNVVVLLPDGIRNYMSK PWFLKIAMESEPSPLAHTISKILQTKENSNGDVPIAAVHENVSPSNRMESVAGAQTFT ASH JR316_0007979 MTNAPPLPSTLNPDGKSLFNPPAPARSPAYDEFPAPIISSNNGF DFHIYYMPSNHAETQYARELHERIRREFPELRIYRFWDRPVGPHPTAMFEVNTFTPHQ TGAFFSWLTVHRGPCSVLIHPNTNDPYRDHTELMSWMGRPWPLHTELLKPRPADPAPS AS JR316_0007980 MDVIHLNPKSNKQHLPQEIFDCVIDHLHDDTNALRACALVSASW RASAQQHLFRRITLGPWKRKTQFFALRPAPSPCARLVECIRNSGAAASVAPYIHELHL QEGIGARTWFEQESVILAALLRALSNLRRLDISPTVGMHIYWERLPGDLKRALEGSMK LASLTELKLGGVAFVDFGEVHEVLRKCVFLRVLEVDHLLVQDESLEELSSALAEAKYV YSASDPRKTKGTRDRTPLDVLVIGPRTPTAFVAFLLDEASPFAVDAVRKLTASLSGSF PEFARLLHACTSVENLELTLMNDVSLQGYWTLPDNQRFDLSQVPRLKNLKVNIDVLQK MDDPLPWLGALFRTGVDASLPTRLNKVESIWIVYSIYLPAPYMDRSANVAIFDKWRDI DRILSGESDSIEAEKQGIIHIDGPYGNLNRVKLDFMLENPIGFGIAPRFMRELVLESP GLERKDILRVKAFDTSK JR316_0007981 MAIGDAVGLKLKLAEGPKYVLRDFPEGYRLFDHNKGPHKAPRHD LYLFGPVKKRFRSVNEFIPHAIWLMGDGSDDCECKYCGKVKKPQREITASMSNILRTT PSMSPSPKSHRIYTHRDKGKGKEKESTTRRIPPRPRDTKVYAAVQQTVTPIKPSSGIL QQPMLVERVNDLRAMNATTSMELRRWFRDGEVIWCALPRPILAPDGSNNGSIEFWPGV IEEIRVKSTPIPRDVSASSVNHNVDSDSRTPQPSSSSMQIDPSAEGDQVGTILPNTDE PLPWTVRQYTRYKVQLLGISHSLTIDDSQALPYQAYIPPDQLIHNLTILPVEKLNFER ESLLKFNPCPPNGMTPPFFEAVSAYATALQIASIISSTWCLTDDFTVRYNLPPTPKSS SKHMPPPPLPSQSASAPPLQSSSSQITPSMTLAAAIQEAGRRNAQSSSTPLYKGVSTI DSSLPAEQSQKIIERVMGLPPPPSHIVQTRFQGLWWGTERIWANDFIRLKVPRRTMGP KGGPNILPASGPGKRSIEQWQALGKDISELGAGTRGVFLRLDGLVTVDVITERGVIKK EARICGMLYELADIDWDDPEEVASAEKAGPNSSQDQQNPPAGISESVSGNGSTAPPSN PPPLSAPAQGKELPQPPMGYRFRPIITPGHEFVGSMALISGRYYPRILSHPKMTSRIN TVLSRSVEEGGVSGFDNLWALEGLSAGYYNSVDPQRYKKSRVAMMQDADKQALEELQA YVQLKKAEAKKDEDAMERFRDKEHDHHLDWSQLVRLTCQGHPAPLSSRGTVGVLP JR316_0007982 MSTHITELSYAISDIQTRIFEIQELRHKSQSSDGADASTTSIID QSLMTLDERLETVEKGIKNINETLEPLLQTAATPTVSESGISSDNAALLRKHATLISE WESVQDESEVLREELKEDKWLTVFRTVTDQADGMMSSLEKAVTRCQEFIWQVHRRGAD DFQSNGALPSRNDKVSLNYESFSTLLDSYEAKKKHYMPATSKVLSIIDKGVRDRVTKN GETLRRHAESAQRWKNLRERISRTDAEMESTRKLLLNEDGGSEHESTTSGTTSTKNGH LATPPSGSRMSRVSSASSTLSGSISPFRKFARKITGQSKPTSPIAISPLAVSKKTTTS SIELSTSAPPPPSSMRKQRTSVFNSVRGAPPIIPTTPERPSHKHSQSYTPDASPHGPK TEKSEFNPSSTSRSTAPQRQRWNSSTKVEPFDDRNSTIKGLPKRSPSSTGYYANNDDI PPVPPLSTPYRRSLSRASMASSRPWSPVTSSNSTTYSSSQFHHPPVLVPTLRTPSRAQ TPSRAITPGLATTPRTRPKTPSHIPAPSKTPRSVSGAKSVGGWDDEDGYFNRSHSPSF SASGVSSASPTAKVHPPRPPSRSMIPVPSVHLHTPSRPSSVMSNFSRSESPTMRFKAS AMRAQTPEHALRARAQQVPVFHGTMPRARVSTNKLPPSSFKDGTASRVPSRPGSRAGA YTPSMDQLPLHEYIPGSNFDPLDVEVAAVVNAIPHGLLVERVDPPLKKNQIPKEGEEI KAQYAFSNSLSRKVITCRLTTLARPGRTAEGNTITKKVMCRVGGGWQDLSHYILNRQA GL JR316_0007983 MPKLTFIKSKPKLGDESISSPPSPPAPATKLHRFPGKVAKKVKK QLWRIDEMLHHVTHTKHEPGHKHETQVVQLSGRKRTISASSTSSTPAKFIRAFLPSSP SSFRSTRTWSSKYVREVDSDQLSSTSSEHLPAFTLHPSPLDSSMAEEQREVPQELKSV EETPAKPNPIFASPPTVYVAPETPDPFLVDEEGDALSDEDDGTARGTASPATVSPAHN VPLDLPTTPSPDPDHAQRQRPPTSPLPDVNKEVPPTPLTDSEEEEEEVPDIYVPTLIV PTMFLPIPNTDPLTTLLNKYIYPPEKRPARDLTGEWQTNDFHTLVTSAECTNLFNVLN GIEPLEAREYVFERILPFELEVMYARLKYWAGDHMGYLDALYALLKKCREKCRQSGND ETLVPMWKERGGRVCLIIASQMVEMKEITAAAKLLEPLCQQGDISSPPLRSAVARIYL QGGNVQMAAKHFALVEADPTADETLRNMNAAMLASAQGQWTDASDVLQKILEDDANNF AAINNLSVALLSQGKLKEGIEVLEKALRTSPSSVVVAEPFLFNLSTLYELRATSGLEK KKQLLVEVAKWSGDGLRTNSLKMPAN JR316_0007984 MANVATNRKTRPNMIMTGLCKARPVDRMAFPVPEHLPKRSTPVD ISSKILYKIDSATKDTLTSALVSSWIHDLDNSIHETEQRIHDRIQSDLPTFQHQLKTC KSVQTRFETLTSAVEELRSSLSNPETGLVPTLLSTLEKHSSLAQEASDATTVHQGWSY LVKCKNAYLSVLSFVQQGKLPEAVESSVEAQHLVDGMPEYLKQTSVVVDLKQKFNAIK AKTQDQLSDAFSRSITITSTEITVYPRVQVRQSDTILDLSAILRSLEPSLLSSYWSTL RRDLMAHFVDHILKQPYNVIVKSSLGHEVQLSLIPAPPNTEDLRTRLDNVSTVLKFLS SNVFPHLPSQERCQFIRTLAKPVTTSVLNNLLMPSLPGSFGLLPSYLELLKRAVSFEE HDVGITLESDVKEGSIRLWSDGVSGHYERRRRVEILELARKEVLLPEDNEIFEAYTEG GPETSLPSVIPVQPDEDFREDAWGFDEPITANSTAEPADGWGFDDDMDIAPAAESSNG NDEEVEPITPVDSNTPVDSKFVADTTQNPEPDPADAWGWNDDDDPPTEDVPEDNPWDD PWEDSTPVELVPEPPKPPPIASSTPAKAATRLEKLASKNKKHLNGKTHSVLSFPPTPE TPPQTITFTPPSPTLPPSPKVAPPKVNRSNGTKRPADVVTTIVPKELYKVPKRTKRIL KMVETVIDESKLFYASNLFPPSLDSASTPGAILVQSASSIVELYLALYPVKFSKELES AERGMLFSNSCLYMSGSIQRIEDTIYGQPTLKERLMECRNRLQILGHNWFDDTVERQQ LKVNQILLDGAQGFTYTGDQDRYDECEAAVNETLQHIKRLAQNLKGILTKHKYYSALG AVVDTALLRILQDVLALSDIPEVESHRISELCRILTALEGLFSEDPQEPSFVLEFVPN WLKFSYLSELLEASLADITYLFEQGALVDFQVDELVNLVRSLFSDTILRTNTINKIMG GHPVQSH JR316_0007985 MKNTTRIGIVLAVSIAFFSAEIAGAYQNIGFKTKSLALIADAFH YLNDIVAYAIAFAAAYLQERGQHTHSFTYAFHRAELVGAFFNGVFLLALALSICLQSI ERFVHVETVESPKLVLIIGCIGLALNIFSAIVVHDHHGHGHGHSTSFTTDPLELKITN PQRDAMHATHNHRIDPPVSAPQHNLGLVGVLTHLLGDAINNIGVIIAGIIFIKLSSPK RFYADPAVSMAISFIIFGSAVPLTLKSGRILLEASPIHLDLAKVKEDLLSIPDVLSVH DLHVWHLSQSVILGSLHVCVPLGTSLEQWEQTERYLQHCFEEYGISHVTISPEIYRDF ESTSSSAEHTKAGCRLPSEDDFGCVVSELKKRKTAGGA JR316_0007986 MISDSLRGSKAPSKAATKPLKRGRACMSCRFLKIKCDGEKPVCG PCRNHPRDDECEYSDGPGRSRTKTLEEQVSRLEARILELEHPDSSTPSVVLHDPYPSP FQVERHSKSPPLHLPEHPPAFAPLSPFSPTSTTSSLPSGRHWNKFSALGEKTELTGSS GSSTSPIRHPVAMPYLGAEGQESALLIRAVQHVSTDLMGTHPNKVIHTLQAEVLLAYY FLRTGSLLEAKCRTGTAISLALGSGLHKIRSANFLVPSTISIGSHDQAHLLPHPSDAL QEAERINGFWAVLVLHKFITVALEVPAHVCGALEAPGMLIDTPWPIDIDQFEEGIIPP ELQGNSTVRAFLNGYLVDNHNGESSTALVAKAAILFHRAAHLTGQWSPSMHIPSLIVA IINSMIFEDMTPRDKVAYDAAAKSVNTLLETFRAKLGVRPRLNPQDPSVRTILLIHAL VDAASIKLHWIFSYAYPESKQICLSAARNIVNYGDFDLQGLTIINPIMGNLWMTACLV FVDEISRARQMRSGWPEHASVEEELMESYRSGLKAMSLFSQDSVLMRYQLTKVQEAFE VI JR316_0007987 MDSDEDTRMMDLEFSTTLSKGKGKAFDRELPAENDNLPWVEKYR PVDLNDVVSHKDIITTIERFIEKNRLPHLLFYGPPGTGKTSTILAVARRIYGTDYRKQ ILELNASDERGIDVVREQVKQFAETRTLFSKGFKLIILDEADMMTQQAQAALRRVIEQ YTKNVRFCIICNYVNKIIPAIQSRCTRFRFSPLPIEEVEKRLVTVVGAENVNLTADGK RALLKLSRGDMRRALNVLQACHAAYDNIGETEIYNCTGNPVPADIEAIVNSMLSDEFT TSYQMISKLKTERGLALQDLLTGTYEYLETIELKPHARVYLLDHMATTEYRLASGGSE KMQLTALLGAFKNAVELSAK JR316_0007988 MHPALSIDEILCRIFYFCSEYDQRSLLFAAQTCKAWTDPALDLL WRRLHSLGPLLLLLPGITISNDEYIYTDSGSTGDLRLFNSYARRVKHISNKQRLRINP SMTSRLRSAYTNGTQLSNLTTAHISIHKTNSFLLPYHISSKLSRLNIDIGFQRQEADI FAVLCEYLEHVHFLCDDLRHLSLRGLADKRLNEVIAGMQRLETISLRLGRTLSLETVT AIKTFPYLTELEIHAGHLAVDLSDEIYQANNLQSFPSLKKLTIRAQSPLIENLIQCVQ NNTLNYLHIELEDSTSHSSWDTIFTSITLKASLTLHHLSLEHHYEIPEQPIFIASGAT HSTQGTDPTDTSMRFDTLQILSKLKSLRHFTLDLTLPTITSDDVVDKIISWWPNLEHF ELGLFSQVDDITNITNSVKISTAVLPLFASKCPKLNRLIIPLAIDEIPVLYPLPWIPL PSELRNLTIARLNTPDPIELGQFLRKLFPNLERLEGPCDDAQMWIDVNNRL JR316_0007989 MANGRAKATLFTAVLFSSLTIWAVHFQQQQERDSMYKGVLKDDE RRREKMRQREEDLLASQKKRELYERVQSVEKSPNH JR316_0007990 MGLPEEFESILKEAVNSKRLSASKITNLTEIAMRNMEHDTQLVS VLYRNHKSLPTAAAKVASLYIFDALARAAKHHATKHNLSGDAFTHPGNSASFLFKMGG VVEGLFQDMLATQSPETKEKTKKILDIWIKGNTFPPTILSQLAAIFNEVEKEPDSKSM STTDPRTANPTSQVPPPSASPITPVQPVEVPPVPATIRPNIPTMAGLDPQAALLALLT QAAASSTNIPPPMNPRIATATNIVGSSHLDATHLAVIQQLAHTAASVPPTSQSLTPAE FVNIQKFPSSSGVNGISHNLPHVRNEPRAMVRGYRSPESETRPDPRFDERDNVRGRYR SSFRNRGRGDKFQGRDWDSRDRDRYRDKDQSPARGGRGGRSRSRSPSSRYGGRKDSRY FSPPRRPQLASQYPAERDPAASGDNETGKDEFGRDIRPGSPTPPPAVNNDKSPISSTL PTLAQSSKTSLSTSNPEQSHHEQNFVSSLVTANTSNKPSASDASKSNAKDLGMDHFNY STFDYTSPSSWEALGKMWQVTHGYLPSTEELMQFVMSTGMKNSNGAFDATNQSSEAMH PSSNNGRDNRSDVLPRGQGANVYGDNRNFHGPGSLVSNKTSQGIYTGTKYSSGGDHFV REQPVGKQENSEPSNPSNSGKMQRVGDKWVFVRGMAMDVS JR316_0007991 MLRSKQYCGEDALEWANRPFFVDWAVTGVIWLLSYFVSASPVYQ RDFTLSDPDISHPHRKDQIESWLNNLISLFCPLLVFVGVGCIKRSMLVIHHSAIGLFT ARGVARLITEAFKHSVGRLRPDFLARCRWDEALKKCTGERDKILAGRKSFPSGHSSTA FAGMLFLSLWIAGQTAAWCFAVPKSGHNFRSSRMLSFALSLLPIFWAAHVAVTRIQDY RHHTEDVIIGSLLGCISALLSYLLFWPNPLSQDSYEPSVYGEPRLLYTYTGRNHQRTR TTEFELGRFEAEDVDSTYV JR316_0007992 MAKEKPSKARPQGQKSISSFFGGDIKKKKSKQATPPPDTDNVSE SANDTQVDDVVEDASMVEDPSSDVDMDAPSANSKKVPKSSAPDASDLPPINDIPAIFD DLVGRIPQIKEVAEHVKGRKLRVATMCSGTESPLLALELIQKSILDQHGLNLETEHVF SCEIEPFKQAYIERNFHPPLLFRDVCELGDEEAHTAYGALAPVPGDVDILIAGTSCVD YSNLNTQKQDIDANGESGQTFRGMMSWVKQHRPPIVILENVCSAPWDKVKKYFEDEGY SAEFSRVDTKAYYIPHTRTRVYLIAVNKSGSSIPKKWKQLVTIDLKRPASSTLDAFLL HSDDPRIHQARQKLVQEGYNALDRRTGRTDWGRCESRHQRARLEEALGAKRPLTSWEE GGFCKLPDFAWNDWGVGQVERVWDLMDISLLRSATKGIDPSYKTQVWNLSQNVDRTIG SNKVGICPCLTPSMIPYITNRGGPMVGLEALSMQGLPVDKLLLTRETEDQLADLAGNA MSTTVVGACILAALVTAKSLLKAGNDSETYEFKHTGENYEVDEVPSATFLPASRGLVL ASSVVGEENLTNKPLDLSITEVLSFRELLDNAEKSKRLCSCEGRVDMRTRPLFLCKDC GSSFCQKCGGRPEHNPELIDNANSVRVHPSQFSKTLKSTLPMCISLVTVDEALLVKLR KGDKISIPESRWIAWSEAVLRACKSELRFVELKRQEVWTAIYQSPAGTLELELHPKQP EWRLYATAEADEPANAEIRQILQYPVGRFLCKDSLLRGKWQFAFPCRSSIPVKIQGAG ELVPSWEARLGLVGEDFKNKMVFSKIKIAVPPIDAKALDRDISGIYELLDKCGTANGA LHRKVEPDNTDLPPLFMLFDPHRTDDSEDCFVFSITTRRLEYQEYRPIICKLDPSWRQ SSKDEEEIVSCHLPFKWIAADSVGLQASSGQDATFGLPEQTLHIPISNDACRDAYALL TCSVSLRGQAGPEWPQGQWADVDKVHERSTFKALAWLMERIRHVDENFKSWQKVDSLG DHSNCERCAPSAPQLRWMSKNKKMVAVEDPIQAGEYERRLKGRPAPFVTQLKLREDGV GMVRVGVNIPSLLHRALHRLPQNIHNEQITMTWRLDTNYTPAANSIFPKFTILSNKRD IEHSQPPSFGIPLRKEQLRSLEWMINQESSEAPPFIEEEISEAILDPLGWRAEGRVQR PIYVRGGVLADQVGYGKTAITLGLIDCTSKSVANEFAKRGRIAGKVHIEGTLIVVPPH LTRQWASEVRKFTNKRFKVLEVSTVSNLNSKSIQDFQSADIIIVASNIFKSTAYLDNL QLLAGAGELPSKDGRHFNSQLEKTLSALKSQVDRLQENGSSAVMKEVKDAQKRIEEEI AAAALVASKRLKGRSYREAASLKQRESGKVAQTQSKSKETKSVPKPVIAPESNSPPKM IAKPTPSGRIVEVVIPMYHHSSTKSQQSSSRSSPDDDKNSESEETGMKRKRRAATKSV IIISDDEDASVSEAPSKKVAKKNTSKSSRPVNSKKTKKSRRNSSPSSDYYGSSSDEND SDVDMSEFDEEEDEDVPKGKSKVKGKTQSTRKARPTGRLKAAPVIVDDSEETSNDNAM DVDESETKPAKKEASKRKAAGDTERPAKKPKRTDSDPWKLGSRAVQSDWTLMQAPPFE MFHFARVVVDEYTYLDGKVHALITNLTAERKWVLSGTPPIHDFGALKTISAFLNIHLG VDDDGEGQSVEVKKRRKEQTAVERFHSFREVHSVQWHAHRHTVGQGFLDQFVRQNIAE IDEIPWTARIETIVLPAAERAIYLELEHHLRSLDMTIKRGKKTESDRERRLAQSLGES KSAEEALLKRCSHFDLETSNENAMKACDVIVEERQRQLDQCKADLLKALKDGVKREKS LGNTGQESMFIEYVRISRTEGVDDKESTDIVIKLLDEAGAGAPKSKPKAQDIHLSEKT KALAWEHREKTHEIRRITKELVGRVRSLRYFTVVRDLQKQRDQPVQVSCPSCKREKLV LDEIAVLSSCGHMGCLTCVKSCAEKEECVYAALGQCKAAARVLNVVKAETLGVDDEER HGKGRHFGMKLEKMVDLVKKRIPKNERVLVFVQFPDLMKKVAEAFTEHGVKYLEIKGS ANAKSKNLEQFQNDSKERVLLLNVMDESASGANLTSANHAIFLSPLLAPSQEIYTACE TQAVGRLVRYGQTKHVNVWRFLTSDTIDEEIYEQRKKALARSA JR316_0007993 MVCKKCETKLSKVAAPDPFTASSSSIKDGTRKVGENKLLSRPGT SKNRFQPYQGKCKDCKQPVTQNKAKYCHGCAYKKGICSICGKKILDTTSYVMSSK JR316_0007994 MPHRVTHRRRYRISNDILMVILDKLNPVTLYRTCQAFDRVYALV KEYKHLYYKFLLATVGMKDGAASYIGRSPVIRLQVLQSYQSDWPRLIWTDEQKLRVPI TATQVDVSDGFLYYIGIQSLDLVELPSCRTNRPPSQTRHLRYNTNQSDSVAIDTLQSL IVTGQAYSGPGGQISLRLKIRNLWNFDKHPKAQSAHYDCSTHIAQPTSNLAIVISGNR VIVSLEFINGLTKHLLLDWSTLQAMWLEEQDVVFLGSYFLLGVRKIHNKVSLYLYNIF DMRNVTVEREYELPPIWAKCSLRFGRNSSPSTDTYAPPNALFYSDPSARVLLLTAKKT GSTGNGMHWLFINESFFRPTSHADRRSVPWSYWSQFCLIKDFLTFSSIGIPQIVGSRV IYLEKDVSHSTRGHARSRLHTVDFSPHADILTPPTMTWTFIGRMSQLRPIETHREFPT STTNGLAVDQIHATEDNIVVLLENHGDLKPVNVLTFGVPTPRTLRH JR316_0007995 MNGPHQPGILHTPGFAHYSVAWSPFHPTRLALASSANFGLVGNG RLHLVSAKPNPGGPSSLNLDKQYETQDGLYDVAWSEIHENQLVTGSGDGSIRLWDVML NDLPIRAWQEHTREVFSVDWSNLKKDTFASASWEGTVKLWTPDRARSIATLKAHQACV YQALFSPHQPDLLSTCSTDGTVKIFDLRAPSYVNTGPNANTFTSPVSAAVLTIPASGT EVLTIDWNKYRSMVLASAGVDKSVKIWDCRMINTGEVGQVGGICETQLLGHEYAVRKV QWSPHRPDVLATASYDMTSRVWTTTPTPGRPQLLHIHDPHTEFVVGCSWSLYDEGILA TCGWDESNRSSFAAAEHNSYSNLVMDAEDFLSDSLQTLYDYQPITLTTTGAPFSYTFK LPSISNKSSGDVHQPITISLKTPDTDAANWDLHASSIWASSVYLSDHIYDLDLESHIH LSSREDPVRVLELGASAGLPSILIAKLYFDVRVTSTDYPDKLLIQTLAENVESNNASD RCKVLPFGWGTDPSPILHENRKFDVIMAADTLWNPELHTIFTHALKSTLKRTTTSRAH LVVGLHTGRYTIDSFLRKVLENGFEVQSIEERERLGSSKRNWQVFREAEDDKERRRWV IWIQLKWSESEVELYRSSTS JR316_0007996 MRVSVPGIPQAILDAKPIYSVKSIKEATERLSEFLGIGNVTVLT GAGVSVDSGIRAYRGDDGRYMNPNYKLRSYLGYPPVRDAQPNTTHFALAALQHTSHVS RLITQNVDGLHHKALRKAATTHWDSMRIQENILELHGTLHHVHCNRGHVVDRNTFQDR LSAANPRWYEYAEELERTGMQPRTNPDGDVAVEQLGISYSDFIVPNCPDCLFEGHQNS VLKPEVIFFGESIPKAVKDRSYHDIETCDKLLLMGTTLATYSAFRLLKHALELNKRVM LLNMGPSRADGLPGIVKLDIPSGAVMRDVARNVIGSRATEDPIVSEMLQSGVVNPPST NDDDRSPRAAG JR316_0007997 MSSRTLSTNPVPSPGEQARRKRLLDDPLVTLLSPSLVECTLCTK QIKLSSKSAYDTHHWFNHRSRCLRMKKKKQKQIGSPSRRIGSSPLKTASSIKASLKDH EAEPELRRVQTPPLVELSDSEDSRGSPATETSYTPKLPPLSPPPTIYVMPSENVLEEY IYRYHPGCTPQTEAVTLCQWQKWSWAQLKLPQFVPPLHGHPVDIDVNEDQYSDSDSEN QSYGMLQYSPRPSGLGRVVADLRDQEAAHALSMLSRSH JR316_0007998 MKTPSSPDAKVKKTPVSASKGPKKVDVSLPGPSPKRARRDSEES IKPPKVKKSSMAAVESPIDNVMEHSEKDHKASVSAKKPSRARRDSNESVRSVKQPKAK TAPGSCSGTPAASSPNETNQPSDELGVFKKPESIRKPKSKGKAMAAEDDFDDSISIAD STVSTTKTRRNESERIEYFKNQAECGKLEPHSAECIICNKVVNLGRKQTYAVRPWEIH RARCDKKAAEAIPTTPERADASPDVEEAVATTILSPAFDPMAARRPSEADRKEYLEAD KQISELEKHRACCNKCHKWIDLSPTQPYATGNWVKHKIRCSDAIPSNRVAAAKRKLLI VNDKQVKSFTPHKIDCAFCGGTVPLEGEGDFNLTCWDEHKEKCTKSVPVSRSDNINSI AFPSRFSRPPHSSTSTDGSLAVDAALGSASGIKRPRQDSEVALDEETRASARPRTSAY IPPDIEPPSSILGWFMLPFHSFVRGFKESLKDKS JR316_0007999 MMKNWSLLLFLFALPFNVLAGKFSEPSLRARHLGHARKLQSRNN TGSCQPQPFKLQDFYQGNSFLNDWEFFSGEDPTHGNVNYQTRENAINKGLAYVQQDGA AVLAVDDYSTVPVNGRRDSVRIFSKKSYSNGLFIADFFTMPHGCSVWPAYWSFGPNWP SAGEIDVLEGVHNQLTNQMSLHTSAGCSLPSKLSQTEATSNILHTECVSSGESNIGCS FSDTDPQSYGHNFNVAGGGVFAHLWDNTGIKIWRFSRGNIPADITSKNPNPASWGVPA ANFPSSSCDIASHFFEHNLVINTSICGDFAGATYPTSGCPGTCGEAVANATNFQCVIR FAVMAQDPAEDARKRGMRRKSAPKAVIHADAQEFDAKAVHRVGDV JR316_0008000 MPTTNQARHRRELTSNIDMPVSRTILDQIKRLIPPLDGTLHKGQ SGRVGVLGGALDYTGAPYFAAISALRFGADLSHVICSPTAAGAIKSYSPDLIVHPILN EASSSEDVKPELKSILSRLHVLIVGPGLGREPYMQTFAKMAVSIAREQGMFIVLDADG LYMIGKDRSVIQGYRRAVVTPNVVEFKRLSEQVGIDPDTPSDKRSALVSRKLGGVTVL QKGPQDMISTDSTGEEADLPSSQLKDADAQFEQAKETVFVDIEGGLKRCGGQGDVLSG TVGTFMAWGKCYEDGAFGLPTSRIPLLAAVGGSMVTRTASRIAFAKNGRSLVTEDMLP EIGKAFSQVFDEKKTEGKL JR316_0008001 MFGESSHSETVQLPKWRRLCAQKQKSLHDSVPSDWFIPRLPLQG HPNVLDVPEKCGLLTERELMITETTDVGLILAKLRAAEWSSVETTTAFYKRAVLAHQL TNCLTEIFVDSALERARHLDDVLRNTGKPVGPLHGLPVSLKDQFTMKGLETVMGYVSG IGKYADKDSVIVEILYRCGAVPFVRTNVPQTLMWGETFNNVFGRTLNPYNRSLTPGGS SGGEGALLAMKGSPLGVGTDIGGSLRIPSAFCGLYTLRPSYARLPYYGAANALNGQES ISSVLGPMANSLSGLKEFMTAIIGAKPWDLDPVNIRKPWNEEEWNLSEHGGIGGHLCF AIMWDNGVVRPHPPLIRAMQMTKEALEKAGHRVIDWECHRHIEIYKNAETIFVADGSH DYMTECEKSGEPLIRTMVPSEDEEAAVGYEFEPPYPFVKALVGDSPEHLKAYELWKLH EEKRELRKSHLDHWQSTVSRTGTGRPVDAIISPAVAYTAVPHGLNTDSFYTTLCNAMD YTTSVFPVTRVDPKVDIPKEPHEFYNHEDEAIYKLYRTDLFDGCPVGLQLIGKTLEEE AVMRMTFIVDDALKQSIVG JR316_0008002 MAAQVQEIPTKPFDGQKPGTSGLRKRVKVFQQEHYTENFIQAIF DSIAVQGSTLVIGGDGRYFSPETVQTILKIGSANGVSKFIVGKDAILSTPAASNIIRK YKATGGILLTASHNPGGPNADFGIKYNVSNGGPAPENVTNQIYEKTKTIKSYKILEAP PVDLSKIGEFTYGPTKVSIIDSVADYITLLESIFDFPLIKKFLDEHRSDFRVLFDGLH GVTGPYARALLVENFGLPESSIQNCVPSPDFGGGHPDPNLTYAHSLVEVVEKENIAFG AASDGDGDRNMIYGKGAFVTPSDSVAIIAHWADTIPYFKKGGVKGLARSMPTSKAIDL VAKKKGLEIFEVPTGWKFFGNLMDAGRLSICGEESFGTGSDHIREKDGIWAVVAWLNI LAAANRDSPNKLIGINDLLNEFYSIYGRSFFSRYDYEEVPSEGANALVAHLNDALKSG SLNNTVHTSASTSTKYTVSGLYDFEYKDPIDHSVSKNQGQVITFSDGSRVVFRLSGTG SQGATVRMYVERYLGPEAGAAALSEPAADGLKSLIEVALEISKLKEFLGRDEPTVITV SLLFDTGLRIG JR316_0008003 MWGHPKHLAEAARIVREVHASPSVDGIKLHVLVAEAVSEESTYD GVDWNGERVAKEVTQAVAELESNGDRVVRFSVTGYSLGGLIARYCIGVLHQQSFFDKV EPVNFSTIATPHCGLPRYPSFFSSLTQALGPRMLSRTGEQFYCADKWSPKGRPLLVVM ADPNRIFYQALANFKHVRIYANAINDLTVPYVTAAIETTDPFADMEMNGLDIKFDEKY SCFVRDYILPDTPPQPETGSSWFRRSKSSKPSTPLLPPFLQFRFPLNMVFYALLPVII PTFISMLLVHFALASRSSRARIKTLEQEVQKGSRQALIELISEIEKEMEEAVVDLIDN PDPTPIYQPKVSKAHPIITPNHKKIAQWLNALPLQKELAYFPAVRNSHAMIVSRDVER FEAHRAGEPVLRHWATSLVV JR316_0008004 MHHGARAATISVADIISQMGKDAGLNGEELGPRTVQTVHLGLSR LEVNGLIQMLDSKLEFLSLTDKFKTLIQQLSDMYKEHLPLIQNLDSLSRSRIQCAFFR SKFRTRAFKKQATRRELECENKKIKLDREEHRKVCPLTKTRAPSVFSEATEMFEETSK VTVPLGSNDDNVYVHDILMNKGSHPIVLLPRTPARSGTLNNPEAYPSPQSAPRQTSSA PSTSYLTPSRSTSAPAPALAEEIGTDEDEEMEVTIELQEPFDTPFASDAPAVDSLSPL DPALTEQASQRIVQQHQIKEQARMKSRRRSRLFVRYRHTLERKRWWRALECSRREYEQ LKKESELRERQLTQRHYEELRNVQNGHEAALRELDRRHAEEKKELENANDELRRRVTC QQHCRS JR316_0008005 MSYIVRDPRFSMSMAEAEVPISANFRRGRVSYAKQIERRIISVW KVVTRTTRKQPRNSFIHPDFVVITTRRKSTIQPQIYRYHN JR316_0008006 MFAPQPNSFLGLKNVLSTTHSGHGDYYNDLDIDADIEGEQETMP DLEATDGDIASKSPDSGGAAERLLLWKQIIASNAEGVTENTHREYIRLADACVKFLLA KQVIYAREEFLSSSPHCNSDEMIAAWIMNDTGLDGKPATGPRGTYTHAQKMRASMTYI FGRIYGLGSQPWKQARSEKGVVYMEGNPSISEKVSSYMVSLRRRKVQAGETTTSARAV SPEIMAKLYDFNHRPKYGEISNDASQPSINGNDIHQWGGPLCRKALQAIYTLAFICML CVDEVLKIQTNRITFAEGKMILTLPFRKTHQFGEIKPFVLHILSDDEAYLCPVRAVSE WINASKINTGYLFRRMASGDRPVSNNSPMTSEQFLEMFRNNLLDIGVDFAPYGTHSF JR316_0008007 MAPNDRVCSNLLGGHGLLVLALAFALVVAIVVGRCCTGASLPME AATGLPFPGPLVDGEGTIQFFDIDSPRMTKTELVGLCRGFGLPKGGKKDELKARLRAF SENREEWIKLQLPARRMHRGPKEGKIAKAKSVKQSARRAGAVFASTAPPLPHRPLPIP SQIATVPATPGQCEDILEWARKTSLSHPYVSREQREEFVACKLSEIRHSREISSVQTQ DIAAAVPLVLSLMAQAQSAAAPVSLPAAAPVSLPAAPVSLLPAPAPSPCLSVPGSSST EPVSPSWSNFPTRTLTLGTGVELYLNRMWDDTSPFWDNNSALVIKGHPIPIVYWKQVY ARSKTGSWMPGQWKLIKGHWCQWKAIVYRWRQTTEDQFWQEFSDSTGERLSYRAIVDK LTMVKKEMDAKIAGLARQEFGDRFNSVFTYKKCGKQYVMRSDHSIASRYIEEITAQGR SLDFAISVDNDCSDMDLDDD JR316_0008008 MLPRNLQSVAARTGTRTRNLIRSSAPSTSLRIVVGHQHSAFHSS SRRQNELPKSPFQTFVEVLKDELKKSRELQDSVKQLQGDVDKLQDSEALKRARAAYER ARLTSSIKENPKLRAAAEELKKAGIKVGDAVSEALKTMEESEVMRVISRASSAVSSAV EKSTEPIRKTAAYKTLAETIVDSLDDSGSAKHAGFEEKEARRLRRQKRLEKAGRAAGL GPNRIVANPDAGEAMVLHKDSPRQEAWNKLKETNPVLRTLVSMRQAYDESENPIVSSV RSVTETVGSWFDENETAQVMRLMKSMDPSFNRENFERELREYIVPEVVDAYLSADRES LQQWCGEATYNVLWATMEHFLRQGLISDSKVLDIRQVDVSDAKILENEVPIFLVTFAT QEMLLFRNAKTGEIVVGAENKVEQCTYAAAVTRVPEDLDNELTGGWKVVEMARRSARA YL JR316_0008009 MGQTNSRIDSNSTSIPSSGGCPVPHAASPSPPDLAQPAKCPVDH TPPQKAAQCPVDHDAVNPLNQMPTLSQMPSQMQGIHLPTDRTESSIPRDSSSKWEYPS PQQFYNALVRKGWETPEEHVVTMVEIHNFLNEEAWEEVRKWERRHNCDEGLQLTRFKG RPGEISPKARFWMFAGWLLPSRFNSEPPFDRHDWIVRRPTTGEEVRYVIDYYSAPPEP DGSPVFSLDVRPAIDSFGSLADRIAVATEKVWANIRDNDKNPPGPSKL JR316_0008010 MPGGPAATGSAIGGSATSKSKFAGIAMTTFSAFGGILFGYDTGV ISGIKEMRTWLMQFGFPTDDPKFTTGYRISSSSESLVVSILSAGTFFGALIGAPAADI LGRKWGIIFACLVFSIGIAMQTAATQMALFVVGRVFAGLGVGLVSCLIPMYQSECSPK WIRGAVVSTYQWAITIGILLAAIVNNSTKDFDGKNAWQIPVALQFAWAGILALGMFFL PESPRWLIKRGRNADAAISLGRLTGAASNSPEVETELEEIRQNFEEEKALGESTYADC FKFSKNKIALRTLSGIFIQAWQQLTGINFIFYYGTTFFKNSGINNPFTISIVTGVVNV VMTIPGIWGIERFGRRRLLLVGAIGMCLCEYIVAIIGATISTENIAGQKVLIAFVCLY IAFFASTWGPIAWVITGEIFPLQVRAKAMSLSVASNWLWNFGIAYATPYLVNKEPGSA GLESNVFFIWGSTCFCCIIFTFLCIPETKGLSLEQIDLMYQNTTPIKSLEYRRRLIAE GADAVHGGDVKHHSSEHFEEKV JR316_0008011 MSLAQPSDPSSSNFLDSPELPTQPSRKRQRSLSMQSDSGASSSS VKRSVADNSSNDIQIRSPRTDQLSTLSLADSNNQDIDAYMAEQGEANIPIHIAPLPHT SDAVELSLPEDKVSLVNNGKSRMMEVGQTWYLISRTWYKRWLKACTGEIDKEGPLTER DLGPVDNTSILDDYNNLLPSLIEGVDVEYVPEDVWNLFLAWYGPASQPLPRRVIARGR LNEPALELRPLILKVYRLVASPGTTHLPHHLLTISAGETISHLCSELASKVSSNGEVH RPYRVWQGGVRGEQTEVDITPSQLLTSDAKIVEESEKTLEEEGIESDDVFIVEFKSAD GWVVEVPKFAEKFSAIETPRPLFNSSEGFFNKMSNTLSPATSTTATTAYKPATFDSLG GVFEDELNRDNPLGMGGAIAESFGALLQRIWATTGTSTSYSPRDFKTQLQRFAPQFSG YQQHDSQELVAFLLDGLHEDLNRVIKKPYVEKPDWEGGGDVELVKLAQKSWEGYMMRN DSVIVDLFQGQYQSTLICPECQKVSITFDPFMYLTLPLPIHKKWRHTIYYVPWDLDKP HVKVPVEINRDSSFKELRTLLGRWMGAPPENLITLETFSHRFYKNLDDHVLVGDMSDS DTIVCFELPCNSRQSKSYKPLPDDPFIIPVFLCDAKTPGRSSYFANSRGTAPTLFGYP MVVAIDREQAKDVDSLYDIIATRLERWTAHARDLYTWEAVDDSHITQVPIQINGVPPV DALTEIAEDGTVVTIQTGLLTESDIVDEKKMAIDDDGVPSEQLDEIEPRKVGVKKDIF TLRLQVNHKDYGTAYNAYTSNNRWESWESRATDSHPHSVLLQEDDALYCEFEEDKKAY YFGDSARFEHALWEQWTTFTHPEYEESKKAGVEKRSKGISLRDCLDEFTKEEQLGEDD LWYCPQCKKHQQATKKFDLWKVPDILVVHLKRFSNNRSLRDKIDAHIDFPVEGLDLGD MVGERGIGKKLMSEGIDIKDLGIGDITEPLIYDLFGVDEHMGGLGGGHYRAYASNHLT GKWYHFDDSYVTTARPSDAVNPNAYLLFYRRRTKSPIGGKSFTKIQEAKSRPESGMND NQVHVSTQLPTPPLEGNGGSTSFFSEFSSTDLMLRNTDQWNLRSNESNGGSSVPSPPT DDPPDFDDYQIESMSYNNDPLVVSTSRYEFPDPNNKASPTSSNEADVDVDTDLDQDDQ DWDPSIEKLDSDADADWDDNVQTFTENSKTVTSDDGTLRWESSSNKPPPSYLDHSGIN ASKCTLLILPNPLSYIRYDVKIESHAVELVLERGTTSLSHHDRDMNRVPPNLLYLAIY NPTLRPSGPIDEGNEDAEEQAHILFYTSKERAVSRDRMLRQIGLAKALINFAESFSTN DTCDNVHSQSRRMIMVSPEPDFWIHAGIEVAKVPKNLDPKGKTKTKGKPGEKGKDSET SPLYDYDDGSVHDNALRACILQGYEKFKLTHGSFISILVSLGQQALELQLERFWTVWG WSWNLEEKMTFEDQFGPLLHPLFSSLVPLVDNFSENLSSEIAPIVLSRQHIIPSGRYT EGRYPAALAAHLASMIPPPIEPSRSSDTLNTLASSVETIRGKRSSEVKTKGPSNDAHH DGGTFFGISTMNMNMDMKKWNWPGYLTFGKGDKPKPSADIMSGTSTVKEKETSSAKLP EAHEESRLQVEINTSDLEDAISSISVPISGPVNEPHINEDNKKDASIVENQKDVSKLP SYMTDPYDDFSLKIPDNPEDPPPSSPSPPQLPEFSLTRLNLAPHHDPTNTRKTTIHYL TKDKFMLALINMHDKANDLSDAEATDLRTAAEKVGQLFEDLELTIDDPNLRSAFDALP PASKILQTPDRYLMSTAGYTHGSPGFSSTSSHLFNAKAILDLDPEITEVFSRGQNPQH WHIAKRGLSNAQNTDIDYIGTDDAVFMEVFRKETSLTDVDNVLTGVVKKSGLLDGSGH ASLLHLIDILCRLPDGMRSLLATINQHKAHQKNKQWYTGSNYYAPYPQGGPSGRPNTY INPSYKPSNKYIRPGLNVAGPSKPSVTPTPTPTAASVPSSTPAASTPTTGSSTFSSPV IPSSKPVSMVGGPIKEVVLGGVAFESSRRVLVRKDLPQSAKPGKVMAKTIPPRRHPYS RKPSHVPPTKSYKVKAPRGRNMTLNNTRRQYPSRKTAGRRKYVDKPCPRFTTTGSCTR GLTCMYQHDPAKIAICWNFLQDNCNKTAETCNLSHEPTPERTPLCVHFLNKGRCTRDK CPFPHVNVGQRTGVCRDFAVLGYCERGLDCDRQHVRECPDFAEKGTCGTAGCKLPHVI RANRNRKVAAATVGSSDAAAGTEDTEAMVTAEDGQLGDEYISLTFKESDSEDESEGSS EEEEEEEEGSEDESGSEESDSDIEQHSHCRVSVSESKLCVYPKPSARRLLTVTARAPL PDTPRCASPMNPGDASSSSSSKPRAATRLLHSVKRTFTPPRKRYPEYDYPVSHFHLNV SLIAHRALSREMLCIALMRSRIRLSLRSSSEILILPPRAQP JR316_0008012 MSTTCSVLNLTFFLGKSDELVLGEDKGDDAMEEGSDDDLPCGRK RAIRNLIAANGRVDCAEDGDTDVTVDDDPEAVEKGGLIAGLLDEDVTVAEGFLRDDRF GGGGRTMGVE JR316_0008013 MAPVETEYYDLLGVPVDADDTVLKKGYRKQAMKYHPDKNPSADA EEKFKEISKAYQVLSDPNLRAVYDKNGKKMVDKEGPINMDDAAGFFANVFGGERFHDY IGEISIMKDMTSVATTMMTDEEKAEIEKQMNSGNPNVTGASTPSVSGAASTTPPGAAP TAPHTPSTLSAASTAAPLVSEVHADGSIPAAATHPPSAPVAPPSPTTGSHIVPHGSSP QSTPSVNSATVDKETEKREAARRKAEQREKLREHEKARRKAMEARVAMLTKKMIERIR PFVEAKDPGGKDDPESTAFAEKMKREVEDLKLESFGVELLHTIGSVYMMKASSFMKSK KFLGIPGFFSRLKEKGTLAKDVWGVIGSALSVRELMLEMEKAQAKGDVGEEELRALEM DVTGKIMLASWRGARLEVIQVLREVIDNVLKEPGQPEAVLVNRAKALLITGAIFKSAV PDESDEERRELERMVHEAAQPKAKKSATAAAKARREEMIKQQQAAAAAAQEKPAGSTK A JR316_0008014 MSDLDAQPGCFAHFFSRKTRFGKLTTRTETKSTLQDKPLSEQEK VYGEADKRLSDSETLGKTDNHCYFTPNCVFSYGSEDEWWNVAEQLPSYSSISNSLAHI PGSATSVIDKTLNQKNESLRALSMKIHGHPETMFTENYAHDLLTAYMEKCGFKVTRHY LGLNTAWKAEYSQGTGGRVIGINSEMDALPGIGHACGHNLIAISGVGVAIALKAALEA QPQTSAKQSKAEEGGGGKVILLERGAYEEMDACIMCHPSTGLPHSASVGSSTAMQSIQ VEYFGHAAHAGGAPWEGTNALDAAFIAYSGISMLRQQMKPDHRVHGVVEGRNWLPNVI PDYAKMRWLARAPTSDELSSFTKRVQNCLEAAALATGCRVDIKLDNAYFDLHQNPVLA QGFADIAGSQYGIVTTTKSTSASTDFGNVSYGNIKFLVIQTQKFPAYIVIYLQLSLPY TRLSVGVAISSSLPLLLVLMKHAAIPTEPNGGNHTAAFAKAAGTEAAHTATIAITKSL ALTGYRVLTDTTFFNRVQASFERSKTVLA JR316_0008015 MILERHSIGPMTPPKDASFSKNDAMTTKLEIDRPPPAYSDDFLN HGRDNGTSSRSQLQVPNVQSRYNQSSVSVNSQDPAPSADQVHIFERNHDIKGTFFIDP LVMAYQRRKGKKSKKELPHASFRSRKGSVELELATTGDIQKAPKANISVSSHSGSIQI TLLPMPASRPRMGLDVNSTHGNVVLYIPEGYSGVLHLKTKKGGIELLPALATHIKTVK FTARETIFMIGTQNNLYELDNSREASFCQVESRTGHIVVGLSGRDRYQAPVGFWKRLG GYFGLGGESSNGSEKDISG JR316_0008019 MALAAQSSQSERLNQIFSGLKHRNVEIKLQSAEELRRYVSMTVA EMSSDAASKLWDDNINRRLFDLTHSQNTSDALGGLLAIEKLIGIEQEETIESKRNLFR FYNYVKHLLPSTDTSLMLSASKTLGKIAEIGGSAFGERFMDFEVPAAVDLMQPDKQES PRYAGVLILKELARNSPTYFYSHIGTVFDNILVPLRDQRVIVREGAAELLAACLEIVT SRERQTRTPYLSKVLQDAQQGLKQAQPEVIHGSLLTYRELLLHAGMFMKENFLDTADQ ILRFRSHRDSAVRKMVITMIPSLAAYDTQTFTEHFLHKAMAHLLTQLEKPVERDFAFV AIGHTAAAVGSDMKPFLDLIMSQIKQGLQGRGRKNAPPEEPIFQCIGMLASAVGPNLT KLLHDQLDLMLACGLSEPLVQALVAIAKYIPPLLKTIQDRLLDLLSQVLSGQPYKPLG APPSLARGEIGVSNRDLNPTQINGTDKNPELITLALTTLYTFDFTGHVLNEFVRSCAL PYLEDDNPEVRRASALTCCVLFVKDPICYQSSTHAIEIISDVLDKLLTVGIADPVASI RQTVLSSLHERFDRHLAQAENVRSLFIALNDEDFNNRVTAVGLIGRLAKHNPAYVMPS LRKALIQLLTELEYSTVMRNREECTRLLTLLVSATQRLIKPYALPMLRVLLQKANDSN PTVAANVLMCLGELTCVGGEDAIQHVPELMQVIISRLSDPALIKRDAALHTLGQVCSS TGYVITPLVEHPQLLPLLSRILRTETSQPVRREVIKVLGILGALDPYRRKSKPKEDTS SETAVAAVNQVPVAQHVATPASDDYFQTVVINALLSILKDQSLGSHHHSVIEAIMSIF KTQGLKCVTFLPQIIPSFASVTTGSPARLQEFHLQQLAILIGIIKQHVRNYMPDIFSL ITELWENATLQLPIVSLIEALGKALDSEFKPFLPSILPLFLKVFDGELTEKRINIQIK IFDAFLTFGSNIEEYLHLVIPIIVKSYERQEIVERADSLTALRKRAIQTIDGLSRRVN FSDHASRIIHPLIRVLEGGNNELRMAVMDTLCSLVIQLGSDFAIFVPTINKCLLRNRI PHPKYENLISKLLNGERLPQEVGVLELLLSENSKAPEFSAPAEATKMNVNQQHLKQAW DTTHITTRDDWIEWMHRIGVEFLKESPSHALRACMSLVDIHPPLAKELFNAAFLSCWG ELYDQYQEDLVRAIEFAITSTTAPSELIHRLLNLAEFMEHEEKPLPIEHRTLGEYAMK YMAYAKALHYKELEYFSESSPAVIEALISINTRLQQHDAAWGTLITAREQYDVTKHEE WYERLGRWSEALVAYERKAELDPSAPDVQIGRMKCLHALGEWDQLAAQVDENWANANH EDRREIAPMAAAAAWSLNEWDSMDDYIATMKPDSPDRSFYRAILSVHQNQFPKALVHI AKARDLLDPELSSFVGEGYGRSYNVLVRAQMLSELEEIIAFKQYADQPERQQTMRKTW MKRLQGCQPDVEVWQRILQVRTLVLNPEDDPMMWIKFANLCRKNDRMVLAEKTINSLL SPDRRMHDHQIKAPPNVVYAQLKFMWAKGAKDHESLNFLRQFSASLARDVMQETNAYS QRPGVSKQKIAELSKLVARCYFKQGEWQQQLKDQWNPKNVEDILHAYLLATRYDPSWY KAWHTWALANFDVIEYLETQTSDVPIARLVLHVVQAIEGRHPKCFFRSIALRSQDALQ DTLRLLTLWFKFGSHDDVSHAMSGGFSTVEVDTWLEVIPQIIARIQTPHANIRRNINH LLTDVGKHHPQALIYPLTVASKSSSVARQNAAIAIMERMREHSPTIVAQAMVVSRELI RVAILWHELWHEGLEEASRLFFSEKNPVGMIAVLEPLHDMLEAGPTTARETTFAQGFG RELREAREATRRYRAYGDTSELDKAWDIYYGIFKRVEKQLPQLTTLDLQYVSPSLLKA RNLDLAVPGTYQAGRPITKIASFATKLTVIVSKQRPRRLSLKGDDGRDYQYVLKGHED LRQDERVMQLFGLVNTLLSVDTNSFKRRLHIQRYPVIPLAPNAGLLGWVQDSDTLHVL IRDYRDSRKVLLNIEYRLMLQENYESLCLLQKIEVFEYALENTTGQDLYRVLWLKSSN SEHWLERRATYTRSLAVNSMVGHILGLGDRHPSNVLLERSTGKVVHIDFGDCFEVAMN REKFPEKVPFRLTRMLTHAMEVSGIEGSFRNTCEITMQVLRDNTESLMAVLEAFVYDP LINWRLLQAKENDTRPTESTDLGPERAAELARAAGHPQGPMRKLKADENDIFNDEGHP GGAQEVRNKRALEVYRRVQAKITGRDFGGSVVLTVSMQVEKLIEQATALENLCQCFSG CPLKMPRGIPNAKRDETGMRYTTFSVPLTFNPKHASSTYLKTEAQTLWARNALRKGKA TEESPQDQRRGSQVIVIHPGSRFIRIGRASDVNPISVPCVVARKSKTPFTVAPRIQLV SRPRNQQTEDGLSSGDKLPANGTELPMDDPFDAKLASITVSLRDRMRFYKLRITPNAT KIASTFNEQFQPERIAEHNDYQTEWIDSSCPDETLVGEKALRIVDPVTSKYIVRWPIY GSNFNTRDYNSVQATLSDLEEIITSTLRDKLDIKKSEYKNFSVMLVIPDFYDRAYVRE FVNLLITVMGFKQICAQQEALAATYGAGISNACVVDIGATKTSIACVDEGLVLPETRM SLNMGGEDITEFLYVLLKRIKFPYRDIDLNHSYDWQVMEDLKFRLCTLADSDVALNLY DFNVRRPNKLTEKYGLRAYDEIILAPMCLFEPRIIEFDNKREHLRPHSRNVTEEILGH QMEYVTNAMAISTQHLMPMLQQQQSQQQSQSSEQPVNPHQEIPGEPTAEPSSSSKPDL DAQTMNVDSVETEASALPDDRLEAHIEVVDVEEDGKQAFVQPHPVSQPIAQASQPGYT IDICFEASKLPLDVAIFNSARAAGGDEKIRKYLQAVLVIGGSALIPGMAHALESRLQA IATPLVPNMEKVQIIPPPKEVDPRVLAWKGAAVLSKMDSVSELWVSSSDWDVFGMRAL KERCFYL JR316_0008020 MLLGTLRIAATKASIASQAKSISVPKPKRRRLSDSATQLNDDRA CDEDITSGAKLSTASGSDLRRLKRITTTDLERREKRLALKEQNLRDRMNELDERIQSL SKKEDQTSQIISQIAEREAQSILRQLEEHFTCALCYEILASPYSLTPSHCGHTFCGLC ILKWFFSRLHRVCGLWHESVDCPICRSVLTPTPERIPRLQSTFPFVPNRVTASVVESL VEKLINPPLYSQANVKKEEIESTWGSQSRKHRGRGCVRKREPSEEKDSEKVSDTLDVI AWREGGHLRTEWLKKDREGKKEMAYILNHWSTMGSQDFINMKQKLGV JR316_0008021 MNSYQLSQSPSPVDPQTSLLHPINFSQSQESFLHSESNPYPAED PSIDSGPAHKQLDKYSNSTSPQSSLLDQRRMSEPAALGAPPLYSTAANDSSPSRYQNF GFAYTPPPLHPGRSSSSVYVSPLHRGVSTGSLRDLRHHHFEYPPQQHQEWKHDDSRHR EQPGDFFVHRTDLLDEPVSPLQSTFSHNMGGSPTSGVPYSPISDNPYGPSPPGTGTST SSSVAPLSAGIPCSPSRSISQHLQRSLSASQLSGDVIDRKTYSFVALPGNAVKKRPRR RYDEIERLYMCSWPDCNKSYGTLNHLNAHVTMQKHGQKRSPNEFKELRKQWRKAKKEQ EAAAASLHRESYSDSYDDHAYNHRYLSSHPMHHRPHSSHNVLGLASSVTIGGNNERYP AMGVDDIRFSALEREDDALGAYDHLATRQRYNNVQPSSWHGGSNLTPRSSMQNFGPGQ HPHHSHLPQLSIGNRISQQAQEAEYINAQHNRLPHNSTLLTPLYPGSSLMPPLQNGSG SVAYTAEGFEIYEDDNGRPGTGHASIASMGHASGDDFDHSQ JR316_0008022 MANRRQPKDQLCPICNKMFSIQGIAQHIRSCSGKTPSLDAQNAF GDFANRVYENNSLHYTSILNAAISHSPAIPNEDSTTASYSAIDMAQMETQDSLNGHHI SLSMEPEDSIEPVTNQSSILQMLDEISNNHLPPPLPHQICIEFHPSANMPPKFIPTHQ YNPNSYQTSPTPHSTSTNPWHPFRTRLDFEVAELALSSHMNRQQKQILLTLIDRIKEK PEQFTIDSVSELEKTWELARSYRSTGFQKKEYLVPYQNDEIGYEVYIRPLIEWCNSLL QNPSLLSQFHWIAERHYKQNDGKRDRLIGEPWTANEWWSIQNLLPNGALPFFIILYAD KTRLSTFGTAKAYPVLARCANLPANLRNSDGIGGGILVGWLPIVDEDAGESGKKIFVN FKRIVWHKGFHEILKSVQEYATTGYYAQCADNIRIMKSTKDKENYLKEYGLRNVENVF WMMNGSDVYKALSWDRLHAYHGGLFSDHLWSEFKAIIDSSGRKDAEIIDNQFDQIPRW SGLNHFASIIKTGEFADGTKYEDIAKIICYASHNVLEKSDRGYCLLKLMRSFVELDMY SSLIIHSSTTLHGLQKELAKFCSILEEYIQLHPDKNWNFPKIHSHVHMIQDIVAKGAT RNSNTKPNEKAHGLLKLWYRFHTNFKDVAPQECALIHILKMNHDDLIAMIIRMDINAI DDLDMPKEDGEESLISTPQVSNLGNSLGNGSSNEKRAQSHISIGSLQAIITFADVEKQ FKENMAFERFRIKTGKYLAAASGSTIRLKANHKITPFELARIHYQSEIDWSAQRDMVR VSKSFHKRPRNDYVLLALDHQKYCFAQLLFMFIVSLDDKTEWPLALILPLDEPVDQRT NLARRRDKDLEFLRVRARRRTNSAIIDLDPLHSGKMMLYQGYSTALNMRKGSVAGESS ISGASFFRGATLAELEQKQRCRIQQDTIFNLESKVRELKEENASLKMRLINKKGAVRP AVSDTSDCAAEIALRKDLIRLAKYHFIFYRIIVPKSLFGNPRPSFSSNNASARYKDEN TSKLGNIAELYECFPAKYHSIISENVEMAVNVFVKGLSEGRSTILNKIRTSAPSIFPN IPSELFTSPLSFGLSSHAVVQTLLGASDRVKVMTGTKEIWKLIYPNDTAHTFPPVLFA DSDTNNALGLFKSDFLLKTARIILLGPSALKAEIMPRTREDSPSAHIDSRMNCTTPGL IAASATATIYILSDDREFTSSGVGPTTGRLYLAQHDMYKHYLITQQSSLASLFKYWDN ILFPHQKPIIPTSITQQPTEILTSSHSRMSDSESSPSPHPVYQMIANFSRQTTITPSS TTSIPGPIVNVEDRDTDSDVEDFAPPLQSTRNLPSNTQDITEDRSDTDEEPTPAAFES ALHVTNTTVNAPLPVFLPVPKTQTPTSPPLSSNTVSVPNASTSISPLEAPVIKPKRGR KKGLAPVQPDATVVAKTRSTRSSKRANITPL JR316_0008023 MSQQGRLDLSQIPIEVLLDNILPLIPVPDLLNLARCSKVDGLQL FREGYGPYNDKTNGRLGLPNVPKSVIRDGVPYPTQIPFSARIVSLVAGGMSFHALDSE GNVYVWGSLNGAPGTAHASDSHGFSSSWKSARIPHRLRLPSPIRSISCGRLHSSFLDR TNKIWTCTNWGRPFWLSSPILTDPDYAPKQVECGWMFSSLLTKSGAVFVWWPFSGSMG EAIQQKMEEMNSEGDKKAYATEDNLIPCIPWGVDIMPTRLPPIPTLPELANTTNTNTM TELIQIAAFDNHIVGLTNYGHVLKYGSLHDESGVPFGRWEYLPQFSEVDSLKIHTTFS GRTTDSAKLEAPHTIQITHLSANFLHFVAYSTGSSSIVLVGDTDTKPDTPPKIIPELQ NKSIISVVIGDYHNAALTANGKLLTWGSYSNGALGLGDPLKLELGTPGAFANREELEL AKARRFGQRVPPVVNTPTEVRFDHNSKKPRERFCFAATAAGWHTGALVIDLESGDDEA EEEQMRHDSAEAPSPSASARRQWETPPILPLSGIFRIGHAGRGNFGGGLGGIHPRGRN DGDTS JR316_0008025 MEVLLAHHSIPHAVMRTSRSAGSLDLGLLVNTPFVHSLMSESFT FSSLSSASSSSSAAPPFINVGKLPSFEMIELGDHLKMFSSGGAGRSEDESGQSLSAKN VLISAPTSPRLKKLLKECLSISKWVETSVGWQPPGHIPWESIEDIAEIYWVPEGAIFP PDYLSAALSQFRRSRCYSQIHSENSLDELMCVVPPTRQPSAKEPVDSDLLDMMRELKG MRSYFQDSQNVCDDISVPSLVVSASDITLPLHQESSIGISRSGLNSLAIRRGKKDPPR LLLPKSKSAQEILYPGIPTAFLGSPSRHHPKFENGKDKPYLEFEQMINNLRLQCSTMS LQTPPVDTSWNSRSNAECTDHSAEEDLDEWSFANEFLSTHKNVSLSRSMLGSTMTSDI LSSTITRKTVDNLQSIRHEFNESGRKDVDKKSKGSTDNLTPTSSESAPIMAHTAPLPL RSAMIKPVLYPRLRPSKSVRFRLTQQEFQRSISPTPVKDDTPQETVPAPTTSTKHQYQ RVLKRLPSTGNLSRRDSQKHSNQTVPYVVGLTRGDSHRQSNQATPSVPVCSKSNRDRG SCQTHAHTKNLPGKTRHINGASGTSLRRLESPTGQSASDSFDAMSLPSDMTTRKSMHP LGRHSLSRIIKGPIFPARDKRATISSGMNIITKDENSIRRESTSNTSISRKSRMPVPL RNILTRFK JR316_0008026 MHFPVALSLLLSALPLVANAGPIQTAEIKSNVAKGLRLLSLAEG AEPVWKTEDEVFGLLRAGVKFFDVTEVYEEEQKLSSSRVAAKAAALATFPTSVSYKSV LTPILATVSTSNMQSKLSTLSSFNNRYYKASTGASASTWIKDTIADYISTYKRSDVTV SLYAHSYVQSSIIAKIPGTNKAGPVTILGAHMDSINLSNPTSGRAPGADDDGTGTVNL IEAFRVLLAAGFKPTNPVEFHWYAAEEVGLLGSQAIATNYKSSGVNVRAFMQLDMSGY FKPGTTEVMALQADYIDANLNTFLKALITAYSRIPWAMDKPCGYACSDHASWYKAGFP SAFPYEAVTGNDNPNIHKAADTTSVSGFSWAHSLEFAKIAVAFAYELAI JR316_0008027 MSTSGQNPSGARGSSGPSTSGNGTQAKSGALVPTNNVTTGDGMT VRTRIDPTLTVDDVMKQLCINLKIKEPYQNFALRDDMDELVTNDNLRKKIKNKVNLKL VNAPAREARETAEKLSNKNDKTLRLTLFSLQKFIREEAFAREFLDHNGLRELVDVIFT TTGNTLAYALTAMQNLMDLEYGWANLSDKFILRVVEILSSPQSLINVCRPATAILKKL VEADPSNVPGTQQAGSSRGPPVPQPGSVYRYGFQVVFEQMRKERGLLETVVNRLGSAD MAMAQYSMMLINSLVAHASDARWEEFIKELERLNVRKAVVRLMSLHTVEDLTSCILDF QANIVRVTYRKKTTPVDPDDDEVSAEDLDIIWTSSKLEEEVDQYGQILKWRKLGFETE DISQEFSEVGQLGLYCLRKFVESDPDFSKIVLEQLSRPESRRCPIGKASNEVVELLSE HWAIFAPGYSTSTTFQPFFLDFPKVHALATHFFIRMWSESGAAADDFTRVAALVRSQV KVALRRENVRPWHEVEHDFMECEYRAVRDRQMKELELEDDLLSKIPVRNLRAKLYKES FEFVRQQRIQCLLQGAWFINALPASSPREGVRRPGRPWRFMRLDSGLKYLHYVDSAVK FPVRNGLEDLPERIDMSMISEIATGTCAPPPNVLRDQNDLPPTSALVPSPLSFSLLSA HEGSLADQIAPDQSRWADWTDGLNMLRRDGGHVSSKETAGFVQALTEIGLKIKLLDLS GEMVEIPSGLVAGPPPMNNDFFFSELM JR316_0008028 MKITQKIEQSTKDNKIWWSFEYFPPRTAQGLQNLLDRIERMRAL GPEFIDITWNAGGRTSDLTAEMVKTCQGLIGIETCMHLTCTNMPKEKVDIALREAKQS GCRNVLALRGDPPMGKEEWEAVDGGFVHGIDLVKHIRRDYGDYFDIAVAGFPEHMTLP KEELDKEIYYLKQKIDAGVSFIFTQMFYDVDLFINWVKAIRAAGITIPVVPGIAPIQT WNGFQRATSLAKITIPKLFLDALEPVKNDDEKVREVGTRLVADMCRKILDADIGIRGL HFYTMNLEKGTKMLLEELNLVPRIETIKPLPWRQSLTPNRRHETIRPIFWANRTKSYI SRTENWDEYPNGRFGDSRSPAYGELDGYGVWIKQTKEDALKLWGHPITFADLSALFTK FCSSELKALPWSDQQASIETSVISKQLAQINELGFLTINSQPAVNGARSDDKVFGWGP SNGYVYQKAYLEFFVNPTLLSLLLTQIERDKNITYYVINKRGDLRTNNHSDGPNAVTW GVFPGKEIIQPTIVEAVSFMAWKDEAFELGAQWAKLYEHDSPSNKLIADFMETSFLVN VVHNDFHDPDAIFRPFYKAGAEYLAQKSKSTAVNGH JR316_0008029 MGSNMVPNTGSTARDFCMLERNILSHFKLALLLSLMSSSFLLHV RLVPVEHESKGGVPLASVEFAAAMLAIVAGIWEYYSGYADLRQTRAFLAATKPHLILM SVVAGVVFGTCIVLVVQDQ JR316_0008030 MGLLKRLFSMGSKKHKKQRPPVDHDVPLPEQPWTEVRPVQPAED EEHEAAVSRLLRSSSARYVASSELDFATLPPLPHPINRVIHTPASSTISLGSASVSQR GTYNVTVHGRTRHPSTDFGNARHEPDEDKAARKKDNRTSLHPVDDSRVLRLRSDPSVA SLIDLYDDHGKLAADAFSNSPPSTPKNKRLEDGRAQVRRNGSTLRQLLGASSSANSRE GSDNSSVEGDISWAERFLAETEAASSRSSLILQTPIAPSNTQLPEPEISFVTDASVVN TTFDNPAISSMEVELSLSADHSQGFDDLDGTNQKNYPYSNSNPSTPQRASQVFGFLTK GKQSKPAEDLDRSLPEVPSCFSTPSDENPPRENHISNPNLPRFQINPPKLSAMPYAIE DTPRNTRPQSLIEESPSSREPRSAFSDDSHDYRTAPRNSFYVPNSVATPIALPNDNFD DKKNTVKVIMNGPTKVIVTAPTPSTNQTGPPRLLRGPRAPPRKMSNGGVTRRRSALGE VSNSPPTADPFMVPPSPRKRSQSHRRSNSQSSSRSSCSCEAGPEYVSRPKKLERVSSG SRKENQLSLAVKAELPSTPLRSNSSTSRSLLRTVVQQAMFRPPLDGHGVTVPSPASSS EMSPYGRQMMSDVRQQRMRAREADRLKHGSRYAAERDAQRI JR316_0008031 MSTQAITDYLTKQLFIERNISCVATFLITGTPATNTRYRGTQSS DGDYYMGGTQPTNVDDDDVEIDSEEVPQTIVMIVNEKDLEADVRVTDKSKGPEFAAIV GRIVAKEIKPGPFKKRMPPPAPVAGPSKSKLPETKPEVKQDTKEKSNEKSKASGGKLS NFFGGAAKAKENKDVKKIKQEEPAPEPAKRMFFSKPAAPKAAAADAAKKEKADAPPPP PAEKPDAPQKTNMPQKAPLSKSKTSVSNVPSRAPSVASTKEDKEPEKVTEKSTRGVKR KSSVGFENRDKSVERHGNTESSKTEGSTRVRRKVVLSDDEDDVPMPKKPTARKSRGSY TTTSGHNSDAEHDVMRLMDMDDDEVEKVSRVPSTNASSNERDDAEEEMDEDDSMRVDD EDVPMSDAPSTKAKVVKKRKPKAVVPVGRNGLKKRKVTKTRRTKDANGYIVKEDYSDW ESVASDEAPEEPEPPKAKPKAKPKAAAVRKEEVEVKAVPAAKEVKEPAAKKAPIKVAS APKAKAAPKTGGAKTQQPRLMNFFGPKKTT JR316_0008032 MQSRCFQPTFNHRTAASLFRTSAHRLSSRSTAPRNHLQSSSYVA LYHSYPPGLNPVKPLKLPREHHSLLPLVHSCNNLILSNHPWHSDTPHTVATRTAQGDL IDQETVVPFVISHDHRDYPAVGFIRPQVAAALEEDHQKHLVSGSASPWDLRYSKELPK VLKAVAFAPWVNEGGKYTRTMHMERLIYDWRKHDVFSDILKGWSDEAYPVYTHAPQQI STNHDPIAFAIERAALPLFGLVNFGVLLTAYVRDPSTGRIQVFIPRRSLNKRTWPGKL DVTVVGGMGLGESAMDTILHESVEEALLDHDYVKEHIQSVGGLPFPNRSPKGWIIPGM YYLYDLELPPDGSLTPRINALDGEVEAFELLDVQNVLQNLVEGRFKPSSAMAIVDFLI RHGYLTEDTDPRYLDVCRILKTDIKLPVAWRSYP JR316_0008033 MSLAENHQDPFMLRIRVRVLRKSHTLLQTHFVGPWLLLLPHQTT TPSVETVSSKPPTFITLPNPRKLLGIFGGRATAASNKNKEYFNSNEDDPDEVYEMVQS YGTAPNSHAHEEHEPVHGDESRALLGGENGRVRTLSKEEDGHATLVSCISNLSNTIIG SGSVAAFGLYLLSLCATKTKHRHASFHAISQLTFPGAAVFFDAAIATKCFGVSISYLI IIKGLMPNVVASLYHDLTSETTNPPEWALNGGNWITLFMLILVPLCFLRHLNSLRHTS YIALFSVVIKCYFWPLKGMPEPGEIRLIHFTPNFVSTFPVQLFPIYNELKNNSQRRMN TVIGGSIGAATLTYEVIAVFGYLTFGSKVGANIIAMYPSTSLFIAIGQLAIVVLVLFS YPLQVHPCRNCLDKVFHPGHAIAPPKTNTAGDGEDDEEQEPELGGDEHGHGPAEMSTV KHFVLTSVIIASGFTVAYLVDDLQLVLSFVGATGSTTISFILPGLFYWKLTRNDGSVS RWMNLGAFGLMVYGLCIMNGKGPAD JR316_0008034 MALAPALSHPSHHHNPPPHQPQSQSLSLPAPLPSDHLQISPSSP PPSTSPTLQHSSLIQHRTSPSPTRSFMDAPTQLDHPVQYPSISPLPPPNIVPILDPES APASVPTTPDVDPQILEALRSKDRIYVLKLGETFEALITERRTRVELSPATSYQRLLV HRCSAYYKLAPESDPITKGIFVVSTADSRIPDRRISELVPAEATTQPSFKIMRRSVQD RRSKPHSQAGSVAGEDVDLSDVEPSESGSNATSSSHHNNKKRMTIEEREAAYNEARSR IFMDFEEKEKNKDKDMSASSSSLSLTGSASTSAGGRSSIGDTDDAASSPATESEWSAP SASHSRDRKDVRRGGPANGSASSNRSLRNGGGGSFYNNGSGGSSRNSRAPSPSLHFPS IHDTSPGHVYDPSQPHHNPNMAYYPAQYYPYSPPGQAPAPPFMPPYYYPHHYNPYQPP PITQHSSSDPTTPSGPEPYSPPHQVNYAPHYNWGPHPSQQPLQSPPPLNLPPPQPQQN QIHPMGPPPPMQPQSPQYQPFGHPSHPFTYPINGYYPQAHMPPPPPGQQMPPPPPHMN VPPQQQHQHQNPPQPQQQPVYNDVPRAVNGNSHIGAAHASANGHFNPHNGNGPRNGLG NGVIAPNSHNNNNNNRSNVRNGVGGGAPGLMNVNNANGNGNGVNGGGKHRVQMPPAGR SAWSYGPGVGNGGYVPHNSNNGEAVGPRFHAHRQPSGNSSSGGRSSNCDDVSSTSSST TSSSSRRTYTSTTSSQHHPLPARPDWAVGLKAQPTLAAMGGGRHQEHSLNNSRNISPI SQPRSNGHNSPMPNGNNQQQNGASLSLQATDFPPLSGAAPEKRAPVVTGAWGQSRPIL TPAAANGNANGSAPIAATANVNGTANPGSAQHSPVIKQEDVIKPGEIINPKLARRPPA VVNGQGPAHQRSQSAGKELTSAKGDSVAASASALVGQVASMSLGGGEAGGIDVSPSAP SVFANSSTNNANTATTTSASAPSVVAPAPSV JR316_0008035 MSRLRHRPAVEEEDASALKLGIGEVPSAISRPTLFSQREAKMSV FVILEFNHAGCLLISEVRYLLENREQEPPDTAVYNKTLEYVKTFAKFNTTDSASAVRE TLRREPNLTQFETAQIANLCPADAEEAKSIIPSLVKIDDDRLQALLDEIQTMRKFQS JR316_0008036 MSDATSNPELKRSLDQVSTPADADSEGTSEAKRPRVDQVKDTQQ DAPVASTSFSADAVDSNLPKPEGDNANQAGDVVIPTAPDAEAKPTPSQNEKGKGRLSE RKKRGRGPGARRTRNDEDASKDGTKEEGEEGPKAIRYPKRQCALLMGFCGSGYSGMQI QPDHTRTIEGVLFNALVKIGAVSQDNADNPTKVNLARAARTDAGVHAAGNIVSLKMII TIPGVKDIVARINEELPPEIRVWGYVRTQNSFNARTRKYTYYFPSYLLIPPKPGSGMH RVFSGSAAPSTVPSDEASVEKFAPIPGISYEFWKDQLDGAMSTKEEDMARKRAWRVGP EQMETLRSIVTKYLGTHNFHNFTVGRDFGDRSCKRYMKKIEVADPVVYGETEWISVLF HGQSFMLHQRKMMGALVLACRMGAPPSIINELYDEREVFVPKMPSLGLLLEEPLFDSY NQRMSVINAKLEPKDPEYRPLIDFDLYRDQINAFKDKFIYTTMRGVEDRDGLFDAWIR SIDAYAGNDMLYLNQSGIVPESAVIHRGEKRANPFKEKRIFDTTSFPSTGIKEKLENV TEMEDAEEEVIDKKHLAETEG JR316_0008037 MASAIGMVKRVYAAFSPSPVPKSTSPKPLKFGILGAARIAPNAL IIPAKTHPEVVVYAVAARSLAKAQAFAKKHGIEKAYEGYQALLDDPEVDVVYNPLPNM LHFEWTMKALAAGKHVLNEKPSADTADETREMFEFAEKKGLILLDAYHYRFHPALHRF KEIVQSGEIGKVKHVDVDMILPQSMFSEGDIRYDYSLGGGALMDLGCYAVNCIRYTAS SEPTSVIATTHIPFQPSTPSADYVKNVDRRMEATLALPNDATATLRCDLSAPHKLGFI PDAFPLKLGARVEGELGSVEMFNFVMPTLYHSITVKIKGGRKRVEKVYKPKEGDKGRD WWLTYRFQLEAMVDRVRGRKPDGWVDKEDSIATMHWIEQIYEKSGLGSRPRSSYTLPN JR316_0008038 MSVVTPSRRLSARRGSTTAPDPFGLHATVNLNPNRSSSSKLTIV RVPAPAPPNTPTPLALNEPPASPVLGPRRLHRRPAPQAGAPERVSFAFSSFGPPQRPD SPDHSPSSSPRLRPSSPHLAATTFGSKPRLTPDQLVDLARSSTAPRPQLTPNHQPPSP GHTLPATFTPLPDDIYLPFIDRPSEVSQLISSPPDVKLFSLLAQIFRNKHPVPLNTPD HSLHETPVDLPRDPAAWTYNHLIYHLTRIDRDIAPDFLWAIAARKCILAHSELLWERI KGALGIPPELDVDYDFLEDDQESPDTSDISDDEGKAARGHWSDWDAVMDSPIHTRKRL SMSDSPVASIHSGRHAGIDEQDAHFRSQIDDRLQGLQGGFTQRSASAVIQTEDGETTI APTPHGVRSPPEQEGLVIGAFSPPLVSDDAIDHLSIEPLLAPQTPSPSVLFHPLTAAA PPSHAGGEHLGGIAEGAEEEEEQESATDITAPTEPPAAPAEESDPDLISPSQIQGLRI STSPLPPSHSFSPPILSPISPLPPYPPPNSSGVGVAPLGTPPNTTGQPSSGSHSRASS FSSVGPFQRSESTGNLSASWSAMAAAAAAANANNHSGSVFGSEAGDSSGYMSDSDRLP GQGLGPLFPSNFARLAGGPTLRANTASGRSAHVVPHARYPHSIPANGGSNSSGTTFGG PSLANRPGKVRTYSHGASSRVHGGMHAHSLSGHQQQVGGSSSSSSSAASVGSADRRSW GGLSGSGAKTQD JR316_0008039 MSTPEVKAARRAKFNGVFEKIRDELIEHFAAQGMPKEAVEWYRN SLNYNVPGGKLNRGMSVVDTVEILKGRELTDEEYFKSAVLGWCIELLQAYFLVADDMM DGSITRRGQPCWYKIPEVNLIAINDSFMLEGAIYHLLKTHFRSEKYYADLLDVFHDTT YQTQMGQLVDLITAPEDHVDLSKFSLERHRLIVVYKTAYYSFYLPVACALLVCHIPES YTAGNEIVKPFEVSSSILIPLGEYFQIQDDFLDYSGTPEQIGKIGTDIIDNKCSWVVN TAIRLATPAQRKVLDENYGRKDAQKEQVVKALYEEIGIRKVYAEYEEKVVGELNAKID QIVEVPGGLKKAVFQSFLGKIYKRSK JR316_0008040 MSSAAPSKPLFLGLDLSTQQLKAVLLDEESNVVHEAAVHFDRDL PHHGTTNGAILGPDDGEVTSPVKMWLEAIDLLCERLSEGKIDFGAIAAVSGAGQQHGS VFWSSDSDSLLASLDSSKSLTEQLFPAAFASPKAPIWQDSSTTVDCKRLEDEFGGAQA VADVSGSRAYERFTGNQISRIRRLKPDVYEASTHISLVSSFITSVFLGRIAPIDISDA SGMNLMDVLSCKWDQRLLEICGGPTLRAKLGEEPVIGGTSLGPVSSWWVKKWGFNPEC IVAPFTGDNPATVMSLSAPGDALLSLGTSTTFLLSIPHTSAPPKRFTTSHLLAHPTDF DGKIAMLCYKNGALAREQIRDRFANGDWDKFNQLIQQTPPGCNGNLGFYFPLPEIIPP NVTGEFFFTTDLVKTTVKPPLPTENVPPTIHPRAIVESQFLSIRSRIAAMLPKDSPHL KRLVISGGSSQNLVIRQLLADIFDMDVYVSATKEAAASGGALLAKYAWWKRSHPEGTF EDMTAGEAMGLQCVANPNKEISAVYNDLVSVYDACEAQVVDSWAAKN JR316_0008041 MAHRHNPNPSTSRQGPEVINVDEVYMKYEYDSEEDGIQTIDANT FRQSVRRSEGREKEKDKMRRLSSWPASSSGSFIPHVSKSRQDDAVRPSSAVIRQPPAV KRQIEVIELSSDDEAVFELLTPRKKIKADSATPQPPFQTEITSDYDEDMADAFQSDNK PFQEHIKTPEPEQPSFDDEDDGTGWDWDPIGDIQDSDIWESSTQVAIAEDDVKLDISQ LPVTEQSPSESPLVPYFRRPDTSMYGRYPIRRPVEEIVFIWDKLRACDNLYYKRRCRH PFSRPFYTRPWNVDFHVYSLECEHSFKRAPGCISKIEQSGKWTAIGTASIGGLPDNVP IDPASAAQNQPGSLMTWQNGRGLEIPSGHFKRTADPTTGGWKYKYYAVHDVKFDPHSV AFASTGADRKLRIWTLEDEDGSDERIPASDNSPFEAEGRSRWKNSDTESFGRTPYDIV FNPESSMLAVAEKKVNVYKITEAGKSSCYFHLHPTKSPHVIGSMAWGAGPTTDILFAS SEPDPFHTVNTPHFDGIHAMYSTEKERILVEFDAKEAGDTLAINSSGDMLAVSTRGGQ NDHILRLYDVSRQRSNATATVKLSSFPQRYEQFEGEVSCASFSPDGLYLAMGRNDNHV HLYDVRMLDRGPIFDYEHFGESLASPGNGYGILKIHWIHSEQTRRMALLSGGEDGCVR IWDPALASGDKKKNETAIAKMNADVMTFSYGDRYAGEHVLVVGDADGEVKIFNDVI JR316_0008042 MSSFASTPTKVTWARLAVYRKVAGLQLVRRNSTRASTNVVNSDI VIVGGGPAGLALASALGSSSSLRKNVSVTLVEGGDLTQIKSWNPPPESFSNRVSSLTN TSRSFLQDIGAWSYVDETRTCQVEQLQVWDGISDARIEFSSNDLPSTSSMARLTENLN LQRGLLRHLDNIPEIQVLQKTKVTSIENDSSDRGGWPLVHLDNETTLRARLLVGADGF NSPVRQFAQIPSFGWAYDTQAIVATLNHFPRGAYEGPNTTGYQRFLPTGPIAFLPLSP SVSSLVWSTKPPIAKALLASDPGVLSCMINAAFRLPYASLKYLQNRIVDAHAAGTPLS PDEIREEIQWREHAHGIDQNSAYSCRTAGITNQGIPPADSEMLPPLVLQLQSGTMASF PLRFNHAESYIGDGSKGRTVLVGDAAHTIHPLAGQGLNLGLADAECLARCIQNSILSG GDIGSYTALLPYTQERYLANHTVMSACDKLHKLYSMEDERVVWVRSVGVEVLNELDSI KAAMMMTAGARQSARNSSMSSTGWALAGDAVASLSSGIQTAGTIGRIVGNYVDSVVGK LARK JR316_0008043 MAAPAGPVSAAQAIGEYLQSPDDLVKVSAFRKKLEKEKASIDTR LKNGVKEQLQATRLGLKKFLSTRDNVQAIKDEMMTIEKECEDPSVRVATFDQISRVSM VHRNFESTEEMVNNLLDMAAQLDDLEQMLAADSREIIGPSPNLLIIHFQLNQLERFRN QTMHQAKKASAKSQETLTRWFERLNKMIAAFDEHILQLAQNVLPLVRAGHSDVVVKLI KIAEVEGKEDEKTVVMRFVKKAAKIDAALKFKSLQADARVLKHYRSKIMKAITQSIHD KIEDAYKSSEDNPVAFLGSLTWLYQDILRVESDVVPCFPKDYDIYSLYLREYHKALNG VVKKIATAKSDASVLLTLYDWLKEYKANMKELNVNPELLEPPLLDGKEQTLIEDYVQL IIRKLDEWSKNLMKTEVAEFTKRSEPPELDSDGLYGTQGGIILFQMVNQQIDLATESG QGAILARVVGETNRVMRGIQDQWSKVVETEFKKQIEKPEEVAGGLVEYCIALANDQIK SADFSESLLARIEPLVSEKYRVPINERLNDAIDGYLDVAKKCMQTLIDIIFNDLKPAT KNLFQLPWYDGVMKQIVETMRDYMTDYQSYLNSSLLELLVEDLIDTFLLTYLNALANA PKLKMPMAAERFKEDITEVFQFFITLAPTKEVEARFEVLELILAMLEASKDIAFLSFW AFAKVHGPNIAFVEGLMKSRGDFDRSAVSEIMDSIKRKVKDEGLTDPPEPTIMKKVNV QNAFSRFLRT JR316_0008044 MGHLITLATSLNQWALDFQGNYERILASIAIAKERGATLRVGPE LEIPGYGCLDHFLEGDTILHSWEVLAKILSSEETMGIICDIGMPVVHKNVIYNCRVIV HDKKVLLIRPKMWLANDGNYRELRYFTPWTKHRQWEDHYLPRIIQAVTKQTKVPFGDA VISTVDTCIGVELCEELFTPASPHILMGLDGVEIFTNSSGSHHELRKLYRRVELIKEA TLKLGGIYLYANQQGCDGDRLYYDGCAMIAVNGRVVAQGSQFSLSDVEVVTATVDIED VRAHRAKSSRSMQAASSESYHRVEVDFALTRGKFDEIKEEDMAGLIGSKSIPVKYHLP EEEIALGPACWLWDYLRRSRTQGYFIPLSGGIDSCATAVIVYSMCRLVAEAAARGERQ VIADARRMTGEPEDSEYLPLDPREFSNRIFHTCYMGTENSSNETRQRAKQLSEAIGGY HIDLNMDSVVTAVRQLFGFVTGARPQFRSQGGSNAENLALQNIQARLRMVLAYMFAQL LPWVRGKNGGLLVLGSANVDESLRGYLTKYDCSSADINPIGGISKTDLKKFIAYAEYS FNLPILRSFLDAVPTAELEPITETYVQSDEADMGMTYDELSVFGRLRKIEKCGPYSSF TKLVHEWGSFLSPVQIAEKVKLFFFEHARNRHKMTTLTPAYHAESYSPDDNRFDLRPF LYPSRFPWQFKKIDEIAAVLPDRSTQAPGDKTKTE JR316_0008045 MSAYDHSRVQQFIGGNSLEAAPPSSVHDFVKANGGHTVITKVLI ANNGIAAVKEIRSIRQWSYETFGREREVEFTVMATPEDLKVNAEYIRMADRYIEVPGG SNNNNYANVDLIVDVAERAGVHAVWAGWGHASENPRLPESLAASKHKIVFIGPPGSAM RSLGDKISSTIVAQSANVPTMPWSGTGITDTVLSEAGYVTVPDRAYADACVTSVEEGL KKAEQIGWPVMIKASEGGGGKGIRKVEAPEAFKNAYHAVAGEIPGSPIFIMKLAGQAR HLEVQLLADQYGDAISLFGRDCSVQRRHQKIIEEAPVTIAKEETFEQMERAAVRLSKL VGYVSAGTVEYLYSHSEDYFYFLELNPRLQVEHPTTEMVTGVNLPAAQLQIAMGIPLH RIRDIRQLYGVAPNGTSEIDFDMTNPDSSKLQRKPRPKGHVVAVRITAENPDAGFKPS SGSIQELNFRSSTNVWGYFSVSTAGGLHEFADSQFGHIFAYGEDRGESRKNMIVALKE LSIRGDFRTTVEYLIKLLELEAFKENTITTGWLDSLISNKLTAERPDATLAVVCGAVT KAYLASEACWTEYKRILDKGQVPGRDVLRTVFGIDFIYENTRYSFTAARSSSTVWTLY LNGGRTMVGARALADGGLLVLLDGKSHSIYWREEVGALRLMVDAKTCLIEQENDPTQL RSPSPGKLIRYFLDSGDHVKAGDQYAEIEVMKMYMPLVATEDGIIQLIKQPGVSLEPG DILGVLTLDDPARVKHAKPFEGLLPPMGSPGVVGNKPHQRLARCLGVLNDILDGFDNQ SVMNSTFKEFIEVLHDSQLPYSEINAILSSLSGRIPQKLEDNIRAAIEAAKAKGPNTE FPAVRVKKLIDHYIQDSILPQDRAMFRSKIVPLCDVVERFSGGLKNYITETIATLLSR YEATEKLFGGSIEARVLALRDQYKDNLDTAVSLVLSHIKVQGKAKLVLAILDYVKSSN ITVSNPESRLFKVLQDLASLEAKSSTSVSLKAREVLILGQMPSYEERLVQMESVLKNS VTNNYYGESGQAPRTPSAEVLRELTDSRYVVYDVLPAFFNHDDPMVRLAAYEVYIRRA YKAYNLLSIDYEEGDTLDDGEVPTVVTWRFNLGQSHSPPDTPRIAFGGPPLRSASVSD LTYMINRHQSQPVRTGTIASFPNVKAMAKGFVKVVSMLPAFDGQEFSERYGPNSQAPN VVNIALRIFRDEDDMPENEWNKVVIDFVNNHQEILAHRGVRRMSILLCRRGQYPVYFT LRDFDGVWKEEEAIRNIEPALAFQLELSRLSNYNLTPCFVEAKQIHIYHAIARENQLD NRFFIRALVRPGRLRGSMTTAEYLISETDRLVTSILDALEIVSAEHRNADCNHIFMNF VYNLAVEYEDVLAAISGFIERHGKRLWRLHVTGSEIRIALEDSDGNVTPIRCIIENVS GFVVNFRGYQEITTDKGTTILKSIGEKGPLHLQPVHQAYPTKESLQPKRYQAHLIGTT YVYDFPDLFSKALHNVWNKARKTDPSLVLPKVFLESRELVLDEHDKLALVDRAPGNNT CGMVGWVFTLRTPEFPQGRKAVVVANDITYKIGSFGPSEDQFFYLVTQYARDLGLPRI YLSANSGARIGLAEEALPLFSAAWNDPARPEKGVNYLYLTPENYLKLKEKGPDSVRAV EIEEDGERRFKITDVIGLQDGLGVECLKGSGLIAGETSRAYEDIFTITLVTARSVGIG AYLVRLGERAVQVEGQPIILTGAPALNKVLGREVYTSNLQLGGTQIMFKNGVSHLTAS SDLQGASHILEWLSYVPEIKNGPLPIRMSPDTWDRDIDYTPPKGAYDPRWFIEGKVDE TSSEYLSGFFDKDSFQETLSGWAQTVVVGRARLGGIPMGVIAVETRTIERVVPADPAN PSSFEQRIMEAGQVWYPNSAYKTAQAIFDFNREGLPLIIFANWRGFSGGQQDMYDEIL KQGSKIVDGLSSYKQPVFVYIVPNGELRGGAWVVLDPSINSEQMEMYADVEARAGVLE PEGIVEIKMRRDKILSLMERLDSTYASLKRDSKDASKSPEQRAAASAALTERETLLQP TYKQIALLYADLHDRSGRMEAKGCAKPAVWKNARRHFYWALRARVARSSALAILAEAT PEATYQYRSRLLDSLAGIDSDTDYRQVAEALEKLDLSQTVAQLKADHLLRQMIELTKS DRKVILDGLVRLADNFSDEERSTLASLLKSGSRSPAPPSYSNTTA JR316_0008046 MILLEPHNVIIQTTILEKILKPGSLDVVFVDYDNVRFHLSTPER KTVLLLSMNIRCWDELVHYGALDVLRREYGSLLSGQVEPDYNVSLVIDLEQVPTDAES RDAFVKSLALLKRNALAAPFERGFDTQKTLEKAEGQGELMQIHYRDEEAMYIQAAPDR VTVIFSTVFREETDRIFGKVFLQEFVDARRQPSIQNAPQVLYSSRDPPLEIRHIPGLR NSEEIGYVTFVLFPQHFTTAIAPSTISHIQLFRDYLHYHIKCSKAYMHSRMRHRVTEF QKVLNRAKTEVATTERKTASGRTMVSR JR316_0008047 MSSRPLQPSEYEIQREVEALRDLRRRSTTPGALTIDPDLPNQSP PSSPTAQYWVGKTPAASAPSPDSNFINSNVQGLPSPDPATSPGSSRTADPAPNNPSDD PLHLFWVPASLHPEIAPAEFRAFLKEHARSPPDGQPTSPSSLSSSSSLNRKRSMLSRQ YRPQENDGVEEENIVPLKRNRSLMYPTNPGPQLTISDLQKLEELAEEASESDDPSRLR SVLRRSLSLNISPSAIMMDEVPDMGDEADAPIIVPPPGQILRRAARTKIRKPGLPGDG GGHRFGASRRGANANNTPPVPSEPRTSSDISSSDHGDSDYSSVLRRPTISDDSSHHNA RPDSYSEETSIYDAYARDDEDEVNIPVVPDTAASPPPAPPMQLEPIPIPVEEPPQRPI DAIPFHQETPPAVIHQPQPQRLAVPQPTQEPSTSSRTPSPSEAANGRLIPVQSSPPAI PQSIPPQVRKEKEKDKKGLFSKWGGDKGGKKGQKEKDREGRDRVNSEKEKESGFFGSL FGKKKQDTDYQSSMTGGTSGREAAQALLGASKSSKSYVPPTSPGLAPGIGGNPYARYP IHVERAIYRLSHIKLANPRRPLYEQVLISNLMFWYLGVINKAQNPSTSPTQSVANGTQ NSGNGDNREDAEQEEREREQREREAKEKEQREKAEKERLEREQREREREMELKKKESG RRGPLTKTPTGGQPGTRRAETPIKGPQYEMQHRVMEQEYGGYNGQPTRSSTAPIPMLN GAQSYQRVQQPGPYTNSPPKLVQPQVKAQPEQYYYQAPTDPHHRPGLPPGAMAPADQS NWISQPSSPTHHMHQSNPSNVNAHRRSRSPPSNQRQQQQHSYSPKSSPMQLHKLNTSQ ESLGDRSQGHKSPGRSLSATAYTSPPLASANGNLRKGHSAHATTPYEKRPRSSEGRSQ RGEEEDVPLAVWQQQQRR JR316_0008048 MSTKESERNSSAQETLDILYEISQLLNTQLDKATLATCVGMVEN GVNPEALAAVIQELRREGAATTPDVQSSSTSNTHAR JR316_0008049 MAPASTSKAAAATKKVKKEKLFHPASRKAGQLARHALRKGKLVD LYGFFYHAVPEEGVLTLEELHHIISDVWLTRFDEELEAERSARRKGRPKSAKEMKLEE LKLREAEIYRTGMEVIDLTHPPTVELFRRWDQKEVAFIQLLRFIRIFSTDPQLALVSR PGKHLSITVPPPVPDDAMDLAEENEIKFA JR316_0008050 MAHEQPPKVLIVGGGPSGLILALSLLQNGVPVRIIEKSSKPRLG QRGAGIMPRTLELFSYLRIVDEVMKLGILTPKARIYEMPGGVKIRHEFEMTPHRDPTP ANPFLNPIMLGQDKLEKIFWAALAKYGCSVELGTELKSFTQTEKCVHVKLVHEARGDR EEFEENSEYEWMIGTDGAKGVVRKMLGLSFLGETRVIENFIVGDIVVEGLSNKYWHMW GEASNVMISLRGTETPKLFNFVVGGKKINHTELSNDVANLKRCFMENTGTRPDLKFTE IPWMSHYRPNIRMVQKFGYGRVYVAGDAGHVHSPTGGQGVNTGIQDSFNLGWKLALVV KGLAAPALLETFSEERIPVIAEMISQTTKLLNKALNNQGGALQSGGTLYQLGVNYRWS SIVVDERKAIELDREAEEDAYLQDFEYPSEEEEAIDSYGNDHDGQIRAGDRAPDSSNL IIRSPSSLIKQKCHLFQIFDSSRHTVLIFADLVNCAPILQACSAYPKGLICTVVVVGA KKPTPASATLADFVVEDHDENAYESYCPSGVCGVCIVRPDGVLGAIVQGPVWMHRYFR GIFSAKSIR JR316_0008051 MDSSNVLSDDEYDVISNPGSRSLESSIADFHFEAREPPAFRDAQ ERFETTRWTASEIQLYVRKGLGLSDSIQATSFDNKRIRIYVDGIFDGLDVSDALKLRQ AKLAFPSVHLMVGVFSDQALQMHNHSSNRPEIERAELVRHCRWIDEVVKDAPWELTPK FLTDKSIDFVAIDEGTSIDPNCDKARVLAYDELKKHGKIIRTRRTLGLAPKQTQVSCN PSGRATPTLSSSRTDAPDFIGHVDIYGIGY JR316_0008052 MDSKVSHSRTATSRPCDSDTYRLLPTELLEQILTEAWVSSMSPE ERKKFVKTISRASKTWAATLARVCSRDVYIIEEELQFPKRIETDYSSFQLRRSLTRQL PLPSFALTTYGSFTQFERLRKRAMKDLLSTFRGLPYAPNLSKLSVEYFSQGTSSCSII AFDVRVIRMEVEYNFVPDTPSWLLDELGLTGRGRLSKSSHTPWEIPDLDHISTSDSDA SAFGDILRLCPHLEMPTTKTFGINLRILSSSDYVPQHSSIVHGPVSFPKFLGWISEND LKQHRNGCIPEGICGKSLGLVLDNPIYSRGRSQDLTNMIRNVGVFTQRSGSS JR316_0008053 MAHNPLVRSTSRLYGTSGVVCAGCTGPDTDCSDPLCGPSSELTS QCTDQCVVIACSDPNHEESICDRDGAHTHCDLICDEDVECTDCHGFDAFLRCCDDYHP YQQEPPRFQPQVASTTPAIIWDSTFENLSIWCGHHMVDMAKPMAHDRQIDSYAPPEPE NSGAKSLNSFEPRAPYASEQSTFQPESFSGSPPPQDLVNCLWDSCQDTFSSISELAGH VNTHIPTLQDNSWNQTFNQTMSQNQLPCLWAECDSSYHLSPNDIELLASHVLNDHIGV SFPSRLRPSSSRLPSPYPPPSSVPQTMLNPKTENIRLAKSKSPSPASTVASTTQHSCN DIHECRWKNCGEIFGSCDDLTAHITSVHIGGGKAHYECFWDQCTRNGSHGFQSKQKIC RHVQSHTGHRPFQCTICQQNFSEAATLQQHIRRHTQEKPYVCDHPGCGKSFAITGALT IHKRTHNGDKPFKCTFCDRGFAESSNLSKHLRTHTGARPYTCMEPGCNKSFARPDQLN RHKGVHRKQLRGIIQTTLE JR316_0008054 MLPGFSATYTPPSSPPSPSPSWPANSSSPPSSPSTDPLYLDEDT QEEHSTRQTRPQVVADPLAASYNAGRVNSKRLSFFEPSTPKKKPRLERKASFPEIALV QLPTIEPTSSREEILWENAIEKAFETGEREIELRGLHLTKIPPRIIKDLSKMVVLSEE DISPVDDLRNSFSSKVTQIVPGRRVFTRIHTAPASTFAASAMNPPSSEKTLSSSSLLG PSKQAMTMYLGQNNIKKLPAEMWTLHNLTVLSLRNNNISHLPPGISQLTNLQSLNVAY NKLQYVPAELLDMKSLTSLILFPNPFIPDPRPNDLKLSVSRTRHTGSRVPPLTEIALR FLLSSPKASLTVASQAPQTVLEQLYPLPLPQGPPWRTISTPLSRILSVCVPGSVAVDD AIAEGRGEDDITSVTGIGRCRNPSHTQSTFVQHSEERYSWLKEIAGIKLGGTAAVLWR GCLQGCLDFLGPANDEPEEHLPKSGEEDEEFMVADEIVIHAVEFGGNELGFDSD JR316_0008055 MLKLRVFRRCPGFQRTYATQPPLPELANIARTASTPLAVRLRRR KQFGATAVGDTDATEDGLTPTEQARYTRLKAQGLLETKSGTTPTAKEWLDNVNSRRSR IRGIRRKVVEGAEETEVLGQKVYLPNIVLRLVRNHTQPGQPYNPYEATFRIPQSVTKT DLRSLLLAVYGVKTTYIRTDNYISPWHRTMDGYERKPFKTYKRAVVGLVDPFYYPHRL EDMPQQEREEREKYIEKNFSIKHTRSLQKEELMRMTKGQGKFSWKLNPASATKRKHIL RMVAERRNARESAIGAFAEGIQALRRDGQSVTFEAVRGKALGHPLPPSSQTAPSTEAT S JR316_0008056 MGWQSWDVVTITDQSTPSEVPTKPSIGGDLETSVDWWNVTKPEE KVDFSSLPLDTWSPTLPHDTGLSEIAVTRCVINPEVGGDLCAPDTTSEQDAIKGKWVR VPRNLNLEAGYLSGWLNIYYRRTRRQDINLITEIRLYPQNEQPPTLDGWHKAQTSLRA GIRGLPPLFLWYKTGKTSGDMSPEEKMNIITELDVLYGEDTPWYGFEKLDPPTIAQQS KVEATWITYRRGVKIPPRAPPLHFSHSGKFKVLQVADLHFSVSQGFCRDTILSPCEHS DNLTNTLISHVIDQEKPDLIVFTGDQLNGQGSSWDPKSVLAKFSKAVTAKGVPWAAVF GNHDEEDGMAKEQQVTLMKSLPYSLVERGPKDVHGVGNYVLKVFSPDPSKTHTLTLYF LDSGSYSKGVLDWFGFFKPTEYDWIHVSKASIRQIERPFTPDTGKDLGSVWGRQDDQV IPGTRRLAKPNALMFFHMPLPETYLKADINPNTGKALDVGVSGQEPPGNAKSNDGFFE KGILKAMESNHVSNRNALEVKAIGNGHCHITENCRRVKGVWFCFGGGGSYSGYGKIGF DRRFRIYDVSDFGETIKTYKRTEKDEIIDEMILTGKGAPPLPS JR316_0008057 MSRSSQTNGHPSRRDNHSISSTTSGSGRNERGSRHPTSPAVETA VTRLLVSIKQLLEALTLWSQLRMDEEGVSNVYVRLGNDFNSAVAAFGSFNIEMNELLS VPDDLRTVLEQCLAEDATPENLELYLPSVRQIITNLLQGLRGKQSIYRRIVSDQRDRT SGADHDRTESRSSRSSRREGAHRSHGSRQITEEERGDAETASRRSGQSSNRRRDAASQ GALSQQNTGNSDFVGGFAPSIAEHPVDRMEEVSETPASEYINAQRRSESRSAPSPIPS APTSSSSTLTSSSNDKPQNSDRFSEPPQNPPISPPPQQAAVPASVKRYSLVDKPVDKP VNTAPNVVVEPSSPPLDQDETISPPPPETPPIDPPPAVAKSLAALKSDVHLERRASKR FSIYNITKMTGASTTRERSIRSAANHPNRRSAATGNLTLNDLAVLTEVDDEEPGASGK SENNVNRKPSRSNTPVPAVPPLPSTPSRSPEPTAVVADSSSGQNIPPSDPSKITIFLQ LGREVKKVVIDQAISFASLRVLFVDKFSYNPGLDNFPAIYIRDPSSGVQYELEDTDDV KEKCLISLNIEPLDQIKQHIDAQISSLSHDIKELRSAVAANSNRQSAHLNDIILQPMA ESTPAPNRPSDRQFQHIARRLSRFMGDTPPSFMSQMQTPPLPNSIQPMQSQMTGQSLQ PQMTGGSVLSEYTSRVVTDLKTQFDEVQNLRRDLGIMRQLYTEFMKSTKESLNTLRTQ TQSVKQLANTNVGGARAYIDSGKRKLDTRSQNVLTEVERLQDIIEGMKDDVIKRHVTP NTVFFRNIKKDMDNVSAELASLSEHINTVKPMWKKTWEEELQNIVEEQQFLTHQEEFL SDLQEDYKAMMEIYGHVEKVITLRKPNGPLSGKLRNRSFKPAPRDEGDGLSNVMLEIR TAAVDPDKRLKAIEASQRNREKNLAARSDELQMELQDFVSQKKLKMTGGAEEVERVRQ KRSEMTLKAMFTGGSSRGDGSLSPSFGVVESP JR316_0008058 MVHLSVAFLGLVAASVSASPVLYKRIAQNIADSTAKWEQACLAA GGGQQCNPLSVTAFTTLLAAAGPCEQQDAADNLLSLGKQLNSNDMITLAQIFAQQPRN SPNSVAIPYCQTPPKNAELNGLFQCQFAGSNQKLFAGNVAVGGAGTIPLGLSAPLNPP GSCPANPSGPIADGTQLVDITTNPRADGSAPPPAATTVAAPPAETTVASPPAATTVAA PPASTAAPSGGDFKLANGKAAQALNAKFLTLTADSPCTAGENACVGTAFAQCVGGKFV TQSCGAAPLTCAALPLVNSPGTSITCTTLADAAARIAATGATGGVIGSGNGQTDNTPV TTAAPPAATTPATTPSTPSTGFALANGKDAQALNAKFATLTADSACTAGENACINGGF AQCVNGKFAVTGCAGGLTCVALPLVNSRGTSITCDTTADALARIQATGATGGITGA JR316_0008059 METTPTRPTKALQPSTPSIKSSASSSSISSATPRHVPSISSRIS VRHGISEENLALLSANPPETKLTNLSYNPHKKDERGLPSIIDKETGVQVHRHSDLWFD DGSVICRAENTLFCVHMSQLARHSLVFHDMVMLSHPETSRLESSMVIPEGTNTFSRRV PVVYLYDAAEDVGNLLTALYDGPNFGNNDEDDFRAVSGILRLSSKYLIDSLRAKALAH LSQAWPSDLRTWDAREDVSRGYEIDGTPGYTHRYPHPFSVISLAREVNAPSLLPAAFY DLSRYSFSQIFEPAEDDPLYRSPPLPSLSALDTRRLALGREASQNAVTTLIQSMGNGQ YIRHAQQHPTSSHSRKSSSGGVCVSAAACRKDFTELVDLATQHYLFDRERGCYDPLYV AEELGQLKSAEFSECKACAKSLEAWAAREREKIWKMIPFWFRLESGGEISPALH JR316_0008060 MPILQQAASNKLGRALLRTSRHAQPSRHLFTRSAPVKRNPIRTG LYTTAFILSAGVFAVYYFDARSAIHRYVLTPVLRKVLDAETGHKIAVKALKAGIAPKD PVQDDATLTCKLWGEEISNPVGLAAGFDKDGEAIDGLFDLGFSWVEIGSVTPKPQPGN PRPRMFRLEEDDAVINRYGFPSQGHSSVVARVRSRIPDFGSPPQRAALREGSMLAVNL GKNKDSPAESVDDFVAGVRTFGPYADVLVVNVSSPNTPGLRGLQNRELLESLLDNVTK ARDSLQPSVLTSKRPKIVLKIAPDLEESHLIEMADVIRKSKIDGVIVSNTTIQRPKHL RSANKTETGGLSGPPIKPFSLKALQILRSQLPSSIPLIGCGGIVTGKDALDYARAGAS MVQVYTSFGYDGVGACRRIKDQLSEELTKEGKSWDQIVNESVQRLSWVEPPPPKKVEP TVGQLIAEAEELKAMLEKLGKSFEEPEIV JR316_0008061 MSSKKSSKLDLLVRVRYSNPLPAPPCPPKLLNIPTNPMRYARPE FLNALANETPLPMIVDAECGMPLDLGKWECLWEEGGDDSSLNPDIHNLPKLDPKDAFL LSDPSSSTNTYPTNGPTTPSTERLTYGTPIANVPWLRKTEYISRESSQRSAVQESKHV VEDIDISRNAQLRDIESSFVASNEDFSLEALQHPNKPGVTAIESYPILPDADIWANQY DLFRFSERPGDRAVDIEDPRLDCAILRPMKTEHDSFLAFYLTANDEAAVSFKETRFSQ VPYQVPENEQETTFHFVRDYETVKVEQEVPNEFLLVLYDGDDPLEADHDRPLKEKGAY YKNIERKMLLKKKRVNAYDHYEDKWEVIRVLHSQMSKEEEEEREEALAEVMDPLYLLR NDPDADGEVDDGAGFPLNGQIDIEAHT JR316_0008062 MTKRTKKVGITGKYGVRYGASLRKQVKKMEVSQHARYTCTFCGK DSVKRTAVGIWHCSACKKTIAGGAWSVSTTAAATVRSTVRRLRELTEA JR316_0008063 MSTDASKATPAAAGAVPEPTVAPHNQSSVAPAQVTAAPTSISEA HPSNPKAGQAATPSQTAAGTSSESDHTLAIANELQNPLTKKFTEAEWRALFEFRAQLP DIFAQAYPDNGQARELPVTIWGVTINPATPQDDARVSVVLLKFLRARNLSVTEARDMF INTLRWRESFNIEAALKEEFPEEVFGKLGYIYGKDNGGRPVAYNIYGGNSDLKAVFGD IQRFIRWRVAFMEKSVALLDFVEIDQMIQVHDYLGVSFTTRDANSKAAASEATNIFQS HYPELLYKKFFINIPTIFNWIFWLFKPLISANTLAKMSVVGTGQEAIRKALSPFIDSK ELPERYGGEAKAF JR316_0008064 MLACSFDVSASNVVGLLCAFGSALVFVSSNIFFKKIMPSNSGAT SSMSSHKLDKMNLLLYSSSMAFILMIPIWVYYDLPLFINGTAQVVLPKGRAPPAHSVI YYFVMNGTVHYAQNIIAFVILSSTSPVTYSIASLIKRVAVICIAIIWFNQTVHPVQAF GIAMTFTGLYMYNNAKGDVEKGEKKLRRLEATKDMMLPSTKEDVKMLSGAETPPSETL SEYLSSESQGMGSGTIYGRPRQVSLSAALGHQIYSQQHHRPITTTSLHIKTSEAVSGK ETIGSPVDLYPSPPPSNDSPPTNTIPLPTHIHMYMVYCDAPQKALAKLSKSQKLLDNG HADTLRQPQEDFSTARGPKKHLKRLSAPSSWMLDKLSGTYAPRPSPGPHKLRESLPLT IFLRNRLKYALTGREVTSIVKQRLIKIDGKVRTDPTYPAGFMDVISIEKSGEHFRLLY DVKGRFTIHRITPEEATYKLLKVRRVALGNGGVPHVVTHDGRTIRYPDPHIRANDTVK YDIAQGKITDYVKFDTGNIVMITGGRNMGRAGVIVHREKHIGGFDIVHVKDSLDRTFA TRVTNIFVIGEGIKPWISLPKGKGTKLTISEERDVRRKRAE JR316_0008065 MAPPPKKKKREHSVSDQTLYHFFASNTSNAPQESASVKANLKTH PVASTLSVDSKTKATKQPEIIVIDSDSESEVEIVQTITSKRRKLSPKNDNKSSVSSST KAEDLVTEGKHEENITISPSVTPTQSSREGADTTMSFGIPFLLNQPKSNVLPKDYLPL FGEPFLLTSASLEKSSVHTSATPLASSSKTTLDPTTESTVDIDLTMDDWNDGDDEINS EPAIEDQVMQWGSSITINSEETMRSDALFLSDDLLPDQSKNGKNRCLSTRPAGNTVSF PVKTITEDKGKNFATVRQQGIRNNAFSFLMSSLKENEIWKEATIAKDRYYQRTQSVKG GRQKAPFYKVLQGMPIAVDAFKYGAIPGVTAYFLTHAHSDHYTNLSSTWKHGPIYCSQ STANLIVHKLSVEKKWVHPLPMDTPTVIPDTNGVFVTLIEANHCPGSCLFFFEGCQTV NAGDSPFKSCYVGSSRTFRYLHCGDFRASPRHVLHPSVKGKVIDHVYLDTTYLDPKYT FPPQPLVISACANLAKRLVSGESNKQSTSTVLTWMSSSEIGKGKVKEISKPLIVIGTY SIGKERIAKAIARALDTKIYCDARKAAILRCQDDPELHSMLTSDPTKGFVHLVPLGSI TSDQLKLYLDRFKDVYDRIIGFRPTGWTYTQAAGTNQSPSIQSILAASAGQSFTYANL QVSPKSTPNLSLYPVPYSEHSSFYELTCFAMSFTWVKMIATVNVGTEASRGRMAKWVD RWETERKKRGKDTIVPYTNPDYW JR316_0008066 MAPALEINPSVPSTTADSLKVASEAAKKTSILHRTPWQPPVAVG AEGIYLDLEDGTRVIDGVGGAAVSCLGNSHPAVQQAIKDQVDKVSYVYNMQLSNEPAE ALAKKLVDTSDGAFELCGFASGGSEAMESVMKLARQYFFEIGQIQRTNFIARQLSFHG NTLGTLSLAFHPTRRAPYAGILDDKHFHHVSPAYAKRFQKPEETEEQYVERLRQELEN KFIELGPETVIGFVAETVVGATTGVVPAPKGYFRAMKSVCDKYGALFILDEVMSGMGR MGTLHGWQSFGDGVAPDIQAVAKGLGGGYASIGAILMSPKIASGIRDNAGLWKHGHTY QASQHACAAALAVQNVIEKENLLENIRTQGTYLYELLKDGLIGPNALAAPYVFDIRGG GGFWAVEFDFKDTYFKTSSFAMLVQAKSLQNGLIVMGMVGGANLEGTKGEHIIFAPAY NITKEEVEKIASIFIRSVEDVLKEHIPQ JR316_0008067 MDLRPIQHQEATSSSSASTTHPSHNQDSFSNTNSESKPVRSSAR VKAAKNKAQISAQTKEFTSISEQGPRASSSIPSETISTRNTRASPAKPNRSRESNSSK GKGKEVSQESSSRSTKRHRRNPTSTTAPLTINEPIRDTKGKKRAAPETDDEDLTAPST KRIRTSGYSLRSNTSSANPADMPRKARTSTSKGKAALKSKMAVASSSRLETEDVEMLD PDYTNSKHGDSSDLDESMEEKHDIEGQHAEGDQDVGIEEAKDDDEDEDEDEDEDGEGG HDDEARVSSSDAGPIPGGMDEATALAIFGDYRQFGSYMMSLSSRLKTMLNNIKPTADP TTRLVTLQELSELLSISTEDTLAGSFQVEQFVRELVKILGGRGADEDEEEDEDPPERD EDAALAAALAMSSGGTYQGDDNLEAQLLACRCLANLMEALPGVAHTVVYHGAIPVLCS KLIEIDYIDLAEQTLSTLEKISEEFPSSIVREGGLAALLTYVDFFPIASQRTALQAAS NCCRNVSSEHFPMIRGVWPNIRNCLAYSDQRLVEYACLCVIRIVDSYHRSSVENLESL IDSELIRAVNQLLLPAGGSPLIASNTYTLLLRALATSAKASAKITVALLEADIVDTLY QILTGVLPSASLNHLDQGGAAGGQGLGGGLADMTVMENLAHRPKEQVEEALSLISELL PPLPKDGVFDHKAYTEKSLAKLVKAKAKAERAAARLVTQAQTPTGAPATLSSTPGSAV PTDDASPVPGSSEVPVQEPEENRETSAALPGIDVAPDRTDMLRSKPAVVGRFMQLLVP ILVDVYAASVITPVRIKTLTGLLKAVSFLDADGLKPFVPVASFASSILSSKDHPSLVI GALQLVDLLLGKLPSLYKPTFHREGVFHEIETLAERTLTTSKAKAKDAPESGDDAASS SNAPPASIPGFKKLSSTSLDPEDAITLRARVIQFKYLADKQDIDEDGAFQSLRNVVVR LSAPNSSDQEYSEALWELADLFASPHTSVSSFELLQSGVVDGLLLFATDENRSVSLQR RREILLDAFSSRKVKNLTANQTPFATLVKKLQESFTRMESFEVITVAQNSDDSKRSSP SLLARQLRLRLVAGDESDIPKSLHNIVVSIHAIATFQALHDYLRPRVAGHPTGVSAML SALAASGYTGIPKLPGDDKPVLSAAAESSSATVPSTSGVQRRRSQRLAKQGTNSAPSD NAISGPEEGVAATTENAVSTLSEIKSPVTDPTSSSLPVDPAPSETVVESEQLHAEFTD DEDEIDADVFEDEVDSENPMSEKTITLSVVEEGGKVEAQTPEGTRVATPNAAKESLSG MTRNSISTRGSYAAALKAKPTDWHLEFSMDDHILPLDLTIYGAIHQHEMRKKTGATPL NMIWQGVYTIKFKKVSGPAPTPEARAENPDFANKNRSSSSPSLSSLPEDAPHTKILRL LRMLCHLNTIEAERATFPADKRNLPDSSFVNNKLTAKLTRQLEEPMIVASSCLPDWAL DLPQHFPFLFPFATRYNFLQSTSFGYARLILKWQSQQNRGQDSSRRDDGIGFLGRLQR QKVRISRKHILESAVKVFELYGSSSSILEVEYFEEVGTGLGPTLEFYSLVSKEFARKD LKLWRDADSFGSGVYVVHPNGLYPAPLSREDILNDGGQKRTHILRVIGQFVAKAMLDS RIIDLSFNKVFLKLVLGEEVPLTIATLKLVDPDLANSLAKVQSLAAESNAMKGKLAQK VAQIEQVTVEDLALDFTMPGYDIELRPGGRNILVTSENVSEYVAEVLDAILGKGAAIQ AKAFRDGFSKVFPITDLRAFSADELVMLFGNSEEDWSVETLSEALKADHGFNVDSRAI RDLVEIMSEYDPSTRRAYLQFITGSPKLPIGGFRGLNPPLTVVRKPHEAPLTADDYLP SVMTCVNYLKLPEYSTKSVMKEKLKTAMLEGVGSFHLS JR316_0008068 MSKHHPDLIMCRRQPGIAIGRLCEKCDGKCPVCDSYVRPETLVR ICDECNFGTYGGRCIICGSPGISDAYYCAECTRLEKDRDGCPKIVNLGASRTDLFYER RRLGFKKG JR316_0008069 MYHPPDRPLIVKCTFDKWHKRITFSSARNCSYDLLRRKVEQCFS LYATSYLVSWKDDDGEITSITTDEDLLEAIQFFQAGDDAPMSSAASILSGRSFGARKI TLRVTITVEYDGPSLSDTSSLASLEEFKGKNASQQSFSFGTPTIDLDDDSVTVSSRDP GTSSARSGRSGAPSRALPQIPKQKSFMGSDNPHTSVKGGDRPVNGQSGSSRIPSTLNR TESSLTQDIQLEAAEERYPLDPSAVFERLKLAEDDASSVNYDSLGASERGAAWLRDQN ERVIRSMLGALPEPSVSDDFSFSLGGSQPEEEEDSLGGDLALQRDPSGKYYYTYTSGS SSQFQGSGADDDAQNGNEGDAYVRSVMRDNRPTSMQLNWLASQRVDTTKKLSRGERHP MSIAEELEATNGFPHTIDKELLPFLPIAGPSPDILTDCSNCGSILDAIRYVCSTCGPK TAVGESTSEKGKDRATESSPITMYTYPPVQPTHPLFSSPNSSSSQTYVGSSDYDTQRY KPLPSIPPASSVYTDRTRLNVPPTSPTAVSSPGYELCSACLESVGIYHAVESGLAAPG SSPVVSNMSPSHDDAQRASQWRRAAPKKGQLRHAFQEKVWGHLGWEDVVLDEAQVSEC STCNAVTEHKRYKCASCTKMHLCRACYSQVHELHPSHAFLIVPDKPLENLDGSDFPSI ELPDSHEELSLTHPGVKCAHCLLDIVGARFHCAICDSIDICSNCESAGLPGNLDSADG GHNSSHILIKIPYPLETAELQNASRRALHLWRRDGPNVGQSFPGSKAESEISSYAHTM IGSGSRESSHNDHRLFCNGCGHLIVGVRYQCAHCPSLTSPYSLCSECEKRSYIIHDPM HIFFKLPRPVLRPIQSAFPMLPPLYKMPAGPPPNIPKTNDPRAYLASLVHPSAICDRC MTCIEGEWFRCAYCARDLCDNCEGVDTHDDTHVFLVLKAPVDMALFKNFANLDSQEGS PPVLAHPVYR JR316_0008070 MPPEMIDIDCPSARRAKLYIDLLQSVALEDSSSLQDVISNISLG SVMNLELHDSESTMLPAVVDSHGTTNILTKEDMLRYGSPSRHLLNGTTQQLLLTELHT DEGRPPSDTVMAMAQSLPEAIRLTKDQIESLNQEVKVLSYDLINLMAEAADKSKELDN ELCRATSLVFPHYHAARTASIDLLAAGIEASLIKLSLTKERSERSIYNYQSGPNRTAE RNTVTHAIERAFRALKDDENEMDAEIRSLDSQLAEYETLLNLVDGGHGGYQQVIDDWT KIKQDTDECLKDLRRLGWTEDTCITFSK JR316_0008071 MFRTHSPYSPFFTSGLLSSSRPSSPDVPLSPTRRGSLPTDSPRV QELPSAFYFTLQPQRDEDEFRSYLSLDLAESQSMRSASLKRTAASTTKPFVFPPIPET PALSLRMRRSRDSLRTIPSPKPAPSITLPDLPKQSPPRLPSLHPLPALEISIPTRVSV PRTAPLTLNRMSVATTSTVSTRARRQNRSEALARLEGRSGIRTAPLAYPKRNFMSMSD DEDDDEQDHADDENDDSEPDSDLESLHFPDLKSLHNPLLEPEDMVLPLPTPDFLQAPR SAPLPPRSPTFVGGLRRQRTKNRATKDWFPLKSFIDLRNDDDRMSTTSSSWAWRSFIQ VANVS JR316_0008072 MSATKWDARFAGPVPHDSSYYAKALLGGALACGITHAAITPLDV AKCNMQVDPKKYNGLVPSLRTLVAEEGSKGVLKGFGPTLVGYSLQGMFKYGLYEIFKD FYMNLAGEELSEKYKPAIWLVGSASAEVFADIALCPLEMTKVKIQTSPTGTFPIPFVA ALREMQRTKLETRYPFGSLVPLWSRQIPYTMAKFFCFEKIVQLFYTHVFTEPKETYNK GTQLGITFASGYLAGVVCAVVSHPADSLVSQLGKAANKGKSLGQIANEVGFVKLATQG LGTRVIMIGTLTGFQWWIYDSFKASMGMGTTGGK JR316_0008073 MSAVKWDARNLGPVPHDLAYYSKCMLGGVLACGVTHAGITPLDV AKCNMQVNPQKYNGLIPSLKTLVAEEGSKGIWKGFGPTFVGYSLQGMFKYGLYEVFKD YYMNLAGEELSNKYKPAIWLAGSASAEVFADIALCPLEMAKVKIQTSANGTFPTSFGA AIKEMNRTKLETRFPFGSLVPLWSRQIPYTMAKFFFFEKIVQLFYTHVFTEPKETYNK GTQLGVTFASGYLAGVVCAIVSHPADSLVSQLGKASNKGKSIGQIVGEVGYVGLATKG LGTRVIMIGTLTGFQWWIYDSFKAAMGMGTTGGK JR316_0008074 MHPSHLTSIPMAPVALSPPHKSTSTNGIHKPSLPWRPTEVVDPP KRSSFAFDSMDSALAAFAAGEFLVVMDDENRENEGDLIISAAQCTTEKMAWMIKHTSG YICIALPGERLEALDIPMMVPDNQDRHRTAYTVTVDYKHGTTTGISAHDRSLTARALA SPSSLPSDFSRPGHMVPLRAREGGVLTRKGHTESSVDLCLLTGQPPAGVLCELVNDDA QGTMARRDDCRAFADRWGLKMISVEMLAQYKRLHPPSSGQA JR316_0008075 MSLHASNIDKPIDPVTPDTLPDASHEDIANGLSAIVDHSAEAPN VTHHIHTPIRSLINSHWIKSLIPGIEKLAVDYHCGNYVIVRGSTEPFFETMPLYARLG MHLLFYGKEQIVVLGTHKVDQLLRQQSIKQGQIYDDPKSAASIHSFIETYSIQIDELL EPDITKYKNFNEFFYRKLKPDARPVQNEDDKSVIVSAADCRLTVFTSIDLAKAFWVKG QNFNVPNLLNLPPDSPKAESFQNASIAIFRLAPADYHRFHCPIDCEVGDIENVPGHFY TVNPQAINEPGFDVLTANTRSILYLKHIPTGQLVAYVAVGALLVGSIQWTGGKEKGAV LKRGDELGYFAYGGSTIVIVFPKEIVEFDEDLVSNSQKPIETLVKVGYSIGKTVRA JR316_0008076 MMRPTARRLVRVVPRKALNIPEHKIFNRPSPQFEDLQKPTFMDL LMQRKADAGDAWPANLRLEPQLKKVQFKEVEPRVRSALKRLTKER JR316_0008077 MDSPARNGEPRYVHDRRTRFVSMILFLGFLIYMFTNHNGDVFLA RHQYQEALQSLNYQLSNYTLWLNGTASNFTLPETDPSLPPLMETFHVKGSLLDPRIES YYRNITGFVHGDAQFTNISLPSLALNETLPWRHLAQDVMTGANTTNMTEKLGSWGWNT TTKVALSVVEKKPAESAVTKELTSSIALVHGRIELTDPSEEDNLRLEFEGVHFVSNGS IYGFAEPPGRHIDIRLLPSLVPEGFINQTAQVIEPELQARIDKLKKLIDDGVLDTDSS NDDAPRTACPFIFYAQIQPTQVPEHLMRDLEDELQNPTGITTVSAPKLWLKGLLLSKE CGVLYEITNTEGLRSRTFFRKVTTYAGTTAIAYLIMLILFSRQADQSSTPSGISRVSC YAFEIQPLMDLISFAGHITFAILSEGRSSFSLIAPAFLALVVSIYEAQFAILVHSIQR PEDYVRPPFIPAAVAAFFSPAPAGQTQNNRPASFLAFFVNHVRTDTQTKIWLMSFICW AVIVRVILSPTLSKIFLVLTYSTIWMAQIWRSASRGRSSGLSKEYILGTTACRLYLAL YFLGCPKNVLEVETRSWIWPLAVFVVLQATVVILQDTFGPTFFLSQKYANAKIHDYHP YIPLPDSESPEKSLGDCAICMDSIIIAATPPKRPKYLDGRHSESDSTEESASGSVFSF IRRGVGANKARKNYSLAPCSHLFHTECLERWLAIKNICPQCRRPLPPL JR316_0008078 MLSSQSGSRRWTHFHSALILAVQRSAHKWTFEDFAECFPLFVES DRNGSSATYNSISEYIETQNLRDLDKLFTDYNVKEKIDVLHKVVIEAKDRKEKGDLGK DIWKEDLDPRVAVCARTVPVLKSEAARLRKLIAEIEEENRELEAELQEKVKATDDANE QVLKTLDKLDLILQEWKSLPQEEIEAWTAQTVESLSPKLRS JR316_0008079 MNESEALYYPRSYYGDIALFFSNYITRLFAKDCMIYTFDRYDGW QSRLYSFIDQFLASTRLDDSVAIGALTLLERFRNTVARPPSYPHRIYLCYFVGAYMAS HRLLAKSADADHLPFWLSVLGERFSPAELQHAEADFLRDIGYPDHIDSHDFKSMKQRM YLFIHTHMEMRSGHFYDREVFSQSLVSNRPPCYIEMMKRKALFTRIRSALPQSQELSN REFYKQLFQSEEL JR316_0008080 MSATSTNNNNTHDNDNESYSHSNPRVDDLEYTFTTHGPNDDYIW PHTGSPFSMPLNIQTGGRLARASPSPGYSEHWQSPEYNSYNSQNEQSSNSPTFLLNNY SPDSALGPYGVHSRSPHISPTGSDPTLSPLYSSSPHLSPSTPNSSFMPSPTLTDINHL VMIDQSTIGSSDPKLCYTHREQLLKFREALSLPMPGAGPFVPQPMYKPHTTSDRKRYV EEVMLEAPMFFISEHPEQYGISLKDALHSRTKKLRDRDMVVFEGRGPSVSIRLEWPGY RQWSRQIPTKDFRSPPQPITLAKLAKNVAKCVQRFMQDRKHLPMEEESDHRWKIGDGP DDIKLEDLILVSIHHVSLGSWQPHLRLVRPLRQQRYGNGHGHGQSHSLSVPQMPPTPM TASNSSPSTISFSQLS JR316_0008081 MSSLAGALDEYPQSHHLKEAPTRTYYSDDIEMNNSAHAINKDDS EDEDEQQDEHMSDLFGNDNDVEVQRHARAPASPTASGPDSEQLPSPEREHRHALEYDE EDEAPPEAVVEVKEAEVSFPNLPVPKSSDGHNWVIRMPNFVKVDTKPFHPDTYVGPDE EEALVGAAAREKANTIKLKVGNMLRWRWTKDATGEDRKESNSRIIRWSDGSLSLRLGK ELFDIDQSIDNSGSVVRQSVGSQQPSQSQTQTTSQPTPGTGKTEGLTYLVAQHKRSQV LQSEAIITGYMSLRPTGMSSEVHRMLVRAVEQKHKQVARLKMVNDPSIDPEKEKMEMM KQSARKSRKRTDDNDGLGSGKRRRNYRRSTDHDVMWSDDDEDPAGMYGGGSEEDEDGI GASGSPRKAKRKSGEGKGEEDYQADDFVVPDDSEDDADAGPSRKRQKEDSDAEDDLER MEANLEKQAAAEKKNRGGDTKKSKRRNDDDDNDTEDDGAGAMEVESEEEEDEDFKVRR VTSKRAIAFDDDDE JR316_0008082 MDYAQGAVVAAQFRKACADCNFKDRRRVQNLVAVLDSASQALAQ SDTVRSQAGADLKLWADLRKLWRDLTRMQLSFWDNDDSDDESEANRVTSQEDSLRQVC MSLAKFTRNLVAGVPANQSRAYENEPDMRRLLHFYTSWSAMEDSESVATARVLTQALS NTVTANDTLVASLWESYMNLPEDQVVLICQNSRLLGSPDERTLLTTLIFILNCIHGSK KRSQLLTMSNVGVRICIGLVDNMVRLHEAKEGSDGAKAFDVGYAIFTQLIEGGLVPDL YKRFQLTNEIVTPHQTTLLKLVDSYLQSTQLNATAIQLPDVLRTHESLASFLAKRFFT LSNYAQKAIHRSLGMVPPASSKVADIEEVNRERSSSDSSSSSATSAVSSPSPMTPADF PQELDVMLPKVCEALVLVTQCIITICLEAEEQQARVEEGISTVVTFTNLKNYFIQKKH HDVGIVENMIELLHILDIFLPRINFGKPVSVDGTPLSTSQPGVGDSSGFSYLKRDLVR LLGVLSHGAKSVQDRTREAGGLAVVMNLCVVDERNPYLREHAIFTLHNLLKGNHENQK FVDSVKPSQEWAEDGTLKTRVGATRK JR316_0008083 MGKKTKNSPVSSVDTMGNSTTDINVSLEPHLIASLQVVYPLLPH QLAQELCPFLSDPPPAIIPYNVLYALSQWARTEQSQTTLRSKGLEPHAFSMISLLAGT ITSPERKFGDYVPPKEPEDIAAERIRERKAITVLLNALLSIAGVAFAAWWAADKTGWA NEWRVLFALFAAIVVALAEAVLYIIWQSRQSKSPKARRRLARHKKVDQPPESNSTEHS QRTSESESVHEKSTLRQRRP JR316_0008084 MAFRPSHPLASTSLISLTKPITPNTLKILRDVLNFNSSTVNWPT TGIAPKSRNAAVLIPFCNVDGEPGILLEVRAKALRSHSGEISFPGGRVDETDESLVYG ALRETKEELGIDPSRIDVLGAIGPPELNLRGDMRVWPFVGFVHSSNEEEINEDEPFPS LDLDALRRQASPAEVATAIHLPLKRFVSSRQVRRSMFRAAEPYWTIDVTDIVKPLLGS ETVTTKTAEEDVKDEVGPGVGGRIEVWGLTGWYLSLLMKALKIEYIDRKS JR316_0008085 MRRGYHIRISLDPEPKTLRTNLPDLFIPATLFQPLPSHSAKSTV RRNTLSRPIQKKKDYRFGPIAIDWVDFDHMRPMMLSAKERPRSRGPAEATFVPHTRSK SGTTNLPEGTVHIFRDGSSKPTTEELEAKVSKMALTDPAADSDGIMLGVLAVPSWMTP SDFLEFVAPAVDGIAHLRIIRDFAPNRSMVVIKFPNPADAAEFSEAYNGKPFNSMQPE ICHVVHVLSVTIDVEDVVSQTISRIEHAQGNVYELPTCPVCLERMDSAVTGLITVPCS HTFHCACLSKWGDSRCPVCRYSQTLLSSHPTSSTSTRTIPFADPLAQNQSSCTSCASR SNLWICLICGNVGCGRYGQAHAQAHYQATTHLYALELETQRVWDYAGDGYVHRLIQNK ADGKLVELPSAASSVAATPREGGLGPSQADALSAEKIEAIGIEYSYLLTSQLDSQRSF YEEQSQELKSQVDELKTLVEKLSVEFKKEKERNREEEVRLRKAEEERIAQLLKDKAKA ENRAEKVAELARRLEKELREERAVSEGLMKNLSKMRERAELADKDRDAAIAEVKDLKE QLRDVFFSLEAQKAIEQGGGIESEAAGGSIAIPLPESTSTTDAKKKKARKR JR316_0008086 MSTNHRKRKYRGTARSHNSYSGSKSQQHIEPFLSHHEAYLNQQQ ISSSTAWGVDLDISYRGELTPATHPQPDPLQALYIQAHEANIVKGSSAKIAAQSLEVV EYHTVPLPLGTVVSESQLSAAPVVITPKVGTALIELGDGNVRQGEVERKSPGAAGGLV HSALVVVDDDIDYVDSSALLPEKSPSSIWVDRYDIRLLLNNTRPFPPLSPEKLPPASP TGFSDLPSDAEDTFFFSSTEVEDFRREKRRRVMERTRDERVKARMQEEGYEDRLGNNK EEEDIWGGSDEEPDQTQQDLMERTAMHIASSPNAAQLEMRILANYGADKRFAFLRGRW KRAWGLAKAKARIKLKKKVEDKQKEPESTGVLALAGYGSDSQEDDDEPVAEQVISFVS PPSLLPSSAPPVDPDVSHSSKVQSTSSVDADVEKEEQAKEARRRRLKAWAAQRKAGSS G JR316_0008087 MDDPWANAWGEPSKTSPHSLTSTSAWSVPAISTTQSDHDTGEGD LSLPSWSAQPTTTQWNDPDTSEALWTNDASTIWNPFTSTIDKGSSSPDSQSENNVSLS EPTNDVERSLGSVTPKDTIAFGSPTSTTSDTPPPPRSPQIIPTLPPALSTGPVDDLDA FGTFETANEGADSEDWSISRPGFSIPSADAAVWDGPWETLSAPTTSDAQSTANLDVDD AWEVARRQKEKQDEHVPPELLASILQQFESLSEDLWSDSTPSRTDALGSQLPTDMEEH LGLAPIVVRLVPEGLTLPVSEPFSKTFTSKQMSEALKMTRHTHLTRISPMTFYMSSKG LTSWEASVKAKPTFLQEDFTPAGWRILETQKPEASPADDGKKKSSGGLLSFFGRKTTN SPSDNQNVTAARSSSPINLSGTSSVRPGTSPRPSIDSSRRSISQSQAGSSTAPPSPSV VTFTTNTPPVSVKDVDISPEHVTATVVPAGSPDAEVVPQPSAVSRFLGRFSSRPSKSN GRDSLTLSSDDLEFLSDVPTVDTSPDSSMGLDALSMMIKSPPIQTALPPPLKPPPRAP PQISMPIPQENPPVDDFLSFFDNDNNMQPVPAQSQLPIKPVPTPLVMGFTTQALPTTT KTNSVNTSEQMLDFKGNVNQPWPSFDYPSSTPMKKPAPTKRPFVAIMSTSSSKPTSAN PPLLPKPTSGFVLSNPPSLSRRTTVGSGPESRSISPLPPPPPSRAQSHLPPLIRDSVP PPPRPLTTTIEDDDFSEFLSSPSDNTQSPQLSLGNFTPSQPSLNQTTTHSTSNLFDDF DDFVTPPPQPPAKPIPPKSSFPLSRSPSVPKNANHAHSNHHKPIRVANHSKTLSLMEN VAARGRWLAPPSPLPEALPPPEANGVSSTVDFFSSGSSMQDQQAKATASLTAIAAASS TSQQPPLLNFGPPPASNSTLLTPIPQSAQATFPPATKLQPFMTPLAAQPILPASNGTK TGGLSAQDLSFFEGL JR316_0008088 MERPVHDPAADKHILDWDESDVYQWFSSLGYSQYGEQIKEHKIQ GDSLCMLDSDGLKAFGITTVGQRLSILKSIYHLKIAHNIPFGEDDYIPPSEAFSSADV VSLEQIHSTIKEQANRLRTLEEDNRTLALAMRSFSDEIIKLRSTVGSPDENPNQIRKR VPYLSNEMEAAGNSLAIGDETARGSVASLSTAESSTLNSIANPSGRETPDNFPNNTKV SLDDPTWKVLPAALKKHRINTDEWPNYAMFISFGPANNRTKRKLELEEKPLYLFKKLK EAQKNPAFVLKNMKEPRTPAVDETPLSRHSPNKSNVLTPASSANRAQGYPTPPLSRSS PGF JR316_0008089 MDGLAADRHILDWDETDVHNWLSSLGYRQYESQIREHKIQGDSL CELDSDGLKSLGITTIGQRLAILKAIYQVKLAQNVPIQEHHYVPPSEAPERVENINVE KLHSIVKDQAQRLRTLEEENRSLSTALKTFVDEFNTIRASSGRPDDQPVIRRQPSFKW AKSPTKDTHIESPHPSPQRVEHDISSYSRNAGPSTLPQPPAEKARTPPPQPDATVSGS LKPSKQESSDNLKSFKVSLEDPTWKVLPAALKKYRINNDNWQSYAMFICYGPSGNRIE RCLSYDEKPLLLFQKLKDAKKNPVFMLKHIKDIRSPIAVAQQKHAARKASSVISQEST VTGATHGHQKAPSQSRTTHRPPKLEVQDLSATTPAPSTGLSPQPGWPEASMLSPAADG SRQEEMPASATIHTSHFSNFSTNSTMSASSGSTMVGSSQTGNGRDSTKPNQETTSSAR EMPPASSSGVSYAVAIYPYMAEQDDEFDVVVGDTFVILSRARGWWVVQRDPTGSGIVE TDIVKQGWVPAGCLLETNVPVASAIAEATAAKSGSSSGSPPGTPVSKTPILPLSIIST SFPGIALMDYKKKGDEELDLVKDDALRVFKRYNHWSYAVKEVGGDRGWVPSWFIGKVS ASGAMSGTPTPGAPTPSNIEDGATQVSPMSSAFPPVQSRNTPIVV JR316_0008090 MSGLYEELGISKDASPEQIRKAYKKRALQTHPDRLPPNATPADK TSSEEKFRRVNNAYEVLSDPKKRAEYDIHGVWPPPEAEEIHPTHRQGSRSFSGSRRPS RNNSFPDPFFPHHHSPFSAFEFTDPFELFNSIFEGDPFFGGPSRHRHPSFSPAMDPFA HMHRMQAEIESFMDNIDRDPFSMSGFPRFGFMPPIPTAPAIESSAFNNNRGKWVSESF MTSTVNGVTQTIRKRVDSNGNEHILRTLPDGREVRTINGVEQHPAGHIPPLPAPTNTS KSRRISDQPAPLYLPPDTVHPQPMVNPSGMAYGASPPPPPPPYSRHSTPTPIINNNLG RKRRSSEKCADPRSHEDRQRKKRWWH JR316_0008091 MILQESILCATAPPTSGAGSGSIFLHDIQTGATLASFKQTNAGP HSLAVLESNSTQGGIMLASQPDKSILNVYNFQKDQISLKIVLPEKLTAIALDRRGDLC AGGTATGRVYLWETSSGVLFNSWDAHYRQVNVLRFTNDGAALISGSDDSGVSVWSVSR LVDEDMQRELAVPYCTLSDHTLPVTDIICGIGLFPDCRVLTSSIDHSVKLWDLSTRSL LTTFQFPRPISYLAWDVTERLFFAASSDGSVHQMNLFYERESKLSGVVTEAIGGAGVT DIIRVDDDVDREARRKRLINLGQAITSMCISLTSAILLVGTSEGLIHLYDVPSHQLLR TISTHKGMSIAYLSTMIKPPDLIGHTNLEFGPGNSTDTKDFLPVKPIMPFQRMRDPKT REAHEISLILPSTRNGYRDEFSSYSQEEFLRDYSYFVEPSSISKAGTSDSLALKTKVE ELESEVEKLRSQLSKAKGVNDAMWDTVVNKIVSQPKGSALSHTEGTENPDERRRKRGR AM JR316_0008092 MQIQKLLILTSFVATALAHTRVWGVWVNGIDQGNGVDQYVRSPP TNNPLKDLNQGNIACNVNNRVVSRTINVKAGDRVTFEWFHDSRQDDIIASSHKGPVLV YIAPASSNGNGNVWTKLFHDGYSNGQWAVDRLLNARGKHSITIPNIPAGDYLLRPEII ALHEANVPYSANAFRGAQLYMNCVQIRVTSNGGSSLPGDSQFPGTYNYDTPGIVWNLY DKTLDHNNYQIPGPGVWTGSSGGGYN JR316_0008093 MSAYPAYTMGGLCIVGGVTGFARTRSIPSLVAGVGVGLLYLWSA DSIRKGTPNGLEGALGASALLFLSSLPRFAKGPVPAILTLTSAGTGLYYGNTVYNLRN H JR316_0008094 MHNKIAENLFGTIGTICWTAQLIPQVWKSYRTKSTKGLSHWLML LWGISAVFQGVYALLQKLNIPLEVQPQIFVFLSFLSWGQCQYYGSQRSLRASVILTTI IIIFFGILELTIVLVVRPSHNRGNHAAADAFGIFGSILVSLALFPQYWEIYKYKEVIG ISMVFIWVDIMGGVFNLLSLAFKEKFDAIAAVTYSLVVVLDGVIVVAAMILNPRAHKR RRDTLGREKNEESHASLWEPSRPGSQRPSLENRESSYSNVDRRPYSDTKNNETRDGLP SYTERDQTRDGDTPTNEAEDVDQPPGYEGCLRYKLQRSELEWVGRCVYVMCSSTKGAE JR316_0008095 MKLMLRPEWRLWPLSSFFGVLDIKTGVTVALLFALLNKVAGVYG LIAVLTGAGGSFAQLSLYIYSVIALVALAWGLRVVQEEDPKKTLYFAHLFFADHIFST SWTVFFAVGWWLWNPHDGQRQANSVAQQKMMELGNSSATHLTDEERKEAALAIWNHEK GLAAFVIIISWIFKIYFALLIYSYAMHLRKGSYRSLPLSRNAYASSNVASHAAYDALA EEDEEIEDFYRVPLRTPPASGHRRGSSNNNNSSIASFADFVSAPGRTPRKKGFGSISM GKDRGIEEEILFDEDELTYAGSSSSRAHSKLGTESSTTAASDEERAINGHDSGKGKFT RND JR316_0008096 MASYFSNHSASRFLANSTTTPATTSTALSPSNSSAQPTAPATTP SRQRIPSSKHFSTSVNVSQPVASKDPTSSSTTSSASASQVHPLRNTWVFWFRQQRAPG NKIISYEEGIKKIAAFSSVESFWSLWTHLTPPSSLQPTTDYLLFHAGIRRPVWEDPLN ITGGKWIIRLKKGIADRFWEDLVLAIIGDQFDNCRSKVEDSPKGKSGVSATGKSEDGS EGGSEWPEICGCTLSVRQSEDIVTVWNRVDGDPKLREQIRDTLRKVLNLPPSTIMEYK SNNDSMQDKSSFRRTAIDKTPMSPAS JR316_0008097 MSTTVNKSESEWRAILSPEQFRILRQKGTEPAGTGEYDKHSAAG VYSCAGCGTPLYKSTTKFNSGCGWPAFFDAIPGAVSRHEDNSWGMKRIEITCTACGGH LGHVFQGEGFPTPTDERHCVNSVSLKFHDEK JR316_0008098 MTDRIREKMNSLRLEADNAVARAEEAEAKNRKLEQLLLEKDQEI TSLQHQISRLDAEIEKAETKLEELKTLSLDGESSKMASEGLLRKVALLEEELTAADKN LNETTVKLREVDVKAEHFERQVQRVEQERDEWERKYEEANAKYKKSIADLEELEKTMQ DL JR316_0008099 MDRLKGACTRSQTSSLILLTSILQLTEARRAAIVNATKRTAAAE ETAKKLQHILKEKTQMEKDLQKQLELSKQRMQLTKDLIVTLQKLGEGKAKLESASKKA ETLEARIERLRSETDDFESRYQVNAPQFQKAMPAEAGDTERKKAV JR316_0008100 MAPVPQEELPILEALINIRNRLTALKKDRGEFIKASDVNQLHQA VVKQITKLNDLRDDTTAYNNRVDTTLADVFSLLSLFYLTIGKTRDAPATYCQIASMRQ ILNHMNESAVYNEADLKPFQRRLTDLRTIIQQDGENAKNPKPLTKLLERQLNECDAIV QQLQDSLSVLSPELVPVHQKLVTIRRQLVALAAKEGSQKAELKPIIEELRRIDSTRVD GKFLGPGGIVPSSQAICSSLLEECFEIIQEIKAHEDSKNVASSLKPIYDRLSEIRAEL ESLTMTHRWSLRETDLWNYSLSLQEIDKMRVDGKFVDADGNRPPGQYVLLYLLRRCYG LIYRLLSSSEPVSEELMPIANKLTTVKKCLNEVLKYGGPFNPRDLYPYQLALFQIDSM RKDGRFVGMDGSIPEGQGIINANLSECHELVEMLKESMDEGETEEDEDDYDYDYSDSG SDLEGEERQQ JR316_0008101 MPSGYRHTREDVVYEEDQYELTEDGRIPIPYRKTAKYQDGNTID WLHEEGLERERNHALQSQAGVRGILLPALDSARMWFIVVVTGIGIGIAGAWLDVLVKW LSDLREGHSGELCKAWKTWGDYLGIDSILGRSLIQAFIYVVLAITFAGGAALLVVNYA PHAFHTGIPEIKAILGGYVFDAFLSPWTLFIKALGLALSVASGLSLGKEGPLVHVACC MAYVLIKLFKEFRHNEAQKRRVLAAAAAAGVSVAFGSPLGGVLFGLEELDTFANESDV MWRGFVASAIAAVSLQWVNPFGTSKLVLFQVTFVSDSWRAFELIPWVTLGGILGTLLI RLNVEIALYRRNSVLNDWPVLEVVCATSVTAAVSYLIVFARVQTSELVANLFQECDAS KDYHGLCNPTATVENIFLLCLTAILKVVLTAWTFGMMIPAGIFLPTIGIGACLGRAMG LIMQSLYRAYPTAWIFLSCPPDPSVGCISPGFYAVIGASAMLGGVTRMTISLVVILFE LTGALSHVLPIMICVMTSKWVGDALGKDGIYSAWIAMRRYPWLPPVDYRDKGETGASL MKPLEEIIVIEDAVTTVGELKEILARYHYHGFPIADSHGGFVGYATRQELIGALDRLQ LDETEAERQKKCTFSKNRLRVPAEERADLSEALEDSVIQLRKEVPQELVVNMFQKLNL RQILFTHAGKLTGLVTKRDVVNLLTSHFPHAAALAQQPPTKSQHSYS JR316_0008102 MAQPKPSLPTSPQIDTNSMTGTLLRPLNELQTLANTLFQSLSPP QSKPPPPPPLKAFLECDKAMAAAINLAYVHQIKQRRIDMLEAEILDLESQWRDICAEL ASGKADLEELIEEGDERIKAIDEAKKASIPYPELLAYAQSLSAFTSAPPNMPDLSLPG QPPPPLFFPPFPNEEKMRRGRLNAEAPLGLLGETHSVGRPPTVSPPKAEGTHNIAGAN PYRHELRAPQPQFFDLDLDLNPDL JR316_0008103 MLSFPAANWQKALGSTSALGKNLKYGRVASPIIPGRLYLSDLYT ATDEEKIRELGITHIITVMEYKPALPDFIEEGKRMHIPIADSSQSDILQYLDATTNFI KRALEENEMNKVLVHCFQGISRSATVVCAYLVATTSMTAESSITHVQSLRGIVSPNDG FRRQLNQYGDQYVKLKAKPKPNQAITEDVLKFGGGIAARIRRLKGIDTAEKSP JR316_0008104 MKAFMLLLYLVPLAFLVGVLPIAKILIGHIRMALVMSKEDEWAS YMWWNWWGSWLFCAGPFGRWIIGTILGYMVIKGKRQQPLPGYPGQLIEEPHLRVLMTA FFAALLSIFAVGLAIMSMYKILCGLTFLESLRPPSPDRLDSSNPYLVCLPRTAPEESI TINILTASQILHMAKA JR316_0008105 MLFSSLFILSGLFGVNAAALEERATGGYIQNPSGAASFTHYSGC GSPACGKTGSGFTAAMNQLAFGSAPGSGAGDACGRCFAITGQSDPFSPQFTGPFHTIV VKVTDLCPVQGNQEWCGQTTSNQNNQHGKPFHFDICEDTGGANAFFPSGHGALTGTFT EVSCSQWSGSDGGSQFNGACLSGESAGNWPSVGCGNKGTAPS JR316_0008106 MLNKVVKTEYPLIDSDPHASRVVRYMRPSDYALWAGSTAAFPAA LVAWEMADPSKIRLKTSLKLGGFLGFTAGFLLAYQRSTYRFWGWSENKREEELDLAEL RERAQDGKSLYGNSPQPAWVQQAAASNSTWSQLNFGVLPMFNFVNHTHHGSDPAKYGV KKEEESS JR316_0008107 MSAIRNNSNRAEARKSRATPYARIVVRFVVGFSGFNHAEDENQV NKVFRFLGFVPADEAQELREQHLNGTSETGTDSSKELQNCTSENRLQNINDSGQSVKP PHANGSRDPLTAASSFNAAPDPIPTTAPVPAHKLERSTSERYMVVDKPQPRFTFTYTA PGSSSFSSLSELARDQTPTSSAKKKLERNPNGIYRWEGGGSAKRPRNRFSSPAFGASP VKPSRSVVTDNTPLGTNPLSDTKRQKVDERPQTPPLPFPLAGSPSSSQTNGADNLPAP RPNGTIAKPRESSPVSRLRTPAKPTAPANPSPLRQAWSDPAPSPNDSKAGSSQANSSS QPKQSKTANLMAELIKETTPAKKPDLSNPYQTASPLAKIGPPRRGNKRTRVTGKPTAS AKDKSGSEQKADTKKEKDLSEYSQQAIIEATLPKGSKRSRPPAHFDNAAASSSNGSQP ADRRELPVTETGKQVYTVEEPEADDEESPRKKAKPTLNDNGLPSSFARQSPSAPMPDV TIEEIGDVVMDNTKEKEKEVPKVIIPTQSNESSTSAGSPTTRNPFGGFKPSSIPKEPS KLRFSFQAEGTSAPPSPAPPGSAPLPQSTPPALPKSDFKFSPPSGNFEFKFKAEEKPI SPTSQPEATKTAVDGSRDAEVKAKVRALNPSMLPVFNINLNSTSKYPDTEEHVSARNR VKSLSQTSLPVFEFGLSKSVPFSFGFDPSKPTSPSPSTRQSTPPKLTKSFESNSGISL PKAPVPVFTFADFGKASTPVAESSQPSAPPVKGFDFAAAGMKVPTVGKDTWQCSLCSL SNPSTANKCETCEAPNPKATPVAPTVKGFDFAAAGMKMPSVNKDTWQCSLCSLSNPTS ATKCETCETPNPKAAPAAPPAKGFDYAAAGMKAPTVSKGTWTCSLCGLSSPESASQCV TCDNPR JR316_0008108 MDAVEKANTERQKRWVKDRKVGEGTYAVVYQGREASTGRKVAIK KIKVGQFKDGLDMSAIREVKYLRELKHQNVIELLDVFSSKTNLNLVLEFLDSDLEMII RDKTLVFLPADIKSWMAMTFRGLEFCHRNFVLHRDLKPNNLLISSDGELKIADFGLAR DFADPGYKMTCQVITRWYRPPELLFGSRYYSSAVDIWSVGCIFAELMLRIPYLPGESD MDQLKTIFRALGTPTEEEWPGHTKLPDYVPVGQFPKTPLRDLFTAASADTLNLLSKCL IYEPRKRITAREALNHPYFFALPYPTFPSKLPKCSTHFNSSRPLEEVDGNVEMNSTGP GVKARNGALKRKLTSPADGEGKGRSIARRLDFSRHTPGS JR316_0008109 MPFFKDHYEVLGIESDASNDEIRKAYHKRALQTHPDKLDPNASE SDKQHAEEEFRKVYEAFEVLGDAIKRKAYDIRMKARANPTRISEEAARRVKERKEWAL RQHQEKLNRAAQLEREKREKQEALVNMKMKKEAAMVSELLKAMYQSNPEFAKRREAAL QRKAERERAEMFKHSQHSIHS JR316_0008110 MSALPAIEDIQTEPSGPSSPLAVALEVLFERSPILINVLEPQLS AVLKSKSSVISYTDLINDALEEIEKWDVTAQSEFISGHPRIGESKNLSTLSASEQGAQ GLKPTPPEVLARLQHLNACYERKYPGLRYITFVNGRNRAAIAEEMESMLGISHSLSDV DPEVESISPIDVEGDEWRKELSRAVYDVGQIAKSRLGALGVH JR316_0008111 MSDPSPIDFASAQICQATLDFLNSNNESDGSDDGGGSPPLSESS NEQANSDTEQVDNESLPRKRMRGIHTDPAEARKWYLWIDKILDLFLWLLRINGVNDVP SVKAMQGINKALQNLCGIETKEYKGKLGNVYFVNSLSQILAQEMSNPQVRPFLYFYPE DTGKSISETYQASGWLREINPEDGTPMIRLNNNDFFIFEPTMLIDGRCCIPIQWFLRE GVFYAKAWLMEDTPSGWVVSEDREIKITQSQLLKNFIQLSKDHLLYNLSHPSRIFVNR CAIHSGPGLSKWTRTDPTIGNRWRVLAKGYRVYSLPLWMYCDDTSGNQSKKWNKHNSY LFILAGLPRKKSSQEYNIHFLCTSDIAPPLEMLDGVVDQLQLAQKHGIWVWDCIHKEA VMIFPPVFALLGDNPMHSEFACHIGMQGKYFCQICWAKGVDSQECPHKNLPHDSCARP NFPTLSIHSDVDSEDTFTRPSHKRRRYKESMESMLRRIGKPRRKKETMATLDSFLEQA KMIGTKSKLRAAKTETGIKDVFQDFFIEKLFKSYKGKVSTQAKEKALKAAVDKLPGDI KSPVWKLGLDPHQDTPVKILHVVLLGFVKYFWRDLVQNQVTPAKKQTLIIRLNSLSVA GLGIPTLNGSTLVNYAGSLTGRDFRIIAQVAPFVIYNMVSQEVYDAWVSLSTLVPVIW QPAISNIDEYLPRLEADIKYFLLKTATWTCAWFNKTKFHIILHLPEHVRRFGPAILFA TESFESFNAIIRAKSIHSNHQAPSHDIARGFAQGNRIRHLLSGGFFLPQELYQSWKKD PTNVANSEWRTAGPGGLHLIDAPDSTPASYLGLQKPAASKAGSCKSNGTDPQPFHRTL CGQKLPNIVLNTAASQQLYVTNSQVYLRNEDLCTIGQFVIAQIHPSQPPLIGCVREIL QQVGSPNHLQNRPDGILIQTALHQPPSHILPAGQLQPVFMLRLILQQEWSFIPWSCLL CTVNTQHDCQRHGCQANGLQYIYQEQIQTDQTKATILHQNSLDDMLLLNMCQMRDAAH LQSFHLHSAPLNEDAIIQRSVAQAIVQRKVGEASQSSTSKPTKTPTAALRQHAQSPLG TPTLAATPSGGVSLPQHASAGTSSGGVSSPRRSRQASVVYPGQITLDFR JR316_0008112 MFAYSSSAHSHVLIPSTDDTSTPSVHNIWTPSIHDASKNRSTDD TWMQFTNNTSTPTALIHDVSTPSTRESMTSIHDVSTPSTRESTTSAHNASTPSTCESM LSAHNASTLSTRESMLSVYNASTPSTRESTTSAHDVSIPSTRESTTSAHNASTPSTRE SMLSVHNASTPSTRESTTSAHDVSIPSTRESTTSAHNASTPSTRESMLSAHDALTPSV DNMLTPPIVPSQSSTKLSLSLPQRDPSVQTASLKRPYNGLSNSHRSRKYQRTLQYNKH GHTPKPSLLSMLPSCQPEALNVKLDTLPATQGAYGAKPTKPHKSHLYSLDAIKALGFR IIPWDRCTPVPFVSEDGRIFMVLAGRPKDPAYERATEEAFDLLREAGHTTVFTHKDYS ENRGHYPALNIGVTHGVGTHSPLNRVQRHPKITAKLLQSESIQQMASFASSAFATWSP KVYNHYKLYMDKIFANDSTLVRLFRRSIFPAATFNLGSVVCTIPHFDIKNCPYGWCAI QSLGKFNAKKGGHFVVWGLKVAIEFPAGSTILMLSAVLEHSNTSIEHGEERASFTQYA SGGLFRWVDYGYRTEKELKRTNPKLYQEQMELRPTRWKRGLGMLCTLQDLISKAAFEV SGQV JR316_0008113 MSTNTLDNCLPSRLLSPKTPSPKKSFRKHNQDEDDEAPKKYLST LNAGDTPGSTCQSDLEDQPVVSKMPEKNDHSSKVPRNKTTISLNRAYPRSRRDLEAEI TVWREIAIEATREAVAYKNQL JR316_0008114 MLACQFGAEVRLHKALTATQTHLQGLGQLSSFLADYELQKDENF VLSNAQKMAIKSTCIKELLKPSRQSFKTLHIDVEAKMKKKPADNYLDNILNRLGREAK WMAHIQTVCTIQRNTLRKEIIQSITPGPKFTSVQEFAAGLIVKYCLPTSESGANSNTA YLSKHVLLWRFFWDHSSMFSAFELEKDDNNSEEENNDQDFGTPSTDNSSDSGHSATKN VNCKKQTFPLGKLAVGWLMAKTIGPKLINGSRGLTMSWEIIQLS JR316_0008115 MAEITPLTFRWGIISTGNIAAAFVKDLLIDPKTRGVHDVVHKVA SVGSRSVEKAKEFIDRLTGGDKSIKAFGTYEEVYADKDVDAIYIGTPHTYHYTNALDA IKAGKHVLCEKPVTCNAAELRALITAAREHNVFFMEAVWTRFQPLSLEVKRIAEEGSL GLPVLLHADLSGNFGIHDIPVTHRILDPNLGGGALLDLGPYPLFWAILALYENPANGF SAPTNISASMLNTPLTPVDSSTVFNLTFSASKLAAQASLSCSITLNALETGVTIRFEH GTILIHSPIYCPKSFKVQYLGKNGKVTKEETRNFDYVGGGWHFQADEVARCVRDGKKE SALWGHDKSLLEMTVFDEVRRQGGYKFPEGVEKVVA JR316_0008116 MAAATENGKSDTSSSQSSMPPGMVMGPDGKPCKICTAFRNWKPG TTNYTEADSNRAAKTWPAPPNAQKNQKQQQQQQTAKGSQFAGFAALAAVPVASAVSTA TETPNSEESTHLDAPGYRGDEPPPGCPPDVEQLGRATWTFLHTTAAYYPEKPNPTQRA NMLMLLRSIPVLYPCTWCADDFGKDIEKNAPDVSGRTALSRWLCERHNEVNQKLGKEK FDCAKVDERWKDGPPDGRCD JR316_0008117 MSHSHSHTIHHPHDDLEDLNCGSGGGSDTLFNLRIASIFVILVG SSAGALFPVLAKRSTWLHVPKSVFDFAKYFGSGVIIATAFIHLLAPGLSALSSPCLSD AWKEYPYALALCLLSIFFIFIVELVAFRWGTGKLSKLGKSHGVFYPFNSCFYFLWLTE GKDAHGHSLGSHAAHGPEGTFKSSEAPPLKSESSSSDIESVKGNDHGHGFQDTLSTHI IGVGILEFGVILHSFLIGLTLAVDEGFKILFVVIVFHQTFEGLGIGSRLAYLDLPERF RWAPIAGAILYGLTTPLGIAIGLGVRQTYNPGSTTASIVSGVLDSLSAGVLTYTGLVE LFAHEFLFNKEMMSASNGKLAYAVGSMCLGCALMALLGRWA JR316_0008118 MQDVSHICCNGEYALTESYSVVAAKLQSIHVRPATYHTAPCHAI DLSQCSEGFYKKEIENDIQSGPSKTAEERKKMLEMLRTFEEQVSNGQSLGDESEEDDM DDGADLAKRFETVDLDSVSPETLWSMLTEKERVRFMKAFDDPASELAQQLLASEQLEK EIKGPWWDAPEVREDDNDQKGTTRRYGTRPSMMDIPASMVKAVPTGHPLVFNVCAICI AYAYITRHLGTSPLGRLKPDEPEYQEARRLVSQLVPFLIERKSTQLYPDVPSVITEIW SLVDAGTMTSDLFAVLLRDAARLMKPLRITQIGPSETVNGNVPPSHPHCMPVLVLSDL DELLGDAGRTESTGGEKRRRHITHKIRFYGIHILSTPSGVLERLGEELMARARGYTQ JR316_0008119 MATSIMHITTILGASNYIDLPSLFELPIFKHTYEAEKAHCDLED LEGFLSYWFPDPSNLVRAVIFFGNDTEAILCLSFVIAGSHIFTLYDSHTHSMTPRGPS FTVSKDIKFMIPFLRQRLQKRHLPDGSLTGEFLRNTRPSAFVLKQINRSLHIDVEDLM NSSASYIQSMGQKPWTSLEAVRPFLNDIVDHHALGRSRAHNGRGTHSAQCSDKALSNS SQHRRVQRGHRNKFAPEVDHSQFGWQMSLQNQASTFSSNHAVEHNNSAKIGDLLSDSV NEGNVPRYGKQSEFEWLTSLAQDHANNTDSLGSRQNNSCTAEESTKIEDTWEVQLMKQ LQDEEEIAATFPHRTTSEYTWLVSLHKQIQAEERAKSQYGASSFSHLELDWQLAVQMQ HGDQEDIPRIIVNYDGDNEVSLHAERSTIRSDLLHVPIPERRESGDQFRLLTFASPPA LFPCSICGELLTHADKLGPSECGHGFCKDCLTQFTRTKIQEGRYPIFCPDCLADRAPT KYHIVREILDQLSLSSEEFGKLGELEVVSHAVSIECPRCKETMHVDRVEYSQHDIIIC PLPVCLTLKVGESSGLDVPTGCGTPVQKESGCNHMTSLAISASAAAARFCLNMEPHLV DKKATFASFSHILDSRLLRALADSGFSRPTLVQAKAIPLALESRDILARARTGSGKTA AYCIPVVQKILSIKSNLTPEDENRQTTRALILVPTRELSEQVSTSLKGLISYCDKDIT VCNVAAGTTSHLQRTLLSDKPDILISTPSRALILLQAKTISLSALESLVIDEADLILS YGHDEDVRAIFSGGYLPKVYQSFLMSATMTEDVELLKGLALRNPAILKLEEGEDEAAL LSQYSVRCSEVDKFLLIYVILKLKLIRGKCIIFVNDVDRSYRLKLFLEQFSIKTCVLN SELPLNSRYHVVQEFNKGVYDYIIATDESGASGEYDTEDEEEADELDNEEEFTSTQRE PVEELSPEPSSSKPETNKRRRESSPPSGRPRKRDRKDKGKAKEYGVTRGVDFVDVACV VNFDLPTSSRSYTHRVGRTARAGRTGMSLSFVVPKDQWGKNKVVGCLPSAEKDEVVFN KIEREQGARGSKIKEYKFDMKQVEAFRYRMEDALRSVTKAAIREARVKELKTEILNSD KLKAHFEDNPLDLEFLRHDKPLHPTRVQPHMKHVPKYLLPRIAPVPGAEAEGDEGKAK SFVPFKKDPMRGKGRGRGRGGRGGGPSGRGGKKKSDPLKKFGK JR316_0008120 MAALKTGQFIGALDCGTTSVRFIVFDQHAEIIAQHQLEFPQYYP HPGWHEHDPVEIQQHADQCIEGAISELEKAGWSKDSVKAIGITNQRETTVSWSRKTGK PLCRAIVWTDSRTKNTVAHYEAKLQSTGIQVSPGVWKKGAEGIEALRSITGLPLSTYF SGIKLRWMIDNYPEVQKAHEADDLLFGTVESWVAYNLLGGVEKNIHIGEVTNASRTLL LNMSTLQWEDSLLEFFGFRKSILPKLVSTSEIYGDIAYGPLKGVPIGGLVGDQQAALI GNKCLKQGEAKCTYGTGAFLLFCTGGEIVKSDHGLLSTVAYQAGPDAKPVYALEGSIA VAGSAIKWLRDTMKIINSAGEINTLAAKESDSGGLYFVTAFSGLLAPYWDPGAAGTLI GISQYTNPSHIARATLEANAFQTRAVIESMKLDSGSDLKHLKVDGGMTNGDLAMEILA DLGGFEVVRPEMRESTALGAALCAGAAIKAFGWDLSNPETLAQVNTKGTRVFTPAAET EERESKWKFWQKAVERSRGWDEGIDA JR316_0008121 MSIKVHLDAEWRNWLRLSHTRPMLRNLIYGAILFLTVYTLFNLS SLKTSQFSIFPGTKDSGEVVSAEVWSSRADQVKQAFRHAYSGYVRYAAPADELAPLRN SGINVFNGWGATAFDSLDTMLLMGLDDEYKHALDIVKKADLSRAEPHFVPFFETVIRY LGGLLSAYALSKDVILLDKAEELVRRLDPIFETPTGMPYFSVNPKTGEHWGPDIGILA EIASLQLEYAYLAKLTGKVEHYNRSEAVMKALSSADLRYTSDIRATTYIITNLLYLSP TRNLLYVTDAIAGSYNQQDTPSHIQEHLSCFFPGLLALGAHTLPLDNLAGMGVDFEAL ANTDSYGLGGQGYAKIRGYNLKELHMWAAKGLGQTCWATYADQPTGLGPEEILMQIRT GQKTWMAAGGTWSQKPVSYLWIDAVEKWRQSGGKGVLPGLADPKPVLTSTGRDYTMRK SSYLLRPETIESMYLLWKVSGDVKWRMRGWRVFEAIERETKTASGYASVVSVDMAGGS KRDSMPSYFLAETLKYLYLMFRDDDPISLDEWVFNTEAHPFPVFKWTAEERARFNV JR316_0008122 MPQPLKDFTVAIVGGGMCGLACAYGFANAGIKADVFEAAAHYHE IGAGVGFGHNAIRVLRELGLFDTILKVSGQQKANQRLFNMISGTGDHEQVFDYAESSG QQGNEGLGVYRPVFLDAVMPLLDPTMISTHFNKRCVSVEQSNTGPQTLHFADGTTHEA DVIIGADGIKSVTRSAIVSETDNRLAFSGTYAYRGLIPIDLLETEGIKADIRSRPHCW VGAGKARKRHGGDKMLTSEHSHPWVESVPQAEVLNRFSGWGNDVMIMLNHLKQPSRWS IHTLYPPLDSYVNGRVVLIGDAAHAMVPHLGAGVGQGFEDTYALCRLLGHPNVRKANI ETALKIYNEIRPPRANMVLKRSLRAGKIYESYGPGLYDISKMRHNLMGIWEPVWRFDL MDQVGLAILKEFEDSPQSVEKQKNPSTTKR JR316_0008123 MSSYDKVVKLACKPKAAPPKAKYIDPIIAATWSDDGAVHDVCKA LAPRLREPNAIVAFKALIVLHTMIRNGATDNVLSYLSSSEILRLRNITAGNWEGYAAP QNLQNYALYLDSRIRAYKDLKHDAVRVQAESNRDMRNSQSIEEDGYRGRKDRPIKTSA SAPARSKTIMGRKLRSMTVEKGLLRETRAVHGMIDALVECRFYLDDLEDELTITALRM LVKDLLILFQAGNEGVINVLEHYFEMSHIDATEALKIYRNFCKQTEYVVEYLGVAKKL QNLLNVSIPNLKHAPVSLAGALQEYLDDANFEQNRIEYKTNKAATEGGPKSSANGKQT KAGEQSIDQILPIATINGKSAEASSSKAAPTVEVSKSGENKDVIDFFSSIDESQPTMF NPQTNSPSTAYFHQQASNPFGQMLTGQPMLQQPAQPLAFQPTGFVAPQQPNQPNPFAN FLSPQVPQPTGHRPFSSYLPSHTTGFVPQQPTQQQQTQNPQQSNPLQTQQANFLQAQP HSQPQSQQSQSALFLSPQTQQPQQTNFLRPQQSGFLQPQATGANPFRQSMLIPQSTGM ALFGGSSQTPSFGAPTFEMQSNPPSTLNTNSTTSAFSSLGSGPAASSSSFMAPKPSTF GTAGTNSTPARPASTPLTSTGSALSSTPQPVKTHQTGTKNPFGPITVPPPPVPKQPTL FELSSLSISNPNQVNFQPNQSSSQAQQQQPQATGFNFNTSALNPGASDISSVASSFAF SNSKPQTSTTSPPSITGSSATATGSTHMDTVFSSTLSAQPTGAMNSSTTPSMQPSASS LKPQMTGFSGLKPFKPSSSFGASLMESLPAIPGSVPTTPSVSGLPTTSGTTSPPNGST FTSTVASPTNGITGNFAFLNTQPTGATGGLGGSFGSTLGVGLRPQMTGGGSANPFRAS MATGGGNSGVSSFANFQPSPAINFGSSQPFNTGISGNQQQQPSSTNSLI JR316_0008124 MYIDRSTKTNASESTSATVTVESSVEHAKRAFALKKYEQAIDHY ATALELATKQLGEDAPEVADLYFAYGKALLENAIAQSTVLGKEQPEEAIEEQNKASGS GSNKNGPILSFSGDADDAGDDEDHPIDLFGKAAAGQDEEEEEEGDEEDDGEPEDDFNA AWEVLDLARAIYDKQKNDEDDDEVKLKLADTYIALGDVSLETEKFDQAISDYEAGLEL KVALLPGSSRQIAEAHYKLSMVLDLTSGRLSDAIAHAEKAQQSVEDRLVELKNGLAGT LPPLPEETLDSKGKGKSSQKRLIRDDYVQKMTTSHIESEIKELSGLRDDLALKVEELK TSPNESLSASAPALAAQQLDRELNGTSSSSAPIVVNDLSSMVKKKKKAPVEDVAATKR KAESEPEPLNVDKKARLEEPSS JR316_0008125 MSSETIAKSAKAAFEQSQLLTASERATALQAIRKQLELRKDDIL TANAEDIKAARAEVDAGRMSEALLNRLDLRRGDKWESMLQGIDDVAALADPTGIVTYA TELDDGLELYRVSCPIGVLLVIFEARPEVVVNIAALAIKSGNAAILKGGKESNRTTLV LSEAISAGLSQTAVPENYIQTIQTRDEVSSLLKQDRYIDLVIPRGSNSLVRSIQNSTT IPVMGHADGLCSVYLDEHANLEKALRVVVDSKIDYPSACNAAETLVVHESLLHTIWPA VAKALLDANVRLLCDPPTLDALKTISPVPSKLDSHVEASTPESYETEHLSLVISVVAV SSLQAAIQFINSHSSHHTDAIITENDTAASMFCRAVDSAGTFINASTRFADGFRYGFG TEVGISTGRIHARGPVGLEGLVIYKYMMKSKDSNGHIVGEFGSGKKQFKHKKISTTVL PF JR316_0008126 MHRIAIINSARARALAASAKGSKSVVSRSYATAKPAASEVSSIL ESRISGTQVAGNVEETGRVLSVGDGIGRVWGLKNVQAEEMVEFSSGVRGMCLNLEADN VGVSIFGNDRLIKEGDTVRRTGQIVDVPVGPGLLGRVVDALGDPIDGKGPIVAAERRR ASLKAPGILPRRSVNQPMMTGLKPIDAMVPIGRGQRELIIGDRQTGKTAVAIDTILNQ KRWNDGQEEDKKLYCVYVAIGQKRSTVAQLVQTLEENDAMKYTIIVAATASEAAPLQY LAPFSGCAMGEWFRDNGKHALIVYDDLSKQAVAYRQMSLLLRRPPGREAYPGDVFYLH SRLLERAAKMSDKFGGGSLTALPIIETQGGDVSAYIPTNVISITDGQIFLEAELFFRG VRPAINVGLSVSRVGSAAQTKIMKKFAGSLKLYLAQYREVAAFAQFGSDLDASTRFLL SRGARLTELLKQGQYQPLATEVQVPIIYAGVNGLLDSIPVDQIVRWEAEFRAHLNTQE ALLKEISAGNITPELEAKIKKVVEEHVSSFTSS JR316_0008127 MASLLRWCSPLRLPLSRSLHTAAVPDNTTTALQLIRSQPSQYVV ASFAGRKYILTPRDMLTVPHLRDVKVGDVLVLDEIHELGSREYTLRGNPVIPANKVKV EATVVEHTKGQMEFIFKKKRRKGYRKTIQHKQAYTRLRIGSIEIPSVQASPSSS JR316_0008128 MNVWTEHRNPEGRTYWFNTGTRQSVWEKPDDLKTPFERALSSTK WKEYFSGGRKYYYNTETKESKWDMPDELLLVLEKVEKEPQTAAVAKPLAVAAPGFTPV TGAAQGGLVPMGGADPSSSTPASQSSQTNGSLTVGAHTGALPLAPSSVLPVRPNLPDD PVIPHNGFLTVEEGEKAFIHLLRKAGVDANWTWDQTMRAIITDPLYKALNTLAEKKAC WEKYTNGLKVKEQEEREARLSKLRPAIRNMLKGNPNVFHYTTFPTADKLFSQHPIWQQ AKIESERRLIFEEYVNELREREVSETRAARARSISKVVALFKDLNVDVVTKWRDAHEL LVRSEEWHSDAELRKLPTLDILLAFEDYSRVREREYEEQTRRAQVEKTRRERKAREAF KSLLHELIESGALKARTKWKEVYPLFRDDNRYLDMLGNPGSNPLELFWDAVDVLDQQL DTKVVIVEEAIRRHNAKLAEGTERGNDNMDVDDKPFVVTPETTWGQFSLIINGEADAS LNALSDEELRLVYKTLHDVALKKQADEKRRYERRQRHLQDDLRYAMKKLPEPLDISLK YEDIVPLIENLPEYKALEDEEGRRAAFAKYVKRQKERMRETGSDDGGSTTSRKRKEPL RDRDDDHRDKDRDREREKERERERGGDRDKRDRDSHRDREKERDRAKDYDRSRSSRHH HRYDDYDDRRSRDYGRGDREKESERDKERDGYKISKYHRDGDDRKKDKDSKESRSRAE RGSSVGRDWDQTPKRERSDSVYKDDSQKRDLPEDKNDRADKRPRLDRGEEVEIEKRQD SVRAETPEEGEI JR316_0008129 MTFTATNPDGVRIVDIFSAIVVASAKKYDMLSLLSNGIMSQRLH SYQAPALFTKAEILGESKYFAGFSNITGSAGSASVVVETETSAASALALRKIDF JR316_0008130 MPHFDPEDEFLSTNSHFLAPSAASTSRKSPSRQPLSSRRSAASL RGPSSLAHVIDDDSTNGRHHSLAHELAVALMPEPSAGSKLLAEEFGIEFDEGAEGIDE VTAHHDQLNGMAIEVTDVGIPTFASELASSAASDASLHDFPAEEDEPPNGHEIDPVFN SPPVSRKAPVNKKPEQDAMEVLAQDLDSTDKFLSHLRSIDVDPGTSASHPTLEKLASD VIRRINVSVRDREGHVRELLEYEREFKKIAGEVGGSDILGQLDELTHVEDLLESTFRP EPIRSDSRHLDTVEEEPRSPTTHHTIASDWELDPDVHHLGDEDGISEPATSPIKDSFH NAPSINGPPTPATTVAQLTHLRSFTTSLVSSLTTISEQAQVNGAATTEAGRKIRALKN KLGGWRTEWDSAERSRLKIERWEAGIIDGEGAEESVIPNSPSGLVTKRVDGRKIVEEH LRAFELALAEAALKTQAIMAR JR316_0008131 MLGVELLSLVVSLTPAEKLNRQIVRVLTSFFCGKLDDMATIKPA LNGLATLTLLQSFSPVEAVVTIEAIFRHIKMKSIVQDQRFKVFSIIDSVMAFHRDVLK NMGKQFLDGYINLAEGEKDPRNLIVAFAIARVILIEFDISGHVESMFNILFCYFPITF RPPPNDRYGISTDDLRMALRNCLNATPAFGPLAIPVFLEKVSAGSRVTKKDTLQTMAV CLPVYGSALARVSARKLWNALKLEIFQPVDPETEQEALKTTQILVKTIYMDEEEALEH DEDIQGLARDACEECVHILKEPEKTQAKPAIKILCTFMTTTPSVARYTISQAVPHLVR LFLTPDEASTREPTLLLLSDLIAAARDSTKKSSLEDADPPLLPYKDEVLGVFIVGLKL ITTRNAALSGLKVMATTKRLLSDEELGFIVHNVNEIIQADGEELYESIEAILEVLTII SDIAPRHVVEQTLPLLSSSLPDTAPARDDATGREKCWQTLTTLSKLCIQTELFEQLVI RLTTKLDLLCFPSSEQMLSVSADPEPTAAYAHMILKALAQALSTKVKGKHPDIAKYLD RLVPIIFNVFVSSAFLSEEQMIVAKEPRLVQVAAEIINFVVQSVPSEKQRFYSVELSK AIIDGNVSTIAEGFQKAAKSERFSIFDASTTSAKRNILALLSAAVISLHKEARLSLRD VSGFLEMLLRWVLDIADNDIQKLAALHIVSSILNRQVEDLSVFLNTKLDVYWNTEIVN DASPLPRRRWAIKTWIWITKALLVRKHPLAMKLTEQLYDAFDDEAIGWDAAKAIGQIP SPDTVLTKANHAEVKLIPLLLRGLDLPDAEIRSNIIDTFLAAAEGETPEKSLVAEHGV TLVNAMLKNCVSNDVSSTQVRISALRYLAVLPSIVRYDILHPHKATVLRELAKVLDDP KRAVRKQAVDARTNWFKYKG JR316_0008132 MILRGASATQCLLHKHLRCYTSRRLFSSSLLCSRASNNKRDPSQ PKDKWNYNRSPFDANVSEDLHKLRLVDANILEKETEPPVNVKMLVRDFIEDSLYNPNY GYFPKQATIFNVQDTAFDFPNLRDSAEFQEEIGTKYAAYGADKHDGPGRQLWHTPTEL FKPWYGRAVGRCLVSEYLLKYFPYEDFVIYEIGAGNGTLAMDILNLLQEDYPEVYERT RYNIIEISESLVKLQKKKLQEVHPCVTITHKSIFHWETVEPSPCFFIAMEVIDNFAHD LVRYDLKTLKPYQALVTIDNNGDFDTIFDPVTDPLITSFLNLRQTLNHPPPINKLLLQ SESLRQRYLSLPFAPNLSTAEYIPTRMLSLLRTLRSYFPRHRLLLTDFSSLPDSIPGV NAPVVQTRFQNITVPCTTLLVKQGYFDIFFPTDFERLRDMYEFMLAQPPNKSGSLETL ESGRVTPLTSTASSFSSGSQFFSSYQPSNRRNPVDGVTSASGLPVGERKSSVFSHSQF LETYAELEKTRLRSGENPMLDFYKNVKFLF JR316_0008133 MSTILPVKNEEYGTKDYWDQRYTEEQNNDSFDWFKSYADLAHLL RELIPNKSSRILMLGCGNSRLSEDMWEDGYCNIVNVDYSGVLIEQMKKRHSTIRPEME WHEMDVRNLIFEDGSFDVAIDKGTMDAMMTAKGDVWDPPQQVIEDCNKEVDETLRVLN NSGVFIYLTFGQPHFRRRYLQREGTYLSIKELGEAFHYYLYILRKNNLP JR316_0008134 MPTSRFEPSSIKNKIKREEVLQKSKKAKNQAKLQKRLAQAKLEA SDPALKKKRLAENIPVTLDNAREFDPSILTAEPSSSNANGGSSQLEQLEADLDNDPFA SYFTSTDDPLIPPKILITTSPKASKATYEFCDELVGVFPGAEFIRRKKGKGFEVGRIA GWAADRGYKHLCVVNEDMRKPNAITLVHLPNGPSAYFKLTSVELTKQIFGHARATPHH PELVLNGFVTRLGHAIGRMFQTTFPQLPEFQGRQVVTLHNQRDFMFFRRHRYAFRSTE KVALQEIGPRFTLKLRWLKKGIPAVRNLGEAPEPLAFDVETASTADGQPTELESEKPK KTIPPKQDEVLWEWKPELETTRRTFFL JR316_0008135 MTSTARRMRPVEWKTEAPEPLPGTTTFAAQSTLPRLPVPDLQNT LARLKESLKPIAWSEAEYASVVKKIDNFGQGKGPELHERLLKHAGEREHWLEEWWDDA AYLDGFDKSPNNQRKEVTNHPAARAAGIARATMIFRQKLKQGLIKPEATKEGPFCMDT YRWMFDCCRVPGEGYDWSVSHAKAGDKGDSGHIIVIRKNRLWKVDITDNGRILSTPEI QKQIQHIYDNTLEEYPGVGILSASNRDVWAQDYKQLSSSSYNSEILDAINSSAFIVCL DSSTPVDPIHHSRALWHGDVIGGVPVGLRNRWVDKPLQFIVFDNGYAGFMGEHSVMDG TPTARMCDDVLDMLYDPAFDHGSPFKAFDKTPTPLDWEITPAITQAIAKADNAAVELI ESQELGFHRTSYGKAAIKAFGVSPDSWAQMIVQLAYKRLLGTKQRQGGTYEAASTRKY FKGRTEAIRVVTSEADEWVRSMDDPSVSSETRKKLFDQATKKHVSLAKAGGQGQGVDR HLFGLRKSLQDGEALPELYDDPVFKRSSYWVLSTSAIFSKHFPVYGWGEVVPDGFGVA YMTGFDGHLQYTITSRKEMPNDQFVQEIAKAADDLYKLHTGLANTKAKL JR316_0008136 MLINNEKQKGIYTSYSRLPLTARPLDLVYFIFFAVHLFASIVLD LQYLYPPQYVPRLFRLALDSYVSMSRDPLIGGVFNLYGDGGHLIWFKTFLALEAVFQV PVFILGLRALYSGSQRIYPLLVIYGASSATTTLACVAVVLQTPELTPETLKQGIACIT SEQRVLLLSSYIPFLLIAGVMSVDMALRVGNLAEKGIKAEAEEKWE JR316_0008137 MPHYPHSHVFVGPTQTVDIAGPHIQVLDNVTGVVLFSTTAFSND QKDVLLKSGPVRCAAVDQEFTHVVTSAEDKMLKVWQLDGLKLLSERELPKKPTSVAFT ADAQTILVSDKFGDVFSYPFTYVPLTVKQKKDALSSHENPSGGQLILGHASPLNAFLL TPDERYIISADRDEHIRVSWYPKGYNIEMYCLGHLKFVSAIHIPKFDSATLISGGGDP VLKIWDWMTGSVRHDIEVLEKVEPYMAVRALKRKRGYEDGEAPEGGKFRRKKGRGKAK KKEGSTDAKDSEEPETANDVPEEEPKLEKVLVIRRIQSVKSDAGPYILFSAIGTTAVF CFPLKASVASVDIKAFDFGRPVLDFSVVGEQTIVVSLDGEWIPGEGEMATANDNDMIK ILGFAGGELFEKEESLAVLASSLNSSLVSATAEEVKKLDLYGDLTSMPKYASDGDIEG EGDTTLPIGAPELSSSETAKGKKGQVELSKKELGRIKTKQAVLAKAQKMEVDGETKTE EEEAPESKRARSDGGSGMGA JR316_0008138 MDKPLGPRQPPPDKRHAAYESIFGRPNPPPQPYPAYPPHNAPYP YPDRRTSYNPPPQGLVYQHGQQRQTYYPHQQQQSLPMQPQFPPFVSPYQQFQPSLAPP PHQPLSRGRSINSNSSEYVASHPGDLEPQQGLTPAQAYQAQIYTNAPNSAQSPWPGPS RLSAAPSTRSAAPTHDLPRIGISLEHDDGRLGVDFSSGEQDDSSSGSELPWARSEPSI PMRQQTLSRQHLRQPSASDPVHPVIPLHVDTFSPRSSIATASPSPGSGADHSVVSSTL VDHNSNRRSSDSARTMPKFTNNNNQRRDRTSQDRSMSMSAVRPLTDPKRTSGRTSPTS IRAPPVRKTPIVYPALLSRVAQAFRDRIPITDRVKDGLTYKDSFDGREAVDKIAYIIK TTDRNLALLLGRALDAQKFFHDVTYDHRLRDSANELYQFQTKMPSPFVSGELQNGQGT HPNALNSAHFENSNSFFEGDHDSPSPSPLNQVPKDSLNTDEIALPSGVFTLLTDCYSP TCSRDQLCYSIACPRRLEQQARLNMKPQPGLSKQISKDSLNDVPDAGTLWIHSVPQEI VNSVSDTEKKRQEAINEVIYTERDFVRDLEYLRDVWISKLKEEDIIPMDRRMEFLTRV FWNIHDIIAVNTRLRDALSKRQKQYAVVDRIGDIFLDVVPHFRPFVSYGAHQLYGKYE FEKEKNTNPAFAQFVETTERLPESRKLELNAYLTKPTTRLARYPLLLEAVLKHTPNDS SDKKALPEVISIVRDFLAKVNTETGKAENRFNLLQLDQQLVFRPGEEVDLRLREEGRE MVYKGGLNKRDGEIQVYLFDHALLFTKQIKTKQHEQYKVYRRPIPLELLLITAAPIEE NTATVRSQQRKTQALVRKSSFDKGRGVPGVVSAVAIKGEKGQHWINFIHLGRKFYNLM LWSSSPVTHRKWLEVIYKQQQIMKERSVIFDTVTLSEGFFSGPNKVNCAAPYSGGRRV VYGTDDGVYLSDLREPNQEPVKVLALLDVLQVDVLEDYQLLIVLSERQVITFPLEALD PLDPMAGLKRAKRISSHTSFFKAGFCLNRVLVCIVKSSQLSSTFKTLEPIDQNIRGRA KPTFKKLLQGGNDTLKPFREFYIPVESTSIHYLKTKMCVGCSRGFEIVDLESLDTQGL LDPNDEMLEFVRKKENLRPMAIYRIHNDFLLCYDEFAFYVNKSGRRSRKDFMVHWEGT PTGFALHEPYVLAFEPTFVEVRHIDTGLMSQVIQGSNLRLLFADTPPSVTNSSTLLNQ QNMIYNNPYGQYPGHPHHPYPDQYPRHPQGVGRDEILIVSDDRVLALRPRGGAVGTTQ NQRYMPDNLSMSSIPR JR316_0008139 MKFYTLAVFTALSFSSISAHSLNPRHNLYAKRQTGIPGSSANGP PAPPTATASSVLSSASVMPNPTTSSSSTANILPPVDSSSTSTSISASSTSSEKPTGPP PLATWTGVPPLESITLGMPTRPIPPVTATYAPGARPPIAGAPVLPTGLVQGSWPPTDQ IPDTNSKEVRAWMKELDGFKIPDLAPTVDGSCGGDPAAAAAAAQRGWWTCGGYTRSTD IVSCPDKNTWGVSFDDGPSVYSKYVLDYLKQKNLTATFFVVGSRVVQHPAILIEEYMA GHEISVHTWSHSKPLTSLTNEQIVAELGWTRRVIKDVLGVTPTTMRPPWGDIDDRVRA ISMAMGMVPIMWTATPTGNKFDTNDWMVAGGSVNGSESFKSFQSILTQASTLETGFIV LQHDLSELTVDLAVGYTLEAALSHVPRFNLEPIGQCQHFPKANLYLETNLNQTFPFRN QSAGGVDVSGDGTVDTKSGDGGSTAADVSKANADSPISAAVSTNIPLLSCLTVVVCAV MSILL JR316_0008140 MLKLFVQIVALLASASSAISTTIPGVVGPDVSLEKDAELYDACK AADPKLVFAGIRYGEGPPEVVQYNQCVPWRKNGKTIANAVFCQPASCYVFADEHCSEG PTTPAPVDVPVSVDFLNAADFVELLGQSAYCSPNGLPRINT JR316_0008141 MSSLITIVRNKFLEAIRSVNPPTRWKILVVDEYSQKLLGAVLKQ FDILEENVTLIESITSIREPQPGFEAIYLLMPTSQNVDRIIRDFSNNKQYAAAHLFFI EGLPEKLFERLTSSPAEPYLQALKELFLNFNAIEAQAFSMNEPGYFFSIYSPPRTESS YKPARARLEEDLRFMSKMISNVCISLNEFPYIRFYMPSSHQPLGPLKPNAQTRPPPPP EGASRWRTNLARGAEARAYESVESDFVTKLLAFMVQDALEEHKKMNPDFGKVDPARPR ATLLITDRSMDMMAPFVHEFTYQAMANDLLPIENGTKYSYKFQSSMGAYEDKVAILSD ADTTWTSVRHLHMREAIDKLMSDFNKFLEENAVFKGEGAANLNDMKDMLANLPQYQEQ REKFSLHLNMAQDCMALFEKEKLSEIANVEQSCATGLTAEGKTPKSLVEEMVPLLDSR DVLNMRKVRIIALYIQYRDGVPDEDRRRLYQHARLTLAEQDAVNALVHLGVRIARGPT DKDTKKKIKQKISGDEYELSRFKPAVKSIIEDHVADKLDPVMFPYVKDAPSAMPLSPR SPPPQTTSLRSQKPAWHRAPKASVQVDNRQRLLLFVAGGVTYSEMREVYHLSESLHKD IFIGSTHVLTPKGFVDDLKVLDLGGAGSRALPNGLRDMRGEPKSHQQLYDEKYFVQDA PPPQRVAPKAAKNLAPPRTATPIQISPTNSYQESVNSSAPSVKDEKKKKRGLFRF JR316_0008142 MDTQASSSSIALDQRKQDTLKAYREKIRLHEASSTNLKNLRTSL KDLEKDYEKTEDDIKAVQSVGQIIGEVMKQLDSDRFIVKASSGPRYVVSYRPAIPAHK LKTGTRVSLDMTTLTIMRILPREVDPVVYKMSLEDPGDASFASIGGLSEQVRELREII ELPILNPELFIRVGIKPPKGVLLYGPPGTGKTLLARAVAATLNTKFLNVVSSAIVDKY IGESARVIREMFAFAKEHEPCVIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQMD GFDSLGKTKLIMATNRPDTLDPALLRPGRLDRKIEVPLPNEQGRLEILKIHSAKVSKA EGIDFEAIVKLTDGFNGADLRNVITEAGMFAIRDNRDVIAQEDLSKGARKVSDAKKHE TKLEYTA JR316_0008144 MERFIHSAVKNGPIHINADYSASPNPYGFNKLADYFWIDQPVGT GYATADATGYVADEDQIGKDFMGFLGNLVKVFPSLATRPLYLTGESYAGQYIPYILKA YFDMPKPPVSIAKIAIGDGTYSSEPIFELLPALSMLETYPQLIGYDQDVYNYFKAQTS FCGFDVNLTYPQNGIIPDNNFIQPTLRDIPFFKQMRYNTKKTFLMELTRRGEEQAKTL AKRDVPAEKAAWKRDLSLRTNGTVDPWYGCFLLDMAIDYAVNFTFPWSEAFSFKMLDI LSNQNTLDLTDQAGFPINVYDIPDALNPKVNRDASVFMNDPRTRAALHAPTSTDWEAQ SDFPFGLSQEDPSPMSINIFNALAVNATARGVGVVLFSGNDDMLIPHRGTEIAIQNTT FGGIQGFTRKPSTPWKNDKGEFGGVVHQERGWTYGLLYASGHLFGQSNPVSAFTFLRE FILGNNPTGLVKSQGGSVTVVGGEVQSLAGVLQGGDNIYYGNGATQSTYVFPAATRAA WKTFIQGNQATPTHISTQAPRTTSRIHPPVLQTRTSRKRRTK JR316_0008145 MARRKRVLDDGDDSDSLDGSDNPDFDFENDPDAREERALFENPY GHKRRRRNGKEDALYGVFADNSEDEDIGRGGKASASKRSDWAKAPAFVSSDKPVTQES LNDAMAVDESEGEGERSATFEEGSDGSDEDGGEATGSEDEGKDEGSSDESEPSRAPSP RVLIEDDNDEEIPRPRMGGIGSSSGIGLSGRQQPQGFSSSRGGIGSQAAKVEEEQDID SPVPGAFDTTMPSAFGKKSQSFTRAFNASPSPVALPAAEMAHFNKIQGSFGARMLAKM GWQAGSGLGASGEGIVIPIESKLRPQKMGIAFKGFKEKTEQSKLEAKRRGEVVSDDED AKTKKFKKKAREQQEKRSDAWKRPKKVKTKIEHKTYEQILAEAGEIAPSSGIGQIIDA TGAVPREVSSLADVSINSWAPSNDPTRIPEVRHNIRVIAEACKEDLDGLAREAKALHE RKKFVAVEDARLRKRVEDEADLISRLQQIQIVANDIQTIAKELSSLYEVSLDPLSPPI QKLINEYSSEYDKYALDDIVVGAIAPVMRRIVTSWNPLEDPSSFLPTFRSWRRALKIN EEPAPETKLDLYGSKTISTRPTELEQQMTPFESLLWNVWLPKVRTSINNDWSPEEPNK AVKLYEAWSTFLPSFIRDNLLDQLILPKVQKSVANWNAKLATVSLQTIVFPWLPHVGL RMEEVVGDARRKIRNVLRQWIVSEPIPTDLVAWKDVFDAGEWDAMILKYVVPKLGATL RNDFRINPRDQKMDSLLRVFAWSSIIRTSVMSQILETEFFPKWLDVLHIWLTQPRVNF NEVAMWYEFWKDTFPEVLRSLQGINRGFTRGLQLMNKAIELGPDAPTKLAKPDFLGEI SIPSTPRRSEKDKGRTTKPSARTHEITFRSIVEDFAAANNLLFIPTGKAHERSRMPLF RVSRTADGRKGLLVYILDDAVWAPKTDGANVEGEEFKAISLEDMILRSV JR316_0008146 MDVCLQITLSTISTKLILYDLRYSISYFWILITSTSQATAQLNQ DFYKSPQCIQALGQILASSPEVSVRQLAAVELRKRVSQNNNHLWLQLSPAERDSIKAS LPQLILSEQNNLVRHSAARVVAAIATVEVAQGTWNELLPWLHSTCVSQNVAHREVGSF ILFTVLESIVEGFQSHLPELFELFASLLADPESIEVRITTVRSLGVIAQYIDAEDKTE LKAFQSLLPSMIQVIGQSVETSNESGARQLFDVLETLLILEVPVLGKHIPELVGFLLQ CGGNRNFDAELRVLALNALNWTVQYKKSKIQTHNLAASILAGLMPITAEEEPEDIDDD APSRSALRIIDGLATNLPPTQVFPALWTEIAKYFTSQDPSHRRGAMLALGVSVEGCSE YITPLMKDVWPIIEAGLVDGDAGVRKATCVAVSCLCEWLEDECASKHAALVPSIMNLI NDPATQKTACTALDALLEILQDNIEQYLELIMERLIGLLETAPLSVKAVVTGAIGSAA HASKGRFLMYFEPTMKYLAHFLTLTNEGEEIELRGITMDAIGTFSEAVGKEVFRPYFP QMMAHAFEGCKMGSARLRECSFLFFGVMARVFGEEFAPYLSQVVPPLLESCKQAEQGE DNLEISVADAASAFASGSSPANAISVSEELDANGNPSVEIEDLDLDKMMDVNSAIAVE KEIAADTIGTLFAATQLHFLPYVESCTLELIALLPHYYEGIRKSATDSLLEIVRTFYD LSEHDEWIPGANTGHLNNQVSELIKHALVPLLEMYETEDNKSVAASLCIGLAETINKI GPGFVQGHYETICGIAKQILEQKAFCQQDPDQDDEEEAPEDQAEYDSVLISSAGDLVS ALANAVGPDFTQAFGTFFPLVSKYYKKTRSLSDRSAAIGCLAEIISGMKGAISPYTSQ LFELFARALSDHENEVLSNAAFAIGLLVEYSEVDLSPQYYQILGALRPLFNVTPDSPA AKLNAKDNATGAVARLILRNTNALPLDQVLPTFVDALPLKNDYLENRPVFRALFHLFK SYGSVVYPYMDRLLAVFAHVLAPGEDQIGDEVRKELIHLIALINQEEPSKVLAAGLNQ YLV JR316_0008147 MTLALFPFLSFLTATVVFATNPSVTVVQSLDKQLVQVARVGQPY SWTFSEYTFNSSDGPLTFTTSLLPSWLGFDNLTRTFEGTPSASDEGYPDITVTAHAST SSTSSRFTICVTHYAPPVLNLPLSEQFVPTSPSLSSVFFLRSNSALITENPALRVPRK WSFSIGLQSNTFQSDRNIFYELRLANDSSIPMFLNFNSRTVTLDGVTPSAEIITEPVL LPLALHASDQEGYTAIAVPFDLIIADHELSRTTDSLPTINVTTETSFVISLLSAVDFM GILVDGDPIQPSNISRLDIDVSNHSSWLRYDVPSRTLSGKPPTNITGSPTLPVILETP FNQSIKTQVSLALVESYFVMSDLPSLHVSRGDQIIFDLAQWFSPSRANPGYDHTNLSA SFEPTASANWLRFDELTNNLTGTVPETYESTSDHITITFTAYSHETRSTSHAILTVYV TNTSNTKSLAPSHPNGLSTEAHRRLILAIAITFGTLGGMCTLAGIFAILRRCARVEDT AFLDEEGRHAWSEKDRRWYGLTLSPNGTRIVEKSDPIHSSPMTRSPGRRSNRAYSPLG LDLRRVSERSQRHSSSNEELLSPAVMSKKEFLSRIKETVRKVSNKYARKDIPPTIRPV IGKPILVASSRLNDQDHIDSPSNPFDDIEPLSRPGSAFISGSPSASTAEHSIPRRRAD FATPRNPAQVHFNDGLLVRQVSTGSMGANSFVSGRSGLSANSYAEVSMGPPTRPRLVP FTNSTRVPVPHVVMPTGQGAGFASNRITSQRANVIVEGVKPSGSSDGLSMGIHYVRSL GADQLAVNSSHISGSSPVLSNVRSSFASLESSHVGHKSAVDMQEMRVLVRVGERFKFR VAIPGKHTSGFYVKLTSGQPLPKFIHADLNGISNKGALEFTGVATFHEIGERVVGIYA EKDGVCVASVVIEVVGKR JR316_0008148 MDAPTSSSTDTASAPRDSAPTGAAPKAIIKNVDMSEEMQQESVD IASAALEKYNIEKDIAAQIKKEFDRRHGPTWHVVVGKNFGSYVTHETKHFIYFYVGTL AILIWKS JR316_0008149 MADIQNPFALHAKKTRQDPKMIGLWKVGRTLGKGFSGHVRIARH SKTGQYAAIKIIPKNALGSRVSLNRLADEVEHNLLAVEREIVVMKLIDHPNIMKIYDV WETSSSLYLILEYVQGGELFDYLCNKGRRPTAEVVNYFQQIICAVDHCHRYNIAHRDL KLENILIDQDSNIKVADFGMATWQGDSRAKLLRTSCGSPHYAAPEIISGKPYNGSASD IWSCGIILYALLAAKLPFDDDDCPTLLRKISIGYFEMPHDIDRRAQDLISRMLTTDVD KRITMPEIMRHPFFLSYPLKNPKAMPSDPDMDLIAKPIGSLSTIDPDIFANLRTLWHG TPDSRIIERLMSSERNWEKGIYHLLVAYRKKYLANRQQELEEAQSQANSMDHRSMRRR DRAFLASALPPRDAPATSRSDKHPDGFTSSSNESLRCSPFPSISLSAPSPEKKRLRSN NMDLPKLDVPELEDEKMQAFFQQVANHLNVLNEKTSGTEARQGPSTNLFSERLAPVPH IPLNIPTSLLKPEDHLEELGALSRTILQETTRDPKGNTKPLTLRRKNRMPLQPIITID TDGKENVIPITESVIMHGQSPLTLHTGTMEDQHYSENTKTPTNAKPLKLKKRRPSPAP VSPLFSEAGSSFSLPSSVGNAARRSWFDNVFKFKPATYSLLSRYDVHTTQSECRRLLM DMNMLVSLEDSDKLGVLKCRSHDVKDANNIMLGLKSVKFRVEMQWPTPQLCHEGYLVS LLLVQEKGSLEAFKAIYHELVETWTLGYSDRSPRLGYRTPSPTNAVGGSLNHR JR316_0008150 MQPTPAPTSAPNSPGPPEGTHDTPLVEEQTYTAPPQNVDSAPFG VLVALFERLQNERKPERRRKLLLTWFTHWRNEKGFDLYPVLRLLLPQKDRERSVYGLK EKNLGKTYIKMIPLGQRDPDAQRLLNWKKPTDRDKAAGDFSTVLYEVVGKRSSVIEGS LSIDDLNHLLDQLSSNSGKQDVQSKILQRVYNRATPEEQRWIVRIILKDMTISVKETT IFSVFHPDAQDLYNTCSDLKKVAYDLWDPSHRLKNEDKTVQLFQAFAPMLCKRPTNTI EETVRLMGGVEFYIEEKLDGERMQLHKRANEYFYCSRKGKDYTYLYGKDIGQGSLTPY IRQAFDGKAVTEIVLDGEMLVWDPVSERNLPFGTLKTAALDKSKHEFNPRPCFKVFDI LYLNGNSLLSLKVQHRKEILRKYVKEVKGRIEFAVQWKGTDAKDIRERMDQIMESRGE GLVIKNSLSRYELNGRTNGWIKVKPEYMDNMGETVDVVVVGGNYGTGTRRGGAVSTLI CAVFDDRRSYDNDEDPKYSTFVRIGTGITYADYLWIRALPWKEWKKGTTPDFLQTSKK GTDDKGDLYLHPDDSFILKVKGAEIIPSGKYQYQLGYTMRFPRAVAFRSDLTIMDCMP ASAILESLRNDKKRKMSSDISSSNKKRKITTSKPAILERYKGVKAKDVHVESKLLEGL TFVVLSDSKSKTANEDRKFIETQIYANGGICAQISGPGKIVIYGGSTTPYNIKQIINK GRDIIKPSWILDSISKGERMPLRKRYFFHATEESIASEEYDAEDDEQDQEAAGSGIAS RSPSVDNRQGEPASSDIHGDKPVSPKVEEMEIDPELAEWFKVDQQTSGYSEDKDIQDN DSVTEDDDSDNADVAGDEEADLDDWLQVKVQEAKPPIEENAATQYEDDDDVKMGESDK AMEYDQDLIFKHLCFYMDSPDNAIKNGLTVKPSKHEADMNVKLSQIAELVIAHGGKVV TDLDNPKLTHVVVDQRDVRRRIELIKRTSKPKMRNLVISDYIQACLDEGTLLDNAGCL SSFIYIMGDLQVSPISQLLHTLGITREDLNKRSDQMRQFLTADDAMSSRVPERDNAYR PRSGSDLHSSSRSVGSSRSFARSLSRASSSSVRDGTPPATPVKSEPREGEIPHRRMDS MEMVLERQRRQRKSRREKERESSKAVPHPPSPSPSIASHSGHNLDSYMQSRDDVQPPS SSSSSRSNPPSVTNDPPLPITPQKSKYYRDHTNLDSESARKDTALKTETPTPTRASAP PPPQSLPQPQYYAYPGYMGYPHFMPMAYRPATATSSFPITPQAQRTLPARQTATSPLP PSSPPPASSPMSSPARRINLVSSPGPMGPAPEENEYDNLPYKLPPGPYSPSKPDLSYA ALVGRAILSSPEHRLTLQEIYDWITIVYPHYKRGETTWMNSIRHVLSTTVCFRKVPRD RSVGRTLWAIYDEDIECFKDGGFKKHLCKDYVNGNDGKEKQSGSSKGKARARKRVDDE EAIEGRKTKKLKKEQCAITGNISTFDSHVAGPSFMGSSTLSSRPLFPPTRPTAHHQPY YQSCVPQTQGFPTEVIFPPLPAAAAFNRVVNNLNTSHNNNVSSSTTLTTIKDSSAVEA SPPPSSSSPILSASSISSSSLSSSIPELTPNLSSSSPPSSLPATSDIDIDCPESRPMS VKPDVLHDIGNTALAISDIFTDEGEADTSTPAECTEDDIFNTALLGPVTTWGQSPKVP GLLQPGIELLESNTDSDEDSDISIRDRKGKKKQGTRNYKGSVFPPMPSSPSLNRGKHA SANSENAGRPSTPASLPALPSTPPRSSILDHQISSTRTPLSHKGLHMSPSASLAHYKS NLDPPPVYNGSIPALDNPQADEEDLMRTPRKRGTNASAPTSFGPPVTPRKLIFSANLE DSPFRTPSNNLNMSPFRTPGSRSIFDPHDPRTLLDEELSGMHYNYDSSPASGLFGRGR GSLLYDSPGFESPAKWW JR316_0008151 MSPPGSPRLVDSYTVPKDILQEFHDLAEEEDVDPFAETASKRQI AARQSDYHNRRFKRVAVDSADAFKEGGEGKEDEGGYKEAMRLTRLEQEELRVKRAIEE KQRKAREEDQMKMDLDKTPPREEIEAAAKELAAMNKDKEAGSKRKRRWDVEEPADENV DPNKKDSGEWSKEALEASAPKKRRSRWDATPADTVGETPKRSRWDQTPAPAPEAPMMP IIMNAPGIMQDDKHNRYLTDEELDAVLPASGYAIVPPPPGYAPMVAPRKFMATPITEV GGFQIQESSDAAAAAAAAGLAPELPTEIPGVGNLAFFKPEDAQYFAKILKEEDETELT VDEMKERKIMRLLLKIKNGTPPVRKTALRQITDKAREFGAGPLFDKILPLLMERTLED QERHLLVKVIDRVLYKLDDLVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAA GLAHMISTMRPDIDHADEYVRNTTARAFSVVASALGIPSLLPFLKAVCRSKKSWQARH TGIRIVQQIAIMMGCAVLPHLRNLVDCIAHGLSDEQQKVRTMTALGLAALAEAAAPYG IESFDNVLKPLWLGIRLHRGKGLAAFLKAIGFIIPLMDPEYASYYTKEVTVILIREFQ TSDEEMKKIVLKVVKQCAATEGVTPQYIKQDILPDFFKSFWVRRMALDRRNYRQVVET TVELAQKAGVSEIVGRIVNELKDEAEPYRKMVMETITKVVATLGASDIDERLEVRLVD GIIYSFQEQTTEDQVMLDGFGTVVNALGIRVKPYLTQIVSTILWRLNNKSAKVRQQAA DLTTRLAVVIKQCGEDQLLSKLGLVLFEQLGEEYPDTLGSIIAAEGAIANVVGMTQMN PPVKDLLPRMTPILRNRHEKVQEASINLIGRIADRGAEFVPAREWMRICFELLDLLKA HKKGIRRAAVNSFGYIAKSLGPQDVLSVLLTNLRVQERQSRVCSTVAIAIVAETCGPF TCIPAILNEYRTAELNVRTGCLKALSFVFEYVGPQSAYYCDSVVTMLEDALTDRDLVH RQTASTIVKHLALGVAGLGCEDSMLHLMNLVWPNCFETSPHVIGAVMEAIEAMRVTLG PGVLLSYVLQGLFHPARKVREVYWRIYNALYLGAEDAMVPFYPDLGELTEGQNVYDRH PLQVFI JR316_0008152 MFPPCHSCVTPILRLGARALQKSSYSRKGGPIFMVYNNLKALIK GIRSCKTVADERALIQQESAAIRASFREEDSFQRHNNIAKLLYIHMLGSPAHFGQIEC LKLVASPRFSDKRLGYLGIMLLLDENQEVLTLVTNSLKNDMNHSNMYAVGLALCTFAN IASEEMSRDLANEIEKLLGSSNTYIRKKAALCALRVVKKVPDIADHFTSKAKNLLTDR NHGVLLTAITLVTEMCQIDNAILDEFRTAVPLLVRNLKSLVTTGYSPEHDVSGITDPF LQVKILRLLRMLGKGDEQASETMNDILAQVATNTDSSKNVGNSILYETVLTVLEIEAD TGLRVMAINILGKFLSNKDNNIRYVALNTLNKVVSMDTNAVQRHRNIILDCLRDGDIS IRRRALELSYALINEQNVRILIRELLAFLEVADDEFKLGLTTQISLAAERFAPNKRWH IDTVLRVLKLAGNFVREEILSAFIRLVAHTPELQSYTASKLYLALKSDISQESLTLAA TWILGEYSEIILQGGIVDEDQTITITDKDLVDLVLSILDSPYANYLVRQFVLAAVTKI AARSTTSVPEQDRIAEVLAKYTTSPELELQQRAVEFASLFSLGDTRIGVLEQMPPPEI KATVMGVVSEKKPVGSTKGQDADLLGDEIISTPGAPVNQPAASVQSNQDLLAEIFGSS SVTSDSVSPPVPQQKSSVNDILGLFGNSGPASPAPQQPYSATPSFSTPAPSYTPNQAA SPPPQAAAAAAAQRYPSYPAYDKNGLKITLTPQTSPTKPGVVMILARFQVSGGEPATG LSFQAAVPKSQQLQMLPMSNSNINLGATETQQMRVLAPVGSAVRLRLRIAYSIAGQNF QEQVDFSGFPPGLTGTPS JR316_0008153 MSSSKFKPLSTNDADLRLARFLSKHDDPLNRGFITRLDHSPIAM KRLAFFRALLLNTFILIALTILSFIMISQFLLSPPSTPTQTLMCITHDFIVASAIVVL IRSTLLPFFCGECRLRVAYGFRPSEIVIRRAPLLGKEYNRHTIARAVNPALLYSNVSA MLSSDYWTLEYTIILDALNRISKGEFPEDVLEFSVWKQREQTWHVWELWKMHDLISDE QEIAIFKVHELIPSCRMALVTNRVPQSLLIDSGKEELLADWQGAIADEKALDRSSTVR SYQTVVDKFAREGLDYESVWDQVSEKRKFA JR316_0008154 MSSSVCKFNLSGSCRNGKLCSFSHQRTVPRQNAAKKVACRYFPK GTCAYGDQCSRAHVLPESDADQTKRGGKPVRASNRGNDGDAPANTGSTNYNRVVDALN SQSEYLDDATSNHERQDDDESNVNPNEESHPQPLSSVPPSRDTFNSSDEEKPQCVFFL QGVCESGDTCVFLHSVPGKSHTDVVHGEWSSFRPIRPQYSRTILGPNKSESTRAVCQY FQRGFCRLKDKCKNIHESPNKASKGSNQPSESDSSPASGIQSPVFGNKVLQAYSSASD TQMQTPTGHGDYIHQWEDDQEKGSQFDEEEEEWEGEQDAEEVLKDPPQIYHRAEIPLD SNGTIMNQNEDVSGQWKGSFSNTGRSSPDISQGLEQKSEQEPVQSGDSRKRKRPGSYY GEGWSEEEVGSPRWPIPTATSQIPEENIPIPTQPVYPHISEVKPHWSQFADPYADPKV PFCKFHAQGQCTQGFTCKFRHSLTPHEYTTLFHDQQPNLWTLKRNSLNDNVAPTTIAR TTHAYELSTSFVQPPFGAPSLTPRPSASTSRQECLFYPRGKCQNGENCPFKHIGPPPP TIPAPKSEPDDSKPYKSSINYNSKVCKYFANGRCKMGSDCKFVHEEVDSNHEKESEEL DQRESATKQADADNGWGRPWDETPNDADWNDNPIEGIKWDAPPDLSGWDITSTSDNKL EKQTCNQFTKYGTCRFQDSCKFAHDVEPNESTPTIEEKKTPVETLQHSDNDEGYSPPG TRTDSPVSLCPYFFKGRCKNEEICEFSHGNPQPHLNQARLNQTVSERSITPGQSNDHE EVENGEEEEQEEQQKEEEEEELQDNERQADVEQEQLVVAEEISNDAPMQVAKVQSKIL KPCRYFIQGHCKDGLNCRFAHDNASGDIPDEIKPNDNDIIEESGETAHLEASAVGAGG TNEQFTVDSGRIDQDEFPDQALEPIFFDHPLVTRLLLSCEVTVGPDSAPSQITTMSDS TKIILSDLPDSANAQGIREFCSTFGQVNNAVNINTTSITPVFQVEFAEISHAIRAAKS IGKHLYHGKKIIATLKARVPLTITDANDQLVLKVSWPNPSQSAWSHYPTITKAKQEAA RLNNITFRGRTIKATFVTPHRSQKDLFAIKIDRLPVDVTKAELEQICTNTTLVTLNQP TYTEDSSNAIRSALDECGGLETFDPLAGNSERAIAVAFAIFQSDSMAKAAMKSLDSQE QNYLGNQSVTLRPVYYSRYRVLRDQLEAIQNELYQIQAESDRRCSVQIDDHHDTTLAW IRMYASPEVGPRFHAELKDLVSGTILKAENGCDLWDDYFELSSSSKALKQINNAFIHR DLRTKQLKAYGSLSERDEAQKLILKLLSKVHSQRHQITLPRPKLKPLINGSLAILKTE LGFNKVSLDVIKSTLIVRGSSDDVSKAHAILDSLNPNPSSSSKHTSCQICLHTPTPDD SIGMDLYSASHIWHQITPAKDALRMFHTP JR316_0008155 MLDEHQRLPEELVMAIIEEAWHSPMSVPERIHSMTSFPLVSTLW FRVYTLISFRDIHVPSLRFLEHYKELVLKANQDRDWAYKRYGYLPSGICKSIVVEPQA ESGLVFDAVARMVKHDHDCLHTLVHVNDEFHAGDIFDTWTYRNVPPQITFLELRFMGR ANMQSNKNLSTLLSRGRHNVAWAIPNLRCLTIIGGDEEFLRHFLPAIVYRDLAMLVTD FPVKLHDIDFRFGNHKIYTLPPNLKHRQL JR316_0008156 MSNEERLTTLTQKLQIPPNFLRIEHITDTAAISELERWKRDAAS VLQDILHIIKTKQSLPVDTQSDVLFRTLPFASNHILDRDGIIQVSYGDEPWMSPDSRY AADEYKLKPIFKLSPHPNLHAETGRKVTNRPGGPLAVHDYYEEQKWKEYPGVEKIVLW CISGLQENVYETMWHLLIPPVMTLLDDFEARNKLHGVIIVRQMLSNVPKVLLRRTGVD GLLRQSLKTSLSHLQSPETPRLLKLAIETSVSLTLLTTSPSPGGKPSPDRFNELSSLL GEGIITGIWLYAEDKPDAVNATFEALPFLLKELGIGSIRFMKPLIPQLTHTLIPRPLV EPDTTMQLSAIKVLYTLLDVCEPRIEPWKETILNAIGRCWVGLMDQERGAPNTEVKKG LQMLCMKMAEICPSVTQNEFQKLLQADKELFEDLLPRHGRVAT JR316_0008157 MDIQAEVTDPQVAADLKKKRIFRTFSYRGVELDKLLDMSNEDFV ELVHARARRRFQRGLKRRPMGLIKKLRKAKKEAPPNEKPAVVKTHLRDMIIVPEMIGS VVGIYNGKVFNSVEIKPEMTGHYLAEFSCSYRPVKHGRPGIGATHSSRFPNSPYLHTN MMSEKDVLPSTALPHGNLTPATEKKKGWISKKLCIILGLYCTLRLLHRFDFAWESGHR SSTPRCPQADVVIPDKNIDLWNQLNEKIGGASFKQDAINWLGGAVRVPTESFDNMDPV GVDPRWNAFAPFHDYLLQAFPQVHATLKLTKVNTYGLYYEWKGSDPSLKPLLLAAHQD VVPVDPTTVDQWTHPPYSGYFDGERLWGRGSTDDKSGLIGILSSIETLLKANFQPTRT VVLSFGFDEEASGFQGAGNLGPYLEKIYGKDGFAMVVDEGSGFTEQFGSVIATPGIAE KGFLNVLVEVTAPGGHSSIPPAHTSIGILSALLVHFEQNPYKVEITRREPVYDTLQCL AEHAKEVPSDLRNIIKKSVTCDKALDRLQSFIRKDLVLSSLISTTQAIDIIQGGVKSN ALPERAWAIVNRRIAVVSSVDAVEKHDTELLKDLAQKFNLTYTAFGSSVSEAGAPAKG SLTLSDAYNGSLEPAPITPTDENAAPYQLLTGTIKATYNAHRSFQAVDPIIVAPSMMS GNTDTRFYWNLSKHIFRYNHHNAGNSSGLGGVHTVNESASIDSFLEIIRFFTTLILNA DESTSI JR316_0008158 MRNIAAYLLLQAGGNENPTAADIKKLLAVVGIETDEARLDTLIS ELKGKSTAELIAAGSAKLASVPSGGGGGAAVAAAPAAGGAAPAAEEKKEEKAEEKEES DDDMGFGLFD JR316_0008159 MQNADSNAAFMKGPKRKRLAKCAYTDASGRPVPAPRLFKPEGQD PQPSSSTSDSRLYQQSQFASSSSSQLRFYPNPPLHSLPYQSGVDEEDSKLSRKRFRNE RGDPMPADDLVIEGPISHIVSVDHPDIELDPALKRELTNLFFAHCHPARAIIHKPTFS TALSLNRVPSHLLLAVCALAAPLSKQPTIRTSPSRFAGRPFANEALSKMFDAQGRLIV QRDLAAAQALCILQLHEILTNERNTLWDSRFHDLALQIVEGLGVHSPEHPKLTPVPSP EYVEASIEHESTRRIFWLIHVLDLLASIFFKKPTTFADGELRLRLPVDETNFELGAYS TLPEYLYLPAVRTQYASEFGHLIRILSIYAKIECALDEGNDPATAANSDAALKEAQLK MEARQRTMRGPKTEPSWALQKIELILKMLGDRAKNMGSALWSLIKYCKRDDAIVRKWA AEYEEEVGTKIFELVQDWRTQPSPPHQHQYPSHPHPHPQSSQHVQKYQQQPHVQQNPY TQQHLHRRISDARHPGSNSQVVLKTTNSNVSRPPHHQHSSDSSSYGNDVSSTQIIKRS SSHSPPLSYSLGRQSNAGGHMNRSSTVSTQYSSAGFSESLNNSSNTDRDVRGQSSANS SGLILLHSPVSGPNSNEPSVPKQGIPEKYHGREVNVNHARWSHSNGETVGSADVGKQS AYSGGTKSSGDPGGPPLPSSGTIAGGMVNSSAESGQRSFSSLGDGNQSLPSLKASGLL DSWGSSRTSEPHSQSSSGSSQKPHSITSPRRTPPGSSTLSGLITSSHASLHSDADLRP HTKLAMPVGLQWLANESR JR316_0008160 MGQVFPLVAGFEITLGESDHRMAAQQSQSIQTLLEAEKEAAKIV QQARQYRVQKLKDARAEATKEIEEYKKAKEQEFKAYEASHAGTTSTTQAALDKDTEVK LKEIEAVFNKKKDEVVNTLLTRATLVQPELHRNLKKLNA JR316_0008161 MASTSNDPLQTLQAALSAPANSQEQANLLATLRESLEANPAPIP ILVQTLINVVVNSGDSLLKRWILDLLHFAICRSSLSLDQRTQMAVKALDTLAQLLDDP QPAILKVTIQCLASVYPLVFRHLCTNRTNPAPWNILSSCKSRIIDFAFNPNVNAGVKL SAIKFVQRVILVQVRGISDPRLQNKNDPNISFCPVDHPFIIVGKLEAEGQKLLETLAT LLFSSHDVDLLSALLNSWANLIKQRPATLPLVVTTLRSWTPAALVGLSASSVRSVEKA VRILLTHISRLPNSAPFVPQINEAISQQTIRMEKAAAEEKKRRALAAESRKRPSSNVN EPLENKRIKLESEAANSSSAALLAAFDFTSLPAPLITNLIVANLEAFTEPQLIAMVDA YRQSRGLTVTSTHSIASDKYSVSEPNASAPSKPIVGHEGAVPSARKSGVSVEKSQTPL VEPSEPVIKDEPVDPLKMDIDEEELEYEPEKLNEALSGAPEIGNDFVDTGIAPTVTDF QLVDFKLPPPRELTNSDRTKLVNASISRVWDGAEELNEIGDGLSPESIQAGGNSPSEM WMLLLVRMITRVAEPPSDLDGDTAMGDTSSSLVENDFYVRQDQLRQTLCDYIMTDFPA RVRLATTWMNEEWYNDQIRSMKNRSWRPNYDTWLNQIVVSYQTMLDGKDKTFARFLLD LPLVPNDVLDLLRDLCTDSSSPDRMQVGFTTLRGLVNQRPSLRMEALNVLLELTTHPE KRIRGAAINTVKLWVPNFPPMEGMVREFALQMLRKLQLRSENPSQPQNDVIMKNSDQS VKDNFDNDVFSSNEPLEEGQDGQSSLEDLVHTPYLPERIELPAQKSQVLQHVELLFAL CVKVPEFLDRIFEAYVNMDQTVQEAIQDLITALIRSLGSNHGKLLTLMRQFPVGAESL ALRIMTIFTEHGRPSSQLVALVKALINERDLDVRFLIPIIAEMDKADIMRYLPRIVSS LNGQQEPKNLVRSVFSSIVTTPPQSFGSVSSNLPRVRQSELLTPAELMVLLHESEKEH GLKRKSAIEAIGICFSMTDVFRSEVLAVVMQQIMDEPVLPVLFMRTVIQAVTTYKSLV GFVSTTLFSRLITKKIWTNPPLWEGFIHCAKVIAPASFGALLQLPKDQLRELVDKQPS LKSGLRDYVTKKAPNKARGAGFLDIFGEPDESTPLPPTPQPPEISAAES JR316_0008162 MVAVPDPKSIPSNAPEHCPGTESEQAGKSDACAGCDNKEICASG ATKLPDPSLPFIKERMASVKRKILVLSGKGGVGKSTFTAQLGWAFAADEDTQTGIMDV DICGPSIPTIFGIANEQVHSSSSGWSPVYVQDNMAVMSVGFMLPTSRDAVMWRGPKKN GLISQFLKDVDWGDLDYLLIDTPPGTSDEHLSIVQYLKESGIDGAVLITTPQEVALQD VRREIDFCRKVGIRILGLVENMSGFVCPKCKNESQIFKPSTGGGKNLAKETNIEFLGA VPLDPRIGMSADYGVSFLDEYPDSPATTAYLDIIDKIKNILGD JR316_0008163 MSKDREQGRANSQWSPYHNSQLNTHIDIPSSPSFPLSAPPTASP SIPDRPALPTRSSTLGPPDLKTNPNSLPKPRSEDLNHNHLSSSPSPSSPRPSPPFLTS PSATSRPGTPSKPPSSSGHSDNSYNPPTHSESHSEASTSNSNPSRPPPSTTSTSTSLS STTCSACAKPIQGPFVRALGAVFHLNCFRCMDCGEVVASKFFPIEGQGGRQSPLCERD YFRRLNLICAKCGMALRGSYITACNKKYHVEHFTCSLCSTLFGPQDSYYEHDGDVYCH FHYSTRFATKCAGCNSAILKQFVEINRNMRDECWHPECYMINKFWNVKVSTRRPTSSI SVDGDHNEPAYVEEEQRETPSSLKDKQVRMEQQVYRIWTVLSAFEESSAACISDMLRQ VSNGAYLDAIRMAEKFILHVEVLFGTIDDLEFHFASLNVKGMSHVREARMLCRKTVEL FTLLSHTQETGARRMGMTQELLALVTGLAHYLKILIRIALTGALKLEREQSVREAMGS FLDKLHLLAVQGGNPAAKRMIKGQNGEMIASNPNANFGTQGVTYGFRSLAPENAGESP FSQREGGSKSSSNPPSDLCVRCNLTVEEDCVRLGTYQRWHSHCLQCAQCGKVAAVPVS KEQPSKSGDEKDVSKDGSSRDQPPKLSTARRPPANVGAFVYDPESRKDTSSFGEVPTL ILCTEHAHPGCRGGFQAVQRLEQYAFLLNVALRRLYLLLKKQGVVPMSPISSSGDPGS ELYRNSGDIMRMKSVHLDRKLSATARLPKRSTIVESPAGRTVHPYDLPQRQHEPGAQG TLHQNNVNVHQSQQGQQGQFQGHQHPHHQYSPPSQHNTPRANMGKLPVEPQGQGLRPS LTRNNTEVMIVDESAPNSPAGGEESTIPKRDEGLTLADIPQLMEVAQAREQQRSLPRE SSTPYIAELSALELAIVKHCAVLALTRSPLKDQFDLDEILEMVETKKSGFWNKLFKGD KKNVKKKGVFGIPLELLVEREGSDSLLGATRATLRVPSFIDDVISAMRQMDMSVEGIF RKSGNIRRLRDLTDAIDRDPSSVDLSQDNPVQVAALLKKFLRELPDPLMTFKLHRLFV SSQSLPSEEDRNRYLHMISLLLPKSHRDTMEVLFVFLKWVASFAHMDAETGSKMDLGN LATVICPSILYARGRDALRDETFSGLRVVTSLLEHQDEYFTVPEEFLPILNDQEYFAN SLDLPSKEFLKKVDTYMRLKGSNGRPMPGTPYLNQPNGAQPRYPPINSPVSERPPQGM TFGTPSNSERNVQRAQQQQQQGNEQYGPPSTSPSTPQGVQNQGMVQNIPRNPQMDDWP PPPVSRANISSSPSRPSSVVAPQSRLPPADQSQSQTQLYSPAMNGYPSSASTRQRS JR316_0008164 MGYWGRGPSRLLWFAIGAASATWWVRRRECSNRSFGPCIRQPIQ SPIGNTDTTQPSWPQSVTDIPRAINNIPQPAPVQHTTYSPSTIGEWEKRQDAQWELEK EHLAKISRQATDAMAELTEATLESVLATAESLKAKLAEHRAQREKQQKQIEEEMERQR RDPPRLV JR316_0008165 MSSIAKDDYEQDNKLGAEGDQDSVEPTRDTPRAATVRPELVYTS PKAFARSFAARWRSVWTRPFVLSLLAGQLVSLCITCTNVTTTELVSRGWTLSTTQGIF FWAIPVCLFVSWVYMRTKYHWTQLLGVFICIGGLGLLVASDEITQKGWEAVSRAKGDG FMIAGATLYGITNASEEFLVRKRPLFEVVGQLGMWGFVINGIQSSALEWRGMKEVPWT GDIIGLLMAFTAAMLILYTVAPMLYRMASSAYFNLSLLSSDFYGLLRLQHYRPYWLYF ISFAIIICGLITYFWHSTPEEQGILDPKAPSYIDRKRMGFSNVDAEQGIREN JR316_0008166 MISTKRSAEVGTPQPAKRLKPSDDDIELANEDDVIPPSPPDTSL VPKSATINFSALTRKLALNKRSTDDDTPVSGLSSFEVHRRQQDKLISHIFMDQDFSWL HLKLDHQSRPLWISPEDGHIILEAFSPIAEQAQDFLTAISEPVSRPAMIHEYKLTSYS LYAAVSVGLQTDDIIEVLNRLSKVRVPVPDSIIAFIRSCTLSYGKVKLVLKHNKYFVE SNHPETLQLLLKDRVIREARIVTAQTDNSIKAATFTTSKAPTRGNLVIPGTEKKKDEA GDGTPGQSKGNPSDADLFTSVVGVDADEIEEDDDSVHAFEIDDKKIDEIKKRCNELEY PMLEEYDFRNDTLNANLDIDLKPATVIRPYQETSLSKMFGNGRARSGIIVLPCGAGKT LVGITAACTIKKSCLVLCTSSVSVMQWKQQFMQWSNVTDRQIAVFTADQKEKFSGESG IVVSTYSMVANTHNRSHSAQKMMDFLTSREWGFILLDEVHVVPAVMFRRVVTTIKAHS KLGLTATLVREDDKIADLNYMIGPKLYEANWMDLAAKGHIANVQCAEVWCPMTPEFYH EYLKEQSRKRMLLYCMNPNKFQSCQFLIKYHEDRGDKIIVFSDNVFALEAYARHLKKL FIHGGVGQLERMAILSKFQHDPNVNTIFLSKVGDTSIDLPEATCLIQISSHFGSRRQE AQRLGRILRAKRRNDEGFNAFFYSLVSKDTQEMFYSTKRQQFLIDQGYAFKVITHLDG MENLPDLVYRTRDEQIELLQSVLIANDNEAELGSDIRHVDGDLAGTVTSKDFGGPTKF PPTQRTTGSLSALSGGHHMSYIEQNKSANKGISRAGASTAAPRHKLFAKRDKDKASAR KEARMAG JR316_0008167 MPPKETPPTIGTPVPTDLTISAQLAKIGEGAPATQLIRVLLQQI AADVSTFVRDVHSSSQVYLRARVVYDYIQGLIKKVDSSADIEWDAFDIYTAAIPILER ILFDFYSQHQKASRDHLPPTSGVETAFIFIEAWDYDRKMLEKAFNDLANDHFLQMSAE VKTHLEASRVVPRNTDDINALRALNIFFQNNAKVLQERDIVQERGGRLLQETRKVITQ IILKVSKSPPAPETSRISIMTLMIAYIPFALLVDDAVEAEWKDYLRSAVVWDAVQKLL VNVSKHLDGIPQAPTLNDLEKEWETFKDILLRLSTTAIDTKVEILDLLRLAARIRRPL HGRSVELIRMLYYLDGYSQKDQKAGRHRRNFKLVLGDTISSLEAAEKAVSDVRQIAIG DDVYKNQHQELQNVLKKVEETFSTFGIADQWSTKETAYNNAVNVDESHLNLLKKRIAV VSASA JR316_0008168 MWPFSASNTAVINEKRQQRSRALADVPQDALPAQSEYLKASASE IVSRIQKGDWTATEVLEAYITRAAYAQSKTNCLTEVMLGLARQRAEDLDNEFAKTKQI RGPLHGVPMSIKDQFDVVGFDSSVGFSQWTNKPATTNADIVRFLLDAGAVLFVKTNVP QTMFAFECSNPVWGRTTNPYNDRFTCGGSSGGEGALLAMDGAAAGIGTDIGGSVRIPA AYCGIYSLKPASGRVSYHGAQGPIPGFDGIITVAGPMGRSVADIKLLSQVLFGAQSDF NSIPPVPFRTVELPPKLKFGYYTSDNYIKASPACKRAVLETVEALRAAGHECVEFELP DGHSKADSVNLTRNPTPVAHVAFNLFAAITSADGYKSMLSHLGPDEKVLSVVEVRNPD SYLPALVRSFAAWILETFVGDKIFAETIRASRAKTVAEYWKLIHQRNECVKKFYEAVW KAHGFDSIIAPVQALPQLPHGGCDNFSLLAAATIIYNVLDMPVGCLPVTRVDASKDKV TEEWTTGPGLGSRFLEGGIYRGKNPLYNPEASHGMPVNIQIVGKRWEEEKVVAIMDVV DRALGRDRGFGPGAWDAHVKKNA JR316_0008169 MAQPIALLSVYDKTDLLDLAQGLLAAGVRLLGSGGTAKKIRDAG IPIEDVSDITKAPEMLGGRVKTLHPAVHGGILARNIESDEKDLKAQGISPISIVVCNL YPFTATISKPNCTLADAVEEIDIGGVTLLRAAAKNHARVSVLSDPADYKEFVEAWTKG QGDVGQNMRSKLALKAFEMTAEYDNAISGYFREQYASAELSAEQLAAPVQRMALRYGA NPHQKPAQAFVTEGKLPFKALCGSPGYINLLDALNSYALVKELQEALGLPAAASFKHV SPAGAAVGIELDETEKKVYGVDDLKEPLTPLASAYARARGADRMSSFGDFVALSAPCD LATAKIISREVSDGIIAPGYSPEALDVLSKKKGGKYCVLEIDPTYVPSEIETKQVFGV HLQQKRNDSKIDSKLFENIVTQNKEVPSGAITDLIVATLALKYTQSNSVAYAYHGSII GIGAGQQSRIHCTRLAGGKADLWWLRHHPRVLALPFKKGVKRAEKANAIDLYVSGEEL EGGEKEHWESLFEGAVEPLSAAEKKEWAAKLDGVSCSSDAFFPFPDNVHRARKSGVKY LAAPSGSVMDAECIKAADEHGMAFAHTTLRLFHH JR316_0008170 MGDEYQRSNGRGEDRYRSTRDDRGGHRIGGDRGDRDYRGGGDRY GDRDRGGRRGGDRDRGDRYGGRGGREDDHGGRPSRHDDDRRRDYRSARDEDYGPPRGG HGRDDGPPRGERRGRGKGRDGMGTPERRSPTPEGAVPLSQRKRKASGWDVHAPGYEQY TAMQAKQTGLFNLPGANRTQIPPILGIAGLPPPMPVQTFGMGIGANPNLSRQSRRLYI GSITPDVNEQNLADFFNSKMIEMSIGTGGPGNPVLAVQCNYEKNYAFVEFRSAEDATA AMAFDGIIFINGPLKIRRPKDYGGMEMASPGVHVPGVVSTNVPDSINKVFVGGLPTYL NEENVMELLKSFGELKAFNLVRENGTGASKGFAFFEYVDAGVTDVAIQSLNGMELGDR YLVVQRASVGAKPGTPGLMPNPNLPYDQFEIPRPIMPAGKDPTTDARILLMLNMVTND DLVDDSDYGDLYEDVKEECANYGEVEDLRIPRPVKKDKSKWGESGITAQDAARLDEAA GVGRVYVKYAKPEDASKALNALAGRSFAGRSIIATLLSEDSQTTPPLHLIFAPQPDAP PPLPTS JR316_0008171 MPTELPYAADAEMSLTYDELEVLRLQYQKELAQDHVTTQTKFNL CWGLVKSPIREHQVEGVKLLQEIYRAEPTRRRECLYYLALGHFKMGNFEEARRFNGLL LEKEPTNLQAQSLAQLISKGAARDGYIGMAIVGGVAAVGTILLAGLIRRARK JR316_0008172 MSVLPSRPPVAPPLSSSLPSGGSGPLTPSSDQIVVLYVIVAMAV VIFGFWNVPVVRNLINPLKLFTIGWHELCHISAAIMSGGRILKITIDPHVGGATIVEG GSPGFVLSSGYIGSTLLGGVFVLAGWDTLVAKVMSFVLGVGLVLPLVLVRDKLPAHWL LVCRSCPGSPVVLPIHWGHEVRTFNSLNHYSYLYILLLLPRPTLRIGDVADDRFFHKS NDSDATQFSLLYPRIGAHTHYLAMAVWATFWIIFQLGFLAGFAILGIFAFKSIVGVEE LE JR316_0008173 MAVLSLPLSFTNSFWSQDYRRGLEVLFAKLEQGVAENDEIIAFV RARAAAENSIANSLRNPSHTGKQGTGFSADDGATLLMAFRGLQAESAAQGQAHLSMAK ELSTLVADPFDEWAQGYKERLRQNKATVIDNWLRSYELAQADVAKLKNQYLAKVRRAD EAEDDAKFAPNSGGPTDKYTSSPRIRPADGGHRTAPQRTASVSERIAQRLKEIQKRSA DVLAQATSTEDPNASASSSPSSESEKQLPKVDKGKGRAILTEEPEELVSPPPMSPMPP PKEELLPSSPMPYIPQPIVVAGISLPPIAISQLLTRAAAELRLRPVRFPLLGEYQDAF TGEEFTVWLQQNVTALGGSLDRAEEMAKELTEREGLLRRLGELGNQFEDSDDAFYQFR PKAFELGHQNPEQSTMAKAQADTLFKKTGTSFVSLVNKALNTNGINGDKEPPYIRARH EADEADKAYRIGVRRLDRHRLALEERIEETLKVLQRWETERLRAVKTVLLQYQGTLAN LPKSLEPSIERSATLIASYQPESDLTALIERYRTGPFRPSPQIYESVAHDESDVVFGI DLRKWAEGGWYALTQGADSPEKKDIIPPVLSALLAALDTAYTRVPSDIEKRKAWIYEV PLPAVHQLRESLNAVPPTQPFSAEFLAKFDAPVIASCVKLWVLELDPPLALYEGWEDF RRLYPTVGSAAPKEGEGEGEQHLQVVSTALLRLPRVHLYVLDAIVKHLRNLVETTKVE EADEIYFTKLALSIGRSVLRPKVETELSIQDRHPTLLFLDLLKNYDALLPPTIARKKR ESERKVPIRKRTAPIDMRLSRSRISMQIGADAQQLLAAQQLAQNPSLAHKSPELPSLP PPPSLTAKVTEAEKSVLTPPPPPPVLEKTRTPPPPPPIVPPPPPPALEKPKTPSPPPA PIVAPPPPPPVLSAPKTSDPPRPNFKEPPPEFDDVPMPSFKEPPPELDDVPPRPTFAD PPPEDSDMSTPATTVSSVTPVAPTPKVPTAKVIPPTPRGKQGSVSGSGASSPSKIASR SPSPPVTPGSATSATATGDDIVLGSGKTSISRTSSAQAGGVMRGPRLARGPRAAPGTG SVGSVQNLVQNLNNRNSTSGAPAGGAANTKVNRLSGSPVRRPSSVVGRSAASFSRRTM ASDAEDDVVDKK JR316_0008174 MHHVHRRLLTTAARLPAVSPASLPAFPPKEALKTAADKDTFVQQ EFNPDAWAALQPAPSTALVAFSHRIGLASLFDSPDTIRQACTHPSFVPLFRQHYPAMD EPKTNARLATLGNSLMGLFAAEHIQAKYPYLPTRVMKAAVTAHVGPMTCEAVAREMGA TPLLRWHRGNAKDDTRTLLHSEALASIPRSITGLLYKQRSLLAAREFVHSYFLTREID IRAMLKFYNPKKALLEMVKKYQREPPKSRLLKETGRFSNSPVFVVGIYSGADKLGEGF GASLSMAEYRAAEDALHRVYLTQRPNELIQLPSSTLVHRQNSIYEPSSAQAKYTAPEL VPSEIIYASSGKSGVSLARS JR316_0008175 MSLSSTSTLKGQLTKSLGPKAPMYFDALSSFVCGKTSRTEFEES AKQLLTSANLLQLHNALVISLFDATATLKRPPTPPPPALPKPPPTKRRRTLLPYQGPG VPEDSRTIRSARMRKFALSIGKRERERVKALQHAPPPVDPPRPRRELDEIASERGVEL LPERGEPAGTRVAIHLHASTRAPTLQHVVDRMKLICAQNNLNDPSRTVSSLMTLACEA KLKQLITHALTLTSTSHAINSIAPSTSTSSQTISGLVHHHFPQKPPVLTADSFHTLFT ISPADLPNKSAAAMRFAVSPSSIDDDEDQRAALLKESQVRDPKWQLMALLAERSAVRD VLKSPSKSHR JR316_0008176 MSSLPLRPVTSKPRGVCRYYTTPRGCFAQDKCKFLHAVPPSPTE LKPDDQPLLSPYDKAKRCRYYAQGFCKKGDACWFLHTIDGPVAGSSSAVESDEEESCS ICFEKPTTYGLLGGCSHIFCMTCIKQWRDPLNKPGGVVDSGNTKKCPMCRTPSKFITP SSRFWRDGTPEKAAVTQAYKDSMARVPCRYFQKSIQKNKAKPICPYGKDCFYQHLNED GTPYVFKDGVDVCMRKYTMSQRRALPFESHFMPFSLDLSRLDLVIPGHGLHFLPQSTV DSVTSARNRLRDVGRSLEIINQAIGDSANGQSGLSSAVEALRAEFGHVEGAADVRRRA DEDEGLSTTESLMQQLEYLVVASLNSRQMLLDADDVQVINFGRDTPPPPLQAVVDDSD EEEEEEGPSTTPGDLWPDIFGHESDGDSMPALYSVSSTSDEDEDEGSAGYSGEVSDDE EPPPLEDVPVPEASLGEATFVTDGRGRVVWSGEDKSEPREETAREEEQGGSSSNSGNS SSSNSSSSGTGLSLLNWIQGLF JR316_0008177 MAKGRKHAYGRPKPSLTIPRQKSVSRSPSASPSPTSASPLTPRT PADEGIEYFQSHPRPGDAPVPVYELCLDPDGGPSNALSYIRLPPAYTPYILRVSIQAG TPAAKNGVFKTNFPLDGGAFARDRYAKRKLPQEFSKPIKVDLPISHAGAFIYWVEYDG DDGKRVKGREGYFNIDPVLTIKARTPVLDKASLSVLPPGQGAVLQDKTVHLPLNGLSI LTVVSKWMGPIDEWKKSFAEAKDRGYTMLHYTPLQERGESDSPYSIRNQHKYDASLFG KALSEEEGRKRVEEILKVAREDYGLLSLTDVVLNHTANDSPWLAEHPEAGFSPANTPH LAPALELDTAILDFSGSLEQKGIPTTISSEGDINAILGEFEKTVKDLNLWQYYVLDVV REKASVTAALSSGKVEAWSGPDIKGKNVAELAEIFKGANKIKGLGAFASRFGVGVDGA TAAGFTQAAFADIKDTGALADAWGKIVDVINVPLYREWEEDTKIAIDHVRNRVKYTRL DEHGPKLGRITRENPLVEPYFTRLSPKPNADPLVYSLANNGWIWNADPLQNFALLPSK AYLRREVIVWGDCVKLRYGSQPSDNPWLWSHMTSYVTSLAQTFDGFRIDNCHSTPLEV GTRMLDAARVARPDLYVCAELFTGSEDMDLVFVRELGINSLIRESGNGWDPKELSRLL YRHGVGKPIGSMDGACMQSKEEIASPTGKGPIRTAVVIPLNGSQPHALLYDLTHDNES PLDKRSAEDALSTGALVAFSYCAVGSVKGFDDLYPKLLNLVKEKRKYELTGVCEKSGI AKVKRVLNELHLEMVLNGYEEGHVHQENDYIVLHRVQPVTQKGYLLVAHTAFSKGWKG RGNIDPIKLRRTKAKFILGASLNVLDGAIPDDPNLLKGLASKLEEMDPVVVPQGLDHE GPYAEIVVPEYFPPGSIMVFETQLQQHDSSLDAFCASGAQEAFSEVDLVDLNVILHRA DVEERDATAGVFGTYDVPGLGKLVYCGLEGDLLLHEETLRIASNQGASFDTLRRLTAA VIDCQRSISHTRDLLASAERQLRYLHDQADRVRDLQDCLATLSRRIDNLAFTTSLSPA ILSGLHARLDDILSSPLFSRSSTPDSLPDLEPSD JR316_0008178 MAFFGLPERDLKSDQHENIAVYDWNDESYDGLGDALQEGGDDLN DETFGSTDPIGKDFDFSNPVLPNPLHDQIQPRQRDQRQEPPRREERVEPEQPRTVQTA ARPAATNSLESIWQDHSLFSTLPRANGSTRIADHPRASPGPAQAHSSRFSPFGNDAPV AIGGVIGQNQQAHQRVRTLQEIEAEMLANAQAEREREREREQEYLLQQEQERILQERF LQQQQQQLLQQQLLQQQQRLREDEARQRQLYQQEQQQAQAQRLFLQQREQLQQQRTPP PRMMPSSQSPRFLEHHRQILLLQQQEQMLQQQRAQELEEQLRLEEVERRLLAMNMERA RGSPYNRRAPGFQGVDPQEVLAAQLLQQAQERQLLFQQQHQQQQQQQQQQQYRRQASR SRSPAVINNNAQFPISLQEESRYQPQNIQLQQRLLSDLAQADFGRDMHGVSPADQEAL RVEAMRKILEAEKMEEKRRRKAAKIAHMSRYNDLMTQSDKDFITRIQVSQLVTQDPYA DDFYAQVYGAIMRSRMGLQSQDERVLKFGSGGGIGLGLSSKGGNRRPSAMQRMEQQVE RIVSNARKREEEKGLYAVHSLQGALGKTSGRSYKAAPRQLLQVDAGAGASPTLSHATA NLHISKSTVHNEGAAKEAAKLGREALGLAAEAQTDDLVKKEPLTHRKVLVILEELYDV VLRVEQLRRDQPSPEDVEASQEWKKEYDELVDKLWDELRVMVPLQTSDPHPFISLLTP TKGKKILPRLTRLLDNQRMLTLLTLLVACFNQLDVVRNAPILDTINDTQERSDVERQT QAFLGSVMQSILPVISVANLRLVTGLLGLLLTRSNIVTVARTRPGLALLTLFLSRVEV IKQTIATSDLADVAEAPTIEESQQWRLMFDHLFQLLAPHLLSLFPSSRIVNPDPANHP VPTDTVDQPVWQFLAALALHGFNEQHHVLVGTLREKILDNVLSVNKGWVHDEEQRQTK LANVNLFLHAVNLDSSQIAM JR316_0008179 MFLNPAFLLLSLITSSCLFAISIVLYAIRPRLDVDFATQVEESV GTFSELAIDLGVYFVPETSSIPPQSLTAILPILPSSLHRTEELIQPFLEPQEHVLELV IVCPDTIASSVRRVLQDTFSTLQSEGHPVISLHPWSDLHGPFAFLKAAAAATTEWVLI MDDTGLSDQLEYDAYVLLHPPDISLPFGPHGKELIASEVLQLSSWGEIVPGKFILPPF VMPSSLSPFSLEYEGPPIDCNTWADFGEYVASLHPDPIGGILFKEPTSTQLSSFFLYP VDMDFLPDQHLVEWEDLSNDTDITPKFLPYPLVNISEASPQPRFVLFLPTLKDVEDMQ SFLCAMQATDQWRIQILVYDDVFPELDQGEWTSGTFEFSHCTIGYEVPSGEIAIALGG SSSTPLLDWLGDLSVPVDVVLCLKEEDVLANYLCSPHKNSLLHLTTIIKIPRSDLNQM EWMSSLSLEEWKNWNIPRVDITVITNDRPASLKRLLDSLQAARYFGDTMDMRINIDQS CDAETLEIAEHIRWPFGRVFLHRRIIHAGLLPAIVESWYPHNNDSYGLLLEDDVELSP LFYAWIKMALLRYRYGVPDNRSPTLFGISLYQQKNLELPLEGRQPFNPRIYLANEGIE HPTIPYLSQVPCSWGAVYFPEHWREFHDYLSIRLSETVMPLEEEVVPKVRSNQWSKSW KRFFIELVFLRGYVMLYPNYEDFVSLSTNHLEVGSHVKERSQEKRDLFDLPLMGLEVS QEPSKLLDMPGHSLPRINMLPILNLTGYLTSSLDIVRRGNLRQSDLVHCNNPFELYNI RSLMCINTL JR316_0008180 MADEIDYEGLPSNAGLGVNMLAGALAGISEHAVMFPIDSIKTRM QVFATSPLAVYSGVGNAFSRIASTEGVRALWRGVSSVILGAGPAHAVHFGTLEAVKEL CGGNEAGNQWLATSLAGASGTIAADAFMNPFDVVKQRMQVHKSEFRSVWVCARSVYRA EGIGAFYVSYPTTLAITIPFNAIQYTVYDHLKKFMNPRNEYSPQSHIIAGGIAGAVAA AVTTPLDVAKTILQTRGASTEADIRNVKGMADAFKVIWARDGLKGFGRGLTPRVLTIM PSSALCWMSYEFFKAAIRSD JR316_0008181 MDKQARLNKRFNDIVSAKTPISPSHGPQFLEAIYTHPNPEDRIA SLVSKKTGVDALKAAIRYDLSLTFMNTHASFLIRYLQHPELKAINNGEFLDGILMYLV DPPTFWMEFRRKFLKCELDEDAVYSFGWLLHRLCTMASEEAASFREGSDMDEIINILL SSHIEKTKSIGSKLKEVLSTSLPIVHGNITSSSGPGGRHNNDFADFRKISILPTGEEM ASTDAPFLRPASVLEELENAPTRVAIHLDNQFRLLREDMIHEMREELQIALGKKQGRH RGTKIQGLRLKDLELGDEKKRMKWSLICVCDHDFPEMKRLKNRKDRKEFLDDKRQFFK HQSLACLLVGTEIIAFPCIYRDEERLAKNPPEIVLQFEDAESTQHALRRLKMGDNVVL IQIDTATFAYEPILKGLQQTITLPLSSEILLWKVGNCPADITEQIPSIVQILRNDPSV NLKSLLKTPKDIKLDDSQARSLISGLTQKISLIQGPPGTGKSFIGGLIAKSIHDFTSK TILVVCYTNHALDDILTHLLDIGIPQTSMLRIGGKSTSRTEPLTIQHQQRGPNRTSRL EWTAIDELKTQHAQLRQRVQSSFRRYISQASYTNILDYLEMEYPDYFEAFQIPDQEDG MSFVGAQGKAAQPDYLIEKWAHGDRPDRAFLPFISSSQSRKSIWDLPNATRQAHLKGW REALLQEAIEDFVLNAKEYNRCVDRLSRAYSTNTSTLLRNKRIVGCTTTAAAKYREEI RTFNPDVLLVEEAGEILESHVLTALGPETSQMVLIGDHKQLRPKVNHYLLTVENGEGY DLNRSLFERLILKEYPHVTLEQQHRMRPEISNFIRQMTYPKLIDSPNTQNRPCLRGVQ DNIIFINHDHPEDEISAIGDRNDMASKSSKQNSFEAFMILKIVKYLGQQGYGTENLVI LTPYLGQLHKLRNILKKDNDPILNDLDNSELVKAGLIDPAMAKLSKRSIRLATIEPMT SRCVAGHTLHWECNKGAPVTCSKCEREKRLAKKKQEEELTAQTRRDAEQKAHLAKMDN FNAQIEAERRKAEDARLLQEQANAIKQKQKDLESIKAANANRILPPPLSITAQGARLS REQAITFQQKESNLGSIQTANVNPAVPPLSPNTSIFSKLFSPFAQLAAPMASSESTPP GSDTSKTVQNVANNRLKSTSSGKPFKDPGPSPSQIEWNRQKTVEGAQNDAIDAIMDMI GLEAVKQGVLDIKAKIDVSVRQGSSLKRERFNAVLLGNPGTGKTTVARHYAKFLATVK AIPGETFEETTGARLAQNGVGEATKLVEGVVKAGGGAIFIDEAYQLTSGNNFGGGAVL DFLLAEMENRVGTLVFIFAGYNKEMEKFFEHNPGLKSRVPYEFQFADYEDAELMRMLE DYIKKDFAGRMKVEGGITGLYGRIAIRRLGRRRGRPGFGNARDLQTVLSHIKKRQAVR LTKERQEGKQPDDFLMTREDLIGPDPSTAIKESKAWLKLQQMIGLKSVKESIKSLFSS IEENYQRELLEKGVLDFSLNKVFLGPPGTGKTTVAKLYGSILADIGLLSNGEVILKNP SDFVGSALGQSEANTKAILANTVGKVLIIDEAYMLYGGGGSDDVSSGSNQYKTSVIDT IVAEVQNVPGEDRCVILAGYKDQMLEMFQNVNPGLSRRFKIEEAFNFENFNDDELLKI LELKLKDQELEATPQAKKTAIDRLSRMRNRPNFGNGGEVENIITAAKGRAIARRSKIP LSERPSVITIEAEDIDPDWNRSANAAADLVKIFSDIVGCEDIIQKLKNYQEVVQACLA RDMDPRELIPTNFVFTGPPGTGKTTVARKIGQVFYNMGILGSGDVIECSASDLVGQYV GQTGPKTRKLFEKALGKVLFVDEAYRLSVGHFAQEAIDELVGLLTHPDFKSKLIVILA GYEQDMNQLMSVNTGLSSRFPEQVVFVNMDAEQCLKIVSNELKKKKIQTDGWDVDVSS EANIEIKELIRDMAELQDWGNARDMITISKEMINKALVSLTTAAPGASPMLSGVDAVG VMKIALKDRQRRSKIPPKPRLAQPDLHMQELTATPPPPPTLATRTTANTQKASDRGRG RGRGRGRGRGDGGESRANTSQSQSHQTRQQVQRDPGVNDALWNEMEAMKRKATRIEMD AKNRVKEMEKKAEEAKKEAQRQEQMIADKQLAEAAAKEASERAELQRQREKARLKALA ARAEQAKAEAEARARREAERQRQAKEARAQAKLREMGVCVAGYQWIKMGSGYRCKGGS HFVSNGELGL JR316_0008182 MADQIKYWHVTYNDIHNLIRKVTPQIAKEFNPDLLIAIGGGGFF PARVMRTFLREQTANKTLQIQAIGLSLYEPVEGATAEQLGNEVIRTQWLGADAGKMLI GKNNLIVDEVDDTRKTLHYALSELQKDVEAELLNYPESEREALRSKTKFAIFVVHNKL KPKLAELPADTPYFAGHNVEDLWLNYPWEAIAIEEHDARAAADKSSL JR316_0008183 MSEPSSSTTTPKAIGSLAKKQSDVTRQGTQKLKFVPTLPQRRKK EEVKAEPTPAVIPPASTERGRGRGRGRGDARGRGRGRGNAPPVLEMTASGPFAMGPAM AGSSSRRSTPRSNFVPNVSTDTANLGSNLSQNAPPSLRRDIGLAAKNGPVKTEEEEYS DPDEGVEIVDMENVRQMDWMAPESIRKERPTKKVKKEEAMDDPAAEAVDAANALNLEE SEEEEELEDIIEDFATQTNIDSDESMREERLYFFQFPSPFPDFSSKKEAAMEVDPSPP VSDAAGKKVSFAADVKPDITPGSSRTASVVPSEVESVKAPPLDGVIGQLEIYKSGAVK IRLANGILLDARLFRLAFVEQVNAATQPSFLQQAVYLNKAEKQMTVLGEVNKQFVVSP NVDALLAAVEKEEQAASVIEGEEKLLRME JR316_0008184 MSNTQQTPTYGYPIASPYVPVVAESSAQAQAQQSAQSTAGAAAA PAASASTSAQTPAAQSRQAAEEARKDRTLADFMLMLDEYEPLIPNEVTDYYLQRVGFE CEDVRLKRLLSLAAQKFVSDIAADAYQHARIRTNASGGRARVNQPLTGPGSAKDKTRT TLTMDDLSAALAEYGINSRKPEYYI JR316_0008185 MTLKLKPSSAPSTPFSWDIPSPSASSEAGVPLSPPTSWLPARDM KIFGARPLTSTSDIGLVKCGDCGKPILRSFILEHSDTCALIRSGGKKGAKGKANAADG DDKKGGKKRKASPSPDEPSKKKAKPATKVTKGRMKGPVDYDKQCGVINDKGMPCSRSL TCKSHAMGAKRAVQGRSRKYDELLLEWQRANNPNFVEPVKRETKAEKKEKREKEKLEK KRLAGEAAAALGVDPATMSKKLAAAGSSKKIGKKAAAAAAAQQRLAEELREDVDEDVE ELDSEVEVEELIKSVRVAKENGVIGVPLAVPCDAGTWFIQRRERVRCCRDLLFNALGS AGGTGAPGSMITSTMGLMNRSTSLTAGNPGGILRLA JR316_0008186 MDLALDAYTLTPNEIHQISQHNAYVSPFKTKAIASQFDDSNGEV ILVCPTNDNTTRLRPMLIGGTSSKARWGSINNILFKVKRWQSIPHQNLIAIQGLYASL DVPLLTLVSNPPRLTIIQYLLQHPEYDRHKACVQVSEGLDYLHRHSIVHGAIRGSNIL VNPDGTCVLGEFSAEHIPTESTLTRYANWIAPELVSAQRFKSFLIDNYYHDYNGEYKM PSDIFALGCTAIEIYTGSPPDTIRIPYDEVKTHTQLADIHREPTPLEEALIPKNVMSA ITSMLQLVPNRRPHSGNAFKWLQHPERKRITARPPVLAKSMLWQAMRTDI JR316_0008187 MRLLLFSLFLSFLSAVHALHFYLDANQKRCFIEELPTDTVVEGH YRALEWNEQEQKYGENPELGIVVLVQEEPSGHVVVQTTGPLDGKFTFTSHEAGDHSIC LSTNYTSWFSHTHIRLYLDIVVGSTKPDVEHDRSHVSELASKIRDLNQKLEDIRREQQ YQREREEDYRDLSEATNARAVWYSVVQILVLVTTCAWQLRHLKAFFEDRKMR JR316_0008188 MSDQQDGPHTGVPFTYFCSLVREIASIAPTTPRTRRAQRSRVSS GQERTALNVFKKWVENLRLRFSPLLHGTTAICFKFLFPEEDWRRRYDIQEKTMAKLLQ ECYGINEALFDSLSLEESSGCLGEELKVVLNQRTSEEGQNAISPLTISDVSELLDQLA ASSPYSDDSIRHKKFPQEYKRGDLMRKLFRTLSPHDASVLTQIILKDLKPLLYPLNEF HYTTALTTFDSASVKMLTLQQAMKAWDDSNHLLRFYGFCCCIDKAGAFAENPTKSLKD VRPSIGSMIAVPKSQKGTSYQQSLDYFYKSKLVWGETKYDGERVQGHVQIGENNRLEI TLFSKSKRDSTSDRCAVHNIIFSALGLDKPGRPRRGGKRQVKRNIIFDAEMVAFKNTK IEDFWRIKSLVANTSKGSRRRWRSHKKSDAYTIMNCDESGFSLGLVFFDVMYLDDNSL CWMPYSERRSILESLIQVTPGKAILSSRYPIDMTSPSHAEKELERIFQNHIDQHEEGL VLKAEQSRYNDWNIPWVKLKKDYMPDAKDHIKMVIFAASWEKSRGRSLRVAPSTYTTF YIGGLAPGSSLNNPKPFFHIYFSVSYGLTREDLEELNFLIRNSDTVPFTKSTLAKDNL PYQHSSFPGLNPAPTILLRTPLLAEMFGAGFTKEHNSAHYQPRFPRITKVYRPSERSW REGLTLEALHKIACKSVGREEAESGVNSQDLEVERVVSDMWAQDKKKKPVHAASLSAN SPEMDIVSAPCVTPRPSSPIRKRKTREGRATVPIPEAYAEWFDDSDSDRHQDISPEGS RKRPRREDTSFTTEFESTIEEPEPVTPVLQPLTVTTNIPAPGLISPVSLEKPRMSSKK QKMCVEQSPSLCKQTSKSFKTVKKKKALYWFAPTDTMCEVFKSWKEETDKEERRHTLN ALLHDCGGKQGIIVIDECDSKTKQVITQKLNAEIVNDIINLLMFSLLRSSSVSLARRF STSTVRPDRAVVYAIPGPPTEVLRVLTYPDLPPPPPNTLNIKFLLAPINPADINVVEG VYPTKPSKLDALVFDDERGTLNVGGNEGLAKVTALGQTDASHGLKVGDWVIMTRQQAG TWATHRNVEFEDVAKVPGAEALTETQAATLTVNPPTAYNMLNDFVDLKPGDWVIQNGA NSAVGQAVIQIAKSRGLKTINLIRDRPDLDQLVSKLQSLGADHVLTYDQLADKSVREK IKAWTGGKPIRLGLNCVGGKDTTSMARFLGNDAHLVSYGAMARQPLSLPVSLFIFKNL TCHGFWQSRWYLQRSLAEREGLMQKLVDLMKEKKLDTPEHEIVQISARDTDEEATVKI RKTLEAMSEGRYGKKVLLKIESE JR316_0008189 MGAVCCRPQPIDFDGEVNLFHFMLLRCVGKGAFGKVRVVQHKQT RDLYALKYINKAKCVKMKAVPNVVQERRLLEEVDHPFIVNLRYAFQDDENCFFVLDLM LGGDLRFHLERLGHMPEDTVRFYMAQLSSALAYLHEMGIMHRDLKPDNILLDERGNAH LTDFNIAVHFGERKLTGVAGSMAYMAPEILMKRGYTYCIDWWSLGVCAYELIFGRRPF RGRSNSDLTYSISRDPLKWPEDADKKCSRHGIQVLKGLLERDPTKRFGCKPNGEGFQE LRRHPWFKPIDWDTLESKEQTPPFTPDAKKANFDASHELEELLLEDNPLKAKARKANQ ENLSAEMKQMEEQFTSYDFKKMQRRSYYPHNQHLISTATATSSGLASSRPGTPANDLR VENSGLRVEGEGNGIMYTSNGNSLEINAGDDIMMKEKDTLRVDRMHEKDYS JR316_0008190 MARRPDFLRPLVLSGPSGVGKSTLLKRLFAEFPDSFGFSVSHTT REPRLGEVNGKDYHFTTIQSFRELIQQGAFIEHAEFSGNFYGTSFETVRQVQQGGRRC ILDIEAQGVRQIKKTDLNPVYLFISPPSMADLRARLQGRGTETESSVQKRLATALKEI QYAKEDNAHDLVIVNDDLDRAYDLFKKVALGEEIVSDTLPPLDD JR316_0008191 MATCHDPEGWQAVSRLRDFDLTPCFEEGLLLSSLLSVAFAFTLL RSLAVCLKQPLERSRRSIWNLRIKLFFLFLSFIASFVNVLLILTQHNVVPVIQAYILE PFAILSILIFTFFNHTRTRTASTFLLIFWPCYALACAIWARTTLLRDPDSVTTIVSLK TVSLGLGLISYAVECLGSEIDPPADKAVKENPIVTANIYSVWTFSWMTALMKKGAVRV ITEDDLPPLRTFDESVNLGNDLKKAMERHTLWKALFIAYGGPYAFAAFLKVIQDFLAF LQPQLLRLLLAYISLYQETRFHPEQRPSKWEGFALAAVMFVASVVQTISLNQYFQRTF ETGMRVRAGLVTAIYTKALVLSNDERTRASGDIVNLMSVDATRLQDLCTYGLIAISGP LQITLAFVSLYNLLGWAAFVGVAIMIVSIPLNTFIARILKRMQEEQMKNRDKRTRLMS ELLANIKSIKLYAWEYSFIRKISDVRNNQELKMLKKIGITTSWNMALWSGIPLLVAFS SFATAAVTSSRPLTSDVIFPAISLFMLLQFPLAMFSQVTSNIIEAVVSVQRLASFLSA DELQVDARKLIEKPNLQLGEEVLSIVDADFSWSKTNVEPTLEGISLSVKKGELVGIFG RVGAGKSSLLSAIIGDMNRREGTVTLYGSIAYAPQNPWILSTTVRENILFSHEYDETF YNLVIEGCALGQDIALLPQGDLTEVGEKDNIIGPNGLLSTKARILVTNSIAFVHQFDT IAFIRRGIMLEKGSFQHLIANPNSEISKLVKGHGTSNNTSGSSTPFHSSGSATPSGED LSQAEYLKDNVQANILSEKLRHRQSFEKARLTTNLTTQKASQGLSKEHQEQGRVKIEV YKQYIKAASKTGFTFFLFATVSQQAASVLATLTLRYWGEHNREVGDNSGMFKYLLVYG LFSLSSSLLGALSAITMWVFCALRSSKRLHDAMLDSLMKAPLSFFELTPTGRILNLFS RDTYVVDQILARVIQGLCRTLAVCLFIIIVIGTSFPPFLIALFPLGWFYLRVMKYYLA TSRELKRIDAVTRSPIFAWFSESLAGLSTIRAFHQQSVFIGINQKRIDHNQICYLPSI SVNRWLAVRLEFVGAMIILIVACLAVSALITTGVDAGLVGLVLSYALNTTSSLNWVVR SASEVEQNIVSVERILHQTNIEPEAPQELPDAKPSDEWPSEGSIEFRNYSTRYRAGLD LVLKNVSVNIKPREKIGVCGRTGAGKSSLLLALFRIIEPVSGTILIDTVDITKIGLHD LRSALSIVPQSPDLFEGTLRDNIDPIGAHSDAEIWIALEQAHLKDYVETLPEKLDAPV REGGSSLSAGQKQLLCFARALLRKSKILVLDEATSAVDLDTDKAIQEIIRGPAFANVT MLTIAHRLNTIMESDRVLVMDAGKVSELDTPNNLLGNSSSIFYSLAKEAGLV JR316_0008192 MNVNTSTARARKTPIGNPPTLGQNDSFMADRTTRSKGMVINKEL QQVQNTKGGRSYLEEHQLLVLAGQGLTHHQAATCLFQIVQMLAKMPPTVIQAMRGLAF MLEEIELPMAMQTYHDGFGEELATFMNKIKSLVQHAQDKVDQKLDEINKATANLIKSA AQATMLKGINKESKIGSMGDRKAKNAINKILQTLEPEGAVRICSAIKQQNGSLLIEFD SDFGAAWMQTDNNQRNLCKHIGKDVTTKKRTYKLIAMRVPLSAEPEDAEFLREVEEAN RVDEGTIISMRWAKKISH JR316_0008193 MDYAFELTQSLSNYMKAKIASRLWHAELPNQIRENFSAETMFEC NLAVEVILQAFENQEYTTWDAEEYLTHLSARCTGQNSAVEACLKDQFPPVHSTLQYQT LPGTVIDSAGNILVWYLPGILSETRVESVWNSLRDIETMIHKAAPLATSWRVNDSYFR HEPGWLQPGNLNFSPAWFQQGHETSNPLEVSLDLCNPIGQEFIRDMMTSSALLGAILS IIHPEQYRAGIKFLQRLAAEPELVHKAEILKQILTIWSSPFGVMTAISNRDTSYHRDN GSCYSWYDFLMPLGKGEHGRLELPGLGLRYKYDPMTLVAITGRLLQHGAVCKGDRAVI VYYMRRTVFKELGVQEAGWSTTYDLFANLPATNTFDFEI JR316_0008194 MHWPPSVKMIFAIGLFYVHGHKTECLYNYASTYVPGVGIIDGEI LEPLWSVLNDTSRSTRSATTAHRAEVLDDHMGDSNWKKTINMAATIAAKFKRAREQSG ITDRFYRGIMDQQDSGLINTWEDEISKAEADREQGVADAVGKVMASKVKTAAGRQEIE LHLSNMELTSNGATGKAAWISSGLKLEQAQLELRNHVRKLGKHPSTAQKLDLVNKRRS MRTRVEAFCRSAMTFMGEDVLEDIQGDIAPILDYEVSDNDDPDLGNVNITCADPERQP LPFPSAVKQDFFDGLDAGTNLILKGLRKLELQIRHGHAEDCLEAVRTADSVYMGTRAW DGVKLLNASWKLHRRLYNTNRQKMIYLSAGVRDEDNIWKQYPILQVHDCKHSNAVSDP NIRGGSSDRLSWIWRSRQGLDNDNQLYVNEFFRLNWLRARAQRNRWQEELALTKKEME WTVRFYVYMAKTWRARHDFVPDRLVGHRANAQKQIAMWNDLGRAADKVFRQINPEYPL TSSLNILVVSHLVEFMHLPRLFKPPVEDEHHLLTYDERRALAKVHVHICGARIRAGYR LFIANGDSVSSVRCQKWMYLLDLEVAILLRVLGYCYVNGFQVDIPFFISEILNQSVHA VLNRTPHHRAVLFESLNQSWSAWEDDEILNQTKSWGYWWRDGFAEGDEWQVAFVTVES QAREFWNKVVLPEYQQEVLRLNNQRKEAKEGASSSTSGPPRNQENNPKGKGKAAERTS VPTSGKHGSALTGKHGSAFSPPTGKPNPPTRVANTRDETSPIAISDHRPPYCPRCGQP ILTGVMRELAHLRRNVADKMKNAHKAVTTSSAALGRYSVLEKMWIDSKEHPFSNGKGL SAKYKFQHPVHPSPDSWGAITAQARSFEVSKLKVASFYINNIFYSFIAVKELPFHPLW YHSPPSNTANIHLPTDTLPTPSSVSPPSNAHTSNAFLFNARSRPVFPGEEDDIDSTSD TTESSTPATFLEHLAQDFEEEADEESSAGDTTEESDASGNSEELSEDVSETPWDEES JR316_0008195 MGFKKVPDRGSDEEFPNVREASWSSGAKKRKRGRPRIHKLPAES SSTNLPQTPTYSFQNHEHNILEEEIPHYPGDLTDQQVLDNLRQLDDDRTGGKSQNDYL REWLPKREVYLGTMIGGEAPDPDLNGRCQQCQGMSGVWRCCQCFGSRILCSECLRRNH QFTPFHRVEKWTGLYFRPGALWEVGVKLYLGHNGKRCPYPTDPSHLGCDGGNNSSGSH GHGGNNSPIFEDEHGLDLDPLPASNDQESFTAQLLADPEVPHLVELDDGDDEDDDLFE EVDTTYLDQPRPKAADNNGIPFKAIVHISGVHYLPVRTCTCRSVRLPSIDLQYLEMGL FAASFENVQTVFTVEVLEDFRMDNLECKTSAYQYYQKLRRLTSPAFPKKVLNRYRELR RLSREYRDLVLRRQYGEGHTREAVVPYYHHCHDSSPERMGINVSDPIDGGSDSPSQEV GMSTDPSLGHGGLDGPPAPQMTRTEDHPKVEDRRGKLALFCPACPQPGINLPDTWIDD ADRQVLVILQG JR316_0008196 MPTNASPDADPLPSTANATTHNGPRSGQTAEGDFPPEESNIWTT KEMRVLKKHVQPYKDTSKSSKADYIQNTVIPELQATWDHRYSRRLNSRITFQTVFREE KSAEIDSEVALLSGNAARGSKDWMKFYQQERKQVEARLTQEERDRFMEILEEWNKKGV SKSMKAKTAARQGRKILRQMEKLKWQRMGMRSITFEGHYDIEGKIEYSMTQTSNLALD DVRIPSFGQLFPSELAAFRRAFVQYLVHISEIEKGVANPALPDASFHEKSLKFSSNGF PIVPSPIYGSTGREVAYAQKSIIRIYMNKVYALAKDRPGSSVPWDALERRSAEMIDPE YWPSSIPVTDPSRLHLESTSAILKLWRDRQAQGDIPFKFSKVFGNGYDIMEPLYPSNL FDGLEAHPIPPPPAAITKRRLRQKAIRLQTQSSSDSESSNLSEFDNGRGSLASAMPRT TARFEVTPETDETPTDAPSRSSEPPSLPSRSSPTIVNPSSSPTPEVEEAPPKPARKIM PRKRTKPYVGTAEMDGPDEESSVPPPVKPKPTRRIQPRKRTKPYSDPLDTVEEDGVQT GTTQDTDNTRAGRDVTCDAFVETVTSPDTDETRARRNVTSDALALQEASLLVVAGKRQ RKKTLKA JR316_0008197 MAASSSTTATFAQRLADWEKKFTECYRNGESAFNAELEQLYRDL EHVRDAARFRLIDYVASPVVYSYKVIQGKDGENIYRFEVDWATLKHQVANFKAYQEGQ EAQRKRREEEEQEKRREKEEQEEEERQRVEERRKRKVRRKREEKKKREEEEERQREEE EERQREEERRKREEEKQKVEERRKDERRKREQERRTREQERQKAEERRKREQEQEQEN KEETEKRRAEKGKGKAVEPPVEDGPVTDAHKDKGKRKAADPVESIQLAPADYRGPRTR KGEIIPHITASNMPGHPAYREKLERLAKSKQGKFRSKAIIGSHTDEDADADVDEDDEG DNKGAPPTTPTATPTRKMRTRSVKKDTEDDVPPIRKARSRSQKVRQVPEGMVDMVERC TACIKFKVPCYVKGKTGTEPLVPVKHQSCESCKSRKIHCSFYPGRNTFVGQFLTTPLG SYGEALKLEEGEDVPAKGKAGEGSFPEDVGELLVQLFERQGRIMERLDGLSASMTAIN ARIATFAETNLAVENRMKSIEDGIQEVKAEWTMAKEQVAGSTSLSVTMFNDITKRINH IRDVVDDLLEQDEQRNPAPKQAAGPSKTEVEQESGPSRTREPTSVPQSPSAPPPPAPL PSPPPPPAAPILPSPPPPPPAPVLPSPPPPPPAPVLSAVAILARFDSGSAFAPPAGRP SLPPVPPILSLSPPPPLPAPRPRSSTSKAAPLSKGAPSSKAAPSSKAGPSSKAKPLSK AKPSSKAGPSGNGHSLELSDPSDSDKVEIVEEEDVEIVASTLPASNIATKTRAGRKRK AETTLAEASRSPKKSKAQKK JR316_0008198 MSSNISNSKESKRTARTDDLAPPPMPVIPDNLAPPPMPVIPANL APPPMPVISSNLAPPPMPVIRKDLAPPPMPVIPANLAPPPMPVIPANLAPPPMPVIRK DLAPPPMPVIPASLAPPPMPVIPANLAPPPMPVIRNDTAPPPMPVIPASLAPPPMPVI PANLAPPPMPVIRNDTAPPPMPVIRNDLAPPPMPIIPNNLAPPPMPVIPPTLDPPPMP IIPDTLDPPPMPVIRDDVAPPLMAAHVSYTSVVDKIIMDSRPAISSERKQGRYSPPPE ACHLLLQNPYFRTYGRFDPMGGYPGSPPTQSDIEFEDVTDQTAYFNPPFLYNYTEQQL RATYDQIIDSSVGDNSYDSKVTTFKNLRLHQLQSEILTYNALEEIDAGLAGIESSLEK HLYTGRDE JR316_0008199 MKRDEDLANIADVLKQSRLQSKATFEQQFARRLRQESFKFGDLV LVRNSQVEKEMNRKHKPRYLGPYEVVRQTRGGSYVLRELNDGSVILRPQLDRMIRELG HESQEDKDTWSDNMDDF JR316_0008200 MATTIIQRTPVDMPVPKSSAPPKFTGSYVDVKNFLDHCDRIFDQ YNVTLNDDKVRYMVQCCNQESREIIEGLPSHHAKQWERLKTDMLKIFDHARTTQKFTL TTLRAYAFQHSNLSMRSLDDFRKYQKQYIRIAGWLLNNNKISKTEYNQYFWLGINESL RPALESKIMVFNPHIDLSSPFSIEDVTKAVEIIFKRDRFDVGIFDNPSACSFTSLIPP KDLYPERSSVFDKIKKYLQEMFPNIKTCDACERPYNPPEETKRIFQDLDKEEKQAHKD DKVKNLIKQMLKLTIHDSSYTIYYLCAIKLEPALANMLIAPAIINPSAQPAQPVPIAS QTAPPAPRAPRHGINNCPTLIDLTNRKLISRDSSNRVVFPDRSRIIRQNGESIAQAVL RQQQPPPPPQVATTSIAVSEAYYGQMFKNYRAMVAKEEEDDIGTWDGEDEFEFTLAGP GNRIPTEKRTRAARKQVMDAVVPPEPAYLKGKRAEISKAKDSSQIPSILKRPANSGLP NNVPSSTSIQPVPIPSINQPSAEMNPSIPVKQAQPNVPIRANPTEHPETAQRYEVFDP ADDDQIMEDVTPSLERGKSVTKPHAAPQKRVSDISQTVNTMAILRRCLNQPVNATFGE LLGVSKNLRTLLINSIKGKTLTVDEFKASLTNGNLKLSDKEVLDIIRSAEKDVPVQYM HETNSVESLRAHEPLLRITLMCNGHKLNALIDSGSTQNILSEQAWKKIVRLPMDSRNT IVMVDIHGGKSHMLGFVGNVQLDIGTVRTRAHCYVSNKVQFDILLGHPWTRDNYVDIL E JR316_0008201 MADPPSPYNGFMTMSLEDRFALLFRAQQVRFDADKKVDDQLSAI ESKLERLTASLPKPPAAPTPSARAPRARHTSRKERPAAPTSTPTTGPPHSQSIRSTTT KAALEKIVSTLSIADEQAGHVIGRYHTPILIGDEMFILDLHTTPSTQAVILSISHPHI LSYQHLSHRSHVIPDHVTLSDHQDYATDQSTIKLHSFLYCLAQPDCQLYFYQFNGLTQ RQAADYSFQLIFVAQIGISFT JR316_0008202 MATTIIQRTPVDMPVPKSSAPPKFTGSYVDVKNFLDHCDRIFDQ YNVTLNDDKVRYMVQCCNQESREIIEGLPSHHAKQWERLKTDMLKIFDHARTTQKFTL TTLRAYAFQHSNLSMHSLDDFRKYQKQYIRIAGWLLNNNKISKTEYNQYFWLGINESL RPALESKIMVFNPHIDLSSPFSIEDVTKAVEIIFKRDRFDVGIFDNPSACSFTSLIPP KDLYPERSSVFDKIKKYLQEMFPNIKTCDACERPYNPPEETKRIFQDLDKEEKQAHKD DKVKNLIKQMLKLTIHDSSYTIYYLCAIKLEPALANMLIAPAIINPSAQPAQPVPIAS QTAPPAPRAPRHGINNCPTLIDLTNRKLISRDSSNRVVFPDGSRIIRQNGESIAQAVL RQQQPPPPPQVATTSIAVSEAYYGQMFKNYRAMVAEEEEDDIGTWDGEDEFEFTLAGP GNRIPTEKRTRAARKQVMDAVVPPEPAYLKGKRAEISKAKDSSQIPSILKRPANSGLP NNVPSSTSIQPVPIPSINQPSAEMNPSIPVKQAQPNVPIRANPTEHPETAQRYEVFDP ADDDQIMEDVTPSLERGKSVTKPHAAPQKRVSDISQTVNTMAILRRCLNQPVNATFGE LLGVSKNLRTLLINSIKGKTLTVDEFKASLTNGNLKLSDKEVLDIICSAEKDVPVQYM HETNSVESLRAHEPLLRITLMCNGHELNALIDSGSTQNILSEQAWKKIVRLPMDSRNT IVMVDIHGGKSHMLGFVGNVQLDIGTVRTRAHCYVSNKVQFDILLGHPWTRDNYVDIL ERPEGTFIAFYDVKDPTREQKFLVTPDTQHDRRYFTDTIYDKTPQTMLAHNDLSLANP DAEEGEIEEDLEPGELLDDLRYPSPTPSMIELSILTRQQSLNWDESANPNEDLSTSQD APSFSDSNCKHDSTQDSSHGLYASDYDSMYQDDSGTSQFNATAHYGHISTPPYDSTHE APQIEQPPSPSVNPSIKSHTSDNNDPHEEEPADDNPEMEQLSLPEVEAIQFSSDNTHL TAMGMLNPHLRFEDWILYDATYSSPTRVVSDRTGTAFVYYVYPQRNSHINLTTTPTIL HFSRTGISSQSNHSASVSAYPMGQRHSAS JR316_0008203 MKRDEDLANIADVLKQSRLQSKATFERQFARRLRQESFKFGDLV LVRNSQVEKKMNRKHKPRYLGPYEVVRQTRGGLYVLRELNDGSVIPRPQLDRMIRELG HESQEDKDTWSDNMDDF JR316_0008204 MVLLSVRLRITHSNLFLSIHIDSFQFQVQVQAKPKPKSNSVISS TLRGDQVYFHSSKVISLSIKRGFHLRPVILLIQRLFFSALNIQLLSRPPVLPYFLSSI QSVTAPTSSTPSNHCLNTPATYSFIPSFRKIVNLKTLSPFSKIQPVNDIPTPSHLLIS PRNVPHSLQTTPLRFTFINFSYAFYASSSRFPYTPAIQFYGSIPFSEGLFTIVPRRPG YPLLTISLLLPFTIHTILIYLNPRQRPPLRNLGEGFVLSSLDYSFPGRAGTGLRQIHD ISHAKISVSPIVTSGLHAVTIRGTAREVGDALSAIGKRIAHRCIRNPRSKKPKQPPAP TAAPPTLVVEPPSSTPTSSSTPTTRTPRSGTASPHLPIPTAVDTRSSPSSSFAPGLPM EVDALRAPQQHSDGYSRPGPVQPREGIQTARRGGGPPCVFGANRPR JR316_0008205 MKHAEKTAKTVYQADVTRINGFTLDQYLEELQALVLEKKWVHKV RKKILILYQGDRVFINWKIEMENLNAILKTMAPKEYAFISDALKIQLESHLNPELQES LGFEPILATNLASRTKMTDYKKNMQGLNAKSTQATHNVPWLANWRKNLSLSGCLMHLP LHLVTSPSKARAQQSLDIPKIIYPPKITAAKHAILDKHFGCTKCCHLYVDHHSNSCPN GWASGVDYKPLTEAMAREAEHKFTEAGKDKKSLRPVGQINARILASSSTHVIHNEETD SDLYIPTSMTVPHLFPIMEIDGLVSYPNPDWR JR316_0008206 MFSRTNHHHQHGQRASSPALLLGTRRQRSPDSTPGPTESESDGQ KLLQTKRSPHTKHLIKKSRTHPWKSVDAPPGPSSQHSVNTPIPLVDPYSAPNTDAAPL STLPPPAMSSDIVAGQVAHLETLLDSILAMVAIIQSISHGTVTLSDRTMHAALLLHST LFPADPEYHSQNPERITEPRSYTTVAKTTPVAQPAHTLQRTSPALIAGGPVKIRPHVT RPTCHYSSNNCLIASWDDFPLTQPSDPLTDFVERLNSKLSPMSWDPPCHVLGANVSKS GRLIIHTANNTGTARIKAHPMTIL JR316_0008207 MAFASSSRVRINNLLAISRISNAFRLFSTTPSRPDFHFDTHHFV QRLEREGLNRAQAEGIMSAMAEVIDESIRNMTSNMVTKAEQEKARIHHYTQQVDFAQI KSELQLMEKNDLAMIKAENDRLMNDIEKLKQRLREEITRTQAGVRLDLNLEKGRMREE SSGQELKIKEVDTRIEQEIAGLRTTIQASKATTLQYLVGFVTGCSALLMAYMRFRA JR316_0008208 MQSDDVIWSVINHQFCSYKVKTTTQNFCRNEYNVTGLCNRQSCP LANSRYATVREKEGVLYLYMKTIERAHSPAHMWERVKLSNSYAKALEQIDAELIHWPN FTIHKCKQRVTKITQYLIKMRRMKLREQPKLIGIKKKLDRREATRERKALSAAHLERS IEKELIERLKSKAYGDAPLNVNENVWQAILDRERNGGETELNAAEELEMEDDETDEED LEDEMEDEWGDREFVSDISGDEDDEDELSDLEGIVDGEDSEENSDSDAEESDEEDEEP KPKSALGKRKATAKPQPKKRPEKKPKKGPRVEVEYEQETESVPLTKSALANW JR316_0008209 MNKTICPFQLPDDPLCFTQPSFPFYFSPKPRLLDGISDYALTVV SPLVAYWSLSLFFHYLDMSDWKWLEKYRIHESAEVKSKNLVSRSHVVWAVIVQQIIQT ALGLLWLDSEGSVHTPNHAKELGRIATMLYGVLGDRLSPWALARVSNFVYWWAIPIAQ FMAAIFIIDTWQYFLHRGMHNNKFLYKQFHSWHHRLYVPYAFGSLYNHPVEGFILDTC GALLAEWATRLSTRQAMLLFIFSTLKTVDDHCGYSLPWDPLQMLTGNNADYHDIHHQV IGIKSNFAQPFFVHWDILLGTRMTRQDIERRRQKATKKE JR316_0008210 MAGLLSPKPLSYLQQPGNGFPNYGRGLSNFSETPPLSAPSAPSA QGLPPSFPGNNGLGHNGALQLQEDGKIYGLVIDLMNHAAREGALLELSKKREQYDDLA LILWHSFGVMPALLQEIVSVYPLLSPPNLTAHVSNRVCNALALLQCVASHTETRQLFL NAHIPLFLYPFLNTTSKTRPFEYLRLTSLGVIGALVKQNDNNTVIHFLLSTEIIPLCL RIMETGSELSKTVAIFIVQKILLDETGLTYICHTYERFYAVGTVLSNMVNQLVETQAV RLLKHVVRCYLRLSDNLRAREALRACLPEPLRDQTFSTLLKGDMVTKRCLTTLLNNLN EP JR316_0008211 MKTRLSDLNKVFIAFYREEEDVDPGYIIINYIPTTVSGVKRARA LVHSRRIGGIFKRHQSIFTVDSLSLITADNVREAIINPDTAFASPTSQTTPTTLVHAT NKLSLEQREPAPVTPLEPLRPLRPKHLADSTNRPPPEQRDRHEIAPPLRPLQPLRAPS QPELKPLRAPSQPDLTQDMGRRSFGATYAPHIEPPHVPPVPPLPKGVGGNIFSNFLRK KKDSSDSADMPPPTPPKDNAVYYAPPVGESRSSPPPASPFASNRAAATYHRPRSLSEH ATVSHSKGSTNVVVEVEPYAVPLKGKWSLDGGRPNDPSERARKRRELQLQREKEDKEA QQQEEMRLERIRREKEEMERQVIEYEARRRLEIERELRRVTTERKRKEQMEKEEEERR RQELEERRRQDREKRMEEHRRLERWRKEQARQTEFAARRAEEAKQREELERKKRIQKA EAKFKSVSMESEQAGWVTIQSKDGLSWKRRYYKFVKGSIFLYRSSKDLADALDEVSLQ GQLKALKEWHEGYDDLEAILFSFVVEFKNGEHWALYADSEEDKYKILGLFKGAAGL JR316_0008212 MSPVHALDRYYLILTLLVTIGYQLSGFAIAWSLQFDKITDFTGG SNFFLLALLTLLAGNTFYARNIVASVLVMLWAVRIAGFLLFRVLKMGSDSRFDDIRSH FWKFLGFWIGQILWIWTVSLPLTILNSPGVSELQRGGSNPKFGTSRDIAGIVLWALGF LIESIADAQKFYYKSRKVIPKGQPTNDCGHGLAIHPTSASKHTTLMSLLLIPEVETHE SARIICWWGIWILCLSPTTNGNLPSSSRAAQYGAIMSPIFTTLLLMFGSGIPTAEKPT AKKFYLLSHGPSESTTSSTASDSHIWANYKAYLRQTSILIPLPPFLYRPLPSFIKQTV LLDFPIYRFDESSDGVAAVEEARRVEQ JR316_0008213 MALFSTLRLSTRRAFSTANHAVISTFDLFSIGVGPSSSHTVGPM RAANIFITDLKDLDLLHKVQTVKINLYGSLAATGAHHTPQAILLGLEGSDPETIDTGT IPARYQGILQNKTLFLAGHHRISYDMDRDMLWRWDQVLKTHPNGMRFSAFDHNGDLVA TNEYFSVGGGFVVNEKTKVDENLFYKGVDKKKVHGARLHQTHLIAEPDTPVPDSDVAD FNVAGTETVEIEDGQPPYPFDSGNSLLALTKKHNMTIAQIVHDNEKHFGYTDEDIQNK IMRIWQVMDECIRTGVSTSETTLPGRLGLRRRAPMLYRRLMRGFYPGVSAPMNENPKI AGGDAWAKVKAGAEAIGGPAAEKHAQEEADKEMARIRAAVGVEDSELGLGNGNGKSYG ANMGGGGFKGAREVKSQRATAPPRVTGSFDHAILPMPPRRTVIPAMDFLSCYAIAVNE VNASGGRIVTSPTNGAAGVIPAVLKYIVEAIGMLFKRGSTISAAEGGCQAEVGVACSM ASAGFAACMGAFVGCASPETVLQERNSLGAVKAVTAAQLSMASDGVYSVTLDEAIEAM RVTAADMSVKYKETSLSGLALNGEQLRLR JR316_0008214 MTTATPSRDRHPFPQSAHPSPGPSQRNPSAPSPSPSVAQSSPQP ITSDALLKQFAASPDPKTAALDQAVADRNVLSAQNAQLWKLIEKQRTGYNQILKELER IRAERDTYKVRLISLTGTASSSSDKRTKSTSERDRPRPSLDAAPTQAQSASKGAAPRH NSEDSANRAIAHPHALQPSRSFDPTRQNTTDIETHPILTSTRTSSPQPGPPPSSAPSL TTRQHSRPNPSPLVVPTRADTLPASYTVSDSPDSSTSQRLPNPYDSATAATPTANGSS SYPQIRPLVPPRKSSIADSISSVVTSGSSAPSVAASIAASSPSTSILSNLNNSYTSAA ERDPTTPSQQQYSSNSTSVSVSAPANGESAFSRQRQQPGNPTPQPPAAQAATNMLSPE PRPHFLSRDSRISLPDEARQYIASMSDSPAASPRAEAFSPRSKLSNSVFPPSNSQNPG ESEFLDMDEEDDDDDDGNEDDEDRRLGEEDEDDDGQGDITAGAHDPTFNGLRAAAPPT TINKSNNTDLSQAGYQYPPTNYNNNHNNTHTNNNYPPSQTSYTTSELQQQHQQRLKDQ QQQQLQQQQRDKQSRAGPGGEEFQFPLPPAAAPPTANNPIYLQRQQALAAQQELQAQA QAHAQSLGYTQQQMAATMQQQAPSATPMSAKHAQAPAEQVHHPGSMSGNYDSQIQSAQ MQTQDAAYLGGQGQGYPTNRSESSFQSQGSQSQSQTQSQQPQPDREREPIQAVPTTSF RALPLLSSDLPHTTITVSHSFVRPNDRGKEVLSFIVFVNPGNGKEGWKVEKMYSDVLS LDSRVRNSVGKGVGKKIATLPEGKLWKDHAPAKVDQRKAVLENYLQTLIQLPVKNNDE VIAFFTSDIVREQKQPVMQAGHKEGYLTKRGKNFGGWKTRYFVLQGPVLEYYDCRGGA HLGSINIAGAQIARQHRTEKPPSTDDEKEYRHAFLIVEMKKGPGGNHPRHVLCAESDE DRDSWVEMLVRYYTGVYSDDLVFNPTSGLTSVQSNSVAASQSSMGVGMSQPRSSSSSS SDLSTTAVQQQQQRSNTGGKAIPISVLPPGSVPNPDDYMMRSSSPSRSVDPSPIDGQG PSFAGNGARVLERSNLGLPSSLPDSSPLSSAVQGGPSSGTSFPDGSSQAQMQQAQVQP QRANSELGHYPDLQDARHGQKGNQRQHSPEQHRARTNDDMGVGVGRKNFYPSLTTVAP SPTAATHGASGPPPDRVPSPEKQQQQQQQQQQTQERDANASKVKISGPMNGAPIPSGF KFGGKEPSSADPATAASANDRREKTKSRFWGFGKVNGDKSNLHTAYPPRAVFGVPLEE ALDVAQMCNLPAIVFRSIQYLEAKKADQEEGIYRLSGSSAEIKNLKDRFNNEGDVDLL ASDQYWDPHAIAGLLKSFLRELPSSILTRDLHLKFLAVIDFVDPQERIKELSQLIAAL PLANYSLLRALTAHLILIVQNSNVNKMTMRNVGIVFSPTLGIPAGVFSLMLGEFNRVF NVDADNDDLGEKEGEALDNPAEPLRRNSRQYTDAAADQVLGLAGRSLTAADEAQSDGD DFSIQDESGTETTEGDNIVESSSSSSPVSNAERDHGIRISEPDTPTAKTSKASSTAAS RGLNVAVTHSDRGHRHSRMMGLPLSPRPGATAHTSPSRQEQPVNSSPTPGWPNEQMR JR316_0008215 MGFKEAAILTPVSFFLGVLFICFNIDHRLLWGEITEEVVEDGLQ FYATFFNAPPAIKALLHGMVGIGLIGFLAKLHKWTESAVFFDGSSLAAYVFAIVVYLT VNINSLRTIVDPVQNVESREDQVMAMRVLAAGNVIIIASLGLILLLQAGQEWAQRTEA QAIADYEAEQRKKAMATTAEKKEQ JR316_0008216 MGSRSRLADLLGFYKSRLRTHTAQHDLYHHGHRLRLVFDLRKAH RMFSFICQKTYISLFKQESNSPYCSIECQERAMPPAHQSYWRLPAPAPAPAPNDDLDL DVIYHTIEEPSDSRWTGNDYAGIEAWAAQIPYGAPAGDDSPSSYSSSSAFHQQPQGTP HRASPPALLTSSCRPRTLPPSLSMATPPHVRPPPSHPMQTPRRQSSSSSTDPTAANAS IGQTSIHSPATGSSLVSTPYSSQPVPILRNQSLLDGMYSHVRSWVSPAPIHQFQPQTQ KSQRTHPSQLISHAPSQHAAVPVFPVRVVSSPQSSCGLSEKSAICWVPTPAVVKQPQL DSKPIKVQRGRKLLGAELAEHPSFRTRGRKASRAAA JR316_0008217 MPVPMPGQTLAMQTFLDKERERPKIALPQKTVDQFVCEPAKSTN FGESRKEKRNEVLVSPLQS JR316_0008218 MPPPKSKGGKMLALINWRLKVTINDGRSLVGQMLAFDRHMNLVI ADCEEFRRVRPKKKAGEESAPEQEMKRSLGLVILRGETVVSISVEGPPPVVDEDKKNA LPVGPGRGMPAGRGMGMMPPMGPPSLARPPMPFAPPGMAGPPPGFRPPGFPPGMPFPP GPPPPGFGGPPPG JR316_0008219 MTITTPIQTSLTKLLGIKTPIVEAAMAGASGGLLAAQVSLAGGF GFLSAGYDSVENLEKEINIARSLLQPNGDILPIGVGFLCWQLEKLPDKAEKLLTVALE NRVQAVWFAFGNDIGRWVNFVRENDPRAHTKDAVKIFIQVSTVPDLRLALDTWKADVI VAQGNEAGGHGLSTSLTTLTLLPLFAQVVAESNGPPLLAAGGLATGSQIASLLTLGAS GVVLGTRFLLSPESLYTDVQRTALVEADSFKSVRTMAFDYARNTLGWPEGIDGRGLRN ATVDDYEKGEDMEIIRKKFAEGVRMNDTSRSVVWAGSAVGLMSKIIPAREILLELHEE CLQCLKNTSNLISK JR316_0008220 MSSHPRGKDSNRQDAAEYKKAMATGGVEAHASPIPPIVCYCVAS ILMTVVNKIDMSRLKFVVSGAQFSMNFLLLCIQSLVCVACVVVVKKIGVISFRAFDWA DAKAWFPISFLLVSVIYTGSKSLQHLSIPVYTIFKNLTIILIAYGEVIWFGGRVTGLT FVSFIFMVVSSVIAAWSDVTGAISESSLPIVSTDVGLNSLQDVAGAVRGLNVGYYWML VNCLTSAAYVLSMRKRIKSTGFSDWDSMFYNNLLSIPILAVFSVVVEDWGTANLTRNF PPETRNILLLAIAFSGAAAVGISYTTAWCVRVTSSTTYSMVGALNKLPVAASGMLFFG DPVTPGSVSAVGVGFFAGLVYAVAKNNQKKAENRMQSSGIIPLTNRKP JR316_0008221 MVATWLSTLASIGMAVGPPLVYADQAFSIVKKKDSTGFSRDVCA ILLIANITRCFFWLGNHFEMALLVQSIFMILAQLALLYICILYRPHISPETLGTSTRP LSFWQWPTYTQYIEFLAGLILCQAILFLIFGQWPTFVSILGFVALGLESTLPIPQLIS NYRQRSLYGFRMSTLLGWVGGDSFKTVYFFLQHTPLQFQVCAIFQLSIDLAIIGQRIT YGNKPPISAILTEEDELEQALVLGQE JR316_0008222 MAAVDTSKPIAFCEHLQLSSLGIQPASISFQTLTLESDQYICIR EKVNEQGQVVIVDLSDANNVLRRPISADSAIMHPRQKILALKAQRTLQIFDIDKKQKV KSHINNEDIVFWKWVSDTTIGMVTETAVYHWTIADATSPPQKIFDRHPTLAGSQIINY RVTPDEKWLVLVGISGNTTNPSAFKIKGSMQLYSRERGVSQPIEGHAAAFAELKLDGH QKPTKLFTFAVRTATGAKLHVVEIDHQPPDAPFTKKAVDVYFPPEATSDFPVAMQVSK KHGIVYLVTKFGFIHLYDLESGACVYMNRISGETIFVTAEHEATNGIIGVNKKGQVLS VNVDEQTIIPYILTTLNNTDLAFKLASRGNLPGADDLYVKQYQQLFQSGQFGEAAKVA ANSPRGILRTTAVIESFKQAPAPPGGLSPILQYFGILLEKGELNHLESLELARPVLQQ GRKQLLEKWLKENKLTCSEELGDIVRLHDMTLALSVYLRANVPNKVIACFAETGQTDK ILLYAKKVGYTPDYVQLLQHIMRTNPEKGAEFAAQLANDESGPLVDIERVVDIFMSQN MIQPATSFLLDALKDNKPEQGHLQTRLLELNLVHAPQVADAILGNEMFTHYDRPRIAN LCEKAGLLQRALEHYEDIADIKRAIVHTTGLTPDWLVNYFSRLTTEQSMICLQEMLRV NIRQNLQVVIQIATKYSDILGPIKLIEMFESFKTFEGLYYYLGSIVNLSEDPEVHFKY IQAATRTGQIREVERICRESNFYNPEKVKNFLKEAKLADQLPLIIVCDRFDFVHDLVL YLYQNNLTKFIEVYVQRVNSVRTPQVVGGLLDVDCDEATIKSLLSSVSGNFPIDELVH EVEQRNRLKLILPWLEARVQAGSQDPAIFNAVAKIYIDSNNNPEQFLKENNLYEPLVV GKFCEARDPYLAYIAYAKGLCDDELIAITNDNSMFKQQARYLIKRRQPDLWAQVLVQE NVHRRALIDQLVATALPECTDPDDVSITVKAFLQADLPMELIELLEKIIIEPSPFSDN KNLQNLLLLTAIRADKGKVVGYINKLQNYDAADIARIATEHGLYEEALTIYKKHEQHA MAINVLVEHIVSIDRGLEYANKVNQPEVWSRLAKAQLDGLRIKDSVESYIKAQDPSNF AEVIEIANHAGKHDELVRYLQMARKTLREPKIDTELAYAYAKTDRLHDMEDFLGMTNV ADILEVGEKCFEDELYQAAKLLFTSISNWARLATTLIYLGENQAAVESARKAGNTQVW KQVHAACIEKSEFRLAQICGLHIIVHAEELSALITLYERRGHFEEIISLLEAGLSLER AHMGIFTELSILLSKYKPAKLMEHLKLFVARINIPKVIKATEKAHLWPELVFLYIKYD EFDNAALAMIERSADAWEHNQFKDVIVRAANVEIYYKALTFYLQEQPTLLTDLLTVLI PRIDHSRVVRTFRQIDHIPLIRSYLIAVQHFNLEAVNDAYNDLLIEEEDYKTLRDSID SFDNFNNISLAKRLEKHELLEFRRLAAHLYKKNSRWEESIALSKQDKLYKDAMITAAA SGSTEVAEELLSYFVDIGNKECFAAMLYICFDLLRSDVVEELSWQHGLNDFYMPYKIQ VQRSLVEKLAQLEKEVKERSKKDAQKEQTEAEAPIINPGGFGNLLLTNGIVGQAPPMN GMMPAMTGYGGY JR316_0008223 MSLCGASSKGASFGRGRPIQRKKVVVCGDGACGKTSLLNVFTRG FFTQVYEPTVFENYVHDIYVDEQVVELSLWDTAALKCDLRDDPVIKERLKRYGTHTVQ YEEGLAVARRIRASRYLECSSKHNRGVTEVFYEAARVSLSTRTKGSGDGGCQVM JR316_0008224 MPPSPTYERRMDNSPSADGTVKKRRKGATRLSCAECRRLKLRCD RAIPCSSCVKRGCGAICPDGSLTTGQGNRFVLASTQELHEKISELATRVRELEDALRA SHSHLSTEPHPLLSEELLKIKAPLQRDINSIKPSAAVTVKEEEQNPDVVDAFGSLSIA LSGKTKYFGQTANSVNELPEEEEEDDHLISLQNILPPEILNRASSFPISHYSVTGNVG LQTLYWYLPPAEVAQELRAIYYTYAAWMYHPVNVETFDTEIYPAFYNQTIGPIPDEPL LAHKLSILFMILAIGSLMNQALPAYNLEAEKYHQLARAALFHHSFFDIPTINAVQALY LMTHYLFIDERHGTSSGARWATMGLAVKVAQSIGLHRDSGKWKVDPVETARRRELFWE LFTYDSWQSFTFSRPPSFFPPHLDCKMPHLENPSDEQSFNAWKHRFASECMTLLHDQA FGAKMPTYATVLQLDRKLRAFPVPPILQVAGFGNSEPRQGGYPDSVMLTLQRHIVLAI REMNLLYLHRSFFARAISDHPKDPLGSPYGTSVIAAYRSAGSLVAMMRNLHTQLKEPS ERMWFLWTHMFSCSIILGSIVTRCPSMSLAPSALVQLDSACELFSKAARGFRANKVLS IMLHLQEKAHFSLDEFRRGKGSPLTRYNPSSGPITPDADDDELSVLGGKTRLVSKKEP SSPILMERSPVSQNPIVPLPLSPGLHNNLPESVREYLDSFKVQGGQSQQQQSVFNNHS SNHNSFNSNQYSDASEISPVSMYGMSAMPTSFQSEPSSFLPQQSMQNMMQAGQGSSTA MDTSNSQNESNFPQYFPVYDYGLASSSSGFQRGSGSGSMFTQDQPLVLDTSPGPAHRK ASGSPEGHSNMQTTWLDFVNTMAMQ JR316_0008225 MPPVPIQIAAANGGSSPSSTTSTSTSTSTSSYTRSSSSIAAQPP ALPVTTTTSSTSTTRRGSPTPTFSDDRENYHRVEFEAPLSAGVEGAGVQQQQQAQLAQ GRFSIDLSLELERELENMESPPVTPVNHSARKGRAGSDASHVRREDSVKQEGHADDPA PDPEILAHIITQLRRSLAEMTKERDDLLKMMEDATRREAEAQDALQIMTEKATAAEEQ MMEMRRKMKEDEDQISLLRTKVEESRRGLMRLQTEKRQSMTPIDIARASGALASFGNQ PSSKRASFVPLTGSGRQNGHKRISSVNDSSFGAFPTPDMTPSPNAHAFNIAATEAILS GAPASSGSRRFFGRQSPDGDGLHSAASADSAAAATAAEMVAMRKELQAVKDELEMAKH DLIEAKEAKEASETCVTALREFIAENNVGAAVGGEGAPAHVKLPPPPTMATGEEEYSD SKKTGTGWGFKLWGSSSSNSSGSGSNGNDSSSGAGPYSASVTASPMLPSSSAALAHSP ASATPIGTAAATAPLSRKLGGFFSSRVSTSSSDSREQSRTPLPPPLVLPQLQTNAAAT RMPSQRDSMYSYSDASSVAEPVSPGSDINGLGTAGYVKTAAGFEKVGVVAEEEMQGGI TPVHVSMSTMDLEGLR JR316_0008226 MATAAYHPPYDPLSTNAPYAPKPSLPNDNPAPKFPHTRAQLAAL ARQFKPLDPYDDDDNDRVPPRLLNQVISLLIDEREDDLKSLLKAAFGVDDHSVEHCVL DLMHKHRDDVAGVPFLFLTPTRRPISRPSSRASTTSNRLRPETPISAPTSPLINIFRR PPNSPLAPMSSKSDYSPSSSPVLTYAQAPPTQFTASLPASPISSPRLLNAKANEFRPI PRPLSAAASHSSAASLLRADTPSPDLWAHNSPRATSNLAIAAPLIADQSHSFTPSSSL RSSHRPKDDDEEDDPFDPFSTNPIPTFHSITISDFDTFDSNSWQNSADLSYPSVFYPQ EYVPEPESEEASAALTDGMTPFDVLSSVFGSTLAPSELEDALAANGFDFDRAMAWLVD RALPAAPAPQARMQRMGAGVTLVSRDAATASARGRGGAAPFNQAARPAPRYVNGRPVP GGNRVCRYFVGGECLRADCRFSHDLERALCRFWLRGTCAKQESCEFLHHLPKDVDIAS LNAVLSKANVPPGTGPFAAQYQQQQQPAGPPVDEFPVLGYDANGAGRGGAPGRKFVPY NADPSRTRFAAAVKKPAQQAIGGQEETNGNGNGNGINASINSHHHLSAAARREAMGTA ADNLHHQSAIVAPRASPRLKLHPPFLLPTLPTGDAVNTMYMAYRQRALQLGAARNACL SRAADAWRRGDGAAAKRFSREGHEMNGKMAVEMREAVDGLVRERARVAERAVRSRDAA WSDDPGDRGARGKACGGGLGVVLGVASAAALVGVGAGAGESAEERTEAMLDLHGLHAN EATEVLEKFLLALESEHFYGLAYAIVGEEKHTGTQDIARGASRARLATGVREWLHRWG YPWSERDGIICVDPLTHLSE JR316_0008227 MSDSTSSVQETERVALADVSIFPATRAQIVESRRRSYEAWGRGM TLEEYLARDAVMDGHEVARDGKLVTWVLARRDDPKSLDFFCSIETFKREGFVVDAGAE KGREVACYGLASLFTAPRHRKKGYGTHLMRMVHWVLAPAEWLPEFPREVWGAPPDRAG SGWADAEQVLEGHGGDAAFSTLYSDVGPEIYRACGVVPGAEGWVVTEPVSSGWRVDEV GGEEEEGWEWLDLQGVGECWKADAELMKREMEEMGGGVCACLPDKGVGEFQVIRRLGL ADKLGIVHWGVRRGDAYASWTVEEQNGAPVLLVTRLRAGREDIGKLARVVAGHGGRHG IKDVEVWGLREQFAGFVRTWVREDHLSSIKSYSGDLRWVFNEK JR316_0008228 MPVPDARTRNKISLNPSKVLSTSIPGKLVPRIVLSNVLFVFAVY ASKEWLLNFDVGVFWVLMRVLACGGLGVVVWEVLTSQAEKRKSIEWTVLGMASLLQFV QYGCLFTALYRLSPARVILFAHFSTYWVGSLISPSSTRKTLAVVAALLVSVLSDTELF STDVGKYAPGYGALLLHGLSSSALEHTLGVLSPSLGTTFATAATRLSLNTDSTVDITL SLNHLSFKPQHFGFSFPTLLIGAHILGNFSFRQTPLWTDLFVASFLYVGMYPESIDAF TPVVPRTPTSRLIRSYLKTILSNPESRKIFYFLMLNLCYMLVQMLYGVWTNSLGLISD AIHMAFDCMAIGMGLFASVMATWEPNERFTYGYGRIETLSGFANGIFLILISIFIMFE AIQRILEPPEMNTSQLLLVSSLGLAVNLFGMFAMGGHHHHGGHSHSHGHSHSHATPGA DHSGHSHDHGHGGGHSHTHGPTTPLSPTSASARPHSLSLPPPPPSPRAPNSGLIWRIR MGIPVRLLALRRIRILIRICKIRIRNIRIRVKEKTIRLSVVMIILRIRIRTRIRLQLM MSMGMHTIMGTTKIMGMVMVMVMVMVMTISISIVMKKNMGILTRIHIHQLPRTITIIP IPIRKALRTTHGKCQRAVFLSDLWRGIATHSVDIHSQLQSQTMVVSPQPPESSSSSGT PLTPSYTFGHDDHYDEHHRHHHHETRRAPNVHVPSAHAVASHEGHSHNMRGVFLHVMA DTLGSVGVIVSTLLIQFYGWTGFDPIASLFIAILIAASVVPLVMDTGKVLALDVADRE RDIQSALSELSTIEGLASYSYPRFWPKDSSTLIGSIHIQLAPSASAVDPHGPHSSRGT TTYTNAERVVERVDKHLRGGIGGLEELTIQVEGGAVNVGRIQIRAEAEVEAEASG JR316_0008229 MPSSASPPYPSREDSAQSGSSLVARHPKRRGVALSCAECRRLKL KCSRAFPCSNCVKKGCAAICPEGSLTTGKGNRFVLANTEALHEKITVLANRVRQLEDG LAQSHAATSHTPHPLLSEELLQIKRPLERERLDVPQVEEKPETEDNIDSLGSLSISND GKSTFFGRTASSWNEEGSEDEEELPAGVDDIAGPSDPAWLSYSFPFSPPIGKMKDALR QSLISKLPRMPLAKIQCDNYFRHAAWMYTPITEVDFNESVWRPMYELEGSYDTVSAHH LAILFMVLAIGTLLDLDREAHSPEAMQLYHYGRAALSIDCVLEEQTIAGIQAMFLMCH FMFLAEIYNPRWTIMGMIVKVAQSVNRDSGKWNLDPEQTRKRRELFYELLTYDSWQSF TFGRPPSLSSAHIDNQMPHETTKNSAGEIEMSFAAWKHRFVSQCLSIVHDEGFGTRTP SYKVVQDLDRKVRNWYFPPSLQVPGFGAAAKLVSTEVEQPTVQLTMQRYTAFAIKEMC KHVSFLGHSATAGLIRRTIKKTILLLIALFYMHRGFFAQALEDNPNDPMGSKYSPSVL AAYTSATSFVGLIESLYNQHPQLTERMWFLFTHVFSCAIVLGSIAAKSQMGLARSALS HLDSAYNLFTRVTDKARAGKIIPILAKLRERAQMANSNLPLQPENATRLSFYGPKIKS EVDELSTLGGMTRLVSRKSSGSPSVSAPSPPSHHSSPSNLAESQIYLANPPEQSNANA WQNYTHIQNFNVNINMGDYYPNGATADPQGDMSLLYQIPNHSLQQQPQSMSLDMNHGH HHQSYYSNSYGGYGNGNQFMMSHMTASPELTTPTHDMQESWQNLMAQYR JR316_0008230 MVPPPRDDDWSDSDDDDLSQVETSVLLGVPDGNVDEETDILDAA VSRIGGLPVRSLVAIRSWRGLRLNEKYAAKLAKKRQRQQERAKAKAEAEKERARLQAH KKKAGNPFTMNGSEVNSAPAFGFGAHIFGAPTSVTSDESPPVVKEEDVRERQAEDVND SDSDSGSSDEESLLTAMAEATISESPWRSAPSYPPIYLSTVAEYLPPQPKPRLPQGIK VEDLQDDDRKEKDVSWAKETYEDSLEVDQVFERFMKRVGYEGEQCVRYELKGTPLPFA SDKTFDLLWPMVKQDPLPVTKPDFKVVHNQRRVYDTSAVPRCPHCKGPRVFECQLMPN LINILRPSTSADNKKMTDEERRKAVQQALKNEDKLAKTGMDWGTAMIFSCENDCCQED GKDLKDCWREEIVYIQWDV JR316_0008231 MAPARRARSPSAAQPNYADTTDEDSEQETPRPHKSLLKKRLSEV YHPDNDGASGSDQSNRPPLRAVNINDDAAEKRRRRKSTKHAVIDSSVLAGPSSETMQT QEVPEPARGGKQKTLQSITPLSINVDMDVMSSNFEEWMKMATDNKINASNSWNFALID YFHDMSLLRNNDDNSINFQRASYTLDGCVKIWTSRVDSVGTETGKLLSNLETGGKLDE GDDGDNSDNPDGDGTQAKKRKANRGTGATLAKDPASLKNKKPDLEFAVDPLFKKTCAD FDEGGASGLLLNHLSLGIGSEGCMRVIFDASDPMGKVEEEDIIEEPEDEIDLTFLRKH FLPDLSVLEDKAISHSLSEFAFAKGPINLNDMTYLNNAVLDNDDDDESNDQNFGTNMD GVGGNEPPVEDFFIGADAVNDDFGGDMGDDYGGDNGSNNGSVGPIGDAEQTAAGPMGI VPFDPRYQPNTRELTLAMNADGGAFDYFDPNLLKNWAGPEHWKLRKNIRKPEAEAGAA KPNKRQKKEAVKIDFTTPSAKDAKEIAKDLFAPVTGKGASINLPGTGTTAGKKGKKKK EKRDDHRLPDDMHFSDQQLVSLFLKPKFRLQMRGTSKRGNVAEGEIDENFWAQAAANQ AANRDYDPDADESAPAPFNTQFFHEDDFGGGFDDGFDADVGGMADEDAGEQDLLAATQ GQTRRVKPQAVNYTKRAKRVDVRKLKENIWKGLDIVVAKKKPRVREEDGMDVDPEDEE GQTGLTDPNDSRQFSQVISGLQQSYPPDKMEEISTSFCFICLLHLANEQGLKLESTAQ DKPTVNEPVQEESDESKIGNIWDIKIYRDPNATQSA JR316_0008232 MFADGSIAVALVKNQVMIVQAARTHTTREKYLEVRTYTPFGEGV FLPTEVPIARICSSDLLTVLPPMDASRIASQGILELPKKAFSKYIEYSSRHQKRYESL WSAWVSKH JR316_0008233 MFWVATAPLSADGHVNLSPKGLSGTFHIKDENTVWYEDMTGSGV ETIAHLRENGRMTIMFCAFEGPPQIVRLHGRGKVYEYDSSEYNKLIPLNERQPGSRSV IMLHIHRVGTSCGFSVPFYTFKGDRMRLHQYCAKSERADLAAADASQPSLNGLATSFP DEIADNGVRRYWAVLNKTSIDGLPGIQDAHKSKTLFDRDIANKEWKQESLSRAAHQQH SQHQTLGVTTWVDPKLLVVFVLGALTTGLWRNIIVSLFQK JR316_0008234 MVSDAFAHKPLKKLYLFDVDNTLTPARHPRSLTPELQQTLCALR EKVAIGFLGGSDFTKITEQLQTGDEVVLDQFDYGFAENGLIAYKLGKQLPSQSFIEFI GEERYQLMVNFILHYIADLDIPIKRGTFVEFRRGMINVSPIGRNASLQERKDFEILDN KKGYRKAMIEALKTKFPDYGLTYSIGGQLSFDIFPIGWDKTFALSHVEDENFEEIHFF GDKTHKASFVGFSSVALSEIDHLCLLEGRE JR316_0008235 MPSETLSQSKENLPLTRARGENDMETFPVAKKRKIEATSSFASQ LPASQQTSFAEILERLKEEGAAGYIGSEGGEGEWARPTLRNINEKKDSIIFQQIDIET ASNSDGSVILRMFGVTEEGHSVLASITNFKPYFYVPAPRGFTSDDLEPFKNYLTGQLE SGSLVISIETVMQKSLWGYRGDDQIPFLKFTLSDPRSVPKLRDEFHKLSLSVQILIPP YVSLIKENANMRVVGMNWIEVPAGKYQITPPSMKRSSCQLEITLRYDAFISHAPEDSW SKIAPLRILSFDIECAGRQGVFPEANVDPVIQIANMVTRQGESKPFIRNVFTLKDCSH IVGSQVLSYEEEEDMLQAWRDFVEEVDPDVVIGYNISNFDFPYLIDRAKALKCLKFPY LGRMKGVKTETKSTHFSSKAYGQRDSKETPLDGRLQLDLLQYMQREHKLRSYTLNAVC AQFLGEQKEDVHYSVITELQKGSPESRRRLAVYCLKDAYLPQRLLDKLMCLVNYIEMS RVTGVPFNYLLSRGQSIKVLSQLYRKANAEGYLVPAGKGEGTDEQYEGATVIEPKKGY YDVPIATLDFASLYPSIMMAHNLCYTTLLEKATIDRLGLQKDVDYIQTPNNDLFATSS RRKGLLPTILEDLISARKRAKADLKKETDPFKKAVLDGRQLALKISANSVYGFTGATI GKLPCLAISSSVTAYGRQMIEKTKQEVESEYSIANGHSHDAEVIYGDTDSVMVRFGPT DLATVMDLGREAAKLVTQKFIRPINLEFEKVYYPYLLISKKRYAGLYWTKTEKYDKMD AKGIETVRRDNCRLVSTVIETCLHKMLIDRDVKGAEEYTKRTISDLLQNKVDMSQLVI TKALSKTDYVGKQAHVELAERMKQRDAGSAPTLGDRVAYVIIKGIKGAAAYEKSEDPI YVLDNNIPIDTKYYLENQLSKPLLRIFEPILGEKASSLLSGDHTRTIQIATPTVGGLM KFAVKTVTCLGCKTPLRGKEANGALCKNCKPRMQEFYYKQVMAASELQVRYSRLWTQC QRCQGSLHQDVLCTSKDCPIFYMRKKAQKDVEDANAVLDRFDGTDW JR316_0008236 MGAATPNTYVPTHPGSFLVEFVPGEYRSSLKSLKSFQAGETLAL LTGISKGVKAYSSVQCGNGPNDHIELNSDLLYVNHSCEPNVAFDLSASDRAKWHLKAL KKIDAGDHLTFFYPSTEWEMSQPFTCECRAPTCLGTIQGAKYLSLQDLLARGYVSPWI IEAKQSQSG JR316_0008237 MAVLNAGRYSFTTPHGKTIGAIVVGGCLLSYYALVNVNEEARLR EPPKPPGRHDYTSTDAVYAVTYPAKNANVKGAQPSASKFV JR316_0008238 MAPTKKSKAAKSTESIAARLALVVKSGQYTLGYKSALKQMRNGK AKLVLIAGNCPPLRKSELEYYAMLSKTTVHHFAGTNVALGTAAGKLFRVGVMTVSDQG DSDLLNFAEGNAA JR316_0008239 MSIRDANVVIIEVSRTVVRAGLGLHDLLKTPTVEIPARVGLRRN ALGDNSESQLNGGLHGSDEPLASTSRATSAFPQMASPTAAVKDYLVGSQLDEALANGQ DIVVSWPFADGGVNDWTQAEAIWKYVLFNQLQRRRVQNESPILFSITAGASRDTYERI CQIFFERFNVAGFAILERPMAQLYAANSLSGVVVDIGDEVTDITPIYDGFILHNARSS VALGIRHCQNYVANLLRANQFVVNALSPPENPLDPKTLHDTLLELVKQLWKEDHIKIP SDGETALPEDEGVMDIAAVVVAGREKAVIESTQKKKNATKQTEAERKRERDVEAMDLI TVQFREHSLTIGKERHRFCEPLFDPSLLLDLPGTAALNPALEKPLALQEVVGHVVNQT EVDQRQYIWHGLFVTGDITRHIKGIGVALQSRLASFINNPDLLTDIQPRSIRVLSVPE YYAEYQQTGNGYAAFLGSSITAKIIFSEPNGRNFVSKADYTLKGPHSIIEMTPSLL JR316_0008240 MWNALDVPDSPAEPFTDYNRWRLLVNDGGRHTWHYLRTDEEVER WPQNEVDRFWLGLKTSMPDLPPAKDALDAARNGYKFYKHLQSHDGHWAGEYGGPMFIL PGLVFGTYVTGMSFKLEERLEMARYIMNRAHPEDGGWGMHVEGHSTVFGTALNYAALR ILGVDKDHPVCVRARAKLHQLGGATSIPAWGKFWLSILNCYDWAGNNPVPPELWLLPD WVPFHPHKWWIHVRTVYVPMSYLYGVKYKMEENDLILSLREELYTQNYYTIDWPAQRN NVHEVDMYAPHTALFDMINVVLSSYESCILPPLRRAGCDAAYRLIVMEDENTGYQTLA PISKMFNLIARAHVEGPESTAYKMHAIRRADFMWVGADGMMMTGTNGSQVWDTGFIAQ ALVETGLANEEENKESLIKALGWIDQAQIQHNPKHYKEAYRHRTKGAWGFSTTEQGYS VSDCTGEGLKAALYMQFNLDFTPKLISKQRLCDAVDMMLTLQNPDGGFASYELIRGPA WLEWLNPAEVFGNIMIEYNYPECTTSVITALAIFRKHFPDYRKDDIQRTIDGAIMFLH KAQTPEGGWVGSWGICFTYACQFALESLSLVGETYETSEYSRKACEFLLSHQREDGGW GESYKSCESSTWVEHENTQSVQTCWAVLALMYAKYPHPEPIEKAVKLVMSRQKPDGSW PQEAIEGVFNKTCAIAYPNFKFSFAIWMLGKAHYYLQDLKAKKLANAKLNGVNGNGKA NGHHY JR316_0008241 MGQFGIACIRVISVLVALASFVQATPVLDVEQKAIFTRFASVNN PDVSLRFVSDSGVCETTPGVHQMSGYIDVGTNMSMWFWFFESRESPETAPFTLWLNGG PGCSSMIGLFQENGPCQVNPDGATTVLNPFSWNNISNMIYIDQPIGTGFSFGTDTVNS TLAASPFVWQAFQVLFESNEFAKYQSREFIFATESYGGHYGPAMVTFFDQQNALIKAG ALKGELVTISALMINNGWYDPLIQNKAYVDFAFNAPGYGQLQPDNVLAKLNQSYFEPG GCKDQEEACYAAGTGPDSDAICKKADNFCVENVFSPAVGNRDSDDLRQNASSPNPFPP EFYLNFLKSPTVKAKIGAESTYHECANAPFNLFSTTGDDARTLLPQLGALANSTLKIL LWAGDADINCNWLGGHASALAMDWYGAGRLAATPFTNMTINGVPVAAIQNVDNFSFAR VFQAGHEVPAFQPQAALEIFRQVINMEQLHSV JR316_0008242 MKTFTEMPPFVISFIQKQHMFWVATAPLSADGHVNLSPKGLSGT FHIKDENTVWYEDMTGSGVETIAHLRENGRMTIMFCAFEGPPQIVRLHGRGKVYEYDS SEYNKLIPLNERQPGSRSVIMLHIHRVGTSCGFSVPFYTFKGDRMRLHQYCAKSERAD LAAADASQPSLNGLATSFPDEIADNGVRRYWAVLNKTSIDGLPGIQDAHKSKTLFDRD IANKEWKQESLSRAAHQQHSQHQTLGVTTWVDPKLFVALRYVNNMGKTFDEIPAFVVP WIQKQRMFWVATAPLSKTGHVNVSPKGFAGTFHIVDQKTVWYEDMTGSGIETVSHLRE NGRMTIMFCAFEGPPQIVRLFGHGKVFEFDTPEYNELVPLDKRQPGSRSVIVLDIHRV GTSCGYSIPFYSFKAERMRLHQFFANKEMADIEAEVNATKIGEDQDDSKGEQPRYPVT ENGVKNYWLVRNKESIDGLPGIQTAYKSGTTFDRRISSKEWKQQNTFMDALRAKLMPY ITNWIDPKLLSGVALGVAVSVLWNSLTKGSGRIMV JR316_0008243 MFADGSIAVALVKNQVMIVQAARTHTTREKYLEVRTYTPFGEGV FLPTEVPIARICSSDLLTVLPPMDASRIASQGMLELPKKAFSKYIEYSSRHQKRYESL WSAWVSKH JR316_0008244 MVSEAFSNRPLKKLCLFDVDGTLTPARQSASPEMIETLAAVRKK LAIGFVGGSDLVKITEQLQVGSENILDEFDYAFAENGLTAYKLGKQLPSQSFINFLGE ERYKKLVNFLLHYIADLDIPIKRGTFLEFRRGMLNVSPIGRNASIQERNDFELLDLKN GYRATLVQTLKEKFPDYGLTYSIGGQISFDVFPNGWDKTFALGLVADEQFEEIHFFGD KTYKGGNDYEIYTDPRTIGHAVKSPADTIRILKELFLQD JR316_0008245 MQTSQYHIFSLPPELLESLTPRNLVNKVASRSHTPEPTVITSKS GPRACAICNGIAFLDVEEQRAHFRSDWHRYNVKTRLNGGKTVSEATFAQLIEGLDDSL SGSASSDDEDENSDAVNALVGKTKRLNTRSPSPDSSIKSLPVTALTWFHSPPSTQLGI YRSIFPLETEPTQYLSELKNLQQRRPEGRTWALFMIAGGHFAGAVVRVSQNEDEDEEP SEGQRSRKKRPKRPKPDTEVLLHKTFHRYTTRRKQGGSQSTNDNAKGPANSAGALLRR YGEQALKDDIRGLLQEWKDELVECERIWIRASTSNRRIFYDYDDAPFSHRDERLRTFP FPTRRPTQSELTRCLMELTRFKISHYTEEELREQDEAYLASLTKPKPVPTVVQATPPE KPKQRQPKLSKEEEVLREKWNRMLEMAIKGRLEALKSFFAREGEALGGVDARIPEWTG EKRATLLQLAVQYGHEDMVQWLLEEARADPTIPLPSITAGHDSITAGHEQENVNVGNK SDDSDLLRSVPVGSQKTAYDLGRTKAIRDVFRRCAALHPDWWDWLGAAHVPSALSHDM EHERDEKKKAKRKGLKDRVKEREAKEREKQKDRPITPPVVETKPTVREIVDSNSTSRR LGGGSGAAQGITGLTPEMRAKVERERRARAAEARLKGLQTS JR316_0008246 MPTSTVRLCQEDVVNVSKDLIRPSGWQCEWNITGHFNKPVMCRI MLTSWQAYLKHLLIHCREYRHENKNTYGCRLPRCSLASGITPTSYNDLIKHLEHSHLN RSALQCPIKGCNSVSFTRHTALEKHFMDEHPEVLDTIVTRPSPLLQPSWKPFYPIHVE IPPLPTSVLPGLVILPAIQGSWRGRPSTPKNLVNENGVNSSPKKLAKMDSWEVKPKPR EESPDEAHDFEFDDLEIQYIKQGDQILPKFLTAEQCVIRPEGPHYDLARPQPQLDPNE YGHRVLPATILYDAWRRQHESEFVFTSSEDEKDEGEGGCENENENDSTAGASS JR316_0008247 MAPTRISLAQQIAQLEEPAPADYDPEDLQSQGAEINDDMRIDLT GSREHYVDVGPSDLRNKLPSIAHPKYEGIRVSRSQLLQDSDDGHEDEEEEEKEEDGQD GGQKEREDDNDEIPSENSENEGSQNWESADREPSESEAEESEDSEGETSISKSSQQRQ TEVGRENFEDLASTLKKTRENDLTKGQAIKRQIAVWDTLLDTRIRLQKSILSANRLPP PSEIKAYLQDASCYDAISGFLNEASSLADEILELQENLLKQNNIVELPPRKRRRLDAE APSIEEYSDSVSAASANVVVLEQVFHPYLLQTLSKWSSKIQAVAPSVLLPSNRGTFLK GSQSLKSVVQLIDENLAEHDKLLARTQVARVKKSRIGANQQETDKDEEDALDAEVFDD TDFYQKLLRDIIDSRGNGTKNEDWMVIQKQKKAKKKVDTKASKGRKLRYEVHEKLQNF MVPVPNSAGAWHEEQVDELFASLLGKGFEGIEINSEDVPVDKPVELGGFRVFGPSRYR KCLTHPAVHNFRSTIKVPSTPRILSIRLRIKEFVVVASFRLQSLPRTVNTSLSVCRNS SPQSGNMNALDDYEEQNPFEHEGADHITSETSSTSQVGLYEPPSPPHTTRQLSPSISN RPPFPSPGSHKQAHVNYKTDFCCTRDRVLHSGDDVEILIIDAQKTSVNSNSPYITYVI RTGNAEARHRYSEFESLRENLSRLYPTLIVPPIPSKQTIGDYAVKQGKAKEDATLISK RKRLLQTFLNRLARHPILSNEHVFHRFLDGEVSWAEVLNSPPLSLLPKNILKAPSHNP TDQNASPAYAALPNPSSAHPLRHPDQRFLDSEAFTHKFANHVGGPMEKVTRRVVKRWS DYAQDHADLGAALNGFSLNEADALASAIEKTGQAVDATYMSTTKLLQDLEQNWAEPLH EYTQFAAIIKKLLAYRHQKHVQYEMTQDALETKKEQLEDLEKSEREARRLEEALGRGR INGSGPPVNPRSEGETEDNGEGSTPNLNSSYLPPHPGPNPARRRTKTPGMGLLNALSY TLHGMMDVDPETARRNGITKTRENISQLEDALHLAAQDLKYSSSTIQADLDRFQRQKV ADLREMAISMARSHRDWCKKNLDAWEEAKREIEKIPDHPNKAPPPAEIPEGPSARRNS SSTVNGR JR316_0008248 MSASPRTAATDVVESSEFQIPGGKIVLILCGLVASGKSTFAIAL QKHYPQFRRCNQDDLGDRRAVEQLARQTLNQGLSICIDRTNFNAAQRSYWIKIAREFP GTEVWVIVFDTPYEICAERLRHRAFHPTIKSPEQGLSILSRFYADFQYPATHEGFQRI LYVKPSDHSSPVYTRSDITETLMRVKSSSLIPTPGSHRGNYGTAHPSARGNSLRGRHN KWQTPNHPVFNPTNHGRGRENYSNVGPTNENQLSPSHHAKNLGINGTECGQVRYPSGV HRDQGNSIMSVGQGSGSIQDPFVIE JR316_0008249 MASSLTLRVARRIPSLLNVSFKASTPSIHPLCRKITFTSSPFTQ NGVVGKLQTSKRWASSVTPQSSASDPAEAEALRCLEQGTAKLEDGDVQGAKELYLRSC EIHRNASSLFNLGVTHFHLKEYDDAIAAWKESISMQPSSADAHTNLASAYLLTTGKRD RSCLGRTLPYIIWSNSNIITEMYTRLKKILHRIASSLSPEDAEIAFNHAAVLEASNRL EEALEKYKLAEQFGVSRAVIHIRNISAKILMQRTASSEKVDG JR316_0008250 MASNSHSEASSSQNPTDVLRTATFSRTEGSASPENAVTSSDLLM AAYDPARLHPLADLGDKLDYLLLDDDKTSELPGAGTAIPSRGWSDDLCYGTGTMYLGG LALGGAWGVREGARRPLAVSNTRLRINSILNSVTRRGTFIGNSAGVMALMYNGVNSSI DALRGKHDTAGSMAAGAVTGALFKSTAGIKPAIAAATLVSGMAGIWSYVKKNI JR316_0008251 MGNYQGINPTWVNVVILQRTLNLLVAVVDIRHHSPQLASTQSPP SSQSSLADLTSFSPVSFARSQPRIQVSSLLADPHTRSYELEIVQHPLRTAEFGAAYLS RVPLTPPIIARLTVRDSSRNSVIPVAELPFLVAHLSLYSGDGATALDMGSFIGRGVLQ NPPTLYGHLVSTVEQLEDLQGNTGLFFLFPDVSIRLRGRYQIQVTLSRLSNSGLPGLA EHGTYLAEARTRPFDALPLHEYIVAPSTRLSQSFIRQGARMFANASYPPHR JR316_0008252 MSANDYYNAGKPQEQGGYYPPPQGGPPGGGYYPQQPQQSYQGGY NGPPQGYPQQGYPQQGYQPQPGPQTIYVYVIVTLNTFEVADLRIVSNNLRRARDQEDA WPA JR316_0008253 MLSRLSAGAFKKAASAGPSRSSNLLYGARSASVRFASSKASGSS AFESIVNSKITLASASLLGVGSIAWYTHLFGNLPFLGEVHASHLSDEGLHPVAYPWSH KGLLDTFDHASIRRGYQVYREVCAACHSLDRIAWRNLVGVSHTADEARAMAEEIEYTD GPDSEGEMFQRPGKLADYMPPPYANEEAARAGNAGALPPDLSLIVKARHGGADYIFSL LTGYVDPPAGVEIREGMNYNPYFPGGAISMARVLFDGLVEYDDGTPATTTQMAKDVVT FLNWAAEPEHDERKKYGIKAVIIFSVLTVISIYVKRFKWGPIKNRKIIYNPPNKTH JR316_0008254 MAVSREQSLYGRRRSNTAQSNFRAVPTFVVPISIGESKVLNAWV HDVKETQSVVFNHSFWPGVQEGDCLKVSGSNVENPEAGFLFIVPREDYCPKPQLQISI PRPIADVFGLPNNSQVDKAGCSADYVEFMFQDQYLGRNEMWRLGKHLSGQCIYTDQEI SFIGGIAAKIQNIYISGQKVSSACMTAATKAIYRSYSAKITIFIQVCRELWEFAGDGE RYNEKIVHSFLPSLFNKWKESGTNHTVTIVLISRVFYDESEIDYAAGPLRRDEEGHWY KDFFKVITDLQVLYEWKPTLVSLKNSFWDFQRDILLTHHYHRATLDSGIGAPAQVRLV GRLSYAQDGPILEALNLGLNPTETHYIDRSLSLTGATTLLISPGTGYFKVSKQLLRLT TTRMLDQGFVLDLILLTKQPLHQSPIFSFRGSDPVSPARSSEKDGFEPGKYEKERKFD PLVMDPLWAADEDSKGEPRQKKTIWWEPFWISTTFWDKQMDLPFRQDRFIARAKMHEI QMLGLLEHDVLSSIEVPFMQHKSDPSTGPAESEETLNISKSEADQFDLNIFSLTTNYN TSSLLAPAGGVTPRPGNDKRGSHRHSTMSRIDTIEESPKQRIFKELPSEGLPNNEISA PSSVSGPGTSPSQSSVRSGRSENSSSSKTPSKTASISKTSLASKLAPSWLFNPFRSGP SEPQTSQVSASASASSSASSFTTSTPQNSQSEKPSRSSVSSMAPPSSPIRMAPAKPTV ASTQQIQPVAIKNKPASRSSLSRTFEEETLAPHRASFLRRSPINTPPRDEILSGKRRS AGYVHGFPSSSSPGAIINPTRPQLAVAYPEASLARRWQHMFPHPTYKYDMKWKSIVTP GCLPLTVEHFPSHAELESTYELTGYEFLVEPGEMRSFLIKPPNVKGSPEEVRRAWALV VMRGMAAVRLAQGFQFVLKPQKTPSEEEKEKEKEKEKNTNNFRRNKSFVGEGEFDTWP TGAAEVLSSTTNPVYLSMTNEIHRISYTGEAIQVKRYVRRLHTTRPFSYQCLIWPKLG GGYTELSTEFQSHGLENYSWNRLDMLVAGYEHHFNESLRYWRTRFVVIPTAERPQVTI GPSGEKLNDEEARLLGIEKLAEQFTKLRWQPPDEKVVHPPVRFLPTTLDPALSILDES LMDQLDQIHAQGPLKKKMKSEREIGNMNLAAIAKAMREEDGVPIKTYHWHRSQYPDSF IGYDFVSWLVREFRDVSSRAQGTEIGIKLQEQGLFEHCRGYHNFLDGLKGEFSLHTTP KYNNWFLKRHLDSDSAIRPSYHTSSNLRSSSKSTGLNSNNRTRNKKTLILSQTMVIDI DPNKRSDQAESVILHHDIIHNPATVFHFELQWIGTTARCIEDQLRLWSRTIDRYGLKL VEAYVTQISDIREHNAFQSCYPMRLSHPPPYVPDLEKRLPEGTQAVKYFEYALLQKFG FIVDVEAADLYPEQIDVVYSYRRSPYKYSQFVHRSGVAFIQVLGGYRGFLFLTNRLMG PGRMGSAIKNKDHLPAVAAERMRVEMAEFCLDRERLQQFYDEKIADLPPAPIMPEEPP PLVI JR316_0008255 MSVLRPFKATDMFKFNNINLDIWTETYGIGFYLSYLARWPDLCC VQAAPSGRLMGYVLGKAEGAGPEWHGHVTAITVAPEYRRLSLAHKMMTLLEMVSERIY QGFFVDLYVRCANIVAIEMYEKMGYSVYRRVREYYGTLGVGKGGKDEEDAFDMRKPLP RDTARRSVRANGRDIVVPATDVS JR316_0008256 MSTESWPPPLKEWVAKCLGQITDSNRAEVQAELKQVISEAFAAQ TLWTTDWAGVQLKSLLPKPPPVFNTLKRKSIETALPTTNSKKAKKEKLKNAAATYTTD FNDQAALNRRAERFQREHEIEKNKNARNGGAAAIKANQQNGHLFNNRSLSSRSGSPYT AQDEPEGDPNVIDWDRYTIVGTSQDIFKDYLRLTSEPKPETIRPYAVLQKTLTELKSR WREHQVKYPWICNQFKSLRQDLTVQRIKNEFTVQVYEIHARIALESNDMVEYNQCQAT LKTLYELGIPGKVEEFTAYRILMLLHGRNRSELNLYVGQLTPKQKADKAVQHALAVQR AQSMGNYHKLCELYLQAPNMGAYIMDHFIDRERIKAMMVITKAYKTIPLSFLQSTLAF DNLEEARTFLVEHRITFFTNPNSPDSEKVLDCKPAMAEVARVFEDKYRKVTIKGAI JR316_0008257 MSLITPSSRSRGGGGKAGARKHENNRIYANPLPLLFVEPPPSRI GSVLGLLGLSLTRVENPHCEGIFDPITRSVWVSNSAHSMILWRRGFFGKGDLSRSEPS WLARQINIRKSGGKQLTSEEITARRRAERKQFKRDRAAAIAAVAEEAEAIFASEGRVI APALSGPAIPSAATWRPTSQPQPEESPSPAEGETEILEDEAPLVDVEHLQLTLQEAFF LLWNLDCLTVFDPTTMEPMTLQQIWIAFQKAELPLFTSSPSTVVESLEFDNPFLINYA VYHHYRSLGWVVKGGIKFCVDYLLYKRGPVFAHAELALVVMPVYEDIEDQKTSSIDLH NSSPFAWSWLSTINRVNSQVQKTLILVYVTIPAKTRVSQSVLLTPACLAHYSVREVVL RRFIPARMRD JR316_0008258 MDKRRQEIEAKRAKLAELRKARADRQRGEVERRNELAAGPSASK KDVDDLVNALVGGRSGLDSGELTPSSSMPGTPSVGRHISLPGIVSGISPRSGSGRASR QSDFAERLSAEGSVAVPGSSNAATDNVIERSMTPRTLPVLVDIEQELFEYPQKERVIY NKEVQTTSVETEPSADYEAEIRQRIAKEKEIELERVAREKELDEESVKLDQEIEQEIR ELSEEERASILAAPEFLEFVEQSSKIVQRALNDGYDYIRDYTVDAESGGDDSEGKRVK RVCEFWDERYGKNRSITDIDWSPKYPELSVASYNKNSAALNEPDGIVAVWNLHMLERP EFIFHSQSDVLSVTFSPFHANLIFGGTYSGQILLWDTRSKHLPVLKTPLSASGHTHPV YAMQMVGTQNAHNLITSSTDGTVCSWLVDMLAQPQETLELVHAGHNKTAEVSITTLDF PDNETTTFWVGTEEGNVYQANRYDRAGAKAGLNQYDVYKGHAGPVMGMHFHPLVGPVD FSDLFLTSSVDWTVKLWRAKSLQKPSTTPHTISPVYSFDEADDYVYDVKWHPAHPAMF GTVDGSGKFDLWNLNTDTEVPVVSTTVGTGRAINKLQWDRKEGRRAALGGSDGRLYIY DIGDMALPRESEWTDMQKTIASIAGSGQANGSAESDATRIVAGR JR316_0008259 MHLSSEPVPQYPRLISRPFKQSPSMKFNMPDPPSSRYLQDIARD QLPPPSLHRSRHGSIQDPAQDYTLPHPSNRRGRREYVQDNAYDKSPSTSSVNRGRKGS SAVHTIDHNTTPLPTSVKRGHKGPVQDISQDRISSPSSVKRGRKGSNQDIAQEQRTSP TMTKQTRKGEYIQPPIQEFIIDFFSVAQDLSKESLPLPLPAKKGRNGFMKFLSKAVPC TSAKSVVEEPSVICSQEVKSVSSSPPSQSEVALSRNKFSTDSIPTRGSFSISARESLL PQFSPEFNLMPGSSRAGHRWPSFMEIETPVLEAGASPRNIVPSPSSSLSAGRRSIHAI VEDADSEPVQIEPSSGNTITTEVPADRQSDHATNTSSDEEPPAPTRMSWADILAAMRD NAEEDASSVPINDLEEFLSAFGLKVSKKEPAVEEMSLFQLNKVVTDHEERDAQTIMDW LVIHPEATGFMSSYPALTRLVEVDADTNEIVNTTSFVNITADLEGEGMGQTVGSMDSL PSVYSQQTSPTHSVENVPQLIFTLPTETDLALDPVMEEETVPAPELLNVAWTPTPMQR RRVYSVTPPKRRISRLRKVASAPDLQLVAAGSSFDDSDDEDTVPSSREVSGVSSTVWT SFSIDSSVDANDSFSMTVVSEEERRKSNPDVGENMLVANLLPDSSLGSFSSNRGRVSI IDAGTATTDPLTASLCVTDVIARVSAEDDGVSVSGFVSAPSTPAPDTHTFESTGNKAV EASSGETQVRSRPVGIYGGPLNMASLRSQMNKVEEIIIANASNRASMSAPSTPQVQSP GSPKRDSQGATPKRRGSMGYKPRAITGIRPLMLPMRVALRDFDDNGNRTVTHPPGTVS PEDSPRNIVPF JR316_0008260 MSNENVIVYRYDTSPYSQKIDHVLALKNIPHEHVSMMLPRPEIA DQLGINYRRIPILAIGNDVYCDTGHRLHWKGASPRQPHKAQFILAPNIARVKKVQLKE PGGLVTAFSKFYTDNVLFPLIVSVLPWEASPPAFIEDRAKTKLDFPIFLAFGKAHNNF VAHHPLPFSSDQPHNHRQLLPEEQLSDSREWLSDTKALSLTDISRSVLDLYAIGIMDL YATGVVDFPATGLWAYGASLVKKGLRYAIGYDGHTPRVFQ JR316_0008261 MSAQGTFKQAPHKLLVIPGPIEVADDVLYANAHPSMSHVSPDFI PVFGDCIRMIREVLFTQNAQPFLISGSGTLGWDQVAANVIEPGEDALVLNTGYFGDSF TDCLETYGANVTQLKAPIGGTVPFDSLESALKAKSYKLLTFTHVDTSTGVLSDAARIA ETVRRVSPSTLIVMDAVCSVASEEIKFDEWGLDVVLTASQKGLGTPPGLSVLVASERA IASWVDRKKKGVREGSYYASWGKWLPIMKAYESGSPAYFATPPVNLIYAFHASLSLIT AKAKSADGTPLPSLTERFALHRAASQRIKSAATSLGFKQVPLQDKEAANGMTALYFPT GVQASDLLPRLGKKGIIVAGGLLASIKDTYFRIGHMGVSVVNQDRGDVETVVKALSES LDEIYEEKGIKKSDK JR316_0008262 MSKLRQEMEEKKAKLAALRKAREDRQRGLLESRGPSSPSRPSVS DAEVRRIVDIVLGPSGGDGTEVDKVPSPSVSRPETPAVGRHITIPGDTSGLSSSGSGR ESRQSDFPDIRPNNGGTFPMMSDASTDNNVERILIPKRFTSLIDIEQELYEYPQKERV MYSKEVQTAFVEVTVTPLPDEVVDIPKSIIKTLGIEDDIKAQEALELEEENAKLDREI ENEIRELSEEERASILNAPEFLEFVEQSSKFVQRVLNDYDYARDYNEASEGGENYEGR RVKLVCEFWDERHGKNRSITDIDWSPKYPELSVASYNKNPAALDEPDGIVAIWNMHLM ERPEFIFHSPSDVLSVLFSPFHPNLIFGGTYAGQILLWDTRSKQLPVLKTPLSSTGHT HPVYAMQMVGTQNAHNLITSSTDGTVCSWLVDMLAQPQETLSLVHSGHNKTADVAITS LDFRHSETTAFMVGTEEGNIYQANRYDRAGAKAGLNQFDVYRGHGGPIMALHFHPLAG PVDFSDLFLTSSVDWTIKLWRSKSLTKPSTTPQVINPLHSFEEANDYVYDVKWHPAHP AMFGSVDGSGKFDLWNLNVDTEVPIVSTIVGSGRAINKLKWDRKEGRRVGLGGSDGRL YVYDIGDMAFPRDSEWTEMQKAISLIAGSTHMSSIAQNDPGRIVAGR JR316_0008263 MSSFDELSYTTPPSPPFDSISSIRYSPTNPDQLLVSSWDATVRF YEIGESGVKQSEAKAKFDHRAAVLACSFSSDAARGYSGGLDTAVRELDLSTEKITNLG THNDSISSMTFTNTNNALITGSWDRSIRFWDPRAATPQQSMHSTPERIYAIDHVNSTL VVAMASRLFHIYDIRKMDKPAQERESSLKYMTRSLACMPDGQGYATASVEGRIAVEYF DPSPASQEKKYAFKCHRQTINDVDHVWPVNSLAFHPVYNTFASAGSDGTVSIWDHKVK KRLRQYPKYPGPVSAVAFNCDGSKIAVGVSYTWDEGEAGQKANAITPWIGVRKIGDEV KPKSWAG JR316_0008264 MFSSMRIVAFLSATIPFAVGAVPGAPLLEHRTLLPDPVCLQIAG AISPASDVYYVGHPLYAKGIYHWASSSTQVAKCVVEPGTAADVAITLGILGSTSTPFA VKGGGHASNPGYSSTEGVMIAMFRFSEVTYDAASQTAVIGAGLIWDDVYAALEPFNVN VVGGRVTGVGVAGFILGGGYSWKTNQYGLTIDTVTAFELVKPNGNIVTVTQTSDPNLF FGLKGGFNNFGIVTRFTLQTFPQTQVWGGLITITAPFIPQVAAATAAFGSSVTDPKAG IITTYNFLAGSPGISQLLFYDGPNPPPGIFDDFLSIPFLTKDVKTRSFKSLVQSSPAN ATAGTRHNLQPTAFPPVRSLGLLPFNIYYAWVSSIFDDDFHDAARQSAATVYNAAISE GQSSLQGAPVYPNYAIFDTPLSDIYGNNLPALQSLKASVDPNNVMGLAGGWKL JR316_0008265 MPTDSTDVGVTRFKIEKGLLSKIPGPVPLSPSVQRSLALAPLSH VSPEFVKIFQESLHMTRKVVHTNSSSTAFILAGSGTFGWDQVGANLIETGDRVLVIHT GYFGEGFKDCLETYGAKVDVVKSVLGGTVPINEIEKALRTAGSQPYKLVTITHVDTST GVLSDARSIAASVRRISPSTLVVLDAVCSLASEDVQMDAWGLDVVLSASQKGLGAPPG LSILVASQRTVSGFEDRIKRGVKSGSYYSSWQKWLPIMRAYDQNKPAYFGTPAVNLVR AYHASLLEITDGPITLPQRLALHRAASDLVKKAGEALGMIQVAHEPNGRAHGMTALYV PNIKGLSLTAADILACVGKRGVVMAGGLVAEVKEKYIRIGHMGWSVVGGNGKDVQFVV KVLEEAVKEAIEISRKKTSTVSARL JR316_0008266 MLSRGLISVALILNAYTVGAFPAYGSLAGLTREQLDTIVPTLTY QQPENPPGPLEDTSAKLVNDAAHPWQPLAPADIRGPCPGLNTLASHGWLPRNGIATPA QIINAVQEGFNMENSIAILVTYAAFLVDGNVVTNLLSIGGKTSFTGPNPPAPATVGGL NTHAVFEGDASMTRADFFFGDNHDFNETLFDQFVDFSNRFGAGNYNLTVAGKLRAQRI QQSIETNPTFSFVSPRFFTAFAESTFPVNFFVDGRRNDGQLDMTTARGFFQNSQMPDG FFRHNGSVGADGIDQVFLASPIAPGANVNGVNTYTPDPTSADFNHFCLLYVNFVNQTI KGLYPNPTGVLRQALNTNLNFLFQGVAGSGCTQVFPYGKD JR316_0008267 MSLNTETSNSNTRGRSYRPRRGGSGHARGRGQARHYPSVSEEVG DGHSATFAGGKRGFTTTATVTAAAAAAVRSVAAKTTKPPVEARSATPTTNNRHFSERR FADAPISSASKAGIKHEFMSDVQAATLDVAMEGHDLLVQARTGTGKTVAFLLPSIERL IKMPKTDKISMLVLAPTRELALQIEEEAKVLLAQHHLTVGHAIGGTNPTTEAARILNR PCDILIATPGRLIDHLRSTTLKEKLTGLKIMVYDEADRLLDQGFRPDLETIAKFLPPR QTRQALLFSATVSNEIKQIAAGALRPGYKFISTLLEDEVNTHEHVEQTYMITPQEKFL GTAIDILKQDLMAHLSATMSTSKCMVFFPTARHVSFAAEVFKHIEGLPPVLEIHSRKS QPARIRAAEDFKKAPSAILLSSDVAARGVDFPGVTLVLQVGLPSSAEQYVHRLGRTAR AGASGRGILILSSEEQSFLSKAEVAELGLKPSTPPPSRTSISHALAQISPEIKSQAYR AFLGFYNSYTKSLRMSKEALVQWANHYAFNSLGWPARDGPPGIDPRTLGKMGLRGVKG VKEEKK JR316_0008268 MDSTVPVVARIAYLTSDVVVDSLPPPPVISQFAQKYNTLSRSST HKARVISSPFGADSASTLLRYTANLTSFTASATSQVLTRLVLQLGEISTLPIALHLAV QDDLSDVLLLRSAVPFFLLSTTAQQAHDNALLAARLARLERKAVVHAFYNYVPLETPD EVPEEKIYSFLVAGKRPTTPRAANGHVTPPHGQANGHYTNGHSTNGHIQVHASSEATE LFKHYESAALETLSLVRRPLRPWTIRGSSEPDTIFLILGKADLPSDIEGVSFISLSLV NPIPYSRLLHDIPPSVTRVVVLEQVYRWHSKWTPLYLDVVTALQERQDVAVQSAILGD SSQIQTPDVIKFIRSCNDLPSSPLALGPIPKIISITDTLPHVPKHEAAYTKILAHLFN ERLEIANSPHLVASQGEIATTPEYALGRVRGQLDSRSALFDSVQELLQEGGLDEDLHS LLSKWALSKDDAIKSRSLGKEIIDSLESKPLDHPAANRILALREFLPSRSRWIIGSDA WSYDLGSSGLHHAIASGLNVNILILDTLPYSSRNNLDPHRRKHDVGLYAMNHGDVFVA SVAVFSSYAQVLQAFAEADRFNGPSVVLAYLPYQSEDVSALEILKETKLAVDTGYWPL YRWDPSKEQAGKEPFSLDSDAIKNDLKAFLDRQNHLSQLVRSTPQMAADIVSSLGETV REARKKRAEEAYNTLLNALDSPPLLILYASDGGAAEKKAKRLANRAKARGLSTTIATL DTYTLDTLAEEEHVVFVTSTAGQGEPPQNGRTFFKALNAAALAGSQLLSKVRYSVFAM GDSHYWPRPEDAHYYNKPGKDLDSRLEKLGAERIVNIGLGDDQDADGSETGYKVWEPL LWKALGVDSIEVTEAEPEPITNEHIKAASGYLRGTIAEGLEDFSTGALAPSDGQLTKF HGIYQQDDRDIREEREAQGVEPAYSFMIRVRMPGGVCKPHQWLQMDQIADEHGCGTFK ITTRSTFQFHGVIKRHLKPAIQDINRVLLDTLAACGDVNRNVICSSIPTMSKLHAQVY EFSKEVSEHLIPRTTAYHEIWLDKKLVAGEALKDFEPLYGEFYLPRKFKIAVAVPPTN DVDVFANDLGFIAIVGDDGELQGFNVSIGGGMGVTHGNKKTYPRAGSIIGFCTPEQGK YVAEKVMLVQRDNGNRTDRKNARLKYTIDRMGLDVYKAEVEKLLGYNLQPERPYTFDR NVDDFGWHTGSDGKHHFTVFIENGRIQDEPGRDFKTGLREIAKVHKGTFRLTANQHLL VSDVADEDLEQIKELLRKYKLDNLDFSGLRLSSSACVAFPTCGLAMAESERYLPVLID KVEKICEENGLRNDSIVMRMTGCPNGCARPYLAEIAFVGKAPGNYAMLLGGGYYGQRL NKIYRESVTEPEILAILRPMIKRYALERLDGERFGDFTIRAGYIAPTTEGRLWYENAG GEGLNREGATVVVAAA JR316_0008269 MSPPHSYTIDDDGDDSDICPVCDGECTCHPPTHAAPRPPPPTPK PGVPLSMAELSLMYAAGSSSRSSSSSGPPYPSSSAPTSTPSTSSSTSTSKPSLKIKLT VPPSLLAKRRQSQPPHAAKSYKNHAETTSDYANAGYTSASDPPASSAPVSTAPAPKKR GRPPKSLARQNQQHTSTLYASARSPPYKPLNPKTAAPGKHPKSIKARPSQTHNNHIKP RPGALSHPHARKKPVKKKRVLQSDDETSSLSSDSDVAMALAGPHVLHRKNVNAGAAPT VMAVADLPTFVSASALSSSLSSTNSSSSSSSLSEFDSDSDIEAEEESFILADVHDKAR VKRELLGGGEKRNGGRNNDWVIRPRKKSVGPSDVEMDVDSDATEEDDDEDEDEDEADA DNDEADAEGEDEDEETEEDVTLGSSAAQMQLAQMQMQMMQDEEEGESTDDHRHRYVGL ATGWSEEEDESSFDADLFFANLSDTDSISSKSSSGTAGFNSAPRNGFSHAHSPFASSM GEEGDQSDISCAASDFTERGFGAAGGDNALKRLKPFEALPFEVTQGWDGQIVFTNGTG ETQNSTLSMVDFDFEADASRFASSSATNSVYGDDSLSQHAYFAPYADMQQQGDSDVEM FTHSEGGYEEEDGELEGSGDTTDEELVGEDDLPNERAMRLFSLPLSVSAINPLSTVSS PGISPGLGRGLGRGGVEGRGRGRKEKRKRGLVGMWGAGAGPSALDILQGRVVFYDSDE AIFDESSEESSSEEDSDDDEEGDSDEEMGSEEEEDEDMIALGFSSSASELEAMSPSEL EEYEYDGGAYGYECDERMIKAMTNKLSRRMMKKRKDSANVGASASVTAGWDENLAGAG VVDEGMGVGTPGGGGKNIHVINKHNAMARKMALAAAAAKNTNGSAKRRKAKGVDKAAG AESATMHSVESVRSVVKKMKNKEKKNKKKRKKTPTGPRQGVFSPSRETRQAVIGDDVK GADVPSPHPRFMGRRRAPRTPAVGDIGMGSAVEHLLRRHLHTLSASISSTGSIADAPP PSVSSASTPAAGGVGVLANDPHDPSSPAATTDERSPLELLLSSVAAVAAANSDMQDDQ AVIGAGDAGVVGDAVQEQDVAKPIQLDDVLDASFLDDPEDTRKSAADAGASNVENDSG ANGEASSPGGQEHQQQDGQDHQSSGQHQQHQEENVHVHKNLARWDMISVGAFRQTRES GWGGFGMGMGMGMGGMHTPHSSADYGNVIKSSPFSSGRLGLGLGFGALAAGSGAGGSG SAGSTRTRGSDKLAKRRRITMGGGSTMSSPLILPLGSAGVGASVSMPGSPSSASSGLG FSAQYQQQQAQTQAQQQQQNQKSRKEQRRERKLMKRKSSGLHGGYASAPYGGGHTPHH GQAFHHHVHHHHHPNAKARSTSGTQRGNFYATGVPPLNL JR316_0008270 MPDLYIPPEKFYFRLLGYSSNLVLFSRDTFEPYVWHYHMGPEYD DQLFQLIHGTGEYAGQYAIKSKKTGKVLFSRPSPNPTIGHIEGDGKYRDNWFKFEVGS GTFSTFFRIVCPSNSLAWVSRTTRDPQVANYNSTGEKYADQYFSFIFEDTIIDHVEYH VDQGKILSSTPIVIATQSLKNDTDTSQTSEASINVSTQETSTFQFAQGFSIKVGATFT TGVPFIAEGKISTEITSTSTFTWGSSTTTSKAYTARFPVTAPAHTVVRAISSVTRSDL DIPITVYSKSKETGVEVKTEGRYYGVTTWDLRHTVSQD JR316_0008271 MSNANNAAPTNATQMKERLYAQLAASLGRTSRAISQTADLCEQL QVDLHAMRIFAGLDAAKFMTVAAQLNPSDQEEDGNQSDIIQDSKKANAQKD JR316_0008272 MLLQSAFLAFALLGSAFAQDTSLAVVKRTFDEALVPGNLSLPFD PTVLLEVTFPQTTGRPITLHAGIQLPRNATAGPPVFRVVGPAGRGPFVVATVDLDAPT PQTRTSAQIRHFLGGNFVFETPRDHFLLTNTTAALSEFRQPTPPAGSDPHRYVFLLFK QSKAFNTQTLVTPATSIANFNISTFAAAVGLGQPLGGTFMLVGPDPTTA JR316_0008273 MLLQSAFFAFTLASSVFAQDFSLATVKKAFEKDLVTGNLSMPFH PRVLLEVTFPQTTGWSVTVQAGIHLSRNETAGPPVFRVIGPAGRGPFVVATVDLDAPT PQTRNLSQIRHFLGGNFFIKHSRDHFQKDHLLLTNTTPALSEFQRPTPPANSDPHRYV FLLFKQSKAFKTQTLVTPETSIISFNISTFADAVGLGRPLGGTFMFVAPDPTDPIIE JR316_0008274 MLHQSAFVLLSLVGSIFAQDTNLATVKKAFDEAHIPGNLSLPFH PKVLLEVSFPQNIGRPITLHAGIQLPRNATAGPPFFSVVGPAGKGPFVIATVDLDPPT PQAPTLAQIRHFLGGNFVYKAPKESVLLTNRTPAVSEFRQPTPPAGSDPHRYVFLLFE QSKTFNDQTLITPTSPIFNFNISSFAAAVGLGQPIGGTFMLVGPDPTTA JR316_0008275 MVQIVYTPAGGDENITSSLRQELDVQSAKSSSNTAEGASAQAEP GFGASFYEKVGKPGIAKQVFFATVASTFALVFASVQTSIETDEWIERMVAVAPIWSVK AISNTDLKRAQNAELIQRLREKLANIQTTVQQLPVLIRPWINLIVVGVMQPYADASEG KRLCWKICLLNVGVWALWKVRSLRGFMTVRFMHNPLSGLSYTLLTSAFSHRTAIHLLC NCLALESFGSAAYYYLLREQNKAEPELLESTASYHFLAFFTSGNAKFRYPRLVAELSS TASATRKTETWASAVAATNAAAASAAKSTAARKTLDILPSLGASGAVYAAVTMTALAF PDSQIALFIPPSYPINIQYGVGGLMLLDVIGIARGWRLFDHWAHLGGAAFGVIYYNYG PTVWRYLRQMNLEAKIEEQNARKQS JR316_0008276 MLPPSPPTPASLLAASALILSLSSLCGGAAAGSGPGPGSNTVSP NPISNPSSSSGTSSSSGSSNTNKNADGGLSQRQTQGMTLSLPLTRRGQPVRTPEEWGV WAKNHREGLEAKYGKHRQSQSRDVSGRVEVRAAGTNLITNQNGDSSYFGSLAIGTPPT PFNVILDTGSADLWVADSDCITGCTNVPTFSPSSSSTFQNTSTPFAITYGSGQAAGSL GTDTVQMAGFAVARQVFAVCNQVSAGLLSSPVSGLLGLAFQSIASSKAEPLWETLVSA GAWDEPVMAFQLTRYLNDSSTQTEEPGGSFQMGFTNSSLYTGDIDYVDMPVQGSYWIL PLTQLTVQNTAISLPSGQQSYAAIDTGTTLVGGPAEYIAQIFEQIPGSQPGTGNFENY YTYPCDTSVNVSLNFGGSRSWSISPADFQLSRLTRTTCLGAFFVLSTGSSAPRWIVGD TFLKNVYSVFRYTPLSIGFAELSATSVAENGASNDTVPSFTVGSSAAATTVTASGGPQ QSASGARPGGRSASRGGPGVKVGGGGRGVLVVVGGTTLLLALVRGYGLVPL JR316_0008277 MARTKQTARKSTGGKAPRKQLAAKSSARKTAAAAGGVKKPHRFR PGTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVMALQEAAEAYL VSLFEDTNLAAIHAKRVTIQPKDLALARRLRGERS JR316_0008278 MSGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGV KRISGLIYEETRGVLKIFLENVIRDSVTYTEHAKRKTVTALDVVYALKRSGRTLYGFG A JR316_0008279 MLPNETLSAIFHELPPSSLAAAARASVRFNAVAERILYSSIYIH DLLLPLRTLKWCAAMRTRPHLFESVKRLHIRWQHAPPDPASSSSSVAPPGPSTAAIGP EHSRYWIHACEQLALVLPLLTELESLDLFLGPANLAPYPAYDDIDPDADTEKIHAIER VVRGCSFPHLRACTLGADSAKGAQPYTRVLVDFLGALPALRHLRLSDLASHHHQYEYD YAPPHLQFAHTTLGAGGGGGPPNYYAARTARLDSLPSTALPLLTSFRGSADAAAALLP GRPVQFLALVGQDSDVTRENLPRLTQTSLPLRYLDLSAMSVRPVLLRNVSAWLPTVET LRVKLALRHTLHYSFSGIRILAGLSAVLAAFPHLTHLDLSPTGIDGVGRADAREEHAV CTEWARACPTLRRVVFPSGTEWVWVGAGGGVGAGAGGAGGAGGGVGVGEGGVEGGGGG EGGGEGDGDGDALATTMAMGGWMQVGAGQ JR316_0008280 MAEDGYTSSATTAWEDHSTDLHTNAYGRPSFQSLDSVDENSSSA HELSTSPKTRSSSDSAPKSSSSQPWRDSTSAAFIDRRDTLSTIHTGTPSLVEPSFDEN VLRALCELDCGVPLLLDRIKQGIVSCREAAVFFKKRAMVEDEYGKTLQKLSRSTSEMY ALNDGKAGSFVSAWQSSMRIHEAMAENRIRFAQRLNEMSEELGTLVKEVDKNRKQTKD LATRYERALQESEGITEKCKNRLDFTSEELERVLLQKEGESIKDTGMQNRPGGAGAGG KRAIGKAVAKGGLLLKGKNPGNIQRQEDDIRARLSTASDQYRKAVTETQAMRQEYFNF QLPRILRALKECADEIDLGTQYHLTRYAFLFESIVLSDGSTLVPPSEEGLGLKSTIET IDNRGDFKNYMQNYAFARGSAPPRGPRREGPSDEGFLPPLPAFNEKTQARPVTPATNG SNGTQDKGRPTFGVDLGEQMLRDNVEVPPIMVKCCEAIEKHGIKSQGIYRVSGMTSKV ANLRQKLEKDLEAVDLDAPEWSGDINNVASVLKMWLRELPDPLLTNSLHQGFIEAAKI ENDRLRHIRLHERVNELPDPNYATLKYFLGHLHRVNQHAADNSMSMQNLAIVFGPTLF GQHASINGQGGVMADQTFQNMAIETILNHYTDIFIDESE JR316_0008281 MDHKHTDYDLTLKGPIRLTSDSVQVTDADEEIFILYSELQIGGA ASTDFRGLGYLDSRKDILEIKFELKGVSEAPSTTPTKKSKLRKAPKEAKTTVEIELMQ DKTALRSRKGDTGSVLWKARSANPRHFLCDRAQTQPYSIDFAQLILEQYHSCASNSLF NRDVLGSQNVLELGAGTGLLSIALSPLVKRYTATDIGPLIHLIEKNVSLNFSGWPNIP AGSGSNVSVEELDWIAVESSSPTQRRKIYNTVNNPVDLLLVVDCIYHPSLIPPFLATV DYLSTPGRTAVLIVSELRAEDVMREFLDKWLCMPGWEIWRIPNEEIGKHYAIFLGWKN JR316_0008282 MFYYISFLRPPPVQAAPYGTISIKPQISNDLRTEPFDGEQELFY SWTQEGTRQPRTTKPIKLTTWRLSSAYKDIPLPVPAGVREGDRWRLTLTGQPQAHGHL TPECIDLSSSQVGRVPFPVMSMPVIFHGRGHKGSPKQEMVERTYLVPYVYRDLSSVTE DDPIPAGEKQMAALHIRENTSFDLDKKIWDSGLGLCSWLIELKENPALRADNVELQRL RDSLFSDEPRKILELGAGVGILLAVIATLRSDLTNVKTGTDNLFATDVESAMPLLEEN ISINDIYYKSNPPKALVLDWDDEELPEAVKTLQNLDAIVMADVTYNTASFPSLCRTLS TLVRMGPKAPTVILSYKVRDDAERDFWKMAVDVGIDFVKIGQRVGAGGAPIEIWSGHV RQV JR316_0008283 MPSVCVEIGMRWAATGEVMEDISISQPHIDFNIPHIASLKSPKP PHDSQDLYSFDLMSATTSASATTTGNVNPINYGVARPSMASTPLWENLLHSSRRAPTS VSDIAYMAPPSAPMDKNATSMRVLLHDTQANFEKFGKHVGQLFEEIKESKAEIKSIHS LFDKDRESLMGDIVDLVNRSQKEIQKSVGVPAQDTMVEQLFKDVNYRLSGLDQRLDAI QSFNQAHTQALQTQIQAVQILLDKQATIMAAVLPLLPLLQAIPLHIDALKTNLTETLS NFVTSYKFPATIQEPALNNLGKRIRVESDKPPQSVSTTPSMSTPVHKKARIDKLPSKS PSKHASVSEIANPSSSPIQRAPLASIRRISTRSHTSSRGNDQKVGPSNGLSPTNLADR TTPLSSGRRRGTFAVPAFTTPRRPLGDLPVSSPRVTSKNLHRRSSVFRLTGTHDLASE SSSDMLPPVFSMVNLTGLTSTEARPPRILHAQTSFSSAGGMMAASGDADIVSASRDER SLRDPRIPVNTPTLQRQSFNVKKESVEDVHTLGTGHMEPPTLASAGLEILAGKPKGMF NKARRSPTREGRRFIPLVDSDESEDDGVK JR316_0008284 MSKAQIKNPFNNMCYVLRVLSQYRCQHIILDHRQKVDCNSNTCS KSASHVPREHSCLTECTQRMGNTQDLIRPNPNFSCNACHLAGF JR316_0008285 MGTDYLNLVPHLVEAGKFQDFVNAGQTLSSVLSPLDNSLAIYAT NLNPPTADDGHTLEDEQSLYIASLDNAPSSGRRLFLTDTLQIFTTMRQLKQDATSKVI HWTSDSEILIHIRKLSIDYSNFIESHWVDASTPALPPETSSSQSSSEHFRKLYTCFSL FVCLFLPEPGDERAPVGETLMVWLNTHFIEPSTEEGVQLSALDRPWEDELFWPYLTRA ILRGLSKASVFFLGVLEKHPSEKLQTITSTLIPLVESQPSLVDFKAESDFAFAFRRWR EKVKALRIDMDRVPEVDRSDGFDNWWDKLSDIVGILEGRHDVIQRICEDMGADWKEVC VAWCTFVDPRMRRDELFEVAASVIADMPPDPTDPEDMLHASLFTANHTETLHHAHQLD SWLSAHLADIMEFIDLIDSTVDEESEISIRDHYVLSYADHLHSDPALWRVTVDYMYSC GEIGQKRADEVLLRVPLKLHQQNSNLMVDSRIRAGDIVGVLKDVNKTCFEYKREHVRR TVCRIAAQTLVSEKDYGLAVSYCTSAEDWRGLARIVDRVLDEYITSGPRKFTQYALAI APSVQELRLQSAIQGVFVHRLIFAVRYARFHELVDKQEYEDAAADLLAIFIEDVAPTS WWAVVLCDATKLLRYTPVALFPSSGASLLLQKLEEIFVRTSQGASDDYLAVLIRVLGC RGEKEALERLKTVRLALAQYFSRSLVSGLGRG JR316_0008286 MSDGSTTQHAIRGSSSTRPRSGSEAIRMRVAHLVQTTTSADRPT VSDREWNHSRYSSSPHRNRSRDDRHKTSGKDKPLPITPSQPRALKRKKSNSGLILGSS DRPENRVPSTHDASGPYISKATSKQASARVFDALAHPPDLDHDLSTSFLQSRQHQRDP PQRSGSDDRQDRLRHDGFRGSLAAAEFDRMRKEIESLKEALQDSRKKSKRDQKQLDEV KSELVAANSTIKEKETELVKVKEKQKKNEEVHLLMLCQICMDLPDQPFAISPCGHVLC LLCLTEWFKKAPPTTDDMDIDPDELTDPHYILMRSKSCPTCRAPVKRRPVPVFMVKAI SSTLKKFKGHSPLDTGFVDSGETSQRYQTDSVDPWKGIFPLSEESDSQGDDSADDYVA FDSDEFEDHAISLHELRQHRVMGSIFAHRDSSDSEEETDDDDEDDHENECVYVFPRWS PPVVDVHPNDRRLREGGPNAFKLLQRGCNIGMIQNFDVSYSHEEGIVVTLPSLSQLYA FSSNSDDDNDAHDTHRDARSRLFLGWNIFLSRYDIDGETFLAEMLQDIENRPARWDVT ARPGVPGAKDARRLVRLDDLEAVDTTDSEVWVDAEDF JR316_0008287 MPANSGRVLLAYSGGLDTSCILAWLIEQGYEVYAFMADVGQEED FAAAEAKALKVGAKKFFLEDLKREFVTELIYPAVQANCIYENVYLLGTSLARPVIARG MIAVAEREGCDYVSHGCTGKGNDQVRFELAFYGLKPDIKVIAPWRLPDFYNRFPGRQS LLAYAEKSGIPVVQTAAKPWSTDENLFHISYEAGILEDPNVTPPADMWKLTTAPEDAP TQPERIAIEFKAGLPTRVVVPEQAKEYTDAVEIFLALNALGRKHGIGRIDIVENRFIG VKSRGCYESPGATILRAAHVDLEGLTLDRNVRALRDQFTTIEFSRILYNGHFFTPERE FITSSIPASQRTVNGLVKLKLYKGNVVIEGRESSEGLYDEQQSSMDELGGFEPTDTTG FIAIESIRIKKWAQANIRKGQAGVAPKDVYGSRV JR316_0008288 MTFGAKFCGTFTLPSLFPPLVDVVRLLRGGQHAHTRRTVPKVLL ACIGVRKVGSKPKTWTKTKSQSNSLLKFDPNSSERVNKPIILLPPNEHILASSVNVNK SLKEAEETLLQEQFVTDKPRKFRSNTPLIYNPQKLKDKEEAKGVNAFVTVCREILRHT AKKKGLKILPDGFVRVSDIMRHEFFKDYTFELFADHVLKDPAKEFDLAHLPELIDGKL QDVWWVRAKRAHTIPVFIIEGCRQLPPSDSEYGKQGILLSETELDRIRLYRTLENVLF ETMHFPSRKERLCVSVDSELAARKGVKFFMTQERKTFAVGNADNIIPPESLLGATLLE IKCSNLLHRLR JR316_0008289 MNAGHVSVTLDSESVVHQSDHRDPLAASAQSTSSFQNEILTQQV QAFLEASEDVDVDAETIEDILSVLISIEEHEEEEADPPSDLHIEEDVDSHDEDGQEIS TLFPPKSEGAQNAEQNTWSKEEIKQMMHLLKESGSSAFIEEYVNQRNIPIIKLLEAFN IELCPELQDRRPKTMLYFLRVALSHQLRNRDKLPQYNTIADTVDLIRKSQRILILTGA GISVSCGIPDFRSRDGLYASLKGKGEYELDDPQQMFDIHYFKENPSGMYLCNVFYSFA SQIYPSNFVPSPCHRFIKAVEDRGKNYTQNIDTLETLAGVKKVLQCHGSFATASCLQC RQRVPGVEIEAEILSHKVPLCKLCNTVPSVPIKKKGKTSKKKAKGQWDSDVEDESDAP EYPPGIMKPDITFFGEKLTDDFDHSLAEDRFRVDLLLVIGTSLKVSPVAEILSHLPHS IPQILINKTPIRHINPDIVLLGNADSIVLHLAKELGWELPPPPEIIPTSNLNAPTTRL QPPRGNLKKRASADDSDFSSSRDPERVGESHVWLFEGADGGKWLQQLQKKLGIPKSVP TSATNSGYNTRQSTPGVSPQKVESDGGRRTKKARAG JR316_0008290 MSSFDSYNAKTTSISYPWPAEVNGIERIALSAQGDLQRVLSAFF ARPIVIALVYSETTRQKSLQSPMEPVQTPTEAIIQSASPESPITQTRQVHLQCAGKIV CTATSTVRITSPDCARLFLQEKYAIGQMFRRLEKVPAFELLSVALGPVKGERQPSSSF TVSKDDSKQLWRKYTLVIPNFECEILEVFPSREMFLRGENWLTGTRADSIPELGGIVA TKVDGNSPMVFKQSLSLVLAAGFLIMLIFEVSIYAGGRSRFC JR316_0008291 MPMTTYSSTKSLFESLQTEFCPTLDSSLIAALLAEIEFDSDGNA VDPTQDQIDFLRTTLSELSLHAEEAQESEFSDVQLVSQFEETISSWTTPDNSPETAGS QSTGSSGTSISSTQSFGSPLRFLQAALPDVPTARLTRALEDAEKMEVDMWDIVAAILT EESIREMEERGWDGSEDGSCDAIDDTNWEVVEVKKPLPKSERKKAQTRSKKIALADIR QQHHINTQLLVTNNKLPRKHPPVGPAADIWTQMSSFSDHIATLLPPHPPSFFLSFFHS PNYGTSYEALRAALTSLCKDFPAGLDHHTTVLYNLLDIILPEYEDCDVAQRTRLISDV ELAVAVTRGKGDESLDLVNLLRDLDSDCDMGLYHLQPAETWTDDKSNRKLPARTVQLP SGPPPVEPPPVSRVKQKAAPPSTSLSKPSPFQWQAVPKRKTVDRAPHSLAHHIPAYAR DVNGKKTTRTSRSNSIAASSNPDFRLRMNEAMRKRNDALREAARMWQRGNSKSRGGEI AFYFAERAREFQELAKTEALNAAREMVSEKRNNSQNKDTVDLHGTTVAEAIAIVKEIL KNEGSTISQAKPLKIITGRGSHSHNQISVLKPAVRKALVEDGWTVGSWDGGLIVFDLY LPLQHVFLTLVM JR316_0008292 MLFYISLVAFLSLLVMLTYRYRTYLTPYLPDRVRSMFPKLSNYT PLSTFSEQVGLGMTSSDFDIEANIRDGDSRMGLDERGTQEVLEIMRRERVNFDQARLI RHNRILASNGIDPSGMPMDSKAITRL JR316_0008293 MVVALRMFSTTTYARRHRQRNKNNIGIGITDIDVPEPNGKHHSI SSSPPKKRRRSLPEDTKINGKPRKKSKSSQTKLASPLMSVHSFQTPLPTFHTLSQVYK LPSSSPKDTPGLLSPVPVVSQATLTSSKKLKENQPRRSAKGNKTWRTSKHGDSSKTLR SSVTLPSGSFCPDDASGPALHNHPYTHDRSRSTSRSSSNSSTISTTLKDSQKFYSTLK YSQSLTSLKLDGRSKRRQNRKHKFSKTKRSILPLASPFVSRSSSPAKAFDNAHISEES FSIPASDYSAMGPPPLHSEIGVGPMDSESDMRTGFSNAFLDQNIPVRQHRNTSGRPTQ GARNTSPYKMAKSVSRLQRENIVSKFKPKSQLGIEIDALVPALEQVRNVQKRRKAEEK HRASSGRERRTSAPSTVQLPRPQQKSVVGTAASRPIGGASSHDEGLAMPRKIWLGTGL DIVVDSRSYHAHGKLSSGEGEEQGHFSQRSHSPLEWMQTRGSIDFNRPPSQMSFDSGY RFEYPDVEAIDGFTSSEEDMDEDDRLGREEEGGKHGRTVTRGRAPIATGRDTHRLGVP FSMDMASALSKFGDDVLAMSTPFKDALVDMKLKANGKPRARLDLADNGYETDLNVVAS VKKRRLEQGKQKSYEHGSTPIFRTSSLPNLKSSPPDSTTVSSSLGLGSSAHIGFKRWI ALQAGEAKGEVAEERDEDENGEKDMDLTGNDSAAMVLTVEATLASKPKRRQDMTSWIT DSIISPPTGYMQWAIKKGGDRESVTNSDGDTDARSIQGSEGMNQHRSGQILGQLHMNL DSGKKGEDVVDETTCGGQTLETRGETTAQQVQCELRLSNESSENTATSAAQEHVHNSA NTKRTRSGTIVPINAPIPPGTRRTRGGTIVGPLPVAAPTSPLPSVPIGKSKIGQDSGV IPDVGAAATRRSRSGTITAAGSVGIVDERTRGGSVQRLNGKATASFLDGDHIISNQQQ RFGDAVHDRHNDTEQDVPGIEASANEYQAQMVEEYETDIECYVDSMYLPPLTSSPDPI DFLRFASIVEEDEDNFTEFGSALGTIGTKEIAWRVAEDPPSPEVVKKRGNKIFRGMGF GGGKGWSLTRRSGGRKQKAQHKKAKFTDDIADGEEADEEINRNGDTEMSDDELLLLPG AMGELGQLR JR316_0008294 MVVNRKQPSVPVLPAISRSSSTQPVPTKKATKNATKQTTTQNGH TGIDSQKNSSKAKAEKPKAKKRKSKSLLDRLFALSLSIFVIYAFYACRPNPLLPYSPS DTLSHDPNRLCRSLATYRTHVLDPYVLPPLKSGLSFAHSVAEPYVAQVQPHIQPYITP VSRSITTVKPYIVRTVSVARSVWVDTLVPLYTETLKPYWVKAVIPRYNKYVHPRLLPV IEQTKLYYRFYVANPLHIQSVKVQRYIHTIYAANVKPYVIKVQPYVKHATRTAYVTSV KTFEAYKTHAHPRIVTGWAIARPVIIQYLKQLRKLTCKFLEVGGNQLKKATKEVGGLR RTYVDPHVRKIWDKVAEGTDLATPATPTITNLSEPTEPKISSTQETAESIEEPTSATP ATSSTVMPTPSATINSGTDNVPAAEPPTPTPQEAAEDEASAPAHAGTVTAATEAGNIP KEVKESVVSDAPAPIPEEESVDPITISEPITSAPTPSDVDAEEEIDLDAFLNDLGVES DSVPEDQVETTISLQEDVTPKQRSEDVLAAIAAKRSEIVDRHNEWFARLDEAIANETV ALGKTLEEWRDLKAAEFQTMKGQGALDNLQKDGEKFLKGLESYLKKAEARSGAWKISK EQQKVEDITIEDKIAAYQDEFSAKKTIAKAEKEKWEIVLSKVEEKFSERTRQFQAEIH QWYMGMREKEGEEVRSAAHRIKNLAETAQADLGLDYAWLEDVTYDDWQQYHNIARMSE AFEQTAYGMQNNTLENAPRDPIMPLLDSLDLDMQDVVAGFQVALGSIRGDAMKVYSVG LGQAEEDDSGFFVVNDGQVRRDDLRGVDFGDLGLKKKTEGAGVVKIRSDAVGGDSENQ GKDDEVHILPIDPSVPTKEDSTTFDASKVVIGKDKVQIEQALKDVPVEHVHEEL JR316_0008295 MSDDEMNIDDMASGGAVRRRGRGFQNTGGNEENVTAEPTYDRVE STQAKDSDTRAARSVEGWIVLVTNVHEEATEEDVQDKFAEFGEIKNLHLNLDRRTGYV KGYALVEYETMTEAQAAIDGASGTPLLEQVLQCDYAFVRPPPSGPKKGRPARGRSASP TFELAVELFSRCLFRLLHPPIRSRVFFVAYIMPGVAHSDSGDSNAVGPDSKIKPDASD AESGSDAGSEGGSEYEIEEVLDAKRGYFPDGRMGYYVKWKGYGSEDNSWVDEVDAVNA KDLVDEFWRKNPKKQKKLLNAKLAEKKSPKKGRKSVASEEVSDSEDALTSKKRGRKST SQKPDPDDMDVDEIEQPPKKARISTQNSKSKMQMVKSSSPESETPIIGNMKQYMGLKS WEGLVKTVDTVEKASESSNSLVIYFTLNTGEKVMEASTICKERFPQKLIEFYESNLRW KTVEDATYD JR316_0008296 MIATPPPAEPQFVSVSAILFAPEEERPRIVTISCRPSPKASQGV CPIPMLDSYFDRNEVAHLVLTEGLNKEPLRFPLHVWYAPNLLTKGSPINRAISHITSN AADKPWCGPVVVLKFNGSRRQGYTDAGSNDLPALSAFFLTYKNLLPTCSEYYAIASRP FIAAL JR316_0008297 MISFTSFPSKPQATSYASSSQYGESKRDLMDIDDEDFESQGSKL TCPGDGHGTYIDDDEVIASVAGTIERVNKLITVRAIRSRYNPEVGDLVVGRITEVQPR RWKVDAHSRQDAVLMLSSVNLPGGVQRRKLESDELQMRGFFEEGDLLVAEVQAFFSDG AMSLHTRSLKYGKLRNGQLVVVPPILVRRLKSHFLTLPCGVDLILGLNGYIWVSKHVK ESEQEGEEGFDAEAVYSNVNDDIDEPTRTAISRVTHIIRVLTSHFIPLSDTLLLEAYE WAVEHESDVRDLLQQDFGDALVSSVVSGK JR316_0008298 MTRHDLLVLDTIETSEYMSGELSDDVLPSQVHPSYPYGNPTHIK HPSSKPRPGYDPTSRALFEDMGYSGGGVNGSLRWRDLGLDDLLPVDESKEEARRAIQA RKMASGSSNQNPPGQQQAYPTQGQLDASNDEDLEEEEEDENDENNNDTMEEIEEVEEE EEPEEDEAEIEEESEGDDE JR316_0008299 MLWTSFLLLGAVQLAASKAISRRWDDVAEKHSWVEVPKGWQFKQ AADPNVFFNLKLGLKQHGMDDLIANLMEISDPFHSRYAQHLTKEEVDNFLAPHPDSLE AVEEWLAFHGIDTSEAQRTSAGNWITLRVSVEQAERMLNTKYNVYEHGPSGEEVIRTL SYSLPQELHSHIDVVAPTTYFGTLRSMRKTSFLQPDVKPITDEELAASLVPGSNAAVP SSCSTTITPTCLRDLYNSSAYVPQATATNKLGVAGYLQEFANLADLQTFFSRFRTDAV GSSFSIVQVNGGGNNQNSPGVEANLDIQYTTGISFPTPNIYYSTGGSPPFTPDSFTTS NTNEPYLDFLTFLLAQKTIPQTLTTSYGDDEQTVPLDYATSVCNMLATLGSLGTTVFY SSGDEGVGGGDCKTNDGTNKVQFQPAFPASCPFVTAVGATTRINPEVAISFSGGGFSR YFATPSYQTTAVNTFLNGLGTKFSGLFNKTGRAYPDVSAQGQGFQVVIGGRVQSVAGT SASSPTVAGIFSLLNDFRISQGKTSLGFINPLIYSTASSGFNDITSGSNPGCGQSGFT AGKGWDPVTGLGTPDFLKLQKLV JR316_0008300 MPLFSSYRRSRQPEDSLQLSLPPTQASSSSSLTTYVQDPMPPAE IQIPTSAEVTMTWNTDALNEGQQITISMGQGQEEQLVLENTVDEPPSPIEPPPDYDVA RIPTYPVVYTFSSLGPSSSAMILVPTQDSPDTRPIYHISVGHDPFFPMSFITSVVRGG HAEGDYVGGFKTIQAYRMIGTNRWLPTPASETVTIRGFEYPMPGLFVKNNKNGLKHFQ WGNKLKEQYRLTWACPAFPGARVYTCYKQGHETQPLAKFTPTNELLRRNTPFPEAKLE IMPIGHGLFDDILISVLLLERMRRVTYNSMGS JR316_0008301 MDVSGLAFSWEIHSDFRNVFTTQKSTQCHDPADDNRIFAEFSVS ATLTTSSPRAEPQLIVMPIGHTLLDDIVISVLLLERQRLTAALDPLSEVQSGKRKAPS PHRIMES JR316_0008302 MFQRQTPYRKEQDTRVNPFPLPSHKTSWTQTASNTQPTTGRTIN PVSQPAAQNANTTPVTMSPPRPSLEPPQRSWNKVLTAGTQIFGTRPRANTSSSNHSRS YSAADATNGTLPCSRPPSYNNTPPSDTAATYTFSQINTSNAMLVVPRHTSGETSPIYY ISVGYDPFLPTCMMTSIFRGSNDQGAYVGSFHTTLLERDNSGQAISIRGVEGKQSDVF RKGSKKKSGNNQTFEWGGKDITQTRLQWTCPDWPAPGTFTCRNSLDNRVLFDPALRTP AIVTAFHVFIRYNTPQSIEQECLIGDGVEIKMVDLGGELSTITLRLSYGPPHTSIGRN FAKKPSIICTVTPTEVSPGLCITGQKEASIAVFAVCQIATKNLIIIVI JR316_0008303 MPSSLPPLLLIRRLLQQLFFAVLFVLRGIAVATIWLGVLPWVTV WTWRMYFSMGESAAWWISDRPRPPSTEPTATFFNRIRYEVPVPPSKSIYTKVSSHPVW IALSADIFTGQIIASLIVLIFVAVFLLREWISQNARPGVFEEEELPELPPVPAPPPPQ PQPQQQPRLVRHFAPLDPANPNLERELRARAAFNERQIEALRAMEAMRQNLDPNIAVD PNRWRTDAQIAALRDRKKKMKAKGQRPPHTVRDENQGETDEEDVQFELEQAKKKIFNR HVTVAQASRRSFPRPPSPELEVAELQNTLDFVIPLDGPTAKTASSAGPSTSPVSPDFF AQNPFSSSSKSDSPFPPVALKPPSGDIPFSLRGWQTTNTNPTPSHEQPEPVFPPVTLQ PPADDIPFSLRGWQTTSQPISKTVSQPQPEVPLQAEPVQRSASTGSAALARPPLPMAP LPQSGHNSPFLFSPGKTSVDSPSHSTYRAPEELQKPQQPEAGPSNPTANSSRDYFTAA YPSSPAEATNGHDEEAEREEWLNAREEAIKMMEEEEIAMEQDSKYYSVRQRLNRRRAH HPHHQHWLENASDDDAEVESKGKGKMKEMEMNIGASTAVVTDVGIGGASTSREPHGAA ATPESALPDSDVDMEVERNRYFQAAAEAKVGDGGEPGLVSPSESDDTEEDDEDDFHED DEVDDRHGNGHRNRRPHPLRRPHLEEEDDDEDDDAVFFREPNDDDGVSDDEEDQAQWN IDEQQQGRQQARAGAPAGGAGGEREIVQWGAPGVPGGAPVANDADVAAGLDLEDADAN GEDELEGVMEAIGMRGPIFGVFQNAALMIFVLDTAIGICVWVPFTIGKTTALLTLDPK RLLQVLHLPIRAMRYLTDPFVDVFVFIIMRVLLPRIGSLLGKLFQLFWFLGSNTIGKL LGQGISSGISEFSLRMYNQSADIISKPLAQLSAWTSPANITTESISPANSTDLLAALP DYLGFTEPYFEVVGREVRVATTEVQQSWVRLSLGNGPLSRFFAISLGYCVVSVLLLFY LNVLTVGNARTAGVAVRNAIRQQLLVLKVAAFIFIELVVFPLGCGIVLDLCTVWLFPE ANLQSRIAFFYSAPLTAMFYHWVAGTMFMYSFAVLLSGCRSVMRPGAMWFIKDPQDQN SHPIRDILDRPTLTQLRKICVSGLMYSFVVACVVGSVAALLVLGSKSILPFRWKNREP LSNVPIDLLFLHLVLPYTMHYFRPKKTIKHFTTIVWKFIATRLRLTSYFFGGRYPEEE FTPKHWKENFIRGNILVVMDDDHADGTFRRVPATDNLALPRDMRATVAVDARGEPVDE AARELMELQNAEAEKAKRSVKDDYMIVYMPPHFRWRIIAFIVVFWIFGAVVLGVGVAV PVQLGRSFFRLFTPREVHDGYSFIIGFYLLWVCYLTAKAVDRLDKRRQRRSADGPRAD LYILVLKRGLLWIAKTAYMVLFLGIVLPTLLALVIDLYIILPIRLMYNPSMVPHIRIV DLWALGLLYSKIAMLSRRMQPNRITRGLQIIAAHGWTRPDPVRATKDVIGPLCGGFLG MIILPGAAFRALQYLFPSITVDNRFMFMHVYPAVFIIAGGVRTAMSMYGVLSAWSQAV RDKEFLVEMRLRNHEPEMENEVPPAMAPASATTAAASGTGGGGGGAAQDGEDGPRPAQ QQQQREERVETDVHWDEYLAENERINAERRAALL JR316_0008304 MSGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGV KRISGLIYEETRGVLKIFLENVIRDSVTYTEHAKRKTVTALDVVYALKRSGRTLYGFG A JR316_0008305 MARTKQTARKSTGGKAPRKQLAAKSSARKTAAAAGGVKKPHRFR PGTVALREIRRYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVMALQEAAEAYL VSLFEDTNLAAIHAKRVTIQPKDLALARRLRGERS JR316_0008306 MDGQTGTGFPGAGFPPPGGPAAPPLFMYPAGMMMVTPDILRALR GWTILTYLEVTAMTLFLYDYTLTFGMEYRLVWKKRSSVIKLLYIFQRYLPFCDVGFLT IYRHSSANLTGPQCHVLTVGTGILLTIRVWALWNRDAALTIALPIGFVSVWVPASVAG YFYIQGLIYPEVPLHPGFLGCVILKDNHMVIWCWLGLLIWDTICLILVAVPGVKSSVQ SKAKQNNFIDVESKMQFEQVRCQGLNTSYIAMRSLSGKLSTVLSPACMLTRVFHSMLA SRVLLELRQQTDNNHPSEFSPTEMDFDERLGAIDTATGHGFGFGFGRVGGDCECGVEG CGGGVGVGGAGGGGGGAGAGAAGGAGAGAGVGGGGGGGMARRNRGRSFDLDDLGA JR316_0008307 MPFAEGFDSIWSLSQKPTSNNTEVVSLYLSTISMSGFGDPKGWI MSMSTSPVTLTLPYSTTALYDDDRGQGRPPIRPLEHSSSQPLSLEPLRPARDSASFRW HSSTPIPTPASSSGSISPVSSSRPNFLPSSQQLNGTGSKQPLRKASSKRLNGTGCSAR PVIPRVIVASYNPNPWPINVGRSQRQTESFSPRRNADATPRPTAPATNDVYPSSPQFH MSAPQPPTTSSP JR316_0008308 MQPATSESSEAPSNTIAVPHQQERPLKRKRCSSTDGHARIYPNE NQRAAESPNPSSMGLQEESLNCSAPTSSERSPLSGIVPSESLNRIATLERALKVISTE VASTGILSSNGTSYPWPTNLYLLQDNLSIALTGAG JR316_0008309 MTAENLRCMDDEKFPWPSPTPTPFQTVRTQLGVSICMPSPYKST RIHDAVVQNNDIAAVLSHQVDTFTLVSASFLFAARSSVNPQKSNGPSPGREQSTRNVE LKRHNLILYGLVFKRRYPDYKTLAETGQGDKLEQLIVKLPLTCTLVTFGVTGAPIVWT GVFGEKRLVPALFSDPDAKATVAITIKNTLRENFRIFDEQYKKLAPNLRQISSIQPSP RAKLGPPPRLPDPVSRLKSADDPAGKWGLMTWELSSFMITSPTPSKHYST JR316_0008310 MKRKIQEALQTTNAMKRSKNEEEVFRKETTITAVSDELGGAFTG LKSLEGSKVTVLKRICETFKLQVAKSGGIHRPAHIKQDYINSILKHLLLLEDDSNEAQ DSPLFDMDVDDDSNIQRIPQAFVKVRVYKNLRDYSTEYLAEVMVEYNNNIGVMIDDLK VTLGSDSIQVSPPPTWTRVPTKNGAGRTPK JR316_0008311 MPIATDFPSIQKTKDDVDYIDAVVKQIEHRIKQLVAERIYLNRK RNSYSPAVKLPPELLSLIFQFACLPDEGHQLEYHTPTMYHYGEINQGLCIGKGALTPF FLGTICSAWRQVAQDTSQLWCAVIVYMNQRHADSQAALLKSWLKRSGQRPISVKLVEG DKANNRDADWPTDVTDIEGDANHERADRWPADFVDVSSAVINVLASHSKQWHTIDIFV PHSWKLELAKVRHNIPLLTSLTLRVADTCPSLAYIDVFAYAPQLHSVHLVGYSVTDIC LPWKQLCHLEGEYFSSWDCLKAMHLGVRLRKCQFEQLCHGLEFTTFNSRPIKHEYLTS FELLMKTTHELDTFLSSLMLPNLKELVVSLSDEESLLWPIIPLMRRSDFKLQLLHLLG TTPDEEQLIGFLKVQPWIKSLILLNPLVTTGGTLGYSFIEAMTPMKARKEYDQPIAYL LPMLEHFEYQGATSFTPHSLVRLLSKRWQTGAQILGEAPVTHRQPLPYPIPAVKVLQM QKVEPALLRSVTITISRAWNLTLSDTQIVQRLEEEGMHIQLLEFPSRNRRRRI JR316_0008312 MSVCVWLGPDQLTIDIPDLVVFFIWPGKKIILRISILNFTNPSD LTVIDLDRPSQENTMSPETSIIFHELLNINTSPCLLFIGQDKDIGIDTIFKESDSLSF ATFTLNTVIGGMEFFERHIVEGDALHSETLKKWFAITSQALNDQDPATFLFDPTILLV GVINHIRATIINNHTFDIQGKKSIAIPFAFIRSWFDILLALLKGPEKDITVRQLLDLG RVVN JR316_0008313 MNEYLDSADILTMARTCKSLRLRCYDIYLHRHKVISFSQSFRKL RLRIQILDNVPQLAIYILLSALPPSHFALENLTVSVDIDTLSLLDFSDLIRPFFETRI KVSSVFLYFNHSDLPIYNTYSQLPLAIITLLSSLGTDCLSLNIEGKESSGRPKVKRTQ ARMKRRSPLAVQASSMGIYTLDSAARRSMYNILMVDLDLAIFEPSRMRKLLPLLLSGS SVVDLTLSSPNSAIIQGVLQDLQLPSMETLKVTVHDILPITLPPYFSSQNPKIQRMSL LNVGHETNTIPYHKRPILRLPPLTKATFSANYSGWLMANNSSLASVEVHPPTTSNDMI CDSIRSMIKVINCYNHTPASAFSHGLTLPVHLSSHTTSTSQLTCTCFDGAEDEVASNI RVLHLCVYTLNADVLVRTSLFGL JR316_0008314 MATSSFGSRPPLMINVAVNPGGRPGFKNRFLHSLPEQESIEDLG HATRTLPSGSRVIKASFHPPSPNTSPNTSQGSSPRADPLRHAITPPPLISGKNLLTST TVGPQREGGLIAKPVHLTSGKLQAYLQWPAAEFAAIKAAIKVLAEKHLDIRKPFKDQD VEELASLREEAISEYPVLAGYENDWATNEFLRRLLKNTSSRSKPKV JR316_0008315 MPHWARPSFPLWEDDPVSSESLPSPQRPERSNVSAVNTDEERGM SVDRDHSEEEEVVEALNENDEVDVRSILRAYLIYKKLIKHNAKDPRKNDAYGVPIPSY PPSPEESVVKLYIEMQTSTGPDPKNLLLLWSSPMSHPWNQQVLNLLLDDLSNYVKDKA LLQLTQLAERSIGADTDVLELFDGIVSPASVKHILEKKLDNCRQRIQTSMRKVYRMQR PTDTSTPLTDNEIRAEMCKGNLELAQTNRRRERRNLRYIRRYEIIEEQLMLAVDDDAR DFWRSIQALYTHFTHKDTSSDESEGECRPPLVKRVRRIRRGWISPSVSAVFHFVDKHY TPEYITGMKKRGAAPLPREVESDKVDERSKPTPELPINFYSSDLPPLVLKALRPRPKF PIPKVVDNLDTGIKTGFGGADGHLGHIFCEGCLRNHWVHNATASRSLLCPACRDEIIQ PRESVDCIRKVFIISTDSVANSHTAQEETRFLRETLEKIKEEKELVLQELASARTEQA LTNQRLKDNLFIAKLKDAQIQQIQHQLEQAHDALNRSSLETATREAHANNEIRKLRQE NATHKAVIEEIKGKNKGLESVIEDLHKKIKDQQIHIANMQKNEGGRDTGGLRTSHIKK GCPIEGGHDLPDVKRRLDFCQPGTPTSPTLISRSATDASSALEDAAHPDMGTRENMRS QIASQNATDVTNFLELEVTPTTDNSHACIAIFGAGNHNPTTKVLSNPGTGPTLSSTYS LPRAGESSNDPQMATTLSSSGDAQVTQKRRAQKKNLVGHAKEAQGTYGK JR316_0008316 MTLLAGGSDDKSISRKRKRMDVEESRMVHKRRHTLESKFLELPH DIHHIIINEFLDTADILSLAHTSNAFRYLCYDLYLQRQSVIQKNASPDIHKRRITMRI NKYTPRLALLILMSSLRSNHFAQVHACLWIDLDPLYHFQNMMFNFLENSQLIVTGLSF LYTPQTLQTLSSEVPSLMMRLLASVGASCTFFYMWENPQFNAVTTTINSFGHHLDSNH INVDAIRPAMDGIVSMDLPLSFFDSPTIRTLAPHLLQGSSITSLVLSCSKTATLQDIL NDVKMPAVSTMVLLVLSDVTQISFPTEFFHRHQSLQRLTLTNGCSNPVNHIAQGSILE LPPLRTVTISSNYSGWRMSDQSLLASVSINPTNYRCAHNGVFCDEVRLLVGAVSQYQD TSPKFPYCLTFPGRIRNHVKLHSQNCTCFPKAHDQLAVNVHTLVLKFTRIMGTDSPLK DWVAFSSYISKWLKWFPDLKSLQITYMGPSSHTPNTELSLAQSLKYQSSKDLQTFQCG TEENYTAWYFQHDHWIPQS JR316_0008317 MHVAIIIAPTQFQHNITCQKRRKPSGDPLMDHASSSARMTTTAR MTTTSTGTLTPREQGSRLFDERHTSEREPIRECRQIRLMIHPAMNNGDTPLLALVTGA TANGRISACEDAETPSA JR316_0008318 MPPQPGVFPISHSTGSSHTQSVIAPAPHASGGLVGGSSHPQQHQ QQHQPHSRGNQHSTHQTAAASSSKHGGSMEDGRKEKKRKESSKFGKDMSDRRDDGRHF TESISALHNSAHILSTRPEMSNMFLLRLYPLSLERSALTAQLENEERYSLDCAQVAYE EERDRVEEEWKRGRERVRERLLEGIEERRRRAREEKDGEGIVGDAALDMHSRPPITRK LRNKLGTSPPPTPHNGSHINGSAHASSYPITNFHNSSGIPNGSGLPSNLPITTGPFLN PHSLSVDDLPSPFPLPLTSTHLPQSSGSNGAALGGAGGAAGGAGAQGGGGRRRVKGGG AHQGQAVGGLGKSLLVLNTIRDNDLEADLGEIRRGNKRRRATAAATSARGVI JR316_0008319 MENEGRPPSYNTSTLADIYAGSSRNVLITSGFNLSSVTLPRSPA SASSSSSSNFESFYDPSPEVFAQDIRRAVKRLVQRGPRYAHTVPPVVPTSSRRPLSTE KRPPTFLPKRTPAISDFENVTYRFEPSYTLPNTMTLVPQYEVDESKDRYTVNVNMNCF MPTSFVTRIFNMSNLIGEFEMGIINTEVSSKVRIGDKLFNIANILSKNGGRDSGTWFW NPLAFGTKFCLKWDYSTRPCTCSSTVGGMDVLATFTSPFGLNEGSNPPMLEVTPAGRE ENLFQHILISLLIVERKRLTPDRDKMPIALLKAYGSLRATTNILMKAYQGNRLETNIL LIHSFTGIHSFVLSPRRSFKYTHYPNDFRLKI JR316_0008320 MKVENRTFVVSGGSSGLGLSTVHDLLSKKAYVAIVDRSPPPQTI SSSPNVKFYQTDITVLKEVASAVDKSVEWAASTGAPLGGVINCAGVGTASKIIDAHGK PHNLELWDFTLAVNLTGSFNLTRLVLEHLVKVSPEDTTDGERGVVVFVASAAAFEGQP GQTAYSATKGALCSMTLPMARDLARHAIRVVTIAPGVFDSSMTANFPAKTRRSLENEG IVYPRRFGQPYEFSKTVMWILDCPYVNGETIRLSGGGRLPGKL JR316_0008321 MFRPSLRSSLRSLHRTAPSTLLASRLVAYRCISDDVRAKLQSAV KASPVVLFMKGTPAEPQCGFSRAVVQILDLHGVPAEKMQTYNVLADQELRSSIKEFSE WPTVPQVYVNGEFIGGCDILIGMHQSGELETLLENNGVIPKIEDSASSEAEAPASEKA TS JR316_0008322 MSKLVAFILGAGTHVGAAVAAKLHKNGYQVALGSRNPKQEGSDG TYFNVKLDVQSRESIESAFDTVVKNLGPVNVVVYNAASRVGPTDPNEFLSVPIEAYQD AASIGVGGFIAAHKALASFRSEVHKENPKVFIVTGNLLPFEQYNPPGFFTLGAQKALL SRFVATASQSYQNENIQFYYATQSSETGTHPGPENFAKGAQAHADVYWKLINNKKQGD WNHQFTLDGKAYPHA JR316_0008323 MGPYQTSARKRRRTQGAEQEESFEVIKSERFWFEDGSVVLQVAN TQFRVHRSTLARHSSVLRDMFSKQKPQKSKVEILVEGCPVVVLADRPEDIEHLLSIFY ESIRVHDLRDQMDIEYISAILRLGKKYDIEYLKEEGLRRLQSEFPLTLEDWDCRNERK NKGF JR316_0008324 MFDIPQPLEPDPNAYIESCPIVEMQDSAYDLEYIISIFYDNIRH HMREPMKFKDIAALLRLGKKYEIGHFQDEALRRLRHDLPSTYNTWEAQLGWVYCQDVC QILEVWNFYPQIISLAHEIGLETILPAAYLCLIQSQSVENILQGKFQWVGQTCNRSVQ ESDQETTIYSFPQSAVHACLIGRDKLLCEIQKTYIDWFQTDVVLPGEDCTTPSECQQE KAETLASLTGMKFFDDIKAMDFDTDFNTETSVCSSCKRSVKDVIQQNRMEIWSRLPSI FGLPDWEDLEDFDM JR316_0008325 MSFCAHCVKGVTHEGTPQGKWEDIAGVNTYVATPSGDYPKDKAI LFLTDVFGPQLINAQLLADDFAANGFKVFAPDYLHGDPVPPDALNPGSGFDLMKWFPN HTQEKTRPSLDKVIAALKEQGITVFGATGYCFGGRYVFDLAFDNVIQASVVSHPSLLQ VPADLEKYISTSKAPLLINSCTVDSQFPPESQAKADELFGDDKFAPGYKREYFDGCTH GFAVRGDMSDPKVKAGKEGAFKSAVDWFIAKL JR316_0008326 MTQYIDLSHSLTSTTQIYPSDPEFQTLPHATHSKDGYAVQKLSL GTHTGTHIDAPYHFLAEGETIDKIPLDRFVGEAIVVDLSGEESSHGKPQLHERQRITW ESFETHTHLMHPGGIVLINTGWSKAHYQTSRYYDHPYFAPDVATQLLARGVRVVGVDT LNPDETPQSSSNSECPDGFGFHEIFLGAGGLIAENITNLEELIAAQKTSSDDKWIVNL VPLNLTGADGSPVRAFAYNQFK JR316_0008327 MSLCPECIKVVRHEGTPEGKIEKIGGVDTYVATPAADYPKDKAI LFLADAMGHHPNSFLLADDFARNGFKTYIPEYLNGDPVPPDAFTADGPPFDIQAWVSR HPQSVTRPTLDKFLDGLKEQGVTIYGATGYCFGGRYVFDLAFENIIKVGVVSHPSLLH CPADLEKYFSTSKAPLLINSCPVDSQFPIEAQKKADELFGDGKFAPGYRREFFEGCVH GFAVRGDMSDPNVKAGKEGAFKAAVEWFIKHL JR316_0008328 MDPTASDSLANLSISGQGGQSSNQKLNSNPGRDKREKGKAKSQK EVSKDGQKQTPKPNPSKSTKLRGLEKDSPEVRISKTLSWLLRHGAQGEGLAMRKDGYV KVSELLENPKLKAQTVDLERIKAMVKADSKQRYDLVLESPDGKKLKTYGDDSEPIPSV TPASESRTIDAEISNHTELHEGQVDKDQGIWWIKARQGHSIKTVQLELKPITSISDIP TGIAVHGTNRAAWQLISKQGLSKMKRNHIHLAQNVAGENVVSGMRTSSQVLIYIDIPK ALEAGIKFWLSDNGVVLTEGDAHGILSKEFFSKVVDVKNGELKDWKNLTA JR316_0008329 MEGMHNRMKSTGRTLESDPTLRLKARPGNRQDYEDREHLEKSTF GKRVKAQGSKSGSRSPSEARGNKSKKKLGLGKAAASSSPAKAYRNGTQDTQSKLDSNS DDDELDFLSASSQAGAEYDPSSSQRPVQASKPSLFSGAKPSKISSKHNDRLLTQSEHG YTDKKGNYHEYDPKFPPKKLPGISFKKNKSEETGQDSKSIPSTSQSSSLLPASKENKV PSKSKSAPSNRNNVLDLTLSSPLKAENDSDARSASPLSSRSTNSNRPATPSTASGSRL PLPGRNRCAADDIPRQDPANLRLKIRPRPRPVVKSKTTIDVTDVQPKDRKKGFQSTPP ATKVLTQSRSESVQAMSPKPRKRVPAPFPLTAEDMLVDSTPLEETPKAPRSSRRKPQQ FPLHSLARDKGKGKANLDVSEDGGDEEEVTKKKPTKLKPKPFPMSKELFSSTSMSPEF FPSGSVGKRSSEAGSGDERATKKSRQSLDKYIHASKGQHIPSTEGNKISIGLSPRVDP RTLCPFCDTPLPSELTPLLSNLLETTRQIARKDPRPTNPLGLKAPFTTYITVCQRHRF ETKILPEAEQKGWPKKIKWKKVSGRVLKMKTFLQALIEDPGNDSRSESSDSEWQILAT TNSGKSKKRSIKGAKARSIFWTEYMNEVKTKGLRAMSGFQGQYATFDKAQPGYYGEMG YAIIQQTLYNMFPPSEIDPQSILPLTPTEFIQRILVPEVALHLIMEDRNLEGDEGMKE ALKILRESSAYGVSMFPEDACEPGASQKPIDETKLGVGDRIVMERARKRRKELEAEDA KEEEEQRRQEELDKIAKEKEAEEKLRKDRELARTEIGSKSSQPEVRPRPRPRPLPADR SKKNVAHSDGMASESTDDTDASTRSGRPVVRRHVASGSGLGGILRGSSDWDMERDHGN RSSSQSRSSASSGSEIEIEEHTTRRPSSEERRRSPSASEPRITRSRAASASDWDSESS RNAVIGTGGRPPNKGLKGRSNSRSKNTARRESLSCDSDSESVDLGKTPIPQKMKPYRD EEETPRPSNKQNLGPLARARARNPERNTLNTGANSKTKEKSAAPPPPAENFSFMFSSD E JR316_0008330 MPLRLDVPGDGTPPTRLYRRKSLKLTRAPSAQAETEAKEPDFLL TSLPTPKVPMEVLEQIIFFYAEDALALDDLLDCRRSAFRNFIKSCTLVSKDFRFLVLR RFFEQISFENTKHSKALFGYLAEVDLGYKMLGWTGGYFWVRSLSTASFLIRFNTRNLK NLTHLSNLAIDFAHSGLILQSVALTEMFNSIAQPNIAWGLTSLTLTRLPRIDVHLLTL ISTSFPVLVKLHISSIEALDDCCPSCYENSQMRMVHSPVDDLYPDIASLTDAIGSSLA PLKNLTDLFIGVFLSRSNLLDLHITHAKSNIDMDQLFVAAIALCPLCEIHRKPTKEAE LTASLCLARHLDSLKRIGWGTCFDRSLEIERSRKEPNTIEGSRQTNRMGKISDEVIID RPSTTADAGLDRLSTLLSIARTDETIRIRRLY JR316_0008331 MATTASEARKAEMARLFDLFEKLKSHRARPTSTIDEDAPMEDIR PPSRPRSTLIQTTTTEQDPAIKKLSSIVEKSSTSTRPRRNTVASMIAHGGGDVMPVDE SHCDSDAESLSKFPLAERKRFPFTFKHMVHKLYDKDDWVKTIKETLEKSQNEFKPLAE QQQVPHISENDKKRSVEEEVEGGRDEKTKENVIRFEIATNPGTRTRGNSTGRQRSLSI ATTGATKGYTPLSPGVRSPGFRAPVIKSPVPGIRSPGAEIDPEVRVVKKRCVGRRKSA SGLANNEEASLKPDGSPRRAWVYNAAVSASERPIATAFVACPPAPPSSPTGGRAPSAR YQGLGTKNLPSTMQLGGMGTKRRVIPATDAPTATSFAAFHLGPPASPTGNKGPSSRYP ALGTKNLPTMMQAGGTGMKPRVVPATDAPAAARFTGFPPGPPPSPTGPSKGFMTKYST PNSNKLPSTLKERGKPGLKRRGSIEGEMMKAAPMMMPLQQVTNLNTDGLAARRRSRIA SKTEDDKGGEKVIEQKESIMKEMT JR316_0008332 MYSESDSQESEYTERPSKPSRPPRKKRRRDVKEEPGAKDVVEFP IQALFSVLRSEYGDEEASFERLVEPLLPDLLSARDSLEKKFIERDENGNLTRPLRQSE ISFFVQELSKKQEPSKTEAIIRRKLKLEQQRRANRLDQPTISAPDSDLTPLSADTEPT ADPEVLKALYDIQTTPFEMSFLARLEGAKNNFPPGLISVDWETRTPWMNLMADIREHY TFAHPEREPAIEAIAPITYSTLHEYHLPQVHDLLERSFWSGIDVSDALDYLPEKTTMV AMYKKVVVGVAIIMSPIQTYITFLAVKSGWDKAQIARTMLFHLISMHPDKDFTLHVSA NSSAMLLYNQFGFKAEEFVAGFYSSYLDSNSRASKNAFKLRLRHI JR316_0008333 MPQPSPFKMFKEILNPPPLVSVDLSGKTVVLVGANSGIGFEAAK HFARMGPQRLILGFRSAERGEEAVASISKDTGYTTIESWTIDLASFASVNAFAERFKK EGGRLDIFVPNAAVLSVSLDFIDDGWETMYAVNHISNTLLVLLLLPAMLETARVHNTT PRVVLVTSGYHYGAKLDSKLIDAPNALRTYGHRDHFTQRIPKKSFDGSQVYAETKLLV IFFTRALNDRLRHKPIIVNTVDPGFVKSGLRRNLGGILGFVIRMMEKLMVRTAEEGSR PMIWAAVGAEEKKDELRGAFISSVSQVEETSDYSNSREGFIAQNKLWVNLNAHILTSI NSASLKENLVEELMQINPGIQQTSQECLTPYNNPTD JR316_0008334 MPMDYQYTQVSAIAMLAYEWALTIDMEINLVWKKPWNLLKAIYL FQRYIVVLDCILALYRHVGSEMTDTKCNRLKPAVMSAMVLGTLTSEAILTIRVWAIWD RHQQLGAFLCLVAVAVWAPGIVTLYLFFADLRYAPAPIYEGFRGCFPMKTSRYIIWWW AALCIWDFGEQQICYCMDHMALANRPFNIPTVCLALVLKPAVHFFRSNMRSRLPLSLV VYRDGILFYIFLFLCSLLNVVSYAAFPLALRIMGTSLTRCLHSMLASRALLQMRQELQ RPTTRTSNFFPSALQHGTTVDTIALTENDRESARN JR316_0008335 MKYTFFDFVRAQWTTIPPVQKQDLSGKTVIVTGGNTGIGLEVVR NFARMNPAKLILTSRSQKRGEEVAAQIRGETGCASVEAWALELAEFASVRAFCDKFER ECDRLDILVENAGIATVNPDFTKDGWELSTQVNDMSTTLLSLLLLPRMLETAQKYHTY PRIVVASSETHHEIPSLDKSSLNSPNFFRAFAHKDHLGSTMPPGRYQTTKLLNILLVR ALSERLHRKPLITNSANPGFCKTGLRRNLPARARFVMWVMDGLFGRTADDGARVFVWA ALGGEEEGRRDELRGAYVDLCSVKEPSDYVVSKEGREAGDKIWDDLIEELVKVEPKVQ SIVKEYLTEPVRN JR316_0008336 MSPNFERPESPLDAAIHNTPSLPVEIIDSIIQDVLKQISGKGRT HTSPILSAIASTSHRFRIHANRHRFSTLRCPSVLHNITLKQANKWMTSLTSVVRSHQA LVKLAPSSTMMGSILDFTTDFGVWVIHTSDSESEASGAADSESETSESVQCASEDWAF VLDNLFRAPSSLPSSSTANQVYHFDFLINYFGSPGNVSWNTITPAVRESFLRLLRFSN INKLSLCGIDSIPTSLLRGSGIKHLALRQISTVQPDADIIGVDQCNDACLPPQVESLQ NNDGEAGCKASGPFPVPLAQLPCVLKLEAEMHGDQSVETLNDMLKQTPNLVSLRIQNH LLVYPGNEAPIVRYSHLTNLRSLVICCERANNMGYLPVPLRPCLQTPPPSLSEVYIRF KMGPKTLASPENYLDEFGVDPELAVHLAHPCFRGVSRIVFDVELRRPVRCDPKDLDYH VRSWKLGIEEKMRALLEKRTGVDVVVTTTT JR316_0008337 MKLKLTDFIRDQYTSLSLPSPSQSQLTNKTILITGGNTGLGFET AVHFASMAQPLAPARVVITCRTAEKGAEAVARIVGRAGGRAVAVAVAGGVDVGGGQGK GKGEVEGEGEGEGEGQGQTAEEDGKVRVEARVLELTDFASVRAFVDAFERAHERLDIL LASAAVSPTKLGFTADGWETTTQVNILSPALLTLLLIPCMMRTAHRYKTTPRILLATS ELHHMATLDAAMLDSGRFFRMYGYKDDMDIKT JR316_0008338 MYAPWRVVSSTYVFPLAFALVLARALASPPPPPPATEPALDPSP APSPDPPPDPAPAPEPATAAHTKTLHPTSALRPTTHASTVVSTYSTPEKWRRRAVRQR PGFGAAARIRGRAGVVGVGVVLWEEEEEEEEEEEGVCTARRAASARMNRIVNTVLLWD GF JR316_0008339 MSSSDGRYPLYHSSSSYDQYSSYPPSSTTTTSSSSSTTHLPPPS SSSSSSSAYDHYGPPHSSHSHSHHSHYGGGGGGSSSSSGGGGGMSSLLHPPSSLRHSR SSLSSSTSSSHYPPPLPPVTSSASASSSSYTTTYHSQSQSQGHSSAALPPPPPSSSSS YSSQHHHHQWTPPPPPPSSASPDTSLHPSSTSGGGGGGGGGSSGGGGSGGGGGGSSSS SSWPHHYSTSSSSSSSHHPSSSYAHQPPPSSSSSRYLNHITGSGSSGGGGGGSSSRLS FSSSSASNPPPPSTTTTSSYGLSTSNSSRTARLLAIPLHATPSSSTSSSSMDAGHGGG GGGSGGGGGGGGGGGGGHGHSSDRYHSNSTTTTTTTSRPPLQPHHDIYSSSSQSSHSQ YQQQQQQQHQQTPLQRAASQQSHLASSSSSYHSSSQSQSQSQSQSQSQSHLQPLHSSS SSSYSQPASTSAPAPPPPTSLRSQHSQGQSQSQSHQQYHQQQQQQQQHHQQQQQHHPQ HPQHSQHPQHPQHHHQQPHQHQHQQQQEMTPLAPAPLPLPLPLPTTASPMQLQHVPQP LQYYGRGYPSSSSSSSGPGSGGGGGGGGGGGGGGGGGGGGGGGSASTSMVNSNSNSHS HSGSGSGAGAGGYHSSSGGGGGGHITSGGGSSSSSAYHHAQTPYQSSATLPSTSSSSS STPQSHYGAGTGGGGGGGRQVPSSVSTSTSSSSAHHYQHPQAPSQSSQSHYQPPPPLP SSTTTTASSSSNSSKRRRGGGGGGGGGGGSGSGPANGISSSSSAGGGGGGGRGTTRDT TTTPVSVPASVSVSVSGGGYTSYQQQQQQQAQAQGAFQPPPPQSQQQQQYQYTPQTSY QAPPPPQHQQQQQPPTPSYQTQPAPPPPLTPQQQYYQPPPAHLQSQQTQSHYQTQAQA QGQAQAQGQSQTQAQTQAQTQYQPQPQTQTQYQPQPPPNQQQQQQQQQYAPPPPPPQH FSHQAPPHVSQHQHQHQHQHQQHQPAPPPHVSQHQPPPHVSQHQQQQQPLPPHVSQHQ QQQQQQQHIPHVSQHQPITHHQLQQQQHSLQHQHQNQNQAPPPIHAHAHVHQTPTPTS TQAHTQPQPTQTQTQPQPQTQTQAQTPQLTYTPQSTHGHGQGQGIQPQQTQLVGPGHQ AQAQALGVGIGGSGGAAGVGLGGVGVGVGGAGAGGLGAGLGGVSTGVGVGAGAGAGSG GGAGQDGHYSKMVNAYGALMDQLNGISVSGNGYNSASTNGHVNGPGPDSRPDSSASSS TLSGGGGGGGSGRNGASNGMTNGNGNGAGLNGNGNGNGTDKKLAGMSPEMVDKMLQNA MLGMQMLEAALKHAQGGGGGGASMSLPASPPNGVGVGGSSLAGAMPSAGTTSTGTGAG AGTEYGYASSGQSAARRNAPPTPLMSSTSSSGGGGAVSSSSSSSSSKMAMAMVVDNVS GSGGTNGGVGVKGGDVVMRDDTKSAKGGVKSERDRERDRERERDRERERDRDRDRDRD RDRERDRERDRETKKDSKDLAPATTTAPASAKRQKVSASEGENQSDGQTCLGCNATST PEWRRGPLGPRTLCNACGLVYAKMIKKRYKEKAGTTGKKGGGKGGGGHGGSGGGGHMV VAAGPTTVLSSGIGGQMHVIAPEESAEEGSEEEEDGYAGSQGRRSDMAD JR316_0008340 MNRGSPSPIFDVPEFPALRRVKPLPKRRRTTVSADNENGLSMSS TPSGIPIPDLPFFEHIAQQVVQRHLAMANGATSVEDLVVESDELMGSLSLQDYYLPPM AGSQRFMGVGETDVDGENRNASNAAAAAAAAAISAVTAAGRNGQIPLPMSDLDSLEFG VHFAAAAAAAAAAGVAMGSLGSLGLGLAGMSLGSDGADVNGPNADAGSNLGRNPGGRS RDEDDGRGDGDYMDHLRQPGNTKKRKVPANMSPGGPEGTIRPGSPSSGYLEEDGGSLE GGPVHSFEDDDAQLQFQRERDRERERERERSHSPNFSNPAHPYPPAPFPGQLAMVARK RGKLMAVTLAGLQHKELLKSRKRQLAAVMGALSHGDTLALDQALTSASYGYRAYGAGG LSKTNSSPDSSDDPHAQARAQGLSVRKSKRRTVRLARAMKIMLAMPQRRIRHPDAVPF PECEFEFSCPSGTADRLIATKEEVASLRKRFEAELEKQAAKAAKMAVAAAATAHGGSG GTGGGAGGDGTGKSAKATGGKGKRERQERDRERAERAQAQMQAQAAKTKDQQQNVAAA SVTTVTPTANTTAPSSNAGDHGVASGGTAASGGGKPKGKKKKRSTLANASNPHHLKNY VPSRLPHSGDGHHGAAGAYLGVSPLPIKFLTAQIPPRRKRGQGKRDKENTVDGNTPPR GTPTAQANHGGSLIPLKNPAEEWICAFCEYDLFYGSEAAFRKAVRNRKKILKRRRRAR ERAAKAASGKLGATAHHPPAPPPSEEGEGDDEDLYEEEEEVADVHANGDGQGLKAGRW KGGRGPDG JR316_0008341 MTNGLANGNSGLESQFEALGLGRSAYVPPHLRNVQRAASSPALA DENSWAGRNATPPPQAARGGFESRGAFSGRGGFSGSPRGGMNGWAGSQGAPASNWSSP ASRTDSPVQRESFGYGIWKDGKHIVGGRNMRMEKELYGDATDPSKQHTGINFEKYDDI PVEATGAGVPEPVLSFTNPPLDPVLLENIGFAMYTTPTPVQKYSIPIVAGGRDLMACA QTGSGKTGGFLFPILSASFAQGPRAPPEQAAGAGYGRSRKAYPTALILAPTRELVSQI HEEARKFAYRSWVRPAVVYGGADINQQLRAIERGCDLLSATPGRLVDLIERGRISLAN IKYLVLDEADRMLDMGFEPQIRRIVQGEDMPGTADRQTLMFSATFPRDIQMLAKDFLK DYVFLSVGRVGSTSENITQKIEYVEDNDKRSVLLDILASQSKDDMGLTLVFVETKRMA DMLSDFLMGNNLPATSIHGDRTQREREMALQTFRTGRTPIMVATAVAARGLDIPNVTH VVNYDLPSDIDDYVHRIGRTGRAGNTGISTAFFNRGNKNIVKDLVELLREANQDIPNW LETVAHEASFGGGGYRGRGGRGGRGGARGSGGRDYRTGGGGYGSGAPYGGGGGFNPRS ASVGSYGGGGYGGGGYGGSSGGQNGWW JR316_0008342 MSHSHTHAPGEEHSHSHGPQQPQPQPQMPQPDPAMQALIDQDYI PSPLTLSADNANALCSAHKLEKCDDCNVDFINVNRLSRLLVANPNLLCPPPSNIVSQK LTQMVVSTKDEGNVLFKAGNALQAVAKYTTAASLAVQRPPWEDNRFMREELSTAISNR SAAYFDLHDYISALADAETVISIRRNWSKGHFRKAKALLGLGRYREAADAVRLGLDFE PTSNELLSFLADIERVEKRMDEKKLEARQAKTEPLPTLLKRSALKDASKNRMAWTIGE VRVDDSEAIRERFRSGFKTDSDAEICFRSAIHSDPPISKLRFTFDSVGDSR JR316_0008343 MQLTIGQFISQQRSPVAPVIVRDLRGKTIIVTGANIGIGLETAK HFARMKPARLILACRSKERGDAAVEQIKKETGCENVELWILNLGEFASVKAFAERFEK EGGGRLDILVENAAILPSAKFETTPDGWETSFQVNYLATSLLALLLLPTMVQTAKEYD VTPRIVVVSSEVHYWAKIDPAILEAPNPLKAYGGLDNFTSKTQGNRYAETKLLNILFT RALNDRLNLDSTNDEASKEVLPDLTTDEPPASIIVNAVNPGYCYSNLRSTYTGPRAWF DWFMERVLARTAEEGSRQVVWAALGCDESENAAGGGSARQRTREREAKMKGAYISNAS ISEPSDYVIGEEGRKAQDLLWDNLLEELTKVDPEVEKIAKEYLTPPPKKQLDPLPESV FQGI JR316_0008344 MGQLTPFGFFKDQWTTVPPVVKVDLTGKTAIVTGANSGIGLETA KHLARMNPGRLIITCRSKEKGEEAAKQIMQATGYTAIEAWILDLVDFSSVKAFAERYD QEGGRLDIVIQNAAVAYPGEEQYTEDGWELSTKVNNLSTSLLTLLLLPRMLDTAQRYN TTPRIVIAASETHFWVELDKDVINSPNFFRTYAHKEISTPCAFVANERYRGTKLLNIL FARALNDRLHRKPIIVNAPNPGFCASGILRNYNTLPLRIRTWFMELLLARTPEQGSRQ FVWAAIGGEEKKDELRGTYISLAQVSEPSDYILSEEGKFAQDKIWADLIAELTRIDTR IQNIAQDCLTIPAPVV JR316_0008345 MPQPSFTQEFSDQFHPPPVTYVDLTGKTAIVIGANTGIGLEAAK HFARMNPGRLILGCRSKERGEMAGLEINAETNYDKTEVWNIDLSKFSSVVSFAERVQN DGGRLDIVVANAGIAASSLEFTEDGWESTYQINYISGALLSLLLFPRMLETAKEYNTT PRITLVVSRLHYLAKFDTNLIDSPNALRLLGHKDHFMDRLKQRKYNGDDIYAESKLLG VYFARSFADRLGDKPLIINTVDPGFCNSSIGRYKKGVDSFIFGLLSKAIGRTPEEGSR QLVWAAVGGENEKGRLRGAFVDMASKVGSLSAYGESEAGRTAQDKLWDNLIEELTQIN SNVQIYAKKYLSGPV JR316_0008346 MSATEPAEPHARTDDALYGYIPSKAATIIFVSLFLVSTVIHCVQ AIKYRKWYLIYTAGVCGMLEFAGWIGRLWSAFSPQSMTAYKLQATCLVFAPTPLLAAT FVIFGQIIKRLGPSFSRLQPKRCKYQFELFLLEIHIFLKIQLCFDVLALVVQGGGGGI AASATDNPSQIDLGSRIMLVGIVVQLVVIIGFSVLAAEFLIRFYKNMPFQGRSDESMR KLLNTEVKSRMTPNIKLILYGVLLSTVFFLVRSIYRVIELSEGWEGPVMRTQMYFNIM DGLMIVLAMYTVNVFHPGRYLATDSKDTELQNIGD JR316_0008347 MVGYNRTRAAEHLLEKTESSPPSFTVHLHTECWILNNGSKFLYN NQIASLLDDIRAHRIPVDYLELFDSARVPFYEGCMIVELLDYRPQGTQEPALKVPQKT RVVLHPNPETQWADLCLLNQRHGNKWTDQDALEVEAKLLLSTSEPLCLDPDPHLTRIV NHILRVSTPSTPIPLKRKLATAEPEEDGPEKVKRERLLTFMAPRENKSHTPSYRMLDY FQNHKSNPNQGALPIAAGNQFLLNSQSQSSPTAAPTPASQADSPANITEGKGHKKQKI NNTPAAPYGVAFAPANGSHTPVPPQPTHQFVNQHPPNLSSPGIQPQVYPNVQASTEAA QRSATPAQPQPNQAQIQQQPQQQQQYMHYQQPQQQPPQIQQQPSQQPHVTQSQSQPQQ SPIQHLQQRPPSQPRQTHPQPQQQHVQQISQQHQVAQQQPQVPRFTQSPKPQQNAMSP PRTQPTPQPYPSGATQFQPHIPNAHFLQQPPNPRQPPKTHINNGTPTQQQLVGSSQQN SGQLYVQQLQQSQQQLQQQQQQQQQQSAQALMQQQQRASVAISNTQKNGGRATPQIPM QINQGQAQQQPHPRPPSVAATPSPARGSPLVLPQQLSAGPSSRMSPMIAKAQLAQQGQ PQQPQGSQQHHLASQSVLMQSARPTGTPQLNAAQIRAMNHGSPQQSHMPPPNNIPATA NGTPIMATASPRMNGRPLTPAMGADGKPQMGQMMMSGQTPIIRRPTPLQQQAAQQQQM QGIAAGSPTMQQAAQLHQGLTPAQVQATHAAQAARAQVHVQAQAQAQAQAQAQAQAQA QAQSQALAQQQVHIQPANHQQPHLQVSPQGLNNSVQMNAQMNQQLNQQALNNNVQMNP QMNQQMAAQYALYNNYQNFNQMNPYWRQAGGMNTVQNLTPQQQQQAFQIQMQQLQGGA SGSQGMMINGQNTQLTQQHLSMMANQKAMHAQRMNLTMGAGPGAVHQQAMAGKVTPQQ MAHLAQVAQFQAQQAQLAAKGKMGTGQVAKKGPATAKKGPPR JR316_0008348 MDTQLRELFPFLRDRNPQVRQIALENLLPQTVKGAPHRDIFFSG LSSGGLQKPKESDVIRDLKVLCRDQLSVAHDAFRALVNLSDSPLMIAPLSEQSFISFI ASYIINPHSILADLASMLLSNLTAATTACSILLTLKVPVIPDSRLSNNTYPVESRCGS CPAPVPYPSADQKEVMALPLLIDAFVEGAQIVESGDLSKRTRKAELHFLANVFANMTV SPIGRNYFLSPQPTTVSKPNQPLEYPLAKLVAFTQHKDKIRRGGVASSIKNCAFNARG HKAILSPESVLVAVPPSKETAPGIDALPNLLLPLAGPEEFDLDDQEKLLEPLQFLPST KTREPDATIRLTHVETLLLLCHTRWGRDYQREHGVYQIVRAAHENETVDKISEHIERL VQLIQGEEPKIALAEEDEFNELENAPQAQMGSAPESESVKPAEDSDDEDMRIEEI JR316_0008349 MATQPPTQYHHHGRFTVAGGVLPDAVTAYRTYGNPDKPCIVFPT CFGAKLDSQVYMVGEGKVMDPIKYYIVTFALFSNGESSSPSNTPAPYNGPYFPAVSYE DNIRAQHAVLQNLGVKKVFAVIGFSMGGQQAYHWPVMYPEFVERYVVICGSARTSPHN QCFLEGPKAALVASKDYYDGHYKERAERGIRAFARVYSAWAYGQTWFREHKYKWDGLY PDLASFLRERWEDRFLQNWDANDLLVLLQTWQRGDISKIKDNGDLNACLGKISAKGLI MPSKTDLYFPPEDSVNEIASLTSSARLVVIDTVWGHTAGGGSNPVDDAFVQAEIKKFL EE JR316_0008350 MSGESAGETNPTTHPDFDFSDSDVTCCSSDNILFNLHRVRLGTA AGVFPGAEFDANGEVVQLQEPGEVLEVLFQFVYAEKIGNIEDLEFDLLMQVAEAAEKY QMYAGKAMCDLRFLMLIPRQDCTIPILVHAMKHDVTKLIDASARQACLWPVMKLLAGG YPIHSIYQWAFYLQKCHRLLFADAQTYLDMQIHRDSRGENRLDLERRCCSMAVSHWMN TTMEGLRSLSDFPKFIEDSFRRHQAAMPLCREGLNEWELPCCNILDSVVDLINSGIQD VDTVSFSSILSGKIR JR316_0008351 MASGSVSFPVSESHGKPASPLKAPHLPFRRISLPTAPSLLHRVS VVSATSSEEAADSSNSQSVRPAIKTHGKVAQSRPVSSASPRNRNRKRDSSVKPTDDKQ AAKRRKVVDEFYETEKAYVDGLELIYAHFLTPIISSLDTPDPLLNRSALTSIFSNFID IWNLHRSFLSALTSILEPTQAGSNVPPPNLSSFLLAHFPYLSLYTPFVTAFPSTISSL NELITNTSPQYNQRFAAFLAGQEADPRCGKLKLRDWLLTIVQRCPRYLLLLKDLINST SKEDPEHAQLTAVHALVSKITLSLNTSLHTHAQTMALLALQKATPNLPFQLISPGRTF LKRGSLLQVERSDDPVEREFLLFSDCMVWLAPAESSMSSWDWSWSGSGSSGSGSGSGN ISGTTAVSQTHHNTPASVPTPPALQRPRMTRTRSKSEAELESLRVETNADARRGYAHL KESPSTPSSPRTPPRSNDSDGGTKLKSHPHVPPSRPAPPPPIMPSKRTVSSDDKWVYK GRVELVDVQIVVGSALEDERKFEVLSPEGSFLLYAASLQERDTWTSEIRNAKAQLLAS LNITNPNSTLTSSASTNHVRRVLQALPYPPSDERLATIRASSSVDVFNPSSASNSTTN FNLSSKIKLHIKDKEKDKNEGKVPERRRKVEHWVPAIWIPDGKATAYANSKSDSAGKP ARACDACYESVFPLIDPPSDAVAVDQNENTADIKGETSAAAKSTEVRDTDTISSLSRL PSWLSMPALPVQRQPHPQALMDIDLNSSRDLDVSLDVTGEDFVSGENAHGHDEREGGA RRRVRMKKSMSHQRLRSYHQILEDFQEQAKAVREAKVVAFENENQLKKAPIPIPVRED EDERAEVEGNDTFDDYDDSILGEVEDEDELDQDHVDMWFTPSHSLASSPASSPRKQRE QKREDTTRRSKRFSLPAIALHTTVVTARTSTIADGGGDGPSLSREGSLGDQTPLGMGR SRRFSLVSGRGSYYDGASSSPTKSLGGADDGGGSDLSRGLAAARLSELLGRKTKVV JR316_0008352 MSTQAQDARTHPKSFTRLSLKVDLPDSDVTILSSDNMRFNLHQA KLALNTGGLPSNLSTMEETVQLSEPSSVLEIAFQFVYIDMLFDDDAKISFLMRRAV JR316_0008353 MVSTTKLFASPSPPATGLGRYRMLSATAGAHVSPLQLGAMSIGD KWDELGMGSMDKDSSFKLLDAFFDNGGNFIDTANFYQDETSEMFIGEWAEARGIRDQL FIATKYSNNMKNRTGATKQAALYTGNSAKSMHISIEGSLKKLRTTYIDLFYVHWWDWD TSIEEVMKALHTLIIQGKVLYLGISNTPAWVVSKANQYTRDHDLTPFVIYQGLWNILD RSFEREIIPMARSEGMALAPFYVLGGGKIRTDEEEERRRSSGENGRTVFDPNWERSDT EKAICKALEKVAAEVGAKSITSVAIAYVMQKTTYVFPIIGGRKVEHLLSNVEALDISL TKEHIHYLEQFSPLDPGFPTWLIKDGTGRTGKLNVFFYNEPRPAPEPLRPGTDY JR316_0008354 MSDSKVYHAKCLCSEVEFTLTGDPFHFVVCHCRNCKQVSGSAFL ANTMFKHAQLNVTRGQEFITNYADSNTLSGNTITRSFCSSCGSTLFIKPAKDHGFFIT HPSLIEEDISWVPNAESFAHERLAWVNDITLDT JR316_0008355 MLPSRLFRNTGIPPSLRSLITPHANLPSNVAVQLRLRLGVIPGT VSSASRTIGTNAASNTSSSSTSPSSSSKPTTKDPVVPPPPSASASESSTSATTTTDSQ PLPEKIEPKLSLTFTCTVSGCGERSTHQFTKKAYEKGIVLVQCPGCKNRHLIADHLGW FKDSTQGGKLRTVEDILKEKGETVKRGALSPNGDVSYFE JR316_0008356 MSETQYHHHGRFPVAGGVLPDAITAYRTYGDPKNPCIVFPTCYG GRLDSQDYMVGEDKVLSTKKYYVVTLALFSNGESSSPSNTPAPYNGPYFPAVSYEDNI RAQYAVLQKLGVQKAFAVVGFSMGGQQAYYWAVMYPDFVERYVAICGSARTSPHNQCF LEGPKAALLASKDFDGGHYKTQPEIGKRAFGRVYSAWAYGQTWFRQHGHLHGGKYPNL ESFLREDWEGGFLGWDANDLLTLLHTWQTGDVSLVRDGGDLGKCLSSIKAKGLIMPSK TDLYFPPEDSVDEVANLQNTARLVVIDTVWGHMAGGGANEADDKFIADEITKFFEE JR316_0008357 MAALIDSDLPDLKLISKGKVRDIYSTSSPDHLLFVASDRISAYD VILKNGIPDKGKLLTQISLFWFQKLGDIIPNHFVTANVDEMPAEIHKYKDQLEGRAML VKKAEVVPLEAIVRGYMAGSAWSEYKKSGTVHSIPMPEGLLEGQQLPQPIFTPSTKAE QGAHDENISPEQAAKIVGQELYDQISTAALKLYTTASQHARARGVILADTKFEFGLIP SSDGSDKKQLILIDELLTPDSSRYWPVDGYKVGGAQPSFDKQYLRDWLVRSGFKKGLE SGPLGKEGQGWVIDEDIVQGTRERYAEAVNLLTK JR316_0008358 MKLSATFVLLAVAGFSAAQDIDVQGGFGFFPIPISKRPLVVSSL LQSSIKSSNLLTHARKFTEFSKLSNGTRAFGSAGHNATVKYIKAELDKTGYYDTELQT FPYLYSQGTAAFSANGTDYATAWFTYGPGGEVTAPLVVVNNLGCTLEDYPASVVGKIA LVKRGECTFGLKTALSGASGAAGVIIYNNADGAVGGGTLGDIVNPAGPYVPGASLTGI DGSALVATINAGSEVIGTIKVEAVTEDRYSSNVIATTKIGDRKNIVFAGGHSDSVPAG PGINDDGSGTMGILEVALKLASYKVNNAVRFGFWTAEEFGLVGSEYYVQNLPEAERQK IALYLNFDMIASPNIAYLIYDGDGSAFNLTGPAGSDKIEKLFEDFYKVNKVRSAPTEF SGRSDYGPFLDVGIPAGGIFSGAEGLKTAEQAKWWGGEAGVSYDPCYHKACDNIANLN VPAWVLNTKAAAHSIATYARSLSGIPRTRKSTASAELRVAGLSYDDRRHFSCGHEIAA L JR316_0008359 MRFLWVCLASTALSTTTSVLAKPAKRHYDTHRYYALEHKFDERL GASLEEVASALGVEVVEQAGELKDVWLVRVPKTENNTFDRKALDFREDEDEDVLDPVI SAFQDLKQRASSPLTSRSEDVLHAKRVVSSVNYLELQTPKELVKRAPPPIRPPTRPTA QGAAEHFGLHDPLFTAQWHLVNDDYPEHMMNVTPVWDMGLTGKGVLTSFLDDGLDFES DDLRDAFDAEHSYDFNAHVDLPRPTGARDHHGTRCAGQVAARRNNACGVGIAYDAKAA GVRILGGKISTVDEAAALNYGYKDVSLYSCSWGPRDNGQTMDGPNYLIRKAVVNGINN GRYGKGSIYVFASGNGGRHQDQCNFDGYTNSIYSVTVSSIDWKGLHPDYSEACAANMI VAYSSGSKNHIVTTDRDNECAMTHGGTSAAAPNAVGVFALALQARPDLTWRDIQHLCV ETARKVNPNDPDWEKTASGRLYSYKYGYGAIDAYAYVTAAKSWQLVKPQAWLFTDTVI VNNGRMHSLPHRNYRYEGGEPIGPDGVEQKMMITKEMMLKNNLESLEHVDVRVWISHT RRGDVEVEIESPNGIRSILASTREEDEDDTGFPGWRFMSVKHWGENPVGEWTLRVFDQ NDPEQHGKFLGWNMVLWGSAIDPTKATKLVEPVIDNALPPEDTPPRPEPNDPDLISST QHAKPTDLLPEDHGHATGENTKAAFPSPSNKPKPPSKPQQDDNTAGDDEPSKAWYDHM SSLMSAQKWFFAALGAVVVFGLAALAYFYKRRADRLRLAEYRSLAADDISMDAIDGQS RSRVIAGSGGPRTTRALYDSFGEPSSSDNLVDRERRDDTNVNPPSARGLGFHSGFLDD DEPSAGLTPKYRDEPESRQPSARRPSFGVEDDQRRPSGEMLTPREEDDNDHDGYVRP JR316_0008360 MQTRPPPIVDGSPVGLDVPLDLDECEFSSPGSSFISLEDSTEGD EHIPAIADVAPPVQAGRVFTFRHSKQVAPAADVQPVVIQLVINQSPQSVQEVLQKLTE LGFINVESSSTPTINDLSKSSLHEIFSNPWNPTATADENFSNRVVQTWGREFSAINPT LSEQQHFDILKDYELIKEEEEDSSPSTVAPEECTLANFKPSTSVRPSSSMSLPLLLPT DTRRTERPRLWNTRQKGRHAPLSPFATLVLWIFVCLPLFVALLCMLSDISDSVETSFQ QPAHRPYEGFYYRWMKAMVGGIYTVFFPVVDQEVNMPAVLQAVRFLALASVLGLVADH ANAARPPTRRYHDTHRYYALEHVPGAESASLQEVAQALGVEVVEQAGELDDVWLVRVP KAREGVVERDGGDPVIAAFSELQKRAALPLSSRSQESLLAKRVVSSVSYLEAQTPQWL VKRAPPLVQPGSSMDVAKRLGLKDPLFGEQWHLINDEHPEHMMNTTPVWDMGFTGKGI LTSFLDDGLDFDSEDLKDAFDAENSYDFNDHVDLPRPVTELDHHGTRCAGQVAARRND VCGVGIAYDAKAAAVRILGGPITTVDEAAALNYGFKNVSIYSCSWGPRDDGMTMEGPN YLIRKAVVKGINEGRDGKGSVFVFASGNGGIGEDQCNYDGYTNSIYSVTVSAIDHTGK KPAYSEACAANMIAAYSSGSQKYIVTTDVGKNRCARTHGGTSAAAPNAVGVFALALQA RPDLTWRDIQHLCVETARKVNPEDPDWEKTASGRLYSYKYGYGALDAYAYVKAAQSWK LVKPQAWIHTKTVVVNNGTLTSLGHKKYSYEGGEAIGMGVYEQKMTITQEMMKENNLE TLEHVDVRVWIEHSRRGDVKVEIVSPNGITSVLAGTRAYDDADTGFPGWRFMTIKHWG ENPVGEWTLKVTDKGNPGPDHTGKFLGWNMALWGSAIDPAKAQKFVEPVEDNALPPNN IPDRPVIHDPAPTSTTVHAKPTDRLPTDHGKVTGDDSHPAFPTGTPSKSKPQQDEVDK DSEETWYGELTNMAKTHKWTFAALGAGLVCFISALIVLWRRRVARKKMEEYTSLAADD IHMDSVAQNDVLAGGAGPRSTRSVRFVDEAEDEDASPRASMERRSSEEDHTIVPPNPQ TLGFHSSFLDDDEPSPGLSPNYRDHPEMFTHVSLRSPTEIPPASSHPTGGFGSFPDPR P JR316_0008361 MSSLLKASSILEPSIYTTISKNLYSTKRNIWANAQTAEFVASAN SISTIPKSTGLPEIVVTGRANVGKSTLLNCILGRKSLVNTSKKAGHTKALNLFRVGAE PGKLILVDAPGYGTRGRVEWGELFKHYIQNRPELKRVYILFNAKHGLNETDKLMLAAL SSSLLSERGAQAFTLQSIITKADTVPTAKLQGTISNMKKDIWDAAPLCLPPIITSAEM SPPFGINQVRQSIAEACGL JR316_0008362 MMSNQSTAAALAPELWTRIAYFCDDDTVRTLCSNSISRSIRESA LLIRFRDLSFTVKYLFPAKVESQELDSEEYRAVLVSKVDSSRERFDQLSSSRFVSYVR YWRYEGPFSEFGSESDDDLYTNQEPTSDQLAASEYNDIMWPIFTGYLRKYNGLTALHL QNVDIDDKAVVSVNALGNLQTLGLEKVKVSTAMNLGRRGSHIQHLLYVPDKVRSDFIV QLYISMQYYKFQEVCLD JR316_0008363 MGWDQLAAELWTKIADECYSPDEVRALCSPEMPRHIRDSARPVL FRSLMFRAYNMIDRFDFSKVEPHQYPSSVIEMQELSRRRFTELPLSPLARHVRFWNYI GYLPQPTTPDLVNKESAKKIFLRTYADAMWPLFRASLPLYIGLTSLIMANVVIDDETV TALNTLDNLELLRFINCIVSITTKCLRCFDHLTGYLQQEANPTFRVELMIGVCPTLTN LNISAGKGHYRELYSALEQCPLLQELCIEFTAIDEVAFERPSPEAHLSITACPHLSEY TGPAYYAADIITGRPVHTIKLVQLPDRALEHPCIDWIREHFKRGTVPVEVFESPQWPA SACIDETFPTLFHEFPHLVSLRLIIAPFQLNEDVNLRDEAERERYNTLHYLNILHILS APLPYKIPPTLRTLELVTDTEYERHPIHTIFWDVVEALRHSANFANLQKLVVGTLERF QTWGKSEYGIWEMVLEETSFDFDGEGWEYYSDFEDTSDDRRDITEGEEASIDI JR316_0008364 MNRPRLDCADRCERGTGWVECEDGQGVGCTAKARSVQRRRYTNA AAAADSTSKYAFSSTSKGSSASTSTSTPVDLDNRQTFATQKQKQKQLKADVCALLRDV AQPVAVVTSIKSPSLSSSRSASDDDPELSLRSAVLPEYHGATLSSFTSIAMDPYPLVA FALRIPSRMASALTAMAEAQESSGEGEEERAHMVINLLAASQAQTAFTFSRPDLHPTP FSNSGRSKLTRYTLSADGLPVIDGSVGALSCSLVGRPIPLYDLNHLEQRQRKGEAVMP PLRSEKGEVASELFIARVVRVEPVQGEGVVDAEAEAEAEERPLPLVYHRRSYTTCTPK S JR316_0008365 MGPTKTLHSFGRGEDETQLVIAEMLLTVASKLPRPTATLTTPLY SFGPTVRAWV JR316_0008366 MGWDQLAAELWTKIADECYSPDEVRALCSPEMPRHIRDSARPVL FRSLMFRAYNMIDRFDFSKVEPHQYPSSVIEMQELSRRRFTELPLSPLARHVRFWNYI GYLPQPTTPDLVNKESAKKIFLRTYADAMWPLFRASLPLYIGLTSLIMANVVIDDETV TALNTLDNLELLRFINCIVSITTKCLRCFDHLTGYLQQEANPTFRVELMIGVCPTLTN LNISAGKGHYRELYSALEQCPLLQELCIEFTAIDEVAFERPSPEAHLSITACPHLSEY TGPAYYAADIITGRDQARPIT JR316_0008367 MNRPRLDCADRCERGTGWVECERREVRDRREREEEAYSHSVAGK AYYGSGCRDGQGVGCTAKARSVQRRRYTNAAAAADSTSKYAFSSTSKGSSASTSTSTP VDLDNRQTFATQKQKQKQLKADVCALLRDVAQPVAVVTSIKSPSLSSSRSASDDDPEL SLRSAVLPEYHGATLSSFTSIAMDPYPLVAFALRIPSRMASALTAMAEAQESSGEGEE ERAHMVINLLAASQAQTAFTFSRPDLHPTPFSNSGRSKLTRYTLSADGLPVIDGSVGA LSCSLVGRPIPLYDLNHLEQRQRKGEAVMPPLRSEKGEVASELFIARVVRVEPVQGEG VVDAEAEAEAEERPLPLVYHRRSYTTCTPKS JR316_0008368 MGPTKTLHSFGRGEDETQLVIAEMLLTVASKLPRPTATLTTPLY SFGPTVRAWV JR316_0008369 MGWDQLAAELWTKIADECYSPDEVRALCSPEMPRHIRDSARPVL FRSLMFRAYNMIDRFDFSKVEPHQYPSSVIEMQELSRRRFTELPLSPLARHVRFWNYI GYLPQPTTPDLVNKESAKKIFLRTYADAMWPLFRASLPLYIGLTSLIMANVVIDDETV TALNTLDNLELLRFINCIVSITTKCLRCFDHLTGYLQQEANPTFRVELMIGVCPTLTN LNISAGKGHYRELYSALEQCPLLQELCIEFTAIDEVAFERPSPEAHLSITACPHLSEY TGPAYYAADIITGRDQARPIT JR316_0008370 MFAVAKSHAKPRCIQRLVSTASAHGSLSFSTSFGVSSGSGCRDR QGAGEGVGCTAKARSVQRRRYTNAAAAADSTSKYVFSSTSKGSSASTSTSTPVDLESR QTFATQKQKQKKLKADVCALLRDVAQPVAVVTSIKSPSLSSSRSASVSDADDPELSLR SAVLPEYHGATLSSFTSIAMDPYPLVAFALRIPSRMASALTTMAEAQESSGEGEEERA HMVINLLAASQAQTAFTFSRPDLHPTPFSNSGRSKLTRYTLSADGLPVIDGSVGALSC RLVGRPIPLYDLNYLEQRQRKGEAVMPPLRSEKGEVASELFIARVVRVEPVQGEGVVD AEAEAEAEERPLPLVYHRRSYTTCTPKS JR316_0008371 MIFPFSFRFAIPGLFNPFAVPQQPPPTTQPATANRDSLRAAALR IQQSPTSRIIAGSQEPYQYPAAPAYPSSSSSSSSIPLPTNNNRRISRSQLPKIPRRHH SPDTTPTTLRALPLSRKRGWEPTFGAESLASSTGSLNSTGKASSTTSLSFTSPEGYLD TPARYREMMEGDMDMRMSDERRFAQGEFCFALSGCLGRDAELRSPSPFSITFQQPNAV LCESKDQAQAAACALTAARADRRVFAHALSFFVLFISFAFNVDAALD JR316_0008372 MSESSTNLLPIHLPHHPAPTTTDETAAATDSEPPHKKRRGLAGS IVSTAVSAALIGTAVGLTVYRLWRDRGKEGQIANSAHNATDSDSDQPPPPPYDEAVGS RITQHPTVPLSSSSSTSTSTTTTQRVVPTTPGTPTPHTRFGSGTGTAKGRKKHTVTVR RAKRNTPRQGYVSAFGSPRARGSTSAGARGGEFDFGYDYAGREDAGEGRTEVEDKMDW MGDKLSQLIEQGRRALQTEVVVMSEAREDEVDDGAGGWVEDDNDDDDEGVDSDADSMR GYGAGAGGGRRRAGSVRSLRSLRSKATVTPRKTDGLGLGISVPSSSSMAAAAPFYTAS FERPPVHGHTRGVSHESGLGFGLSTPGGQGGFGVTEEESGAWESPEIRASMERARARV LAARRGGGGV JR316_0008373 MAWNQLAAELWTMIADECDEDGVRALCSPEMPPHIRDSARPVQF RNLNFAAYNVLDKLDFNIDRYQFARSLAITQILSNRRFTELPKSPLVRHVRSWRYTGY LVHSPHPGISKREKLIDLTMQFHVDKVWPLFLANLHLYVGLTRLALQNVVIDDVAVTS FNALDNLQSLEITHSIISCTTILRPFDRLTHYTQQEARGTFHVEFMMPVCSTLTYLNV VIGTGDYRKLYSALEQCHVLDALSIYLIKEQGKAFECPPPGVDLSITACPNLSEYIGP AYYYAADIIPGRPLHTIKLNRLPCNAELVHPGCVEWIKVLFTSGTVPVEHFDVAQWPA SALCAEETFPTLFHKFPHLISLRIVIEPFEGTGNIDFGDQLEAERWNTYNYLNILHTL SGPLPYKIPPTLRTLELVTEDEYERHPTHTFDKVVAALRHSANFTNLRTLIVGTLYCF QRWTRLESGIWDVIHEGECFNLDGAEREHYSEFDDSSDNHGNVTEEEDTPEENQAKNS KEFSTSDEKTVQTEGQKQDKSKVVFDNQDYRELGAL JR316_0008374 MGWDQLAAELWTKIAGDCDKDGVRALCSPEMPQHIRDSARPVQF RDLKFRAYNIWDDLDLSNVDSNQYPSSLIEMQERSRQRFTELPLSPMVRHVRHWQYIG HLPPEQPMNPDVDSEPESVQENMKKTFLRTYADAMWPLFRASLPRYVGLTELELTNVV INDATVTSVNTLGNLRTLKIINCIVPRLTVFLRPFDHLTEYIQQEEHTTFRVELMMAV CSTLTSLTIGAGKYHWRELYSALEQCQVLQELVIEFFEVEEVAFERPPPEAHLAITAC PRLNDYTGPAYYAADIITGRPVHTIRLIQLPDGAEEDPECIEWIREHFTKGTVPVLVF DGSRWPAYACAEEAFPTIFHEFPDLSSLRIVIEAFVLTDEVNLRDEDERERYKRWHYL NILHILSGPLPYEIPPTLETLELVTDVNYNEHPTHNFDEVVAALRNSANFANLQTLVV GTNDCFQTWTRLEPGVWNVVQEGDGFDFDGEGREYYSDSEDTSDDQGNITEEEEASID T JR316_0008375 MAWNQLAAELWTKIADNCDRDGVRALCAPEIPAHIRDSARVVYF RHLSFGTYNLTADIGLSNANEDQYRSSLIALKQERSRQRFTELPLTPFVRHVRSWTYH SILPGLRATDAVGMYNGTLWPLFIVNLPRYIGLTRLDLERVVIDDAAVASVNALGNLK DLKLNYVLVTSRTNVLHAHNYLTRLFYEDDSYSFYPSLFLGVCSTLTILELAVTKDEY RKLYSTLEQCHVLEILSIDLLETEEEPFERPPLEEPLSLTACPDLSQYTGPAYYAADI ITGRPVHSIKLTQTPEHAEQHPGCIDWIREHFTKGTVPVRSFDIPRWDASACEETFPT LFMEFKNLESLRIVIDPILLADAGNHDDEPGRSGRYNAWHYLNILHLLSGPLPYEIPS TLRVLELVTETKYERHPTHTFDQVVTALRNSPQFANLRKLTVGTEQCFQTWQRSDAGI WDMTQRKVYEFDFDGEELRESDFEDDVYDEGSEMYE JR316_0008376 MPPKGSKKKKAVVNATVEGQEVEQGPSSVAENEPPQAPEADPNG HPIRSTRGLGGVNARQEKTSNIIFIDFEKAGKRKSRAANVDTSAMPENEMAPPLKKPR NGVTVVSHVGPPIQMQPRPTPSVAPWDVQQVQPSPRQSQGAPPPVQIQRAAQRNQEHS ELDHAVQRPTAPIQRPAAPVQQPAHPVPRPVQHAAHPVPRPVQHAANPVQRYAAPAAE NSVQYYDAPVQQPVHPVTQHAPVQQPVHPAAYNAHQEASISHQDEEEQQDYEGIEQEE DEEEEEGDSDGERRSEEASGDECMQGIDEENIGDEEALQYVDGDEGYDDAGQDDEEPA AQLVDEVSDDEEERRARALLRQPSPHVVEVEDVLQEHRRRNRANKPPRPEALRKAAVS QGAVSQGLTRENNEASDDDEVLGEAHIAHKKSSTSSAREVSKHSVASFTGYWKDVLKI ARKLMCLYVVEEVPFPTRENHLLVADGCVKMAVTVFERMNTDKVLPDKKKTLLDRNTA VTAFVYASTFRGRLKTMIRPLVKNAYGLEVPSEVIAANPNMFENQMGEIEYIKDRVAY WLLNGKYHRGVAKTRYHDVPFGHPFVKKICLDFFYHPTKGVAVPIKGLETKTDFFKTS LPHKAFALVASCIHNCLEEWRDGIDPARGGPCSGIEFKGEEYSLRYDACMLVAAEAEK DTLNQGPRLARLCREVAEEGCAIMRPTKNPPNPYRMTLHSIPQEELDYGPEG JR316_0008377 MSSYKRRRLDSLGNRTDINPFIDIEAAVSDDDESSEELDYEGGQ LLNDNDEFSEDEERVAHSRLYHAMQNTDNADEWSDLLPMLLPSRMKIRPDNDIEPSSS RELIQKYGNPQPGGLGDNNYMPSATDIMYEIGCKVGHEEAVAFKIMQMSTNPTFPIIL ARSVFAQSSIPGRIYVEAPSMQHAHTLACLVRELNPTHLVRLSSERCMEILSHPPPSR PEDQSWVKVAGKRKAWTTYANATGLVFTFQGRKSVVLIPRPPDNIKKSHLDRIFQDGF IITDFDAIDLKYLSNVLPTSSELEQFRECPFVTTETLAQASKAISMTRLKRYDRVKII GGEYLGLFGTVKSVSDAEVEVHIPSQGITQAVALHDLRAAFQIGDSVEVVEGDHKDLH GWVSDFDGRSVCIIAPEHEREVIVPIHTVIFYVPPAHATLRPRKRHSSKLGERDHNDV YIGLSVIVVGNNTFKGYYGIVKSTTPDGFADVELEARNQRVERIKISHLIIHNREHIN SAQDPGPSGGATPMPSTVASFLSPAWNPYSAIPVHSAVEIAELPSTVAHWLDTKYDKL KGLRLKVVDKSKGDHQVAMELLSLTDDTAHLALLGRTLTLPKSVLFPIHPVKKDDFVT PLEGDSMGIIFRIRSIDKDICVVHKYPVTRMKRGDTFPTFPTTSLIQIFPPSRGVKVV NM JR316_0008378 MLPESHPLRRMVDFLRRYEDFTFLNYDVSSSSMRAAIKYRQSLA ALQNSIVETPCVTSATWEQAGSLFAAYRLLDLVALWPAAFGPSLPVTVPLNEIQASTG TKEIFSRFKLVDDLKDWPAMLCSARKRILRADSKSHRNINTPSISDTPSSAFTQGGPS ESTLLLCLARNHFKGVVGKIIGNVYCASLHYQILSGMRGMKDDEHVLPDIPTDTATLA NLYASGHPDAALFLSEVTPSHLKIPLHVALFISPILLFVNKSWYSKKCDREQLLKASK ALGNCRPRVLREVEMEIWKIIAAQNCDIQSALYKLVISDCWMECERVIAQDPAFHFFI SSTSSAEVTANSNLARRSTEPHAQLQTVSHHGEKTTCEGSDADAEGDDDHEVDTTEMV SMGQRENGDNTVPTSNIQPPEDTSSVAGKDVSMGQQENGDNTVPTSDIQPPEDTSSVA GKDVPTDEQPGLPRSDDIGSGLLQTENTGPSSAGDCEMNVDPEQADVDHQMEDGPHTN GPENLGDGGAGQGAEGSSDEANRMSEVHEGEKQGQDGERPDEENRMSEDGEEERPDDS SSDNETEKQGQGDVPPVQEDRMSVDGEGVGPEQNNDSSDMERNTLEDSQGEKEGENAP PAPLILRIPPVSQINRSKNTTADSSQKGKGKGRQGLSKRPPKRKPTQATDTFDSDDDL CIDVDLYDSNTTLDVVSTPEKVYGTKVWSTYNGRGQLKSFCVVAHSQADVDRVERVLE LVESDYVDGVPLHIARPEESCFAVFDRSTTKSMYLMESFSERNVVLMSPSQEESISTC SDEFYSQVRRHMGDMTSTRSIQDMSTMSSNPIERIKRGSLSQVMEAARMKGKRGKILN ALDIPLPHAGAHSFDLSTEAAALRATSGSWKYSTPVPFGDMSWGLVATEGAFSDIHID ANGFCSFIQPLHGLKLWIIMYPRRLDVDLSSDRRVFLGDKLDYGEMHHQDWIYEAIVL DNQSELFMRPNTLHMAYSISSVVCRGGHFYSSTSFEETLTGIIHCFTAGYRATNTNHS TSRFFLQQTIHWFYKVLVEGDSDPEDFYEMYHVPFYSTQSGYSSLIALCVCMILANAL DYETYRNPDQMFSTKTSAQLDAWMRWDTNSLSDEERKACIFARGEALAILEWLCHRTK SIHYMIETDKNKDAQNIMATEKFHEMILCRYARMILAYDAEAKHNNIGGAPCCTDTSL LFVQLQGVCSGELNKVICRSIDQLPRSSVPKMLKLPVITLIDCDACADSSTQLRTPKE ILELGTSVRDKLYLDGMKVKLESTNPIVCCSYRQTNTQILAGMKASGFTVTASNRSSS TSRSATPVSRDPSPEIGNFMEVDYIDNFLGASSEMEDDPPPKASKYNYPYVKEDYNGA GRAFGTGLTFMDQLKQDQFEPQRAENLYYPFASKDEWELSLFLLRSDMSVGMLNDFLK LELIKKLNLSYKSAKDLRNRAEILPSGPQWKSQTIIPEIPSKNQLTLFYRDGLECIKA LLISPLLQDSMHFSPFKLFDKCNEMMRVYTEWFSGDIAHFMQYNKVPKDQLPKGATLV PPIISTDKTNISNMTGGRVAYPGLISIANIMMNFLHRSKAVNGMMAARLYHQCMDIAL ESVKQTARVGTTMADALGNNRFCFTPLAALIVDTPESALAACIAGSTSSVTLAQYETF GDSFRHPSRTADHTINTIMAINNVKPPNHLEPYLKESKKHRLNGVHLPFWRDWPLSDP SAFLTPEPLHHWHKMFWDHDAKWCIAAVGGSELDFRFSILQHRTGFRHFKEGISSLKQ VTGREHRDVQRYIVALIADTVSTPFILAIRSLMDFRYLAQSQTISEAMCLRIEQALQD FHANKQAILDAGARRGKKNNPIDNFYIPKLEFLQSVVHAIRLNGCAIQWSADTTEHAH IEVVKAPSSSSNNQRYEPQVCRYLDRRDKLRNFDLFTAIREMRIDFRAIHSATITDEE EQEEGDEGEENGEVVMDTTSELLSTIMPMTTFQSAKSNRIVDYFYKASLYERGVLEGP VPYRTFSCSKNVVAHLSRDASSKRLHIDEVASIFKIPDLRPAIADYVSLINKESNPRQ TNSRGYHIKGITGRRVSPPGCPLPYSKLEVWHKVRIQSTAYQYPHEILEAVTLNAYPP SNKHPFGYFDSAIINVDESEEWPRSGLQGHSVVDIRIIFRIVGETPSTVSPDITGRFL AYVQRFEVLNQPQSLGSAIRGPYPEPITGMYKLKRSQRTDNTIMGGILPLNQIRSLVD LVPQMGEKARRSLTTHNNQAVSTLSEIWHPQDIPSVFLPPAKVGGSSFPPTHSRPTSK QISSDLQSIASHTHPIHPHNSHPSVSGHNPSPTLLLASGTKSDQILPLKSFVYKVLRH SRTSKNVLQIALCYLESIRPKVPQILQEENIGIRSYAQPKSSIQKATPEELAMDAELT ALENSGKINIINNFIDNSMQTFRVADSGSQDLAESCIYPQDSLSSVDVQVSTAPLSTT LSLPSPLLCPRRAFLASLILASKFSQEKCYSNRAWARLSGLPPREISRCERALAQALQ WRLWVGKCAFGESAATAT JR316_0008379 MQIISYEEILRIEAEVLAPTFPDLIHPTTFPEAASLASQRQQYD LEMAQLVEQTQKMVLLTENRLILAILALFNEINWTTLDPRLLSLAKAKITEGDQWLKA RAEETLRDADSGSPEHILTQGMSIIANGQIHIRTVEDLIRECQDH JR316_0008380 MKHIYTEAQNFTAKDKENYLKEYGLRNVENVFWMMNGSDVYKAL SWDRLHAYHGGLFSDHLWSEFKAIIDSSGRKDAEIIDNQFDQIPRWSGLNHFASIIKT GEFADGTKYEDIAKIICYASHNVLEKSDRGYCLLKLMRSFVELDMYSSLIIHSSTTLH GLQKELAKFCSILEEYIQLHPDKNWNFPKIHSHVHMIQDIVAKGATRNSNTKPNEKAH GLLKLWYRFHTNFKDVAPQECALIHILKMNHDDLIAMIIRMDINAIDDLDMPKEDGEE SLISTPQVSNLGNSLGNGSSNEKRAQSHISIGSLQAIITFADVEKQFKENMAFERFRI KTGKYLAAASGSTIRLKANHKITPFELARIHYQSEIDWSAQRDMVRVSKSFHKRPRND YVLLALDHQKYCFAQLLFMFIVSLDDKTEWPLALILPLDEPVDQRTNLARRRDKDLEF LRVRARRRTNSAIIDLDPLHSGKMMLYQGYSTALNMRKGSVAGESSISGASFFRGATL AELEQKQRCRIQQDTIFNLESKVRELKEENASLKMRLINKKGAVRPAVSDTSDCAAEI ALRKDLIRLAKYHFIFYRIIVPKSLFGNPRPSFSSNNASARYKDENTSKLGNIAELYE CFPAKYHSIISENVEMAVNVFVKGLSEGRSTILNKIRTSAPSIFPNIPSELFTSPLSF GLSSHAVVQTLLGASDRVKVMTGTKEIWKLIYPNDTAHTFPPVLFADSDTNNALGLFK SDFLLKTARIILLGPSALKAEIMPRTREDSPSAHIDSRMNCTTPGLIAASATATIYIL SDDREFTSSGVGPTTGRLYLAQHDMYKHYLITQQSSLASLFKYWDNILFPHQKPIIPT SITQQPTEILTSSHSRMSDSESSPSPHPVYQMIANFSRQTTITPSSTTSIPGPIVNVE DRDTDSDVEDFAPPLQSTRNLPSNTQDITEDRSDTDEEPTPAAFESALHVTNTTVNAP LPVFLPVPKTQTPTSPPLSSNTVSVPNASTSISPLEAPVIKPKRGRKKGLAPVQPDAT VVAKTRSTRSSKRANITPL JR316_0008381 MANRRQPKDQLCPICNKMFSIQGIAQHIRSCSGKTPSLDAQNAF GDFANRVYENNSLHYTSILNAAISHSPAIPNEDSTTASYSAIDMAQMETQDSLNGHHI SLSMEPEDSIEPVTNQSSILQMLDEISNNHLPPPLPHQICIEFHPSANMPPKFIPTHQ YNPNSYQTSPTPHSTSTNPWHPFRTRLDFEVAELALSSHMNRQQKQILLTLIDRIKEK PEQFTIDSVSELEKTWELARSYRSTGFQKKEYLVPYQNDEIGYEVYIRPLIEWCNSLL QNPSLLSQFHWIAERHYKQNDGKRDRLIGEPWTANEWWSIQNLLPNGALPFFIILYAD KTRLSTFGTAKAYPVLARCANLPANLRNSDGIGGGILVGWLPIVDEDAGESGKKIFVN FKRIVWHKGFHEILKSVQEYATTGYYAQCADNIRIMKSSK JR316_0008382 MPHFLAKESRTLPPLLRLPDEILEEIVSELDLHRDLVSFGLASR ICADLVFPQHTQYRILRMRHSSPNIWAHLARRADLARNVREVHICERSNHYAADHYPT ALLDKQLDGNLDNAEESVRIRNLCKALGHMRRLRTFTWSWMHVPGQPRPTSHPAHENA ILAIVSQLPQLEHISLQGRFAMHALAAHIDTKGMTYPGWRLNNLRSLSLAGDTWAKLG NSRHIFNLLTKSPNLEYLEVPLEFHHLADCHLPRLKHLKLVLQGGGNLATLDHSRTVF LKNHPSIEVLDWSPIGNPAIPYDSLPNLRSLRSNKQFIKALNDPDFSSKAALLMTPPS TPVTATTNIPSEPVPERPVITRNIENLDIYALDAQMLLDLKCIDRAALRRVNIHFFND ISILHEVAEAFPNIEWLSLPVSHHPTDAQYPVPVTREEWLDILPRFAKLRVFRGVGLW SCVKYDKASMHELIKDLVQICPHLRVLDRLDKYNNLDNFKEIVITRDGEDGEIVNYSI KPKPSR JR316_0008383 MRFFLAYAVISVSVAFGAVANDQQDTAVGLFPFCVNGREHCLEV LSANATAPSRTVPFGRKARLSNALLHTYTKANRASDFQRRQTSASGIPQVERTGVVQV FDDSNESIGYVSVTTEDGAIYRVDSALMNAAIVTVSSQATSASGTGLRVSVTGSDISA RYPLLGLVQGRDNVDANIGFGSYHYLYLAGVALPGTSPQDSPTIIDNSYNDATELSLA AETDLWEINFSTGTMTTTWVNTNGTPENTVLFVQDGIIYAGGDMDAFANEYSGSSVTG ITLKFVF JR316_0008384 MATISTALRHFKEPTKEQLTQIFFSLPPLDSEKDGEKSGQDSKM DINAVLSLGEAEDSYAGKLHIMPPYLAFSSLDKKSVRFTIPLSTIRRVERLNARAGVY ALSLLTWHGMKIIVQLTSLRPTADLFCSLLRDALKVELQRGQMKLVKTFVKTCYSEVL ISDNSNAADNEREDGSLIADSADGSNPDVSYHGGLGLKFKFPGDPKKLREASKIKLWT TYLRNHGRNLTLLRYPQCTRLVQVGLPNRLRGEMWETLSGSIFLRFSNPGYYERLLEE NKGTTNTSTDDIEKDLHRSLPEYAGYQSEEGINSLRRVLRAYSYMNPELGYCQAMNIL AAAILIYMSEEQAFWLLEVLCGRLLPGYYAPSMHGTLLDQRVFESLVQKYLPIIHDHF TLVDVQLSVASLPWFLSLYINSMPMVFAFRIVDCFLCMAILKINGEQLLQIQDDGGFL NLMRDYFASLGDSAHPNSTDPRARAITVFQELLLVSFREFSVITEDIILSERRRFRGE IIHSIEAFSKRGAIRNLRSLGRFNKEQAGLIYDALYKAMCIIPPPPAAAPPPTLFTTA TGEGHEDKPETRIGLRTFRQFLSEIASWARDEKIVMNGFQQRIDREVAEHEFIDRLFY FWDVGCHGALSFQDLVSGLDGVMFNDLMDNIEWFFNLHDKNKDGYLTKDEVLTLSESF LFIFRYEVGDAYLGAVSRFMTNAFEYGDALLPRPQSSNAEGESGDAEPSSPPPIASNQ PYLNLATFRMVVLADEVLESFFETDLSESFKLHPLPEMELPTTQSGLLGDLWSSIATD TNRKMFNMFTDEIGKTIGKHQVVNKPSIGRYTQLEEPKARESLLTPMLRKSLSKASMS GTTLASTNSEKQASSSTLSVNTTLEPAQSKSLPSVPDSGSLSAMPMLQAVNAAALMER THFAIDDAKDDDEDEDDEDDLEGHDHDDQVMDEVDAFLEAHDSGLTDADKAVANDLLH AEPLK JR316_0008385 MDPLAGMDDSSTDDDTSSQTTEDDPQVPENQVSQPPIPVVPPPV AVPAPGPTQREKPHYALRHTLRGHTMSISAVKFSPDGKLLASCGAEKMVKIWSPETGE FLRNLNGHTQGLSDISWSSDSVYLASASDDTTIRIWDVETGLTHKVLKGHTKWVFCLN YNQASNLLVSGGCDGDVRIWNTAKGKCIRTLHAHMDYVTAVHFNRDASLIVSCALDGL IRIWNTSNGQCLKTIAEGHDAICQHVQFSPNSKYILSTAHDSTIRLWDYQTTRCLKIY KGHVNTKYCISACFSVTGGKWIVAGSEDHKTYIWDLQTREIVQILEGHTDIVVAVATH PQQNMIATGSIDSDLAIRIWVDPIPRT JR316_0008386 MSAPFCHQFSPSSTGQDGRRRPGGRQIDTGRKTYKLCTKSQTKK REPVWPPLLESALLEGLALYVPTSTKDPRLLLRFPRRNVCISKHIQAKTGKYRTPKQV GSRLQQLRETCCDKYILDLIGSKDFQRDRGSSSERTVSESSFSTSSYEVGLVHSEPTT PTSPDAPKGLFPAFSTQYTTAVALTLYEFDYRTAQTAMRPVISLDLDETALSIPSSST PGFIHAAEVRSSGPISQCSPVISFTSNRFSTEAQYRCVSDVYIDGNQVYSMPAHLQLC SASSDGMIVYKMELGNDVWAILTRDSDVYSSYTMTQNIFTVSTGTIHDEIPVFSISYT LERGFNAPEYGSTDIIQRPIAAYETQWATNASSGAQPDYGYGIDLSPESPLAYSFPET VDLGYQVPQVPQLSVFYPPSNEYLGHPQDTEDFQSYYDPSGPL JR316_0008387 MTTNTTPSWPSLYNPGREIIHIEHNEPIQPGGAYLYNANDIFRF TLYWTLIFYTPIFLVCGLYAFWNYSFPPPTRRASRGSRDSYQLSSMFSTQPFLDADTP SLQPTKPPKTNERRSRVAFAIIILFTFLLLSVAGAVIGSAIMGFVMAGLFNAAHFNMS TWIPFLLAIMQVVVGLLSIWPSIVEII JR316_0008388 MLKGILALCAVAAHVAVVAALDFTGAQWIWIPGRAADGVTYPPG NATFRRDYYPPAGKTPLSANILVTVDNAFTFWVNGNKIGTGDDFRNAHRYCVPLVADC NVFAIEGQNVPTGTASNAGNAAGAIAAIQVRYTDGYTETIVTDSEWHAIAGAPAGFEQ VAYDDSKWPAPFVQGPLTTGPWNKPPYVINIPPETQDPGPDLTTATWIWTNEVDSAGD APVGARAFRKVVTLPPGQLADTITMDIFADNEYTLYINGRVVGSGRNYVPGTNTVPIA QRYQVDFEPSNTITIAVYAHNDNGPASVIASGQIKGCGCGCGTTAFVSTDSSWRYSTE VPSPAGFIEPGFDDSKWSQAISEGVYGGGSWGNIALPNGNTAQNGPISDLGAPDAPPA SVVS JR316_0008389 MLKALCAVAAHVAVVAALDFTGAQWIWIPGRAADGVTYPPGNAT FRRDYYPPAGKTPLSANILVTVDNVFTFWVNGNKIGTGDDFRNAHRYCVPLVADCNVF AIEGQNVPTGTASNAGNAAGAIAAIQVRYTDGYTETIVTDSEWHAIAGAPAGFEQVAY DDSNWPAPFVQGPLTTGPWNKPPNVINIPPEAQDPGPDLTAATWIWTNEVKGGNAPIG GRAFRKVVTLPPGELADTITMDIVADNEYTLYINGRVAGSGRSFQQAQRYQVNFIPSN TVTIAVYANNDGGPASLLASGQIRGCACGCGNTAVVNTDSSWKFSTAVPSPAGFINPG FDDSKWGQAVGEGAYGVAPWGKVALPTGNTAQNGPITDLGAPNAPPASVVS JR316_0008390 MADRSRRERKSKLDKLAEYKRAREGGTRVFKEEDVEIYDEVSED QYQKIVKGRLQRDDFVVDDGAEGYVDNGMDDWAGGDEEFRDDSEEEGRKKDKKKKNKT EKPKAKPKPPPPVAPSINAYRPAVSAEQEADFMASLLGNVDNLVTEPLPKPSRKRKPS PEYEEPRYNRHSYRRKSPFADASSDGPVEDIAHTLSDDAFSSPNKRTRTEDGAITPAA ERLASLEVDGSSDGFDSSYDDINMDDFMDMDDDFDVKPQVKREEPAITLSKKPAPQAA TSKLKEEADVKPAWLSVYDSLAVESEDTLGPLSTSNSSSTNSTNISALEEDGSLRFFW IDYMELDGLLYFIGKLKEKTSGTWISCCVKVEGIKRNLFVLPREKRVEQDEEGNIHET DIIPTQEDIDEDFDLIRKAMKIKSYRAKFVKRKYAFGEQDIPRGEAQWMKVIYGFNEP VVPMNAESQNIARILGTNTSAFELLVLKRKIMGPCWITIKNPQIENTGVSWCKLEVTV ADPKDFNPFSETDANAPKEQPPLNVMSLCVRTVVNHQENKREVVCATARIWHNMQIDD ATPPEKLKCTVHTFVRPLDRFPTNFEREAKSNAKGTIMPMKNERMLLSSLLVTLHKAD PDIIVGHDFLGVSLDVLLNRMRDLKVDHWSRLGRFRRSRWPNIGKQGSNLKFLNGRLL CDLASDGAKSMIASTTWTMTEMCKTHLNIEREDFDPDDTASKLDGSLSSPEPMLKFVR HCELDAHFQMAIASKVQILPLTKQLTNLAGNSWNKTLNGGRAERNEYILLHEFHRLKY ICPDKTFGKKSVAVKEEPQDDDEQGQGQSGKKVGKKRDKYKGGLVFEPKRGLWDKFIL VMDFNSLYPSIIQEYNIDFTTVEPVDDDENGDERIPEPPSTDVSQGVLPRLIATLVNR RRQVKSLMKDRSASAAQLLQYDIKQQALKLTANSMYGCLGFEYSRFYARPLAALTTHK GREILTHTKELAESLNLEVVYGDTDSVFVNSNVTDLAEALKISALFKKAVNDRYKLLE IDLDGVFQRLLLLQKKKYAALKVDDGTKTSTEIKGLDMKRREYCALSKQVSQYVLEQI LSGEATENVVENIHEYLTNIGESVRSGSIKMDDYIIFKRLGKNPEDYPDAKSQPHVQV ALRIKQRGGSAKNGDVIPYIFCLAEGEESSKTGQADRAKHPDELRKAGSALKIDYDHY LSHQILPPIERLCDPIEGTDRARLAECLGLDPMRYRTSTGVEERVFSGLDSQMSDAER FRDALPFIIRCRHCQVKVPFLPLNDPEANILQPAGPLCPSCTKTISLGSLLTQLEIQI RESITKYYQGWTVCDDPTCNNRTRMMGVYGRRCLRTECKGRVSFEYTDTQLYNQLRFY VSLFDTQKALDSTRPENRSDLTAIITKNQVLLRSLGECVEKHLNNCGRRWIDLGTIFS SMKL JR316_0008391 MPPTKVLERNPASIQDSWLVPSALWLSIAQDDANVNTGPNIALI VNTSTCNTTGNRAGSSSSVDNFKNTGFPAYDILQLQHNEGELYTKDLKICMTAASDIR NLVQTVNRLPNGYPGKIDILLNNSNTVVLNRMLVILCALLTPGPSIDESAELALHLMY SASLPETAASYMRYCVNTIYGEELADGEMSFQTTLRTRGRGKLYSAQPASGIKKPMEM FSSTCSLSKTIDAMRESLQDPFQIDDREKLLSMLVPGHRLALDRFWKTGILAPFSLDL KPFKSPNRLMFSSQGEWMGLSSDINPLHGWDVSAVRTTGLRFGLDPTADIIGCLFFHI KSELREFSLRMKELNINLHLLQYDSRLLSKGISIGVLPAFSDASFDRIDIGDMCDQMD NLAECLADWGPLLNKNNPDSCLFMHSKQWHEEFPSSIARNNPRAAKILMERCQTMPSL KSKLKSFFKTPQAPSIVRLMASLDAFVDHEAAFLRYLEAQEADAITASLGLCIRQVHS VHPKRVGIPLTSAHQKLPNLSKEEFYDLFTIGGSDLTIRFAEFVFADLE JR316_0008392 MPPNAIANASRAVSEVCLSCQTDSKIANVSLYTGLAEVTRVFEP KLKKGDNKVIVSGLPDVLVPDSLRVEGRGQCTIYEVSLSDIPSSGPMTTSDRLEDLIK QKIRLEKALMRCHKAIAAVQSFQNSIAVRHVKADELANLQRGIDAAAEEWDLKQLDLE EQITEVTRDIEQEQVALGEVKVDNKLRKRVYITLVADKECDVEIVLKYAVSSATWDPT YAIYVKMDTKEKAVKLIYKAAISQNTGESWNDIPLTLETVTPTTGLNIPELQPWTLSM YKPMYGKYLRKSAAASSLNTFGGHSMRKESAASSDSESDGGPPRGGGRLHSSRPPNIK ARGLTVTSKGDINATFSVPGLMTIPSDGASHTVTIMEVYLDAAMSWVTVPKKSPKAHL TAKIKNDSEYTLLRGIASIYVNGSFISRSDIPTVSPQESFDCPLGIDPAVRITYHPRS KKVTQPSFTRRNSTYLFSQRISISNTKATPISYLKVREQVPVSEDSNITVNLTSPGLV LPQANKKGVIIVPEPVKISSQVVARWEGADEPEMDPGQVGKDGKFNWVCSLQAQGKLV LLLSWEVVCPPGTNIEGLDA JR316_0008393 MAAPCKPSDRRTGTCISDSWVAKGVLGPAATRRYGRAVEVAGLD IQWSRTHTAMGWVQESWWPTSMRIRL JR316_0008394 MPPNAIANASRAVSEVCLSCQTDSKIANVSLYTGLAEVTRVFEP KLKKGDNKVIVSGLPDVLVPDSLRVEGRGQCTIYEVSLSDIPSSGPMTTSDRLEDLIK QKIRLEKALMRCHKAIAAVQSFQNSIAVRHVKADELANLQRGIDAAAEEWDLKQLDLE EQITEVTRDIEQEQVALGEVKVDNKLRKRVYITLVADKECDVEIVLKYAVSSATWDPT YAIYVKMDTKEKAVKLIYKAAISQNTGESWNDIPLTLETVTPTTGLNIPELQPWTLSM YKPMYGKYLRKSAAASSLNTFGGHSMRKESAASSDSESDGGPPRGGGRLHSSRPPNIK ARGLTVTSKGDINATFSVPGLMTIPSDGASHTVTIMEVYLDAAMSWVTVPKKSPKAHL TAKIKNDSEYTLLRGIASIYVNGSFISRSDIPTVSPQESFDCPLGIDPAVRITYHPRS KKVTQPSFTRRNSTYLFSQRISISNTKATPISYLKVREQVPVSEDSNITVNLTSPGLV LPQANKKGVIIVPEPVKISSQVVARWEGADEPEMDPGQVGKDGKIP JR316_0008395 MAAPCKPSDRRTGTCISDSWVAKGVLGPAATRRYGRAVEVAGLD IQWSRTHTAMGWVQESWWPTSMRIRL JR316_0008396 MPPNAIANASRAVSEVCLSCQTDSKIANVSLYTGLAEVTRVFEP KLKKGDNKVIVSGLPDVLVPDSLRVEGRGQCTIYEVSLSDIPSSGPMTTSDRLEDLIK QKIRLEKALTRCHKAIAAVQSFQNSIAVRHVKADELADLQRGIDAAAEEWDLKQLDLE EQIAEVTRDIEQEQVALGEVKVDNKLRKRVYITLVADKECDVEIVLKYAVSSATWDPT YAIYVKMDTKEKAVKVIYKAAISQNTGESWNDIPLTLETVTPTTGLNIPELQPWTLSM YKPMYGKYLRKSAAASSLNTFGGHSMRKEPAASSDSESDGGPPGGGGRLHSSRPPNIK ARGLTVTSKGDINATFSVPGLMTIPSDGASHTVTIMEVYLDAAMSWVTVPKKSPKAHL TAKIKNDSEYTLLRGIASIYVNGSFISRSDIPSVSPQESFDCPLGIDPAVRITYHPRS KKVTQPSFTRRNSTYLFSQRISISNTKATPISYLKVREQVPVSEDSNITVNLTSPGLV LPQANKKGVIIVPEPVKISSQVVARWEGADEPEMDPGQVGKDGKIP JR316_0008397 MGHGVGGLGRKHGSILNTAALCKPSEGLTRRRMLRLGCTRGRAR DAHGLILNTAVLNKPSEAEASESLTRRQMLSLGSRRGRVGGLGCVHGSILDTAAPCKP SDRRTGICVSDSWVAKGVLGPAATRRYGRAVEVAGLDIQWSRTHTAMGWVQESWWPTS MRTRL JR316_0008398 MPPNAIANASRAVSEVCLSCQTDSKIANVSLYTGLAEVTRVFEP KLKKGDNKVIVSGLPDVLVPDSLRVEGRGQCTIYEVSLSDIPSSGPMTTSDRLEDLIK QKIRLEKALMRCHKAIAAVQSFQNSIAVRHVKADELANLQRGIDAAAEEWDLKQLDLE EQITEVTRDIEQEQVALGEVKVDNKLRKRVYITLVADKECDVEIVLKYAVSSATWDPT YAIYVKMDTKEKAVKLIYKAAISQNTGESWNDIPLTLETVTPTTGLNIPELQPWTLSM YKPMYGKYLRKSAAASSLNTFGGHSMRKESAASSDSESDGGPPRGGGRLHSSRPPNIK ARGLTVTSKGDINATFSVPGLMTIPSDGASHTVTIMEVYLDAAMSWVTVPKKSPKAHL TAKIKNDSEYTLLRGIASIYVNGSFISRSDIPTVSPQESFDCPLGIDPAVRITYHPRS KKVTQPSFTRRNSTYLFSQRISISNTKATPISYLKVREQVPVSEDSNITVNLTSPGLV LPQANKKGVIIVPEPVKISSQVVARWEGADEPEMDPGQVGKDGKRRP JR316_0008399 MAAPCKPSDRRTGTCISDSWVAKGVLGPAATRRYGRAVEVAGLD IQWSRTHTAMGWVQESWWPTSMRIRL JR316_0008400 MPPNAIANASRAVSEVCLSCQTDSKIANVSLYTGLAEVTRVFEP KLKKGDNKVIVSGLPDVLVPDSLRVEGRGQCTIYEVSLSDIPSSGPMTTSDRLEDLIK QKIRLEKALTRCHKAIAAVQSFQNSIAVRHVKADELANLQRGIDAAAEEWDLKQLDLE EQIAEVTRDIEQEQVALGEVKVDNKLRKRVYITLVADKECDVEIVLKYAVSSATWDPT YAIYVKMDTKEKAVKLIYKAAISQNTGESWNDIPLTLETVTPTTGLNIPELQPWTLSM YKPMYGKYLRKSAAASSLNTFGGHSMRKESAASSDSESDGGPPRGGGRLHSSRPPNIK TRGLTVTSKGDINATFSVPGLMTIPSDGASHTVTIMEVYLDAAMSWVTVPKKSPKAHL TAKIKNDSEYTLLRGIASIYVNGSFISRSDIPSVSPQESFDCPLGIDPAVRITYHPRS KKVTQPSFTRRNSTYLFSQRISISNTKATPISYLKVREQVPVSEDSNITVNLTSPGLV LPQANKKGVIIVPEPVKISSQVVARWEGADEPEMDPGQVGKDGKIP JR316_0008401 MSSAYFSTVTVLELATSLTFYYGERIYHSFNRFMSHFSAVETLK IDEEGIFILTQYIETDESEAPLFPMLRYLTVSKMDFNTHRKRKCHCKYHPGRFSGSIE QFLKWRSNRSMPNIQILSLDRTSSESPKYEDPSGRGMRSLDPALDELAGMKVVWKFED RVVEYECGSGFPERLKYDEIYRHCEIWNRNANFF JR316_0008402 MASSTTLSAKFTVDGEKSATPTLYVSEPDISGEGVVVKSLEDVR EPSLDPRVHISNDSRPDEKELDEGLGHRLTRMLSSAKSSRSEEEGKTNEPIYIEFAPG DKRNPINYSLRKKWAITSVACFATLLASSTSSTYNMGFESMTRDLGCTDFQATIGLSV YALGFGVVPLVTASFSEEFGRQPLYLGSGVGFLLMFMMIALAKNIQTVIIARLLQGAF GSTGATMVGGTIADIWTPKERGLPMSIFGLMAVGGTGLGPVIAGWIEVNRKLGWKWIQ WIQMMICAVYLIILPFIMKETRSSILLTRIAKKLRKETGDHRYRARVEDERAKLRTLI WISCTRPVHLMLTEPVVSSFSLWIGFAWGVTYCMIESISGVFRDLHDFDIGWIGTVFM AMVIGSIFGFITNFYQESLYQRYFPHRGPEARLYLACFAAILLPVGMFIYAWSSFSSV HWIALTIGITLFIWGVFIIYLAVFTYLADCYGPFASSALAGQSLARNLMATAFPLFTT QMYRTLDYKWANTLFGCIAAVMIPIPYVLFFYGPAIRLRSKFSRAVLEAERR JR316_0008403 MSEDMPSSWRYLTSAGNRISSFKGYLSGREPGAGWRSGRTTPNA SQAPRDEPRQSWRAWAGQKIRVRRRGQYDATESNELINIFPGWAARRYASQQDEYGRG PRPFELEVFVSGYAISYRSPENASRSQRAFIRLAKGFASLPKIVDSAADVRPNSSSFA QLTPSTEALLAQVKLPPRPTDIADDYDIDALERQLRLAKTTDDPLKDDSASLSSSSSA SSSTNDLPSTGRETADSVVNSVAENTADVIKRLHANLERRLQPFWSSTLPNRVVRLHL FSAPHNDSSSTSVGPGNTDDVDELATDAQNGPLASQDVMTGVDGSFQVKFNIPWEDLC HHPRALHIAFGEAEVEHELLIVAQLLPLNPSSSSLSVDSSPTSTPLTSLTRIPVTYSP IRVISDIDDTVKFSGVLSGARAVFHNVFVKDLRDNVIPGMGEWYAAMWSRGVRFHYVS NGPFEILPVLNEFFEVSQLPPGSIKLKSYAGRSLFTGLLSAPAARKRAGIVDILDSFP DSRFFLIGDSGEQDLELYADIARERPDRILAVFVRDADANTFGGPPALEDPTGWKAMG AAGTRPIERPLVSRSESGMTNGSFSPSISSYSKYSSFFSSNSGSSTPNVRTGDANETP RPNTFGFDSGRQPSTSASVDDKALAKARDQSYLGVGALTAEPESMRSGDAVTPPRLSA VTGPAIYVNSPNNSSREPQDVMQSPGKFVDQPPKATPPPSIRSSMSSLGPASAAASFR SQRTGSSTSSGSSNTTGKRISSISEAEKKRNDLQMRVYRARTQMPSHIPLRIFRDPSE CVEAQEILDQER JR316_0008404 MNQCIRRLLSNSFKPWSNRRAVSTIAATVEEEEKWLELERKKLH RKYSLRIPPPARVGTSFLPAFPLPPRRPVRGVDKTTLDELYETLAFLLRNHAARDYGL STRPDGYLSVSKLLNYPIFRGVDFFKLHDLANRGRKYHIHIIYDPTKIDGPWWIRCQK FQEEHFVYRPALLSNANGVAVYRTSLQLWDSYISRTGIARHNDEWIRLDPYVPNKSYI DSLEDEDEVFIFLDIHDCLLHGLRFFYELHTSQKRNATTPLMTKGDLFGNIPPSMFSR VLFVQATKKHAWGLDPLAAQKSWTVEPDDLDEYGMRKTFLPAPPRPLHAFVDFRGAVR PPHPETIQEATSAVNTT JR316_0008405 MTIDHTATPSGSCTPSSSGLGLNSRLPSSDKDALFAVSFEPLDE RNPIYFSYSKKWLITIVTSFFTFLVSTAATSFSMGAPSMMHDLNCTQFQATVGLSLYC LGFGIVPLVTSSLSEDFGRLPLYYVSFLGFLMMHVMVALSHNVQTVIIARFLQGAFGS TGSTMVAGTVADLWSPAEIGLPMALYTLFAFVGNGVGAVFGGWVEQNHRLQWRWIQWI HLIIAGVYFVVMILTIDETRPSVILEKTARKLRASSGDNRYYSVLSTAKIGLAKRIYM SSTRPISMLLFEPIVTAVSIWIGIAWGNQIKISRSIPGVFRSLHGFNQGQVGTVYMTM AVGGFLGFLTNRYQERLYRKYVSTRGAQARLHLACVAGFLFPISMFMFAWSATSSVPW PVLVVALTIYLWAVSTIYMTIFTYIADCYGVYASSALAGQSLCRNLTATAFPLFAERM FRAMGYRWANTMFALAGTVMSPIPIVLYFFGPRILARSKLLGKLHGEDKTLLEQKNPN GMKGDIEK JR316_0008406 MEPDDISPLRSLAIDPATYLLKEWDDLTLAARTLITEKQTGTVV SRSLPKFFNYNEKFAYKPTGNEHAFAIEEKVDGSLISLFWYGGKWMFVSRTSFQSPHA ESAQRILDTRYPGALKRLDKESTYVFELVDPKMPIKVVYTKEDLVLLSIVAKDGKEPP HNFDWTALSFSRPKRHVADTVAPSHLSKLNHDNEEGFVVKFWTSPNDRYPKRIKIKFE SYFELAEGWKGKPSSSPRMPPSNSQILEIYSKHRLQIHHFKTDDIANTMAKHRENFLN SLEKIADDYGGAPWLNKIEKTWDRIDAIFTLQEGELTDAMSRLKKEGYIPSLANAKSN RLKDTFRKRMRRGDINQKLREALMAWYIDDAPVRRVAAFVNQLEIPVDLRSTEVLGKV EELV JR316_0008407 MSTKPTVPMRQQVEEYINGLQEQIVLALEELDPTAPAFKRDSWV RAQGGSGRSCVFASPVDEDASSSRPPTVLEKAGVNISIVHGVLPPPAIKQMRADHMSM PLPDRPEGLPFFAAGISLVIHPRNPHAPTVHANYRYFEITEPKPVESDPAESSDPQVL AWWFGGGSDLTPSYLYEEDAVHFHLTIQAACDKHGPQLYPTFKKWCDEYFFIPHRGES RGIGGLFFDDLNEGPHLRLPNSEAKRPVTPVEIFAFVKELGNAFVPSYVPILKTRSVT PYTPHQRRWQLIRRGRYVEFNLVYDRGTKFGLMTPGARIESILMSLPETARWEYMSEL GEEGTEEGKLMAVLKEPKSWV JR316_0008408 MSASTSSSPPKIDSSKLDEIWEYNEHAYNAPPPPPPPISRGPST HLIQVRHLMKPKEHERDGPTDDHPYHTGRLWRARSFVFEYAGEFFGTMMLVMFGTGAN CQYNLSHLSEIASTPAGTWASVSLGWGTGIALGILLSGGHINPAVTLAMAVWRGFPWR KVPGYFFCQLMGALCGALIVYGNYKTAISIQEGGNHIRTLSTAGYFGTIPLDYMTNIG CFFDEFIGTALLLFGVLSLLDPRNSLTPGLVAVGIFIVFVGIAACFGMQTGD JR316_0008409 MTSTSTVSNKIWDTNDQGEHLVHQPSRPPVSRGPSTHVIRTEPG HQNETFHDGRPYLYGRIWRARSLMMEYAGEFFGTMVLVMFGTAANCQYNLSSVPAISP SPAGTWSPLSLGWGAGIALGVFLSGGHINPAVTLAMAVWRGFPWHKVPGYMLSQLLGS ICGAAIVYGNYKTAIDIKEGANNLRTLKTAVYFTTVPVSFQIHFLLE JR316_0008410 MDSNGAKGLLQLPPEVLLLVLGMVDPRDLIRLRLTCRRLQKLTY DHAVWVSKLNEQAAYLPLPPDILHQREHDKHLLTFSENSIESTVLKGQRICDAWPRAR LKTPIKLKDTDGRLMWIGLNLVADRWLVATYHEGIIHIYDTKQGSPVLQRTVDLQEKG WTSFSVGIDPTEKRLIIALCLSHPPYRIHIYQIELLAVNQAVSLIRIIILPHYKIIQA LDIPNRLVIVSTAASVTTLKWDEDYDPEARDATFNVNAEDEGGWWDGVIGVCLLGSYI LVHKTRSLHVRQYKDRCGSALKHKFTLSFKQVSFSASSKSTNKLSGTTVYEVSTFAYD VIQGLFQFNIKLTVPESFGVPPSLDVQLTSVYPLALGVIQPIASSQSAPHPSPSVSSP DFSPSPSFKHTHATRASDTSSIVVHPANPAADTDYRSRGFLSTQCMGPQGKRGIWVER QRSGTVRDLQVWFREPSEPSSSAERALSEPSTAEFPLPVMEIERRVIYTLQSYDLRDD ILISTFNELNGTIYLGHRSGYISVIALEC JR316_0008411 MPFRFGEEKTQLSSASTSLSGTPNTILHFAASPRLPKRYIRMII GIFIISGSLYLSQLQWKFLQATSTEGYTVPYPDAQDASPFNESAPINSTIPPLPPLYE RYREYEDDLSVNNLLNHAGGIAEGSYIFFANHASGCGWGNVLQEMVFSAMLAGEAKLG YVWDDYTWDSSGNNYSDYNGRVITSRIPLSTMIRGHILGIDESSSNTRANHSITMPPS ISSRVFERLCPRIERVVIDKETVESYLHTTNSNIRLPEATGKQVLDAWLAVLETPKYK NARCIEVNKFSPDHAFDIWLLGSPRMHSLWPTLSNSPVLKLWSWSPLIKRAFLKNIRN FAAEGDLQISLAEYLKGWWTYLNPAPAIIRTAPFNASIQQDPKVRIKHRAKKYLLNDT HTLPVLALHLRRGDFAEHCIHLAEWGSTYTGFNSFPESRARDAFDVPDVVEGLEEAAN GTSASDPLQVASMERRKEVYARHCLPDIQQIVKRVREVVMDYAALVHEKERLETEVVI RAHKNWRWWIPDVIVEKLQHIRNSTTTNSVKIGAFGLLETSQTRVATAANKYFKKIYI LTNGESGWLDEVKHALQQDTKEWDGIPWEWEDIATSRDLRLGWEEKPVSQALDMYVAQ RAEVFIGNGFSSLTSNIVMLRMMAGLDSLQTRFW JR316_0008412 MVLLKLDDERFRIPRILPDRCVMMALVHDLAEAQVGDIAPREGI PKAEKHRLESEAMHNIVHDMLHNSPAAQKINALWMEYEDGQSPEAKFVKDLDRFEMAS QALEYEKNHGASLQPFFDSSIPKLQHPQVQEWGQGLLGERYNISNTSTTSR JR316_0008413 MSNPTSFRTSSGNNILMESNGYTCKLFYVDRHWSKPLLTGHHSI QSGSPMIHICRFKVPYGYSLNNDFQIEVARSAHVNVAGSEPRRPVNVVLRYDGEVVSL FEGVLLYDEAEYHIIKSPRDDQMNISRAAGHNPLGGSIEFQIFDIRRGTYQHAAGFII EYEYETNHPVSSSLFGMGTCIGTYAHQPPLVTQLEDTSMTELDEVEAEYRNFEP JR316_0008414 MAQLIGEGYVENWKSNNAVPRERELTTHNDKPTSQLVTPDGFID TNGRIELPSSSVLQSQSHSNSSIYPPVPDEVIGSPSSAKSFSSTAPKLQIITDLRSVP NSLNSQTPTPYSDTLLSVQDSMASPPNQKNSDEPLLGSLRRSISEPPKRDRPRSAGSP RSQEDYPTIPQLIEPQPSITPARTPITPMLARSSSRRKADVFVAHNPFIGHRHGTKQT GKQELTFDDIPTPGPFSHSFGTSVEDPRGVTPVEPHNFEYQTPISRSHSRRIFRPNDI NHNTPSKVQVSASEPVEFNVVRSQSYSAKTNRVRRNHIHPDEEAISSSIQRPTQVGIS RNSGSGAPDALLSLPTVIDKNSSYRGKSDDQIVPSEKTSEIETSASIIRTESRDSSKD DPEKGSIETDEHSSPQTLWSRFRVTLGNILRRPAPVVSEETEFQKSLTATTASFIATT LPKQVYLHLLLRLPLLYFSRVSRIFEEADMTLPEIKRMALETPVAASKWRAIDLSIYD RAFDVPLEYQRLSSTWEFFIDSVLREWKIFNIISGLLLSAILTILQIDTAAQNPVTRY TALFSLICSLISLLFGCMYIIRFGTMRKPYKAAEWALEARNESMIWWNVWVLLAMPAI WLTWSIVLYIACIMAFAWQTTSQDDTPPSPLSPTTVLVVRVLLTSVLVSGVIYGILIV STFSRYGEAMDKAWKERIDTWAEEMDVATSADGSSEHLWREPYTPSADITPSRQGPVS PGLPLRSPRLHAHLVHDGNPAGTPDYDTTIANNPGSILGNRVASLREKRRVRFRSPKE SPVKNTTTPMFSSTLNGSVSAGEAAIDSPEEKS JR316_0008415 MYTSYVDSDSQLNMGKTRVPDVDLVIAFRATKNGSLSKQQIREE ARKAEQQYKRLIETLTYAGLKAVGRRGEALGHILVFVTCPPKHVEELVKRERHSDFLS GLPVTPSTSAIPLSPSDRIRLVHAYISSTPTDGGLGISSDAPEWDLVEFIFPLHDREF NEAWIRSWKPRNIASVHLERIRDQFGDALAFYFAFLSSYTKFLVVPAILGLFAHFMLP PYSPYYSVILCLWSIVFVEWWRVHERILSLRFGTRGSFKVEKRRAQYKPGLRWWAREL RVLASVPVILLFAGILTTILTGIFVFEAFVTQLYEGPGKKIITFTPTLLFVVLVPRVL AVYQAVAVRLTNWENHAHKSTHNASLTLKTFALSAIVAYMGLGLSAFVYVPFGEGVMR WVQAWLFGGAQTNHGIAATLRDMLNGTVLTIGKDSTLVADAVKGVSEKIPDSAASVWD ANPMNATEKLNPGRLRDQMFAYTVTNQVVNTFMEVGLPFVLRRVEAFRKNKANGKGKA NSHASGTVSMGSNSSSASDNGNSLKKRVVFEDEKERGGMAERAFLDGVREEAALPEYD LFVDYSEMVVQFGYVVLWSTIWPLAGVMAFLNNLLELRSDAFKMTVHNRRPIPSRTDT IGPWLDALTFLTWLGALTNSALVYLFSPELLPGAVSVSNANIGTATFEANISETLNES KLAVEEHLVSASGGLSPSSLPWGVDGSSSPATLSATKELLLKAVLVSLVASHGFILIR LLIRHVVERMFWRGSGEVEEREREDREVKVQFLKGNVASKGAPKFVGEVLIEREVGGE LVDGETATDSMEFWDHDEGIEEIQRISKEA JR316_0008416 MPREIITLQLGQCGNQVGSTFWQRLCTEHGINEHCILEPWATDG GDRKDVFFYQADDEQYVPRSILVDLEPRVINNILTSPFSHLYNPENIFVSKDGGGAGN NWAQGYASGERIYEEVMDMVDREAESSESLEAFLVLHSIAGGTGSGLGSFMLERLNDR FPKKIIQTYSVFPNTQEGDVVVQPYNSLLTLKRLIDHADSVVVLDNSAIARIAADRLH TQTPSFDQTNQLISTVMAASTQTLRYPSATHNSLIGLVSSLIPTPRCHFLMTSYTPFS STEIDQAKTIRRTTVLDVMRRLLQPKNRLISTHSSPQACYISNMSIILGETSPKEISQ TMSRMRERNLIPFVPWTPAAPQLVPARKSPYSGSSHKVSGLMLANHTSVASLFKKMID QYDRLKKRNAFMDMYKKEKMFENNLDEFDRARTACEDTMKEYKASESPDYIAYVRVLF AFIGPELGKH JR316_0008417 MNAAFADIAKAGATVVRTWGFNEVTSPNGIYYQSWSGSTPTVNT GSTGLANFDNVVAAAKANGLRLIVALTNNWSDYGGMDVYVKQILNSPNHDLFYTDSTV KTAYKNYIKAFVGRYLNEPTIMAWELANEPRCKGSTGTSTGTCTTVTVTNWIKEISAY IKSIDTNHLVAVGDEGFYNQPSAPTYPYQGSEGVDFDANLSVSSIDFGTFHSYPTSWG QGGNEQAWGTQWIADHQTSQAKANKPVILEEFGVTSNQPTIYQAWYNEVISSGLTGDL IWQAGSHLSTGDTPNDGYAVYPDGAVYPIMQSHATALKSRG JR316_0008418 MALPTKGRVIVDTTVGEIEIELWSKETPKACRNFLALAMEGYYD GVIFHRVVPGFLVQTGDKTGTGAGGESFYGEPFEDEIHPRLRFSHRGLVAMANNGTKN SNDSQFFITLDRADELHGKHTLFGRCMGDTVYNVMKIGEMELDSEGRPVYPPRIKSIR IVDNPFDDIVPRITAAEKRAQQRAREQAQKEREEEQRRKGAKKNVKLLSFGAEEDAGE GDDSTTFKKKPMYRPELVEKPRKETTIPDFVSQPSSSSKAKPPALEPPKESKSDKPSK KTTDDDISKIRQQHAKEKSASENARKTEIEKMEAEIRKLQKRKDGGGSDSDDDASRNK KAKKSYLSEELAKYAKGRGIHKKGKKRDEGDVLAALNSFRGKLQNTMFVDDEVPNDEG KGKAREVEGGTNNEEEGAVAEGGVVGGGGEEDEGMEVDYDFGFMGHALHFAKDNMEET VKAERDYEVIDPRQRSAQARQEERERRKAALKAKGGGVSGGRYRR JR316_0008419 MLSDEMTTGSVNSSEAHKRAFAARSHSWNITQQRFKDAFPEVRG HVFHCTAATNGLGQYSTPLIRDLPNMGEKERGKPDPVPNVPSASFAQPPQITATGSSS AIAVPTRIGSDHFASSALSALSLTHYEEARSTAVARPDDATLTNRAAGPPPAAAPGWT ATWRSFIYEKWRLCAFIAVALMVSRFSTRT JR316_0008420 MASLNSNRRAPFIVIEGLDRSGKTTQTSKLHSRLQQNGIEASLL KFPDRTTAIGQMIDSYLRSASELDDRAIHLLFSANRWELATTIEKLLLAGTPVICDRY AFSGIAFSASKVDSLGDPLLAFEWCRSPDVGLPAPDIVLFFDITPEKAKERGGYGDER YEKEEMQLRVRKAFHRIGEEMAESGTGTRWVSIDAGREREEVELDVWAVVDPLVRRGV MGPINKLWST JR316_0008421 MSSLTSYERLITDHERQLDAIINEGRDPATYDNAFISKFHNILV GLAGVVRSAETAAYSSPSSMPATQLPDLIMKAEEVINRCIDFAYDGFGEDDKLYGGDW MPSFAHCNDGPGAAPLLEIKHAQTGIRLIPELPQDTLNTVYPLMLGFAKVVSRMAGNN IGIDFVKSQFKRPDGWETTPHPMDLSSPCARFQSTYNVPEWSGTPYHENATALVQAQC EVSCEDINDPIKLCISSGGDLLALSAMGDYKNRTPFLHYYFPKDSVPPTTSTSARLAD KSKFMRSNDFKLGLSGGVADLALDESRMLIFAADGKRIKSYRYKAPQAGKKETKNGTR DTRLHAVHTFNCGKSFYGPIAVIPNHGRVLRAGEHGAVAIWNMNAQPTHGRSGSKIIG KKENLDDMDSWRDDEDEIELSRGSERSQNVNLDTRDGEGANAVRWSVETWHQHPSSPS IMLCGSDVQTSETYHCHAFDVEVGGKAVMRYLGHAGAVRGFSTSAAADPNVFLTSSDD GHARLYDTRRSLPALTIAAEGSSRAPFNSALLVHPDGLPFIFVGEGRAQKIALWDVRA QKALYELATGNNSVQSMAWDHSTNTLYAATECTFVDRNGDHYGYRYARMPKRNPSALD GQQNTTADSCETLGTESDFEDDFEERCWPIKAFHVENYWGHVWDAGDHRLYKYEFKAE PNLEIVPEYGRATISPGNDFWF JR316_0008422 MASSSLLSYENLIADYARQLDELSHIDENRSPDDDYDEEFKSKF QSILVGLAGVVRAAETDADSNPNSKLASELPGLIAKVDDVMNKGISFAYEGDDESRMY LDGWMPSFAHCNDGPNATPLLEIKKANDTGLHLPPDMLESLSFLMMKFVKGQNRRRGD DSPDIDSFKSQFKPPEGWETTPHPSVLSSPCARFQSDFILSECSGTPEHENVAALVKA QCEVSCDDINDPVKLCISSGGDLLALSAMGGYKNRTPFLHCYYPKDAAPRATGSSTRW EDNLGSMRSKDRKLGLSGVVADLALDESRQLIFAADQMRIKSYRYDKTQKVEKKTRNG ARDAGFRAVHTFDCSGSFEGPLSVFSDRGRVLRAGQHGTVAIWDIDAQPTHGRSGSEI VGEKYDYEDMDSWRDDPEEIELSGGSEHSQTVNLDTCDGEGARAVHWSVEYWHRHPSS TNVMLCGSDVGTSEVYHCHAFDIEAGGKAVARYLGHAGLVRGFSTSPAADPNVFLTSC DDGHSRLYDTRRSLPVLTIAAEDASEAPMNSALLVYPDGLPFIFVGEAKYQKIVLWDV RAQKALYELATGNNNVRTMAWDNSSNTLYAATECTYVDRIGYHHDYRRAKIPREKYPS EENQSDMDIDDDEIADNEPDSEDDWDDERCWPRNAYHRENYWGHVWDAGDHRLYKYEF KKEPNLEIVPEYGRATVDSDNYYW JR316_0008423 MHRYSAVVDSSGKWVPAEEILTSHRNQVLSRPTSSFLSDWGVDA STTGKQAENAPASRRRDQPPLSYHPSASSASALSYLTSQPAASPLSPIRLDNRIPLVP VRRSVQPEQMLGQPESGDSMLPKRALGRKRSSSAPAMDRIAFPQPQPANPYAQSSQDA YRAISAPAPRIETAFASSVPQIALTQPFSTQIAHEGMTQHTADNVYPPTHQQGYQIIS PRGIRPEAFVAIDPFHPQLYNKYEKDLSTFDDIPSPEQQRQQSSIRPYSKPVGVPEPL GPLVTNVMASITTKQDAVQPREHARHSSSDSHAFVTIDDNKNAKEKLLPEGQIPEQQK RLAPNRRSSDMGHKPHEGSLENYAPYPWKPPLPSTHSLPQSFSYGLNANADTEKPNKS LWAKLSGYLTLPFSSRSKESGGRYSFSAPYIHPPSTPSISSNPSRKPGHIRSISNFVL KVLPAQIYLLFLLRVPSFYFSRVARIFEEADMSLPEIKKMVLEMMALDRGYGFDDGRL QAMGAQEPEKAVPSKYRRLKLAWEGFVEDVVSEWKMFNVIAVVLLFVILTTIQIESTS ATADPVVRYTALCSLICALMSLAFGCVFVLHFGAMRKIWKAAEWALEAKKTKVFIWWN AWVMLAMPVVWLSWSVILYITSVMTFVWRTSSQAPGSLSTHGISHAALLGVRVAISVL LGLGVVYMLSAMYTFRRYGDKMDKNWRKRVGVWVREMDQEKSRALNEYKTWRPSLSAA PVSPGLQSTTYGLDERRNHDPEGFLNSMPKPDVKVANTIPAQQNYNQPVQSPSKHQPT DNLEKSYHANQHDKGKVNGFLEFGPQTATSSQTSPSTQVHRVDVASKPNFSKDLNKSD PPPVSAPNGDLEDADPAHASASARRRTKTASLAIPPPSTLPPIPGTPMSGISGPRSRS VRTNTTLATTASSFAAAERSFRHFSDFNLNAAKNALADTDGDTRMGQHRELSPMASMS AIGSEYLGSELSNDESEVISDGSKSMSVPWTPFPSPVTASPK JR316_0008424 MRYSLICADYVHEIRDMVEVYKGVMARTPAPRIVHFLARMLLRK VFTKEETEEISGIARNTGIPLHIVVAYNTFLDLFSGCISGGARVAACAGKSKVIHFRN LDWDMEPLRDMIIRVEYMIGGRVVARAVTYAGYIGVLTGVRKGLSMSLNYRDRTQSQS STISNRIHQLFILLGKRPSISARLRQILLSPGPPPTLADLSYKFKHTTASPCYLTFCT PYSALVIEKDLNSALTHTSDTFLAVTNHDVAFESTNDQDAEALKRPSRIVRGSSGSMS LLNESIERKDTMYSLWRDRKQPSRPTKVQRALVNDVKTWLRTYPIRNECTHFCCIMDP SAEGGGLVWVESCEVSTGPNNSFQN JR316_0008425 MSSRAAASRKRITNSEEKEKRPSSTKSFFSRSKNKSSASPPSPL NFPPSVPPAPFSQDGRHGSPQRSPYHSEVELVTPDRHHARRNSANADRLRDTSFHIPN SNGVRKMSSATMAVSTRGYPSPPIEEFQAIRLSPYQSHEDAYGIGQPKVSYIEASYQP PPPIQASYQPPPPVQSTPVNGQRNLPNLPGKNTAHLSSFRYPEPPSYPPSVPTSTITI PVSPATSPGPSSVSHPAPSSRNHSPTPTLPTYSSSQLPYGSSHINRPNLQVSIHNSPL SSNIREEYAQYNDVHAYPSPPPSQSARLGPSPVHIPISLRPESTTSSIDMERRPWNRG TGSYSPITSPQPQAGMQVFPPQSTLLAPSSRFGPPSISGSSDSSGQSSSKKSTGAPPG GFVFPGSRSTARPKVSKKTNSPVIKVKSKGKTRSQKGSDGEAHSPLPVPDIHSLPPPP PLQEQRTSSPVSHLSGNTRPVVMTWRDDSVFEASSSFSDDSDQPRLRKSTKGSDTSSN SGKPAPITQTDGSPTAYVFPGSRSRAHPSKPPISVRRSRKTSSNTDDGSVAERSKFMG ILLKKKKKKYKDAESSIGTSTMSSGSELDTDSTRSPPSSSYSQSVHQEPPPPVEDYQE NLHQDHNMERAARIKSRIGQYPLDPYDSVLLDNDRHTGELLMRLNPTNSPSFHNYGNN PPTSVLDLGCGQGHWVVDAAIAWKGYGTRVTGYDMVDISRGLLPWAVEQGVADNIRFV RGNFLKQRLPFNDESFDLVRMSCLALCITTDSWIFVLQEVCRVLMVGGRLELIDDFIF FPYGKVSSPFDGPNLEPESDVISVAPRLDITIPSSSFTTFSIYDGETTNPGLGAPADG PEAEDFYELYGVDEEEELDTEDDAATINEFNANSDQRISPNPTTNNRRRTLARAGLSS PLWARAQGTSSDLEALFEHMLQHKFGISKDPSEFIMDLMKEVFGHAQELKTMHITLAP PEFEGDGALSGQSRQNGGFNGANGFTNRRFGMSHTSRRESMALPKTPGLVLLPSTFIP MEQSEIEIHASKHLRMLLSCKNYLIEHAVEATDDEEIDDASVLEALWEYEGFLRHRFN PPPPSSPSPNSDLGSDAVSVRGSIAESVCSDNREYMWELQSEFGQRFAWQRSGSSDRS STPGTLKERENTPTTPTLPTPLSPNAPSVSSSNATAGSGLNNDENRTLSTTPTAPMYS RDEMTHVRTFRVYEAIKIDENLFGSAM JR316_0008426 MVNITYDSSGSIVWVDGSADPAVPWVYNPGDIAWVLASTALVWI MIPGVGFFYSGLLRRKNALSMIYLSMMTLAVVSFQWFFWGFSLAFSETGSRFIGNLKY FGLKGVLEEPSIGSTRIPSIVFCVYQLMFAAITPMLAIGGFAERAHLGPLLFFVFAWS TLVYDPIACWTWNSNGWTFVLGGLDFAGGTPVHISSGTAALAISIYLGKRRGYGTEAL AYKPHNTTYVILGTIFLWFGWFGFNGGSALAANLRAAQACIVTNLAASVGGLTWMLWD YRIEKKWSAVGFCSGAIAGLVAITPGSGFVGSPAAVLFGFMAGTICNFATQLKFFAGY DDSLDIFASHAVGGIVGNILTAIFAQASVAGFDGFTSIPGGWLDRHWIQLPKHLADSA AGLGYSFVMTTIILWIMHYIPGLRLRVPEEVEIIGIDESDMGEYAYDYVGLETELKPT AYAGARSTAYDGRESDLKERSSNA JR316_0008427 MLRGQYGTATPTSLVPVSHREDAQPNEGLTKQFRKLPPSIRSTA ATSRDTTSIYHSGFGSRGRGNYSGGNMSQGRYDHRGSPLQYATRGNPAWRGRRMASTR GTYMNWNAGHTPMPSHIDVSSPSASSSSERTPSVGPRASSLQHGIDPGPSNRRTHSTA FPVTSETPATPPIPEPSQDRWWMHRSRSPTPKLPAAPLKRRKIDGQPETKPQATISRL PLPGRLPKPIISAREEPPVVVKQEPVHVPIKHEPVVKREPLSPSPPPRAIAPERKLIT ESCQFFPFPETCKKSDPNFKQNRKAFFVEKNKELLRKGLKRTRAFARDDGLVIEWTSA VPVWSDTLEPEVVNLATVIQRAYQVNTSLTHYPASVRRKKRAASHDSDSVPGPSQVQV PESLPIKSQSTQSTTTTKNSLPVSKVRKSQTSTTVTTSSPISPPVKSRPVSMKANASS RYREASDPPWKVPPIPRRRLPLPGRKPVESSESKPITVPVAFAPRRSITPISASTTTL ANIMKTVSPLNLPSNKSTPMVAGANHSTIPPSVLPSGPKVGECQDLGEDHCVQSFHAN ERSSNHRQHPVTTVASESLFNATTSDSGAVIAHKHTDNDHNDDDEYEEAEDVANSLLT PSRDIIGSDDDRMGITISTDDDGPNEVEGHVADFLQRYCQLFDTDRSKLGAAYDKDAL FSCYVHDVDAGRQSSLTAGLFALEVDSAHHSRSKVMLDRGRKEISARFMSMRPYQFCP RGVVGNLIYDAVDSPAGILLTVHGEIVNPHSSNPRLDHTLTLDQSFLLRKRGDNAQVH FHGKNDDDG JR316_0008428 MYPGQFNIPTTSPAIGANSNDPAPSSWQGYFPDMHLQMYASPMS IAYQNLSTPMMQPKRGNSLPAPPVSYPSTPMHALQPMTPSQSVPQSYHTLPDSMRPTM TPGRIIQPGLPTAQQQESNSNTTPIVPINPYPMQPVTETKEDAFVASDPYHPQKSTQG AKEISSFDEVEMPGPPRLGYYAPANTSLGHPTQASAYAYAGGRPQDRPGSSSRPTALP TKTGKDIASFDEIETPGPAQNKSSIVQGFAPIYGPQVASYGWPNGRVSDTPGNMAYPL EQDGGWGLPAASGSYASPAWRTASESGKQRRSTYGSNFNINTQHPPTPALGQEEDERN SSKYTGYLTTPSLGYTSLPTVQTYESGWPTPLAMTKTKANDDPSSRISSWGTYPGRTP STPYSTSYSTPAIPSPSGWGSEYEADYSPSATQTRGNESDLGSSSKANIKMPEPQIPQ ILPPRLGSSLETTGPMPVPHIPQIIPSNRHSPLPNLSEVPNVGEKTNHDHSIMPSPAQ HASVSVQTSPKEDAPEDVVSLDNELSPIQPNEEPLVSTLPLDSPTPNRNEIDGIPTSI PARSSEDERLSSINEHIMASIGGDNEENSPNSAKPTRTPSPLPVPSKSTITMPIPQIP LIVPPRWYNATRPDPPDLSAPEHASNHSSSESISKPEPSSPAKSSFSSWTMPSLPSAS VPYVPDITLKPSVWTRAKNFFRWPFNESKPLSTKPQFAPNPIQPPFVAPYILPPPPVP MVIRYSKEPSIDMTKLVVNFVTGTVPRQVYLHFLLRLPSLYFSRVARIFEEADLTLPE IKKMALETASTAKGPVDLQIFESSNMPLPYTRLKSTWEGFIDSVMREWKTFNIISLMA FTHSAILTILQIDTAADDPITRYTAIASLICALISLLFGCMYIIRFGTMRKTYKAAEW ALEAKKTKTVIWWNVWVLLAMPAIWLTWSIILYIACTMSFVWRTSSQSDSNPIVTSKT ALLTVRIVISSVLGLGIIYGGLILSTFSRYGSAMDKAWKKRVDNWIVEKYGLQSQGGQ YIPSQQYPSSYYHTPYIRPGTTPLPPGLAPEYYTPYVQQPGGPLPTGFVPQTFGYPFQ GSTPYATPTRLPPSGQYMPYIPSANIPAYQPSAPYTPFIPPVGFPSYGYYPPAVPSYP PSPTIPPVIPPVSSTPFIPPLETPSSSPAESYDEQFFIPNNLHSGQSSGPRRTDSDDW THQNNQRRSSTNAWNYSNEWDYSTPNPSTRLGQSTSSSDMDSVTRTNTQHNAGPIPDH SSPSTSIPTDRPPVGSNSTSGRRRRTRPTVSTSSPTSLPTGMTSADANGTSPDVNTLS PLATPASAHVTFRSPLVSESAPRNQEGPGHTVRTLNTDDDSAPNILDFAQRSNVSSPQ JR316_0008429 MSGAPYIVVFKDDVTKEQIDQYVKEVQQAGGELKTRFDEDGGIL NGFAAKIPDSYLQSLQSFTDVISYIEPDGVVTTQ JR316_0008430 MSKRENEFPATSISRSTGARLQAQPPASPAHEAHPLLSPGPSSP TPSEQGHAVSGSGATLSVNGGPRYVPYTPRQRVTATSATTGMTVHPHSPQQHQGDATS KLQLMHLKAAAQAIGLDSGTLGWTILEKLVVDVETSDEWLDIWQAITSGKATLLLPLE STFSNFKITPDFLKDHIVLCEGSSRKSLPIVTLSGLRGTLDGEVLTLRSTINTTSKLF QDLLNPATRASALACLPPLPVPLSDVIPPVPYPQYHIPHYSPALHLPPRSQASSKPPL PPRPAGRLGSSTTNSVPSRITNPFASLFGSKPSTPAPSSPPSSLHSLDSTIDPNASFE IPAFTIGRKIVRKDLAKEINKSLKNELKAGLASTASLGNIPVPSWVAERVHDMTADWY PFIKSSSGSSKSNKDSSGNGHGYIVNPIEESPDDAAERLQDFYLELEQDMRAGGTPFI PRRREKEGEAEAEDEKDREKRENDRMESETRVREVMEAVERIITSLFYDRLFMQSTTD DASHDEALTSRVAALNMLDLGLGHLGIEVGDAVNEPELDAVVKACGELLSQLDTCRSP GDKSALLVAAHRVVVDGLSRLPPIRLISEHDADQDVDEDPQTARPTKKFENSEDGQAE TIDKSSCTDAQSANKPAIDAQGREDANIQSSPDNSSSAPDIPTAPSKVKSPPPPLPLD SPAPPPVPVISQLQRPTPPKEPTPVSGDVLLPMIIFSVVKANPPHLVSNLLFTQRFRN QSVGGEESYCLINLMAVAEFLENVDMAGLGLGDSDKVMSTADLTPIPLTRSPVTSETP LEPLDGGQGLRGRVGQQVDAIADSANKVISGVVDSSFGILRSFMPAGVDPKSPASGRL TPGTPGSRPAGFGLLRRESGFSIASLAASLPITGRSRSNTGAEESGQQLVTVSRPSSV RSRASLRIKVGGEDSQDEASDSSSATSNEDDSEVDGEEEGAEYEDDDEQGHTGVGDAR SIRSFESMLSASKEKSKMSRARKSLSDRLASVSALAGRKGGSPPPESRRSSLLHPQPS VARPVSPVSSRAASPIPLRLPPPKQRFLECNPDDLRLSEVGELLREYRRLVEGIRTVG GFDE JR316_0008431 MESAKSLFDTPVQPVTGLGRYRVLSATAGVHVSPLQLGAMSIGD KWSELGMGSMDKISSFKLLDAFFDNGGNFIDTANFYQDETSEMFIGEWAESRGIRDQL FIATKYSNNTKNRTGAIKQAALYSGNSAKSMHISVEASLKKLRTSYIDLFYLHFWDWD TSFEEVMKAMHTLIVQGKVLYLGVSNTPAWVVSKANQYARDHGLTPFVIYQGYWNILD RSFERDIIPMARSEGMALAPYGVLGGGKIRTDEEEERRRANGEHGRTVFDPNWERTDR EKAICKALENVAAEVGAKSITSVAIAYVMQKTPYVFPVIGGRKVEHLLANVEALDISL TKEQIQYLDNASPFDPGYPNWLIGDGTGRSAMLQAFFVNEPRPTAEPLRPSHL JR316_0008432 MNNARPASCSRRGSAAGAWRERLRAEAVFGIRDMAKLEGGTDGE LDKELKNRRMNAGRRCPTVCGRETPLLPMAPPPRLEKAYSESAPSIPPTIAPTGGEVL VTADVDGDNAFDVEVEKDVNEDKDADDEEEEEDDDDDDDEPAEGSECRTSVKTKQSIK QDRRQCQ JR316_0008433 MSGFRNDTFDDRDTEHLKYQGRSWFLAGFWNASNVGTTGTLSST NGINDTVTFNLLLRSTTLEFHAVVVVNMGFALTATPTNQTLKQ JR316_0008434 MSDLITFDDIDTDHLKYEGHWQQGNWNASDLELSGTLSSTDELD ATFTFVLLYSVTFDTPGIHTITIINQPDFQSDGNTLLTIDRIVLKVPDPSTTGSSPTS PSSTSTHAASRSSVPVNAIVGGVIGALVTIVLAFWLYIRRRRARRTDHLTESSRSRPF FIQPLSRGLSFLLPSRELTTAMSATATKIFGWDSSAAVGSAGASSRRTRRGRGAGHAG FKSNFFPDSTPSSRVGDEGSSSSALLLTVGPRRERDAGPAPVLDEEEEEAEEGVLPPD YGDVFVPSRNASAIAGAQHRGRGGGGVGSRRSRAFSASVGLLPVRRESG JR316_0008435 MNPETRTYRFPKPQYSGKNGFLSQFTDPAQITDKNEEEAIEKHR QFEFFLEHQKREVPDLETMAAELNRKDDAASLKKQIDDLQVLHENDLQRLYDFNVNEY LESIQSQHTSRDYTAQRPEVYEAERAAIDELFDMERRGLQIKWEDRYQQLHYAHLSEV LALTAEKKRIEEAEEKARQEEARAFPLTAADYNRKAPDMKLRVALFLTADKNRQERYL DEHGWAWRQVQPLCDVFKKDMQFAANVRALVINNAMAPKPAAPVAQKYTPTTDPRKRP PAA JR316_0008436 MLPKSRLLFHIALVPLYISIAIYLNLAPISFSDNLSSLLRLARA NLRAQYLKTTATTRNLSSESVVDMSPMKFVARRSEERGHADHDWLKTFHTFSFAMYQD RQHELFGPLRVINEDRVAPRTGFGTHSHREFEIFSYVVSGELEHKDSMGNTEVLKRGD LQMTSAGTGISHSEKAHGSKQVHFLQIWSLPSKARLQPKYFTRHFSDADKTDKWATVV APVGAQGVLAEREAQGPAPVNSPLTLYATILGVGKTLDRPLEGKKGYIHVIQRSGYKE GKAEGASVRISSPGSDAELTLREGDGAYIFVGKKGASLTVENDGDRAAEILVFDLD JR316_0008437 MKLQLSSVLLAVVGLFASYSAASITAEEFQEKTDLGFHLLSLEE DAPPVWRTEAETEELIAQGANFFDLTYTYTPGEEDNARVSSDAAEFTAAATYAAPSHQ AELRPIIAQVSLANTQSLLNNLTSFNNRYYKAASGQQASVWIRDTIANIIKTYPASGA SVSLYTHSWTQNSIVAKIPGKTSGPVTILGSHMDSIGGGSVSTSRAPGADDDGSGSVN LIESFRVLLQAGFKPTTPVEFHWYAGEEAGLLGSQAIAKAYKSAKVEVKGMLQLDMTA YVKPGTSPVVALMPDYTDSGLTAFVGSLVDEYTSLPWVINAKCGYGCSDHASWYAQGY PTALPFEGLFSNINPKIHTSGDTTSVAGFSWTHTLEYTKIAAAFAYELAI JR316_0008438 MSAYYSSSSSYAGAGPNDAPAPMAPINYQTAIPGDVSTAVKDLL TSTKRLQEMLKLWSIEQATEGDVSDLYVQIGHAFNVTITAFAYHHIDLSDLHNIPTEL REVLERCLSEDPSPEVLDSYLPDLRKVLFKLLKGLQSRQAAWRASVERREAMQLRTSP LNSD JR316_0008439 MSGFKNDTFDDRDTDHLKYQGSSWFLSGSWNASNVGTTGTLSST NGINDTVTFTFPEPAIAFYYFGIPRCCGGQYGICIDCDPNKPNFITIDAVNITDDGHN PPIVLFSQRFTTPGIHEIIIKNEPDLRFDGRSQLTIDGIVLEVPDPSAGSSSSSSSSS SSTTSSSSTSTSNAPSPSPSTAPSTSPPIGAIVGGVLGALAFLLAIIVLAFWIRIRRR RARETDNLAESGGPRPTFVQPFSPATNSSFSAPTLSTFMSPTETTVSAWNTTSAAASS AGTSTNRRGHHGHHARGGASHAAFQSVSTFSDATSSSRVGGGGASSSASGPTVGPRRE RDAGPAPVVVEEAEEEDVLPPDYGDVFAPSRRASAAAAAQQRHGGGGGVGSGGSTVSS ASGGLSPLRRKT JR316_0008440 MTLSLSHFLSLPLRSTIMEFLAVVAVYAVNATDDGRNPPIVLFS QRFNTPSIHQIIIKNEPDIRFDGHSQLTVDGFVLEVPDPSAGSSPSSSSTSSTSTTTS NATSPSTSASASSSPPVGSIVGGVFGALAFLAIIGLAFCFRIRRRPARENDHLAESGR PYPSFVQNFSPGISTAAPAIISPTADTVFTWGSSAATGTARPSSRQARQGHHALGAGH AEPQSVSSFSDATSSSRVGGWGSNFPSSPSVGFRRERDAGPAPVMEEEAEDRVLPPGY GDVFAPNRRVSTVAGSQQHGGAEVGAGSRRSTVSSASGGLSPVRRKM JR316_0008441 MQSSSSTSLLASSQHKSTRSSSSNWESSFGNLSSSYGFSGNAPS LPNKSQSSKPASPAQMSPSYPSVFHSSQPTSSTAGKDYQSAFGQLSSSYGFGGSYAPS FSKKTLTSTHKEPKTRAEPQGYEAAFGKLMSSYGFGGNSLSRK JR316_0008442 MKAKIASRLWHAELPNQIRENFSAETMFECNLAVEVIFQAFENQ EYTTWDAEEYLTHLSARCTGQNSAVEARLKDQFPPVHSTLQYQTLPGTVIDSAGNILV WYLPGILSETRVESVWNSLRDIETMIHKAAPLATSWRVNDSYFRHEPGWLQPGNLNFS PAWFQQGHETSNPLEVSLDLCNPIGQEFIRDMMTSSALLGAILSIIHPEQYRAGIKFL QRLAAEPELVHKAEILKQILTIWSSPFGVMTAISNRDTPYHRDNGSCYSWYDFLMPLG KGEHGRLELPGLGLRYKYDPMTLVAITGRLLQHGTVCEGDRAVIVYYMRRNVFKELGV QEAGWSTTYDLFANLPATNTFDFEI JR316_0008443 MGFKKVPDRGSDEEFPNVREASWSSGAKKRKRGRPRIHKLPAES SSTNLPQTTTYSFQNHEHNILEEEIPHYPGDLTDQQVLDNLRQLDDDRTGGKSQNDYL REWLPKREVYLGTMIGGEAPDPDLNGRCQQCQGMSGVWRCCQCFGSRILCSECLRRNH QFTPFHRVEKWTGLYFRPGALWEVGVKLYLGHNGKRCPYPTDPSHLGCDGGNNSSGSH GHGGNNSPIFEDEHGLDLDPLPASNDQESFTAQLLADPEVPHLVELDDGDDEDDDLFE EVDTTYLDQPRPKAADNNGIPFKAIVHTSGVHYLPVRTCTCRSVRLPSIDLQYLEMGL FAASFENVQTVFTVEVLEDFRMDNLECKTSAYQYYQKLRRLTLPAFPKKVLNRYRELR RLSREYRDLVLRRQYGEGHTREAVVPYYHHCHDSSPERMGINVSDPIDGGSDSPSQEV GMSTDPSLGHGGLDGPPAPQMTRTEDHPKVEDRRGKLALFCPACPQPGINLPDTWIDD ADSNLYIRSYVADGNFKADHLNQKNEGDDVWLSVGEGYMTAPGPYKEHIKEAISLAPR YKREQTCHNYHAQKAENRVSPGKRVRGIGAHACARHGCFCPSSVVDFDKGEKQMHMDW SLTQARETTNTQGITKHLEIYDINCQYCVNLARRLSESTKMHWPPSVKMIFAIGLFHV HGHKTECLYNYASTYVPGVGIIDGEILEPLWSVLNDTSRSTRSATTAHRAEVLDDHMG DSNWKKTINMAATIAAKFKRAREQSGITDRFYRGITDQQDSGLINTWEDEISKAEADR EQGVADAVGKVMASKVKTAAGRQEIELHLSNMELTSNGATGKAAWISSGLKLEQAQLE LRDHVRKLGKHPSTAQKLDLVNKRRSMRTRVEAFCRSAMTFMGEDVLEDIQGDIAPIL DYEVSDNDDPDLGNVNITRADPERQPLPFPSAVKQDFFDGLDAGTNLILKGLRKLELQ IRHGHAEDCLEAVRSALIQLSWQYKYQVRTADSVYMGTRAWDGVKLLNASWKLHRRLY NTNRQKMIYLSAGVRDEDNIRKQYPILQVHDCKHSNAVSDPNIRGGSSDRLSWIWRSR QGLDNDNQLYVNEFFRLNWLRARAQRNRWQEELALTKKEMEWTVRFYVYMAKTWRARH DFVPDRLVGHRANAQKQIAMWNDLGRAADKVFRQINPEYLSVSLNILVVSHLVEFMHL PRLFKPPVEDEHHLLTYDKRRALAKVHVHICGARIRAGYRLFIANGDSVSSVRRQKWM YLLDLEVAILLRVLGYCYVNGFQVNIPFFISEILNQSVHAVLNRTPHHRAVLFESLNQ SWSAWEDDEILNQTKSWGYWWRDGFAEGDEWQVAFVTVESQAREFWNKVVLPEYQQEV LRLNNQRKEAKEGASSSTSGPPRNQENNPKGKGKAAERTSVPTSGKHGSALTGKHGSA FSPPTGKPNPPTRVANTRDETSPIAISDHRPPYCPRCGQPILTGVMRELAHLRRNVAD KMKNAHKAVTTSSAALGRYSVLEKMWIDSKEHPFSNGKGLSAKYKFQHPVHPSPDSWG AITAQARSFEVSKLKVASFYINDIFYSFIAVKELPFHPLWYHSPPSNTANIHLPTDTL PTPGSVSPPSNAHTSNAFLFNARSRPVFPGEEDDIDSTSDTTESSTPATFLEHLAQDF EEEADEESSAGDTTEESDASGNSEELSEDVSETPWDEES JR316_0008444 MPTNASPDADPLPSTANATTHNGPRSGQTAEGDFPPEESNIWTT KEMRVLKKHVQPYKDTSKSSKADYIQNTVIPELQATWDHRYSRRARKEDKQLHKEWKV KKHRIFNWFRNHASNRIGVKLEGLNSRITFQTVFREEKSAEIDSEVALLSGNAARGSK DWMKFYQQGRKQVEARLTQEERDRFMEILEEWNKKGVSKSMKAKTAARQGRKILRQME KLKWQRMGMRSITFEGHYDIEGKIEYSMTQTSDLALNDVRIPSFGQLFPSELAAFHRA FVQYLVHISEIEKGVANPALPDASFHEKSLKFSSNGFPIVPSPIYGSTGREVAYAQKS IIRIYMNKVYALAKDRPGSSVPWDALERRSAEMIDPEYWPSSIPVTDPSRLRLESTSA ILKLWRDRQAQGDIPFKFSKVFGNGYDIMEPLYPSNLFDGLEAHPIPPPPAAITKRRL RQKAIRLQTQSSSDSESSNLSEFDNGRGSPASAMPRTTARFEVTPETDETPTDAPSRS SEPPSLPSRSSPTIVNPSSSPTPEVEEAPPKPARKIMPRKRTNPYVGTAEMDGPDEES SVPPPVKPKPTRRIKPRKRTKPYSDPLDTVEEDGVQTGTTQDTDNTRAGRDVTCDAFV ETVTSPDTDETRARRNVTSDALALQEASLLVVAGKRQRKKTLKA JR316_0008445 MAASSSTTATFAQRLADWEKKFTECYRNGESAFNAELEQLYRDL VPLCQEHVRDAARFRLIDYVASPVVYSYKVIQGKDGENIYRFEVDWATLKHQVANFKA YQEGQEAQRKRREEEEQEKRREKEEQEEEERQRVEERRKREVRRKREEKKKREEEEER QREEEEERQKEEERRKREEEKQKVEERRKDERRKREQERRTREQERQKAEERRKREQE QEQEQEQETDEERNKEETEKRRAEKGKGKAVEPPVEDGPVTDAHKDKGKRKAADPVES IQLAPADYRGPRTKKGEIIPHITASNMPGHPAYREKLARLAKSKQGKFRSKAIIGSHT DEDADADVDEDDEGDNKGAPPTTPTATPTRKMRTRSVKKDTEDDVPPIRKARSRSQKV RQVPEGMVDMVERCTACIKFKVPCYVKGETGTEPLVPVKHQSCESCKSRKIHCSFYPG RNTFVGQFLTTPLGSYGEALKLEEGEDVPAKGKAGEGSFPEDVGELLVQLFERQGRIM ERLDGLSASMTAINARIATFAETNLAVENRMKSIEDGIQEVKAEWTMAKEQVAGSTSL SVTMFNDITKRINHVRDVVDDLLEQDEQRNPAPKQAAGPSKTEVKQESGPSRTREPTS VPQSPSAPPPPAPLPSPPPPPAAPILPSPPPPPPAPVLPSPPPPPPAPVLPAVSAPPT ALFLPGSTPEAPSPPPAGRPSLPPAAPLSKGAPSSKAAPSSKAGPSSKAKPLSKAKPS SKAGPSGNGHSLELSDPSDSDEVEIVEEEDVEIVASTLPASNIATKTRAGRKRKAETT LAEASRSPKKSKAQKK JR316_0008446 MSSNISNSKESKRTARTDDLAPPPMPVIPDNLAPPPMPVIPDNL APPPMPVISSNLAPPPMPVIRKDLAPPPMPVIPANLAPPPMPVIPANLAPPPMPVIPA NLAPPPMPVIPANLAPPPMPVIPANLAPPPMPVIPANLAPPPMPVIPANLAPPPMPVI PANLAPPPMPVIRNDTAPPPMPVIRNDTAPPPMPVIQNDTAPPPMPVIRNDTAPPPMP IIPDNLAPPPMPVIPPTLAPPPMPVIPDTLDPPPMPVIRDDVAPPLMAAHVSYTSVID KIIMDSRPAISSERKQGRYSPPPEACHLLLQNPYFRTYGRFDPMGGYPGSPPTQSDIE FEDVTDQTAYFNPPFLYNYTEQQLRATYDQIIDSSVGDNSYDSKVTTFKNLRLHQLQS EILTYNALEEIDAGLAGIESSLEKHLYTGRDE JR316_0008447 MSGFEIETFDDRDTTHLLNQESWSSQGFWNASNGSSGTLPSPNG GSGTFTINLLLVPIIMDYALTATPGVKSLCHITPTMPSTGLTFRNIVDPLILSFRLVL QSASLSRMFALLGIDETIFENELGPESSGHSEITVDKIAHQIPDPPADSSSTISTSSS STSALNVPSPSTSARSSSSPPVGAIIGGVMGALAFLFILVAFWRQMRRRRAHKTEKTS LITPTSAAEAEA JR316_0008448 MESAKSLFDTPVQPVTGLGRYRMLSATAGVHVSPLQLGAMSIGD KWSELGMGSMDKISSFKLLDAFFDNGGNFIDTANFYQDETSEMFIGEWSESRGIRDQL FIATKYSNNTKNRTGTIKQAALYSGNSAKSMHISVEASLKKLRTSYIDLFYLHFWDWD TSFEEVMKAMHTLIVQGKVLYLGVSNTPAWVVSKANQYARDHGLTPFVIYQGYWNILD RSFERDIIPMARSEGMALAPYGVLGAGKIRTDEEEERRRANGEHGRTVFDPNWERTDR EKAICKALEKVAAEVGAKSITSVAIVYVMQKTPYVFPVIGGRKVEHLLANVEALNISL TKEQIQYLDNASPFDPGYPNWLILRTSKMLMRRYFDHYIGFCAKYINYLSANTQAHVL QSSSLLMKFTANGTVSIKRINV JR316_0008449 MKSSSSTSLLASSQHQPARSSSKNWEASFGNLSSSYGFSGGAPS LPHKSQSSMPTNPAQMSPSYPSASHSSQPTSSTAGKDYQNAFGRLSSSYGFGGSYAPS FSKKTPTSTHKEPKTRAEPQGYEAAFGKLVSSYGFGANSHSRK JR316_0008450 MATLIPPPKRQKVYHGNPEPEPEAPVPTSNIVVQFLSEEDGSPL APPVNLPANVSREGLEALVNRLSKQDEDPVPFSFHVKLPENKFSLPGAPTQVVISKSI EADVLEHPTADITTEDIINVYCSPQSVFRVRPATRCSSTLSGHTSPILCASFSPTGNL LATGSGDTNARLWDLNTETPSHVLSGHKGWVLCVEWEAMERKLATGGHDGHVRLWDPK TGTSIGEALKGHSKWITSLSWEPIHINPTAPRLASSSKDGTVRVWSTLTRRTEYTLGG HSASVNVVKWAGGGGKHGVLYTASSDRTVRIWDAEKGQPLHTLKEHAHWVTTLTLNTD FVLRTGPFDHTGKKPASDEEAQKLAKARYDGLLKTTPELLISGSDDHTLFLWSPFPSS SPASANEPKHSITKPISRLTGHQRQISHVVFSPDGRWVASAAWDNSVRIWEGRTGKFV ATLRGHVGAVYRLTWSADSRLLVSASKDSTLKIWDLKTYKIKNDLPGHTDEVYCVDFV ADKVVSGGRDRTVKIWKN JR316_0008451 MLDILPQSAPAFFQPHDDNKISSGNLYLCQPHTVIQLVEVAPPP PRRISSVINSSSAASSSYSSSSSSFDDEDMDEDEDEEEICSSYCSSDLSPEELEQSSC SAALSSLPSTDSRMKRILLWRQFSDSESSSSLKRKVEEIDEMLVDNSDNESHTSKRSR SHGSSASSHSISSAELNMHPCPACDAFFPTLQSLRQHGQRDAPHTSEACCVAVEYAFE Q JR316_0008452 MPIKHLENYLFERKHLQTLPLSVLSDSRLGIDASYYLQLLTDNP PSREPLLAATGGLPLALTQRIESDLRALEKLRIKPVFVFPGLLPNKRWKPNQHNEHID ACRDRRDAWAKYEQGQEEAATKLFEGRSSFAQWDLWRMVLRIFRHRNVEFLIAPYVAW AQLIYLQRHHKAYIHAIFGPTDTLLYPGVDKLITALDLTSATPTFQYTSKRNFIQELG VSDDQFLDIGILVGFDNSPAFPPTVHEQALKATVDMVKYYKSGHAAVSAFSDHPVVKT IQYSDHYARTRSMIKYSLILSSEGTVLPLPLAITTPAGHGPNHHNHHPTAADIPQDLH EIFTNRLPDEIYYYLSRGLLGPQALVWLTSGQIVENPPLDNGETTEYKRFVKEVITDG QTGPRATALALISSVAHNFWASKKVQGYFWFEQPNHANQKPVLHNSPQTAQLAERVTG WNVMYSIVEEELRRQNSSTIDFALCLGATASERLAARTKVKSNANSPLEKKDEVVANV IWRFLELRGSLLNARADLFQLLRSAARHRFLLNSHTHSPLARAMYNAIKLARVNDKFQ DPLYLFLELVRAGVMHGHLWSGRAFSGGPSFGVDEEKSSMLLVMRVLSIVPLNFKPQP WSAPLSRELLVFNSFVRSLTRALRTLLEVTSLNMLLRNDARRARDDLLDIALSLPFQT EVNTGFGVLAKVYLDALTHINNGTRVRDANAPGVVLAKEMALDLCEETFPGVKNPKAE VERGFRFWDVALAAMRQLHSEGAVLRELIEQFEAAEAWLAPMRP JR316_0008453 MQATLTASRAEKPVYPAPLTMVTTYDPHSDKFVILRDFLLDALA FKAMNDREEQVTEAYSETFNWIYDHDDHEFAVWLSGASDESMFWIHGLPGSGKSTLMR YISQQSRTMELLRMWAGGEPITLANFFFWESGTAVQRSQAGLLRSLLYQLLGQQPDLI PAVFPILWDKIWKADTRTRIQLTSNWSLSDLLDAFYRFFEICDNNRHVCLLIDGLDEF EGDHQIMIDLLERARQRPRTKIGLSSRPWEVFRKAFSTIPALRLQDLTTNDMIQFVNG KLRANSRIRILMDAEPQAGTDLVNVVVSRANGVFLWVSLVVRTVIKNHGATVYHLASI QKLVESLPTSLDDLFSHFLLRHPSDSQPKMSRIFQLMRAREEVCDFTRDEDSNVLHLW EMALTAGYVSNVPSEALVHEMPKSQVYQMCIATLTDILENCAGLVEVHKTTAEKKIKP SQSNASSARFLPQRKISYLHRTVKDYLRKEDIWNEIVSHLPSIDPHLLHVRSVHLQFK HPIGAPRKQRNINAWWSRIVLAMTHARYCRPASHEAMFDYLNAFDDTLNWYCPPRGGS VAIDSWARSCFGTYEERASRKFPDPFLSLAVKFGVVGYVGTYLDTLEYEYEQEKPLLS HAVEYLVNRQSTVYPLSNPELVEVLLSNGEDPNLIKQPERDTIIQSLAHKNSQEHPSV DDEDYQPPQKPPPALKTPWVLALEAVQQAHRKGWIEPFDIRPEGTARWARILRALLEH GAHPNVMVSATYRDKEESAADLLTRVFEAYMSSEVNQVKDLFIERLKEASIGQC JR316_0008454 MYRMAMLAMLSEDSKLDISKCVMMALVHDLAEAQVGDIAPREGI PKAEKHRLESEAMHNIVHDMLHNSPAAQKINALWMEYEDGKSPEAKFVKDLDRFEMAS QALEYEKNHGANLQPFFDSSIPKLQHPQVQEWGQGLLEERQNTIATKIPVT JR316_0008455 MKMKGCLKSPSPSSSGVSTPDPLSTSTSPSPFYANSNASTTSTA SSTSTGTGTSQQRKCKCVAFGDEGSLEEVYTADEWDRTPTEPARKLTYQCGGSSGGRV LWSCAAAFLVALAPPPHFSLFYSLSIFAVLFALDLLELKEIQRSLPRANQPCDVLAGR AARHYLSAVPIGLLPLLPEGAGGGGSSVGGYGGSGGSSVGGYGVGGAGGASGYGQAHR GGRDVSPLRNGGGGGGGYGYGAKVPAAAPLYGSGNGDSGNGDTASNINPTSNSNSNLS SNLSSNSSSNPLSNPSTNPVSNPSSDPSSTSNPTPTPNSTSNSSASAIGAPPAITFQR KPVSPTSPISPTASSYGAGAGYTNGAGYGTGARAGYGYGYTVSAPTSPTPSAVSFGAS AASTTSFGASSTGGGGAATGAAAAAGTAAVRPPFPFPFPFPFPHRSYSSPALGAGGGA GGGAGGGANGGNGNGNGGNGVSARYLFPARTTPVAGAGVGAGAAGTRVGAGAPVRPGF AYLAGMNNVGKPAPREQERERERERERERERERGFTLNVDNDADVDVGSESDSDAGTV NTTCTSITSASASSASETETETETDFHTETETDFHTDTDLDTDLDADTDTDTDTDHTR ASTPAPSCASASASGSRDGSRDGSRASSCSRNAVDGVVGGGVLKKGRGEGAGSYFPHV DGKQREEVDGKLREEGDGKGVEEVRGRQLASASASGGAFGFASASAPIPASSTPAAPA SAPSSTPTTPSSSAPSSAPAAPAPTPTYTLFKHLPPLRGQVNLRSRGEVVLGGCPSSS SSRAGSRAGSRAGSVSRDVSRERERGASRERERAGSVSRERQLEREGGWERERQLERE PKKRMGGMSVLPVHIVDPPSPLILDVDVDVDANFNADGVGAQMRNGRGGSGLAKVYGD VGEEAEVGGGEWVPLKRKLVQEVEVESKLAEQESEQEKKNKKGKGRKEDRKREFIVIN DVKVYLDDDDDEEEENDEAGEGGDGDGESKEEKEDTALSIPRFTPPLSASVGGVLAAA AAAASSTSTATIGTATATPPATIAARAASESATVGGDSSIPSSSTNTQTAQNTLNTNV NLHLPVRFKRSVSSTV JR316_0008456 MATLAVLSEDSKLEISKCVMMALVHDLAEAQVGDIPPREGIPKE EKHRLESDAMHNIVHDMIQNSPAVQKIDALWMQYEDGQSPEAKFVKDPAPIDIFYRKS GTLPSNSRV JR316_0008457 MSRLPRLRSSSPDRAHSNDAGPSLPSRVPQTSLKRKAIMEEDEE MESLDQPRKLPAFGAVRPSRPLQPSKTATNLTSSRGAPAPVPKPLTKPRAPILTRSTR GTSAPPTSAKPPARPTTATRATAGRVASGSGSARPGVGIRPGDDKRFNDLQSQLTSIE AARAADAARLAAEMEAERNKVAELQANHRALSNELANAKTQELNQRRELVHASDEIEN LKKKHSREVMDLELDIKKRDRELREVNEDLRICRSDLERERETVSSLKNTIAHQSTAQ LSLTTQNHALEAQSMALQSQIDAYARTVSEMTLKLETAQKQVDDLKKEAMENEFVRRK LHNMVQELKGNIRVFCRVRPVLPSDLVTYSNTLSSSGSSSNGSEGGSVDFEKAKEELQ ANMSFPDKLDHKEIVLASSSSSATGQERKEVYNFGFDRVFAPESTQAEVFEEISQLAQ SCTDGYNVCIFAYGQTGSGKSFTMEGGPTESSQGMIPRAVEQVFRVASEMKSRGWEYS IEGQFLEIYNETINDLLGKGEFDKKKHEIKHDPKTGSTRVTDVEVIPLTSPSQVRTLL SVAQSRRSVAATLMNERSSRSHSVFTLRISGVNVGLDGCPGTGEKCEGSLNLVDLAGS ERLNVSFANGADKERVRETQNINRSLSALGDVIAALGEKGEKGNDKHIPYRNSKLTYL LQNSLSGNSKTLMVLNLSPLAAHLQESLTSLRFATKVNNTTIGTAKKQTRVS JR316_0008458 MKFASLLLATSVVFTSQVLASPTIQPGTPSGYQCSGPAGVTIPG SSVVTTLAPSDIDAAVPILMDSEAATQEKRDYVH JR316_0008459 MKFNILRLALFSVCVDVVFSASSFEARSAQTCGDPSVASTFYKG FNYIANVHTFNTQAQFVNAQVLGTGWQSTIPSFRAWTSAGQLGTAPLFYDPTVNDVVF SLTSSPGWAIVGYVYPTQICSSVPLYAAARTSPSGHWYTTIEREHNELIALGWVDQGI VAYVLPIQDSSVHNGLDWGIEPLPLAHVTVEGKHDNHFTISVTLGGERVYIKPSAWVL SQSQSLQRKCAMLSLFSSGSNAQGQLGHGNIDDAHTLQPCTFSGSTRGSLPSGTSRVL NVASGANHTLVLLERHDGCRELWGCGDGRKGQLGPGFRNSKSFERIQLVLEDGGLEGY TLKFVEATWETSYAVLSSAGHPDVIVSFGSDDYGDLGVGGVDKDAGKAFHIVSLAHLI PSAEMEVLSITTGQRHVIVQVRATSLLLLVGWGLSRHGQLGVPTGSSFVSTPRVVADV SGAVFVSCSLGIHHTLILRQSKVLSCLGSDRKGQFEVAKRNISDVQSIHCTWNGSYIV VIDDGTWVIRSSGSNSRSQLGWIPHEDDAGGIVKFPDNVDHRQSSVSLACGSEHVLAV ISYPGFHPELWGWGWNEHGNLGLGHTVDVPLPVKIDAPGMTSICGVWAGSGTSWVCGQ IEADG JR316_0008460 MSETTRQERDTMPLRHDEELQDNPVEEKPTPLPISQLLCVYLIQ FAEPITATVIYPFVNEFVRKTGITNGDEGKTGYYAGIIESAFFMAECLSVVQWGYLSD RYGRRPILLCAPVGLSLAMLLFGSSTTFWSLVASRCLQGIFNGNIGVAKSVIAEMTDN SNRADAYGFMPMIWNFGVTIGPITGGVLSNAGKRWPTTLGRIAFIRTHPYFLPCFVCA IFVFLTFIYAFLTLKETLPSIVEKQRQQNSSPESRLLDHGDTMNYGTNTSDNSSSTSS VTEDSSARPTNIGFRAVADRGVLTTMLNQTFLTFMDMCNFALLPLMYSTPLEYGGLGM DPFRIGVILGSFGFFNAFFQAIFFARCVRRFGVHKLYTFSFSTLLICFGMYPVTKYFA QRAQGVDNLVMACIFFQLTAQTAISMAYGSMQILIIDCIPPGGTMGIINGVAQMIGSG MRTVAPTYAASLFAFSIGHNLASGNLVYFILIGMTIAGICCTRLLEVKPQQRNG JR316_0008462 MSFLSKRLMSTIKMKPTPSKAHHHYPLPSSSFPEGFVLGGIHAG VKKKAGVPDLAVILSTSERPTTAAACFTRNAFKAAPVIVSNEVLKKNGGYARAVVVNS GCANAVTGKQGMDDAWAMVQATDGLLSKSYKSSEFEHETLVMSTGVIGQNLPISKIVA GIKSQSAATLGSDFAAWERAAQAFMTTDTFPKLRSRTFTIGGVEYRMAGMDKGAGMIH PDMGPAGTTFSAPKQLHATLLGCIMTDAAVSPKSLQSALTYAVDRSFNSISVDGDMST NDSIYVLANGAAAKDGAVIDEDTDKQGYEVFKKELTDFAADLAKLVVRDGEGATKFVT VTVKGAPSYKDAHNIASRISTSALVKTALYGEDANWGRILAATGSVPLLPSASTGATP VIDPKKVNVTFVPSDGTAALPVLVNGEPEKVDEVRAKEILTLEDFEVLVELGMEGDGE GEAQYWTCDFSYRVALRSVL JR316_0008463 MAQISLELAHAIAVWLEIFIYGIYTALFVSTLALMFKGSRRSTG AWVFFVAALLMFSIATLHMILAILRFLRGFILHRGNSGPIGYMYDFTRADTILDSSVF CVIIWIGDALVIYRCFYVWNHNYWIIVLPALLLTASIGVNIYVLWWFTHLTAVVNEAA SFGLVRSIYPLALAQNVITTGLIAYKIYHQHRASSAHGIVDRSSKLTLYRILRIMVES AMVYTVQLFILMILYFAKSNAQVILQYAIVPSIGIVFVLIAIRVHAAKTATVYANGMG TIPGWLEDDDDAIEFSTNASLPPGVVTFRVSDTDRDDRDDYSQPYGSDSYAHKRISAV SIVGSTSSHSGGNSPQPLKPTSDAQIKGGSDSEFT JR316_0008464 MSSHQVLNIGLILFPGYQWLDAAGCIDYLFNHSREALAPYFSHS NPGVVAKAPSMKWHYISSDRSLVHATSGPPQLPTATYDDCPGLDLLVVPGPDPTFPPP PGFVEFMQKRYADPELKALLMVCTGSIMVAHSGILDGRQVCSNKFILKEMATAGTLNR KVKWIGDRRWIVDGKLWSAGGVTCGVDLAAEYGRVHFDKEVGQTAMHISEYKPNPDKP DFFAYITVGVDL JR316_0008465 MSAPELSVGLVLLPEHQWLDAAGTTDYLYNHSYDILSKLYTDNP ELVSKAPRMTFHYISSDYSPVHASSGPPQTPTCTYENCPFVDIIIVPGADPAAEAPKG FVEFIQTRYADPKFKAILMVCTGSMCIARTGILDGHQVCSNKFVLRMAANNKMLYDKV KWIGDRRWIIDGKLWSAGGVTCGIDLAAEYARQHFAPEIVQAAMDISEYKPNPAQPDP FAYLTEGLGL JR316_0008466 MPLRAHLKYPPTHMEAARLSTSLSSLAQTLQRSQKFVKFIQTRY ADPRLKAILMICTGSMCLARTRILDGHQVCSNKFVLRMAANGKTLYNKIKWIGDRRWV IELWSVGGVTCGIDLAAEYARQHFNPEIVRAAIHCINTHADHFNLRRL JR316_0008467 MATLLATEYQLDDRKIANWTQNQEKSISTEYPFLPQNENLDDFV ARTYLQFLWLPESIMPLNLLIPSLRRVNVPSSSSDTSVHPMHALLEPIMLTTPDVDNK YNVELPLILSEGGGAGEMEETMMWYSLTHEKGDHSEHSEGPWTDDVWRQGYMEPLSRV QIQILLFFYKLSLPGPAPPEKKTKKRKRNEQQPMMTRDHLEAFMDRLSMWQLLSTIEQ TRSPAEERDWIQTFFENIVEPAFKVQLPDLCTLLRSKVFPSSPFSDDEDESEPQKRKS SSPAPRSLSRAPSTSQTSSPSTSKSILARSRSRSLSVSLAQEQKERERASSIPAKKPA FTREISMSRVFKPKPKPQPAHAEVKTVKTEAPKAKIKPVNSSANLGVILVEETPAKPA KANSKAAITLVEDSPVKPRVAPSTAGQRQSTLNFKRSKDLPIVIPESPIKGTEEDDGE EEWMMASSPPDIVFLNPTRDPDGVAEMNGSDDDERVLATPSKPSRSRSRLSLRKM JR316_0008468 MASSTPPPNKNPTTTANDSKQTKRPPETPRSHRSHGGKAGVTAL RPIIAVEMDREFIKVPIDTFIKAYLPFEPCKATIDRIIECFLPDSGSGSPVDATTSSG ECDNLDIDEQLQIAVGDGDSLMLRHGGHLMFRAFHRPPSTSDGSEKLIYGPLQDIAHH ISLIDNLIPGRDRNEYHYRYVSSNMIKSEIDGSNNKIDACFMRGEEFAKPSTHNIAVV IEQKLLPEQRGGNNRQAVSANVQIMNDDVRRMFTYGITIESDLVTVWYHSRSHSAVSE QFSLVKQPELLLKVFTSFLYASKEELGYDPGVEHISKGHYVFRGIPNVKDRNVLDTYK TVGTISEIRANNITGRMARVFKAVKLNPATGRYGTKKYVLKDVWIDETAETERALQDA IFGDIEKFWSTRKTPQALELIKERHRNLVASKGYKKYFLTIENDYEGALTKPLAEGFQ SIRGLLSGPPLDDEKIETSASHARLALHTQLMGHTIPESTAYDQFSRIDFERKYARKK QYRLVFSELCTTVGHLETLGEVIDVLFQALIPLEMMLCAGWVHRDISSGNIMAYRKNL DDAKQPWRVVLADLEYAKKYPPPEELSGSSDPKTGTPFFMPIEVMMQRSLFDHNQEKV TRSVAIKSDAGNSQPEGASLSLQPADSDDDDDDYVRFKEDTLSAPKNRAAAPWEGIVH NFQHDWESIWWLILWTITSRVVDASEDAKSYARKIFQNSIKASSQRQKAFMEPIRPDL EKCLGPLSNYFAETMEYMRGAMYRRYVLRELRGQLKDVSSFARVSLSFRSFFGDLQEH TEKWSSTKLNMKNPYIEPAERKRPLPDDEEGGQNANAKGSKPRYASMKGSRKGQRSTK JR316_0008469 MFNVLSVFSFLLLLPSILAQEQGPIFVPFGWNKVPATARVIASS AGTTTYGLIDGGFAPGPATLVQTATTAASMFYVNSAPATPTTLSGVCNLASPIAMCTL NVFESGTSRPGNTSGGSVGTSTGTVLTMTTLALGFCLGLAFLR JR316_0008470 MDFLNLNQYSELLPPFDIISKSFGYLLKDINAEIETAFIMKYVP INQRWTELDTLGVWNNQIKWRRYQHKCLIDPWHYTLRNFSEFVEFGYKEYAIYALDAN SELIEAYYLLEPILSSKYVVYVALVSRFIDKTDWKKFLEFFNIKDSEDIYKHMTTIHI CMNQFERETMNRPLLVEWHKLQSTAEFVWKILYIKYMDHKFGYDAEI JR316_0008471 MWHPMSESLVYTGGRDGAISLWDLRMNPSVRLSSGSTGLRSVAT IVHAHQVVGSSPEPTITGLVLQDTATYNVISSCSANGFLRLWDLRSTNTYLSCSSLDP TVYNHRQSRGIVSLVSGVKESDGTIFGLGTDARIYVYCVLDLNVYSSQNPCDSLWGSP SFYVKSSLSPCGRWLAYGGGPHNGDAFIFSVARDTRLDVGPIHAVRLRGGSSSSCGVD WSSDSLAVCAEAGRVRIWRSDPGKRRECEIQPDINKNKWSWATTISQKHGYYNRI JR316_0008472 MHLNYSMIAQNLLFLDEPTSGLDSQSAWNIVAFLRSLAEQSQAI LCTIHQVFDRFLLLRRRVAKQSTSVTLDTMLKLCCTTSTPTVLAHVFLKKIRAAEYML DVIGAGATAFSSINWHEVWKRSPRQSGLSRKSRRSIQLDEVNLLLKLISGLNTPPHGA TRSSNLSFKQGAADHYRIAKLILNVAGGFFIGLSFSRTRTVYKTFRITVYMLLVLNQP LVNMLQVPFVATRTIYEVRKHPSGMYSWTAHIIAQILAELPWNILGSCLYFLVWCWTS RFLSGRAGYLYLSVGVVFPLYYTTIALNDVRTLLIQALLTCCVVIGVNIRQRHARLHR ITLAKSRDRRLP JR316_0008473 MTFSSIDPFDLHGFASESAKQYREHIQELVYYGESRLKRAVDEI LKKPMEDQAPFQKCLRKELHYFLTYVGLITSMGQVVDVPFTLRSLAFSLGRIAPEDLP MQFNVEFVQALNITRDEDRGEVVASYKRPWWEEFRPSWRSVIDDGSIGECLSHHRKLI GIENKHRFIESLGSDITPSSFAMTPGERMLFEPLQNARRTIMVMTEAQTSHQSVIFRK AEEYWNLHDASKAEGMNRDHWKMGITEMGNNITEIGGVDYVDRISTPAFQRATSCNDP PLVRDSKHRSKDWKPSELDDDYSLSPLPSPALLYRSESIQTDQGTVPVETVPPHSGPD ISCGSPEEMGDLSMQASLSPGFASARSRDEMAELYEDIKPHIQEPIQSYSPEFHPPIR IPLADELGDLFRDIPSTPGRSFDMEILGIQSPNVLATLYEEITPQFGIDRQSSPEIKT LRLNPSADDLGELFNDILPPSGLSIDPDITATRPSDVRSTRDEDVTLQINVDRQSSPE ICALRLNPSPDDLVDLFRDILPPAGLYPNLDINGTRPSNVPATLYKEITPQVDVIRQS SPEIYAPHLNPSPDELGNLFRGILPPSGPFSNVEYTGTQSPQECTTMREDSTPKCYPS RHIASTDELSELFMDILPNPEPLKRSEAQTPNKRSGFTEDTPPTYHPNCYISSPDELG ELFRDLKPASELSESPSAYVAQSPNDIAALWQNTNQPNNHSPRHNASPNELGALFQGI TRLAQTTDNSANTALQGTSNVMSRPPASSNIHEDQSLSLGSSNHLNGLPSKRQRRQK JR316_0008474 MTKQSRTSSLNDIIKIGQDCLTLDLPPSLQVEQRKLFRQTVGTD YVLKAYPDIFNHSLQNDQSERLDLVEQYIIERLEMARYNVRKRKGDFHAFGITKQEMI YAVSRWTPDYIHGFLHRFANFSQTKMTEMEIELLQAHFLHYF JR316_0008475 MSESTELQSIHNLSTFQEAIKILDQKIRKSLVDGDVAKVMDSHL ETAKAVATTCGRFKEEKEKPQYNFLFAFLSAYGHAFRKREHRSHEFLEYCQNLQREID DPHDEPVQKPTLEGKDDQHSEVHVRQNVDGEGSRIRQDSEGPRRTTRKSRHQVANKRS RSSSAEKTKTVAETIVNDSANHSALIREPSPPLKKRRVQQARQASPELPPPKTLVSIE NSSDNNNEDGYVPSDIPSNINPPDTRVIAPAPSSDTSSGKRITRAMAAVAKGPTSTEN FGRKLTPEPAPHSNVKTNIKVQGRSETKQDRTPPVPTNYVHLQPCAQCADRNINCRVT YKGYSCFYCGSHRVRCDNRGDTIVRRLPEANEDITGPVEEARKHGKPLHRQKRPKRET GSPEPDENCGHHDPQHHSPALRDPKHSNGKQPISEQTADLNSSQTNQDKHPMGKVHYQ FVYTEAKIKTENVLKSWEAIPRYTAPPKASSSSLPPEKSARAAKDIEEFARNWEAWVA EDMARSEARAPAGPLPTRPTYIRNWEDNKADADNEAYPNEDRLARLEKNITKMNKVMK RIDKQLANHDHGFDYIAAKMAFSMAAIDMLSNKFEVLHSYHSDVLHKLVGVEITMENM HDDTYHRGEEGPCPFRRGSTKDQGIQTDSQTKILDSKGLFSKSSGKVGDETGIGENGE ESENGEEGENGEENENGEEGENGEEGENGEENENGEEGENGEENENGEENENGEENEN GEENENGEEGEKGGQDEQGEKFGDKDSDSSAEIDADKMPYIPVHSREKLEASQHQWYG GTSDQPIDIDFYTELAKDQTDVATNLRTSDQEGTSHAESNVAEVFGEEDVSSNLEARH GEEPTDGKMKDENITATLSTNNLSLVKFMHSNFREPHPHTTPSTYTNDDLTSTGRR JR316_0008476 MTEEQIVIIFKAVGQVVGFRLAFDRDTEKPKGYGFCEFADHETA LLAVRNLNNTDCGGRSLRVDLADLDPLLEGKTTVRGRIMDRGYTGSSEYRSRMHLDAN DGGKGQWNDNDTFLANIPPGITIPSGVSALDHIKWIVAELPESKVREALAQMKAFVIT YPEKARTLLIRYPQLAYALCHSLVLNRFVDPIMIERMLASSRRPAAAGSSLTQSPIDQ GPTGSDSQYPLYTPYSPLHGLHYSSSQLMPTTREQSSIAVKPHAHAQYLLELHRICHD PSLSPCTSPLTLVEPPLIKQQQQLAQIATAFVEMDPEQQRVSAISIVLGMTQEHIDNI PEPAKSQIVQVSLLITGGESDVSV JR316_0008477 MSSQYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSVDQVIDCVFFDYRAALREFLLNLASWCDKRETVKASLERLESA VSAGNTPNRLKVKAPEFQLTKEFADAGSAEALRVSTTFSSARDAFQKAINDGAVQAKK DELAFWDDKCALNSCYEAAALIVKATYDDRKSSYKLPVFSTDNKGVRRIAEWVVSPQK KAECSALQTILPAIFSHIKQIVNMRHRALAIKIEKKRTTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQARKAGPSKPKSSSTPSQRKPQTKASN KVDNKKKGKGRAPVKNNDPKGKGKARA JR316_0008478 MYEEWLLNASEENTALAHINPNSLENNLVKLGIFKRAIEIGNTQ LITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKILDHPNVAFYGRYIDDCFA IVYAESEALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFIFKESGKLHWKPFVKTGN NRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAIRDLNALYLMRGYPENLV ISWCKKNIQERWEKRFALRVAEHDESILVLKTRFDQVWNWFSAAELGKTVTEYWSAWY EHAEKGLYSADSSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVPDLRKIGLLGS RWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQNVNETYHSALVEAAEQ ISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0008479 MDSLRLSSLQINDPIKTASPAKSKISKAKKKQVESTGSTTSDNV DPGHGSSQAVEIVAYSQQSRFHRETFDASDIDIDIKGVNVSVNNKELLVDAHLRLKPG VRYGMVGQNGVGKSVLLSVLGNNVLVGLPQNVRILHIAQLEDFTAGRTILEEILEADV DRTRIIREAQALQGFTTKANSDAALNTIIHKLLVSRAEIALDKAQKIATKRSGQRGHT ARQALLVAENDLATLQAQDPQTYVTTQMVHDIMTEVFGAYEVLDLEADEARAKSILRG LGFKDEDLSKEGRKIGGLSGGWRMRVMLGKALFIKPDILLLDEPTNHLDLPAIVWLRS YLTNDAEGQTVVVVSHDRSFLDAVTDETIIFRDKKLTYHPGNYDDWERNTEEQRKRKT RLKELEMKRRKQIMDSIQKNVQQAKSTGDDKRYGQVASRKKKLERMGMERLEDGKRYK KSYHGFHDEIVVEQAVKTAPITLPPPEPFNFPGSSFLQLSEASFRYDPKPSSPMVINN VSLDVGPQARIGLLGPNGCGKSTLMNLLAGELKPTLGEVKKHHRLRIGYFSQHTVDQL DLSVSALQHLSKSFPDIVITEGEARSHFGSCGISGDPVTRPIRTLSGGQRNRVALALV TFHRPHVLLLDEITNHLDMGTVESLVESLCEFEGALVVVSHDVWFLKQVIEGGDDDED DDDDDGERQKGVLYTVTKKGELKEWDKGLEAYVEKIQRLSTKALQTRAIK JR316_0008480 MHDFMWDGQNDGRPHNRICLTELQKMVEQSASGHVIRPGIEIPE LIKARKTRQSSSSTSIHNHVDMSTQTSSNKAALLQKNDNDIVIVSAVRSAITKGKKGG FKDTKPELILSHVLRAAYSKVNLDPKLIQDIAVGNVLPPGGGASAARMAALHAGIPVE TSINTVNRQCSSGLTAINQIANQILAGQIDIGIGAGVESMTNGYGAGAAPDVSEEVLE NKDAEDCLLPMGITSENVAKDYGITRRVQDEFAAKSFQKAAAANKAGKFKDEIVPITA KFIDPKTEKVSEIVVDQDDGIRDGVTVESLSKLKPAFSKDGSTHAGNASQVSDGAAAV LLARRSVAKRLGLPIVGKFVTAATVGVPPRIMGVGPAYAIPRVLEIAGITLADVDFFE INEAFASQAVFSVQHLNIPFEKVNINGGAIAIGHPLGCTGARQVATGLNIAKQTGKKL FVTSMCIGSGMGMAAIFVSEQ JR316_0008481 MTKFDPAAVNCRLTKARLTSAEIFWRGIQPWLVDQGYELRKRYR PDWVPSWLKYDSSPDRYEDGQVLPYDQIIDAVKLSDGSRVVLKRIKRTSRSNEHNMLM FFGTGSLASSSRNHCVPVLATLYPPSERSWIIVVMPLLREYDSPRFDTVGEAVECFRQ IFEGLDFMHKQGVAHRDICIENIMVDARDLYPKGYHFVRKNESVDLKGRARYRTRTQK PAKYYIVGYEQAQHCDLDKFTEKLDPVRYRLESPTSHFLLDSEDTPDPFATDVFYIGN LIRYYFLDGHSIPNVTRKRKGSFEFMRPLIMAMTQEDYTKRPTMSQVNRAFEILVQGL SELTLRSRFSRPQDGVLSAVVYGVPHWLRKISFAVRRVPSLPKPRMDKVLKQDKKDAL DAIMVNLAPSSVSSFKPVTR JR316_0008482 MATTTITTTEITTEIKPDTKTFAKQEAGLLVTKELENAIEECRK KVERIAKECRAKNRKFRDIEFDLENDQNRCLYGLFVLNGDSVNTPPADVHRVTQIFDN PQFFVGGAADSNDIIQGALGDCWFLSALATVSTAPGLVEKFCVARDEKVGVYGFIFFR DDAWVTVIIDDMLYTRVPKYEELKSSEQELYHYDKDTYNKSARKGGKSLYFAKSGTAG ETWVPLIEKAYAKLHGCYSHLLGGQECDAIEDLTGGVSTVLQSKDILDPDRFWDEELG RANKDRLFGCSFNNLDGTRSGVSNAKVQGLVGNHAYSVLRAVECNGKRFVVVRNPWGK SEWTGRWSDGSKEWTHEWLEYLPQLGHQFGDDGQFVMEYSDWLESFGQIDRTILFDSD WRMTSQWLQVTVPPLPAAWTYGDVSFTFSLASRSNAVIVLSQLDTRYFRDISGRASWT LDFALVKQGEKEPIAESPHSDFYLRNVHLEIELEAGDYIVYVRLDRSLDKNEDDDKKY VDEWMLRKMSRIMMQRAKSNSIASNFKAEDQAKYLPTTLESLIQRDLEEYEKKKKAEG EVVTKVVEEVSEDGTVTTTTTTTTTKVETVVVGKKVALQKESPPEKPTSIPLPPTTVD PTPTTNGISTPALPNVTPEVPNIPDTSRSRYRGSSPRYGGSSATKGEDVPKGKVEIDH DDPNTVCVGLRVYTHKDVPTVVVGRLKVDSDQKEDSENS JR316_0008483 MSLSVYSLPAHISSESSGSSPLSDNVINTATLSHSIYEPLTSGK MALGHYPPAPKDSEPWNIAQPTRRNTMTDSSILPSTPPPPQVGLIVTEELQQALKDCK EKVERIAKECRAANRKFRDIEFDLENDTVRCLYGLTGDKTTNPPDVRRVSEIFEDPKF FVGAPHSSDIVQGKLGNCWFLSALATMAAFPGLVEKSCIGRDQEVGVYGFIFFKNSQW VNVVIDDQLFWSLPKFEGLSQEEKSLYHDDKDLYNSLARKGGKGLYFARSGTSGETWV PLIEKAYAKLHGDYASLNGGRMNEGVEDLTGRDILDPDKFWKDELLYSNNSETHLYGV SFPALTEWRSGNSAATVGGLYGSHAYSILRVKECRGRRFVVLRNPWGKEEWTGAWSDG SKEWNGDEGRAILTELDHILGDDGEFVMECMGLSSADNYIGLQLGYVDVLASASSQIS AMLMESRRGLYFDLPKRTSAIIALTSLDERYFKHITHQHQYHTLEFVLYRLDKQETQN HTYSGAICERSTYFEDSLPTMDYRKLSRMLSQRVESRMIASNYTLGEEKKFLPTSLRA IIERDLQSQIPNSAENPAPDTNNLTKTTTTTTTTTVTTVKRTVGTPAKPRPQNDSAAP YDISQHSPTLFPKHNASTSETPGISTAVTEAPASDAAHSNSITYEDEKQLSLVLGLRV YTKKDAVAVVTGSVLDIPR JR316_0008484 MRFLSNALLFLTTSTLFLASTLAIERQECGSYASADKIEASELA IKAHAAEFRQGRRAEMKDYVFDVYFNVIAKNMSLAGGWVPQKQIDDQMALLNKAYVGT GISWKLVNVTRVLSRYWHETVTLGEPQAIQMARSFRKGKSTAFNVYTVGFYTEALNGY SAFPIDYRTDPYEDGCVLLFETLPGGVSRERQGGTLIHEAGHWLGLYHTFQGGCVGLG DRVADTPPSLKASSGCPDFVDSCPGDGPDPIHNYMDYTNDTCRTEFTPGQIQRIQDSM ATYRSDPTI JR316_0008485 MADSDTYPPRYYEYLASQRRVSNWVQQTRSHPRQSSASSVEFPP RTSRAITKRTKRSAESMYALTSSSPPTRNRQRRNSAEQTAVSPAAALITSSLFVYAFL PSLLTVMAFVVVLTLVTMGTEESQDRRSKLDEADVLLS JR316_0008486 MSLLAPAARAGPSRSTATTAAAAVVLGRSGAVVPRRHSSTRPVP GTPSQPGYFQKKDPNEKLRRKIPTFKQLQRLPASQMVHELFNGNTVGSLESLPLKSNV ARTPSSLYQIRKFEMNRPDPLNVYGAPRNLKYEYTVLTKPYNVVREITQEALRFVSHS KGTPSLDSRVVLTGRAGSGKSVLLLQIVECTIQDGWVVIYIPRAVNLVNSTTPYVYNI RTQTYQQPSYSFQILQRMLTVNGGALSKIQLSEDLVLERQTVRAGTPLSAVIEIAIAE KERSIGQSPAILEAVMKSLEVQTQSVSCSLKTIAKADMCFNRYPVLLAVDDFQALYGK TAYRDPHFDAIRSYHLSIPRMIMEYASGRRNFARGAVFGALSLSQSNYPLPLELRDAL QLQELDAYPVSPYLKRSKDMLAYTEGLRKLEVPAKLSMQEALAVFEIWKKRMAIGKDN SFYDEIFLSKYTESGGNARDFIWKGLLSTTDL JR316_0008487 MRTRQSLSPSSPSTRKRIPTIPPVPRSKPPLPPMATVTKKVVFP HADHCDSDVKDELLPMYEVYRLTGAKTPYSYYFARRPSLQPGSAIGTAPTVRAQRYDA DDITALEESESRRAARLKRRRTIGDAHSIIGRISSYTSSSSTTTKPTVTTRIKLQDAK EQRTRRPRPSTYIEETENMKQTKSNANSKAQAQVQAQKKSEKVRSQSQGRSPITQKPV AAGPTRLHTPTLRTNMHMSTTSVLLLPNLNTDSLWLNRPTRPRAKTNDALVQVKEKEK VEKEVDGVRHASASSTATLVPNPPLLPPPDVLVHAQTTSPLVVPVRPARPAESLYSNE STFRTICASASMQENDVDALRGPTSNLRPQPQPQTGPQYLHPHGDHQQLKQLNMEKRR SKLRSPSVATSVSFYSQKSFPHRLQLPTDVDTEELAWLVIDDDDDGDGNVGDSQTGPG IKDPAYLSADYTANQPRDGGRHPSSSNLSLLSDGKSTGTVRSQNRSTRTRSTRPKTRS RSRSRHRSGRAGGSSSNRQREKQPPTPSISPSAWSATRLGPSASRTPSIAPSAWSAPM SMSMSARSVRTVGSRAHSVAPSAWSRAKSDSLSIARSNFTATSRSTATSRSTSTTTSG WARRDITEVQEDMKSFLDMSEDSRWHFSSSSSSSSSSSAASVVSASPSSCSSPEVGCG PVPEISVSVPVPAGSSPGLVEGKDITGSASEVKETARRRWQDRESTILPQSRNASPTQ QSIDLDFADDMRYSPSLNPTLAYIVFGPEDDGVGVNLAEESLSLSPVLIPPSSPSLSH KLNPPMIQSSTASTSSSTAVASSSDVHFDNGSGKGAVAVTGPSSWGEKEEEICEDYDY GVAVDVLVDHQHERKRSPHSHPRSQRRHRRHGSHKSLANKDDTSEVVVVVVEEKEGIV HLMDATEGQKDIEVVLGANEMIDVWNEKEKSEKENLKPHRSSNSGLGPASKSGAPSPE GRRSQSRARARAGSRARNEDGGAVASRNSRHSQHGSGHGSIRSNIPPSHAAPPAHLPR PYINSKSSKNVGGGSGTRMQARSVRAVRKKTSARTLRKDFGSRNIGVGVTGIVGVDSG VHVDVDVGLGSGGGLGAVGVLDPRLQPEYYNHSVGAGVRTSTNATASNTSMPMNVSAN NRARARASLQKKRGLDDVRDSRPVMASHNHAEREEKKNKDKKSKSLFNFLHVASLFAR V JR316_0008488 MAKVPRNFRLLEELEKGEKGIGDGSCSYGLEDGDDILMSNWNGT IIGPGHTVHENRIYSLKITCGPAYPDARPEVEFISRVNLPFVNQMNGKVDPAKLPVLA NWSRALSIEHVLVEIRKEMASFNNRKLPQPPEGSTFF JR316_0008489 MFGGGSSTEYDDIVSKTTDENLTSENWELILNLCDKVQDEGQAG AHSCIAAVLKRLAHRNPNVQLYALSLTESLSKNLGIEIHRELASRAFTQGLERLITDR NTHEKVRRRALGLIAMWAAEFEKDESLGIMEDCYNNLKAKNYKFEQPNEPAPPTVDDE IRRKEEEELQRVLEMSMQDRGGRSQWSDYSLAAGSSSSTGAGAGTSGSSGGGVGRSGS IASPVSGTGSGSAHPFAQPKYQAGGYVPARTPSPATMAAQQRQQQLQYQQAQQKQAQQ QLQQAQAAAAAHAVPAAVTESLPPTLASTSSAAAGSSSTYASTNTPAASVSSMAASGN IVTRVRALHTFEATEPGELAFEQGDIIKVVDRGYKDWWRGQLKGRTGIFPVNYVEAMP EPTAAELAKEAEQEAAVFAQAVNVEKLLNMLRALDPAKDNLADNEEIQELYRASMALR PKIVKLIDKYSQKRADLVSMNETFVRARNIFDRMMEESLARHTAVYEQPYHRASFVPQ VRPDSRDPNGRRAEYGPSPGPTPAPYGWNAPAGYPQEPPQQQPVYGAYPAQATPGYAI PVQQQPVVTTPGQQQPPPVQQQQQYQQPPPQQQVPQQQPQQVPAAYGVAPQGGYAGHQ TNVPYSQQGPTPYPTQQQQPVQPVQQQQQPIQPQLEHQQSFNHIPPQQQQPIQPQPVQ QQQPQPVQTQQIPIQQAQPVQQPIQAAAQAEPVQAQVQPQAAAVSPQPQAQPQTQTQS QLQPQPQQDPSQPITGPPPYVYNPSYTYADPNVQAWAQYYAQGGRDLAGAVYFLSIPG VTETQAQGAQGQAQAQAQGPQRQGSVEATAQGTDQQQQTLQRQQSYQAPAQQQQQGNP QAQVQAQPAADNQFAYGSGAARAVSPIAQQQQQQQPIQPMAHSSMPRYQLQDQPVSQS QPQEQPQYATQYVNDVAGKQASPTSPIGSGPGGVPRPGTAGSYHSPTQNASTPSWVLP KKTTTPGPGGQFGGVSLSDPAGGSAAASLV JR316_0008490 MSPKVFNMGLILIQPEFQWLDASGPVDYINNHSRAMISHLHLPG DITDKAPIINWHYISSDLTPVQPTSGPLQVPTCTFATCPPLDFIVVPGPDPTAQASKE FTEFIQQRVADPGLRGLLMVCTASLMVAQTGVLDGHQACTAKVALKAFSQAGILNKSV KWVGDRRWVVDGKLWSAAGITSGIDLAAEFARVYFDPVIVQLAKDAAEYEPKPAYPDP FARLLDGVEL JR316_0008491 MEDDMDVKPPVPTAVTAAQDLALEDQEESVRIAVKALGDMRNMH ANGSGSRSDPQHSRTSSSSNQRFNYDYDDYDYDAGNKLPPIKLPPLSPTSSGVSRPST SASGSTSGSSASASASAAAEPSTTSPNAPATSTTSPNAPAFVSRMTHLPLVTSALRAY EHTKASSRVVKYGAAMVESGVKTLGRPVMEMSRPVMERLPVAAAAVEAVDGFACRQLD RLDKYTRPSTSGDPNGDPNSTSDAGTSTGGDVHPNPDTNLNAQAQGPSPADRDRGRPR PKLRPRHGDGEEDGDKDMDDESQHERERDRESGRNRERRERYGAGGGRSVPKWLEATS PFVAPPPPPPPDSSLPSSPSSSAAYGYGYGYDYEYGSPRSASRMGSYSRSSTPTRERE REGGSERARSSSSYALGQSGNAHGTTPMTDTNAGGAGAVAGGSERQVAQRSRWQAMLL EAGGLSAALSEESMRRLKYCLQWLQYATAHIDAQILILRDFTASLQAAAAAASSSPSS PSSTLPRPRPPISEEHMRKLTDMRRDIVHTIRQVVDVVSKYAGGALPEPARGRVRGFI LKLPQRWASRAGVGSAGGDMMGEERERERDVVAAAAGSGTGVVRRAGGQRRAAQRERG VDSMSGLRSGASSVAVSPSSSPRITRASLGSAAAPGSSAAANGSGSSGTGGQGGGGQT VSATAALVASQRILTLATESLDMMRNVTSVVKDSLDRADAWVGRLRTVGIQRADSDSA SAIALENPGANANANGDGEGDGDMEGSLIVPSDSATVASSREAGPQRERGKEDFEFEF SDRMRYRSHSNSQLTSNSNSNSQLNVNSQYGHGRGYGYGYGYTHSRNGSTQSDSVHSS FDIDMEVDGDGDGGSERVKDRDAVRGAGDRSPYFSAASSTAWGSSIPSTPGAGASVHP ALPMSPVRAGAGAGNGNGALQSGISAMRIGSRYGTPKSGVVGLPEDDEEGGGVKPQNV DVLVGFKGDLKGRRLSLGGEEEGKVKLRTKDVAAMRQEEEEMDVDC JR316_0008492 MNWINGLDHLYRDSVFLWLYGAAGAGKSAIAQTIAEMLDSRNGC IASFFFARDDPHRGTARFLVPTLAYQLAVKLPPVFRACVVQVFENDPLVVTRSFESQF TALIKGPLLQLLQNGCTFDHNIVIIIDGLDECEDSKVQARIVYAAFRVLQDESIPLKI LLASRPEVAISSAFSLKGPEELTIVALDDDYQSREDIEYFLVDSFCKIKSQHCRRAHI PEGWPSPSQITTLVERSSGQFIYASTVVKYVESSRHRPTHRLEIVLGVRPTMAGDNPF AELDALYRHILMGVEEVDLILKILAFVTFCPPGFYDEVSVSFVEAFLSLETGDAQVLL HNLASLVSLDDWVGVRGPLGQISRAPWIRILHASLKDYLVDKSRSRDLYIDPPNMHAF FAQLSFSHISAGRKSGYYEAGIKI JR316_0008493 MSVASSRGRSRSRERRRHRDPAPLSYYPAQGYPAQYPPYSQPPP PPLQGANGYGGPMKSRNVSPDGTSRAKPKVNASTVNTVSYAQQQLGVSITEGMKQAYE ECKASVLRIAAQCRAKNRKFRDVDFDLENDRHLCLHNLGDNNIFQVADVRRVPELFTN PVFYTGTSKSAEIIQGAVEDCYLVSALSSMTSVRRLIDNLCVARDEEVGIYGFLFYRD CYWVPVIVDDLLFTRVPKYEQLSHSEKELYHFEKENYNKTARQGSDSLYFSRPATDGE TWVPLVEKAYAKVHGDYASVMYGRTSDAIEDMTGGVSNLILTKDILNHDKFWHDELVK ANEDRLFGCWFKTLDGFRSGVRNASVDGLVGNLSHSVVKAVEIRGKRFVVLRDPWGTA GWDGPWSDGSKEWTKEWLEILPELGHSFGGKGQFVMEYKDFLNIWQEVQRTLIFDDSW VMSSQWLHVSLPFPNMKAFSYGDINFSFSLPAASPVIIVLSKYNTRYFKDISGPCLWN LDFLLAKEGETEPIAQSSYSFFASRSVSVEMDLQAGNYIILARIDPAPVRDKDYFRNG LASGWDRRKLNRIMTERAKGQSIASNYKPNPELLPTPASAVLASQQVKSDKAAIDAIK TYAGEVKPGESVTVTTTTTTTTVVSKNGGSSSANEPASERPVRGGSKGVNNITAGHMP NGKGYGRAPNGTYPMEPGWAGIPPGSPPLSPGKITPFPPGLLPPPPPLDLAPLSPPLL PIGGSMPLPTDVLEDDNSIVIGLKVYTKKIAPAVITGRLKSPPGASTGPALGGY JR316_0008494 MDIGMLLDMENFTGSISPAVHSSASITSLNSNENISARALEVPR TPRAHLLSMTNINEPLNHSQWDNQIQPMKQWSQFGQYQYASPQGMKTISPLNPSCSTP ASLRQDTVSCTTTRSSFPANTGIYDGKSQFSSPAQSREDIQWQMYLTASLSNPLNTLE FAESHSSPMLYPSDMQGQGGIAPSFQIDSSLFPQPNSLAFPSSQNTDIFNSIPFIDSP PRISAGFRSSHELLSAQRPDNPAIIGASASQVTPARSGDVPTMNPNGSYASLLGFTPL SEFTFNPSTFTPPGGLRDDVPTMTLSSPSNSSPSRGRPQTRAPRSSDTKRQGGLSGWD NSCSVATPSTVSLTQKKRRRSTSTSPSVAIRRPYGLSPLSLSNSSNSEATSSAHQPKR RRQFTSLTTSSRIVETEEIASDDDIDNAVDDNESDDYRPSRSPSPDLPFGHNFALEFE LQGSKQRQSKADSVTASPSKKKSRRGKGKAKGSAALALAVVTQLGSKGVSGGSSEQLS LDLDDLDVMTLYQEGKTGIRKRKNNPIPLPIPVPNLNKKSRGRKVPFVADLTAGGIRR SASVMSGDNTNDEYYAGDDGENGRDNGSGGTARSRNLRKSTTPAPVVDESGCRTPSLS IRGL JR316_0008495 MSLVSGTALSVTPHPDVVALPIPLILLVHLHILEYPLANNPEYD HQIFNPRTRGLRERTKVMEDILSTYPCTQPSESLAFRTSLSKYLEILRHQSIFTPSAR SGMKAGPSANSVAIAEPSNAFWWKEVVVRKSLLEECFGEKFERLILSLSTHALMKVSG SIVSDQISVSLQTLPFTYTASLAAYQASIHTWKKQASLLTKSANDLQVLRNSLCGNMD SKYSAIPTDKLKALADSKLEELLQRYWVGEEGKHLLSFLVLLAGIKSARAPSIVNMNL SDQPSAKPTGPPPPLPVAAAHHPSHLKRLRRPVFQVKVKSNVGPVGNISSKAHAEIML SEYRETERLMRLNLLDALTQTRKIGSELRGKFESILAPSIAPSNLFWTPPEFSMTLTF VDPVPGKELLSSLGLTSEYEALDVEEDTLEKKIEHIRTVVLPPYPAIPDQCTPLKPAL DDRKEGERLKVQTSLNQHARSTMDSACPLSSSSLSELSEVHLPDPPQILPGKSSRSNR LQSIHFSLARKRTQRTSSSNDPLDDEVDRLVDETNDFPTDDENTDEEGYGFSPLKTPK SKSKPVVNRIWTAGTPTRGKRHGLGQGTPIPRIPSAITRSLEMAFGGIHDESVPANDD ENSWDVDNTEATPRPIRPNLPPRKDNSNIDLNGHKDEDEDVFYDDPSSMTLKEILLTA GASHFDLLDATTNDELNEAMEEDTSFVWE JR316_0008496 MGPVQGTVIVMYTLSGAILVLCGSLSLLRGVSCAPTVDSTQTSS AAAAAVSVTLDGLTYINKGLVGFGLIPSDFLESTGDTIGGIGSAIAIKRGTWTAHTDG TFSGTLVVHPDRGFNVDGTVDYQARRHELRFTLSPYTSSTQLTFSAAQQTFNISYVNT TLEVERGNTKTSGLDPSAIRPAQSGFPTVPTADPQMPIASNSEPHLVLDIEGIVLNTD GSYWLSDEYGPYIYRFNAAGQLLQTIQPPAAILPIIDGELNFTSEDDPDTGRAANQGF EGLTIDPTTNTLYAMLQSATIQDGGSDKSTSRFTRLFAYNVSNPLVEVPLIGEWVVPL PQSSSGKTEACSEIHFLGAGVFLALSRDGDGRGGNDNKSSYKQADLFSIAKATDIHGT SFDTASTPISPNGKLAKSITAANYVSFVNFLDSTQLARFGLHNGSPDDETLIDAKWES LALAPANDPSFPNDYFLITASDNDFLSTHGVSLGVPFDAGIDVDNQFLVFRVTLPSIV PGSVQLNLGI JR316_0008497 MNWANDLISNTRGVNWNYEAPALRRSSKSRWGFVIDNILKTICL YLVWDIISYVMRHNPAFHRDNNEPMGAHGFLWQIWNAMGYWTALYCFIRLNHTLSSAL IVMVGEGEPKDYPDMTGPLSETTTVRKFWGRTWHQSLRRMVSAHGKHFANVFLGYPKG TLMSAYLQLFVAFLVSGIIHGTADFVAIRNVTSFYRNILFFTLQAAAIAFEDGMIFLG KRMKLERVPKALGYAWVVAWLALSGPIWLETLITGRLLLQNVLKQVRDWVKQHGKKRL REWVPIAYLYERLGTSSVHRFDDNSILDRYDISRSMQYVPFELVSNVSTYSVPSDPRS ECGNLSHHDFEAMTKYQADRMMSLLTYLYHSRKPAWVRATYVTPRNNFNLEPLFLNSD DPFSPARLRYSIRRGIPPIFHVTPDDFIPSLSLSDIEKIDALLRIKHSDKIPPEEVKQ AVMGGKDVVKPLVESKMFKGKNPRKCSFCSVVKDKDLLVCSRV JR316_0008498 MPRPSSLGRTTTPPTTTANSSSANNGAAPPAAASSTTTAPATTT VGPSPFAPPSARAAAAAAAAAAAANAVPPATPSKIVVVDPALTSSPTSVAAAAVAAAN AAASSASSSNNAKPIGPHTNAAGGTCPGDGRCDGTGGTSACSGCPTYNNVLALTARIE SAMQAAAASSEGGEGAGGEDGAEDVVMMMVKDGGEDGVPGSPGAGVDSDASGAVGVAS ASAGGSASVGASASAAANRKARPAVGALCCANCGTSTTPLWRRDDVGNNICNACGLYF KLHGTHRPNSMKKTVIKRRKRVPAAPGAPGGISVTGRMSDQAAAEALVAVGRVNLSPG GNAEDSDGEGDDQPKKKRTRRTGKMSRDKDDDVLMDGDDDEDPREQQQQQSQRKRRAP GGGAAGSVNGWNGGERSASPHRAPSRNQEYLPRGGLPAFPVAGPGFELPSLAALNNGG AAFLGSASAPSSYIRSGSNAPSRTHSPLGPAGSSAAGAAQQQQQQQQYYAGGPGAVGG GGGSPHSEMSSLMVAAGVALGGLGIPSYMDLERHYFELSEQKRKWEEMMERTDRIMAG VKRTLDEMRGLAAQAQQQQQQHSPSQIHHLPAGIQQQSLPPPPGSRRQSPQLAAASQS SPGSSAATTSGAGPAVAAPAAVVAPAPAPAVPLNKPSSNADREKAPIWPIIDSTATRD JR316_0008499 MSPVVLESPALNLHNTNMSTMARIQQQFTQNGGATSEAGPGQSS SSPNGQSGQEEFNFSANVITPSRTSCSNCGVTDSPLWRRDPSGNTVCNACDPACQFCF GC JR316_0008500 MSFRRKVPRPSACASCGYPSAKIRSYEWGQKAKRRKTTGTGRMR YLKDVSRRFKNGFRENTVAKKRVTAKTDA JR316_0008501 MFAALLPHVIVIALCGMSVAQDLGVPLSWRKFNNSRPTSERISI SQNAINTILPQLDSSTGQFNGIGYWQAANVFSAMALQDQVAKTTTNKNIVVNNLKLVF GLFKNYDQFGWWAQAAHYAFKSYGDTELLNNSIATWNSVSNYMITPAQAQAGKTPFKS FALAGTCDGVTMAGGVFWRPTTDDTAINSITTGLSSYLYETTRDTKYLSAATMAANWI KAHNIATSSASNPGILLDTEDGDNCSRSPASWLFTYNSGKFLEGLSVLANVTGDSQWE QLMLTTAAAAIKNAPWQGSNGIIIEGADTDSNNDGVGFKGTGLLEVFRRTPQNSALRI LIHSYVDVQYNALLDLASSGNDYSANWLGPQPSGFTTWGQLAALDVLVAAVEAN JR316_0008502 MGRLRRSRAHHARRDVQRGARTRVRTRDLDMIQLIDLDPKNRAA LEAQAIDYEKPGLAQHYCVECAKYFETDAALQSHWRSKVHKRRLKQLREPAYTIEESE RAAGLGRENRRPQTVSSTTMETDMMGTA JR316_0008503 MKITRQALQKATALPPSFDSFFSFPLRKTGYSGCAVYTRRTCAV PLKAEEGLTGLLQPKPPLTSEEKVSGAGMYPPDVTIPLSNEEGGDEERRKATIDYKTL DSEGRAVVLDMGLFVLINTYCPNDGTGTEERDIFKMQYHRMLQTRIDCLIREGREVML VGDINACAAIEDHCEGQLMVEKGWAEGLEGEEGFWGKESRRWMRDLILQEGDESGNRK GKLVDIVRKFWPDRKGMYTCWNTKISARDSNYGTRIDFILITPGLVPWIKAADIQPEI KGSDHCPVFVDFHDEIITTSSRFSDGTPTTIKLQDVLGAPPLPETGAPADPPRIAAKY WEEYKQKLLSNFFGKKVPGVPTTTATQKSTKALFTPKASASPASASQDTDVEMSAMDC ASPASRSRKSSLTTTVKPRPPPKATNNKSNLNTTSPAVSQCPNDVHDLTQKDKAETAT DAPMIGTSQVSTNSETDSQSREQLLSPPPTLDHSASSSSDTAKSAVAPEEPQKMSKRR RDLAAIIAPVKKAKISKSSSSKSSSSFLSEMKPDSTKGGKSVKGKEKEKAKQLKGKEK VPIDSETNSQGVSLSSDAEDMNPPVDISATPDTDTKAAASMDVDEDADYRFALQLAQS EGNIAPDDPSSEQSQGEGSSVSERYKKGRMRSPIRLPSPPSTNGNGKAKSVRKDKEQN FKQAWNTLLAPIQAPLCVVHQEPTKEYTVNKPGPNKGKKFFTCSRSVGPGYDKGYSER PRDQVDPQYKCNFFKWSSEVRKEMSRNAQSNSPSKSNGNGSFDAQS JR316_0008504 MPTLRQILLKGLSFLTSLISVLVLYKGIGVLANTQSPLVVVLSG SMAPAFHRGDILFLTNPRTIQYKTGDIVVYSVPGAEIPIVHRVMQALDIEREYDDARY PLDGDVKWFVQPQYKTWQAESEGDARDQLLLTKGDNNDVDDIELYNGLDGLQRKHIIG KVRWFLPYVGYASIIMNEMPLVKYGFFAILGLVSIL JR316_0008505 MLELLSLPPEIIEKITEYLGGTHKKTVRRVCRYLNEIVEHQLFS NIVLKYSNYQPDLICEQLEALASRTTTARIHARSLEIRGFSPDSHLGARSRSRSVERN RNSRSRSRGPLAEAHSVNKGLLAHLGQAICSLRKVQEVWWHVGMHDPEWVQIVVMDSL VSLPALSELRISLGGGTASSLKLEQLTDLRKITITGSCLHYRRDVVSGLRTLISNSPR LTHLDVGHNYRSDDSDISTLHDLLGAVPPASPLNLSHLTLRGWCIRLDDITLPHLRSL VSLSLHVNLDTRTFSPSDLDDSAHEELLRRTAAFSSSTEEIWDTLREERICLKELTTD EVNDALLDYLSSYSGLQKLTLTSATAHSAKKSDALAMKFYRDVLPKHAGTLVSLDVCP VYEGKWCFGKHNSSSLLQCTKLSNLKIHINSEDINRAKKQDVVWTLLEVAEDLPNLSQ LSISSADSESNRGGRSGSNPSIGHIYRVNKEISQSVTTFGPLDSVGVSKIITTPARDY VARSDKQAGDGLWYRSSMSQGSHLEL JR316_0008506 MDIKFVGSGDAAKAFLYYVTDYITKASLPVHAGMAALSYATPNN STLDDVSNTNEQENEESVELNMGDRDITASNQRLDYCLRPANDKFIDLCLYDFVAWGI KQRYTKEMLHIETAVRPGSFLNDEHPEYFTHYMTIRRKSCIPIILGPSIPNPLKSDQL KDDWARDMLLLFKPWRDISDLKTPSETWTDAFHNYEISMKLEHTRIIQNMQALTECSE ARDAHRQRRRGKTSEDVVSDEVQDIILTDTEGNTDTLNPNDVYSPDPFQCIENPNEDF TTNLHDSIDNIGEETSRFLDMCLPLDTTETAVDTEYQKSVPVNQQTLTSHEVDDLLAS HRAIMKSKRKRAMLHEPDTDDITTPPKRYRNGNYAPIAKQAILQDLYDLSHNYNSITD TDTMNNIAEEMGLLNNPEQLKAFRIIGNHIITDNKEQLLIHIAGVGGTDETSMISAKF LSEISIRIRQGKGDDVVNSNKPFGGINVIFMGDCAQLKPPLQKPLYANELVKNPSFAE ARNVSGPSQLNGAFLWRQVKTVIQLVKNERHARDIKLIQKQASNPKTLALFRDAPIIV GNKAVRDILNAKLIKYHSTKANISPHIYYSRDERHKKRITGEFQQILWRLPSTKTNDA LGKLPLFIGMKVMITENIAFDDKIVNGTEGIVTDIKYEVDEKYRRYCKAVYVHVKGCG IQVDGLEKDVVPIFPSSTSIDVKYQKHIKLFDSFTRKQVPLIPAYAYTDYKGQGRTLD RGAPSAYANHYSVVTSRNHIRDRSAVEALVLAKLFIDINTVSFEKTYHQLSSIASQPT RIVKHARDVIIYNSYYGVSTGLDEENRFTVLFPTVMSLLSNVRSLSWYTCPGDSKSSV EAIMDQIGLNPRIEASFDCSGGCSAFFPAQLGLIGGRHSLRLTNIFLTGHHAAAVASA VEKVVSQSPAMTYLDIDSGYYAATVAAPSLHDILPKDTTIPPLPLESLRLRCMRTLLD AETLYHLRSLKSLSIHFNLTTSTHGSHLFQIWETLKNESIYLTKIDVNLTEVDDSLLD YLVSYDGIEQIIFDNSARLWSHSDESVANRFFDEVLPKVARTLKVLNLVTISLGAWCF GRHNAGAISQCKHLEELTVSIVDIVQNHSKGTLDLLAQTVAQLPKLQILDKKNVSFVP QIGYLRDFVREP JR316_0008507 MANLEIHRFSPARILILDAQERLLAKDSRRFVLFPIRYIKIWKA YLHAVTTLWEARCAGLSRDSKDWTECLSFQQRCGGIFFFKLSIASHGIHKRLLDIISK EITVPEAHCYFSFQSVNENVHQEAMAKITHGLTGIRMDDCVDEWELLRPKEKFMNIWT RSSVYPFSERLLVFIFIQGIFGISLSRLLQWFSGKDYLPTMVSTYTRIFNDRECHVDF VSLLFYHLKRRPLTSFVNEFVGTIVKIEKKFGSDLLDLSEIDIPLDDLNRYVECKADA LLVSLGYKQLYGTCNKGIDDLIPIVPGELKAGFFLEEMALAYIPPTMEDAILDGQFGN HLLDLS JR316_0008508 MYSHPAPAQAQAAQPKAPENELFSFDFHAPSLVQNTAVMEQPKK DVKQNILSFTLISVYCRLYRLSHAWIRVCTSMGILVSLCFSIPLHWRTRVTLGEDGMY EDVLRFWMDKDKEGNTPDALQEVIEHLMYQGKACPHLYSLVLRFLTSTPELLNRHQTG LKDILEHIDDVQLILLGSLTSFESKWSGECRFNEAMVDQDDQGK JR316_0008509 MEGAAAFTARLFSRLCLPGTGRVLELSAAAFCSFKSTLEDGGTV DKARDPSREALMLYHLIDSATLHDGRIRQAKHVTTSSLGVWVDVTTHGITVRLYAPYE APQHVEFHPHSIGGNLICTAWNTEYSHRALCVVPSTPPLTPLFSAHNYMERMAHTPSI PLLRVAAAATTVTYTPPSHLHPPRHTSSGVPPARGGTGTPTRLK JR316_0008510 MQVCPHVSDLLPMKPFADLDAFTNDYLVNIHTNSGSVTFVISDE LQTILRIIGFTHTSVIDLQTEIGYAIIFLPFQRTHITTHTVRMLLYYTLDLPSSNGIG LRHANWPNKASVRTAERMEFVFETVLK JR316_0008511 MSHTQEISDIMTIWQSKKLRKEDITFTKAAISLNTNDPLVVARP GLNNSKWIVCDTVTGKVAVLVHAGIWKWTTDLETGNFVPYGEEAPEGVPDGQIQDEPS FKCKFSYAFDTSRDTSIWDNIKIFEDHVCRQPGFNKGNRPRRPWQNGRSSTNRDKYII NTRVFANRSAFNTKEGGEYKVPYEVHPWLKEGITRFPEAHQIPNPDRPKYFEFTENRI SSLADSNEPTFKQGDIIWMTFKLGFVVTGGYWWPEIIPIEFVRVGKLPEQIHSKADHS LFPSVDESFNLLCAGDIVEFTDDHSPRPVKRIRLHDSYNQEEDSYRRKGHVEKEEDIN MNDEPVLDDDYVHVDAPETNSKNRLRSGRGGKNTNRS JR316_0008512 MRTRQSLSPSSPSTRKRIPTIPPVPRSKPPLPPMATVTKKVVFP HADHCDSDVKDELLPMYEVYRLTGAKTPYSYYFARRPSLQPGSAIGTAPTVRAQRYDA DDITALEESESRRAARLKRRRTIGDAHSIIGRISSYTSSSSTTTKPTVTTRIKLQDAK EQRTRRPRPSTYIEETENMKQTKSNANSKAQAQVQAQKKSEKVRSQSQGRSPITQKPV AAGPTRLHTPTLRTNMHMSTTSVLLLPNLNTDSLWLNRPTRPRAKTNDALVQVKEKEK VEKEVDGVRHASASSTATLVPNPPLLPPPDVLVHAQTTSPLVVPVRPARPAESLYSNE STFRTICASASMQENDVDALRGPTSNLRPQPQPQTGPQYLHPHGDHQQLKQLNMEKRR SKLRSPSVATSVSFYSQKSFPHRLQLPTDVDTEELAWLVIDDDDDGDGNVGDSQTGPG IKDPAYLSADYTANQPRDGGRHPSSSNLSLLSDGKSTGTVRSQNRSTRTRSTRPQDPL SLTLAPPLRESWWK JR316_0008513 MSLLAPAARAGPSRSTATTAAAAVVLGRSGAVVPRRHSSTRPVP GTPSQPGYFQKKDPNEKLRRKIPTFKQLQRLPASQMVHELFNGNTVGSLESLPLKSNV ARTPSSLYQIRKFEMNRPDPLNVYGAPRNLKYEYTVLTKPYNVVREITQEALRFVSHS KGTPSLDSRVVLTGRAGSGKSVLLLQIVECTIQDGWVVIYIPRAVNLVNSTTPYVYNI RTQTYQQPSYSFQILQRMLTVNGGALSKIQLSEDLVLERQTVRAGTPLSAVIEIAIAE KERSIGQSPAILEAVMKSLEVQTQYPVLLAVDDFQALYGKTAYRDPHFDAIRSYHLSI PRMIMEYASGRRNFARGAVFGALSLSQSNYPLPLELRDALQLQELDAYPVSPYLKRSK DMLAYTEGLRKLEVPAKLSMQEALAVFEIWKKRMAIGKDNSFYDEIFLSKYTESGGNA RDFIWKGLLSTTDL JR316_0008514 MADSDTYPPRYYEYLASQRRVSNWVQQTRSHPRQSSASSVEFPP RTSRAITKRTKRSAESMYALTSSSPPTRNRQRRNSAEQTAVSPAAALITSSLFVYAFL PSLLTVMAFVVVLTLVTMGTEESQDRRSKLDEADVLLS JR316_0008515 MRFLSNALLFLTTSTLFLASTLAIERQECGSYASADKIEASELA IKAHAAEFRQGRRAEMKDYVFDVYFNVIAKNMSLAGGWVPQKQIDDQMALLNKAYVGT GISWKLVNVTRVLSRYWHETVTLGDFYTEALNGYSAFPIDYRTDPYEDGCVLLFETLP GGVSRERQGGTLIHEAGHWLGLYHTFQGGCVGLGDRVADTPPSLKASSGCPDFVDSCP GDGPDPIHNYMDYTNDTCRTEFTPGQIQRIQDSMATYRSDPTI JR316_0008516 MSLSVYSLPAHISSESSGSSPLSDNVINTATLSHSIYEPLTSGK MALGHYPPAPKDSEPWNIAQPTRRNTMTDSSILPSTPPPPQVGLIVTEELQQALKDCK EKVERIAKECRAANRKFRDIEFDLENDTVRCLYGLTGDKTTNPPDVRRVSEIFEDPKF FVGAPHSSDIVQGKLGNCWFLSALATMAAFPGLVEKSCIGRDQEVGVYGFIFFKNSQW VNVVIDDQLFWSLPKFEGLSQEEKSLYHDDKDLYNSLARKGGKGLYFARSGTSGETWV PLIEKAYAKLHGDYASLNGGRMNEGVEDLTGRDILDPDKFWKDELLYSNNSETHLYGV SFPALTEWRSGNSAATVGGLYGSHAYSILRVKECRGRRFVVLRNPWGKEEWTGAWSDG SKEWNGDEGRAILTELDHILGDDGEFVMECMGLSSADNYIGLQLGYVDVLASASSQIS AMLMESRRGLYFDLPKRTSAIIALTSLDERYFKHITHQHQYHTLEFVLYRLDKQETQN HTYSGAICERSVSCELELEAGKYAVYPRIDRLYYGDTTYFEDSLPTMDYRKLSRMLSQ RVESRMIASNYTLGEEKKFLPTSLRAIIERDLQSQIPNSAENPAPDTNNLTKTTTTTT TTTVTTVKRTVGTPAKPRPQNDSAAPYDISQHSPTLFPKHNASTSETPGISTAVTEAP ASDAAHSNSITYEDEKQLSLVLGLRVYTKKDAVAVVTGSVLDIPR JR316_0008517 MATTTITTTEITTEIKPDTKTFAKQEAGLLVTKELENAIEECRK KVERIAKECRAKNRKFRDIEFDLENDQNRCLYGLFVLNGDSVNTPPADVHRVTQIFDN PQFFVGGAADSNDIIQGALGDCWFLSALATVSTAPGLVEKFCVARDEKVGVYGFIFFR DDAWVTVIIDDMLYTRVPKYEELKSSEQELYHYDKDTYNKSARKGGKSLYFAKSGTAG ETWVPLIEKAYAKLHGCYSHLLGGQECDAIEDLTGGVSTVLQSKDILDPDRFWDEELG RANKDRLFGCSFNNLDGTRSGVSNAKVQGLVGNHAYSVLRAVECNGKRFVVVRNPWGK SEWTGRWSDGSKEWTHEWLEYLPQLGHQFGDDGQFVMEYSDWLESFGQIDRTILFDSD WRMTSQWLQVTVPPLPAAWTYGDVSFTFSLASRSNAVIVLSQLDTRYFRDISGRASWT LDFALVKQGEKEPIAESPHSDFYLRNVHLEIELEAGDYIVYVRLDRSLDKNEDDDKKY VDEWMLRKMSRIMMQRAKSNSIASNFKAEDQAKYLPTTLESLIQRDLEEYEKKKKAEG EVVTKVVEEVSEDGTVTTTTTTTTTKVETVVVGKKVALQKESPPEKPTSIPLPPTTVD PTPTTNGISTPALPNVTPEVPNIPDTSRSRYRGSSPRYGGSSATKGEDVPKGKVEIDH DDPNTVCVGLRVYTHKDVPTVVVGRLKVDSDQKEDSENS JR316_0008518 MTKFDPAAVNCRLTKARLTSAEIFWRGIQPWLVDQGYELRKRYR PDWVPSWLKYDSSPDRYEDGQVLPYDQIIDAVKLSDGSRVVLKRIKRTSRSNEHNMLM FFGTGSLASSSRNHCVPVLATLYPPSERSWIIVVMPLLREYDSPRFDTVGEAVECFRQ IFEGLDFMHKQGVAHRDICIENIMVDARDLYPKGYHFVRKNESVDLKGRARYRTRTQK PAKYYIVGYEQAQHCDLDKFTEKLDPVRYRLESPTSHFLLDSEDTPDPFATDVFYIGN LIRYYFLDGHSIPNVTRKRKGSFEFMRPLIMAMTQEDYTKRPTMSQVNRAFEILVQGL SELTLRSRFSRPQDGVLSAVVYGVPHWLRKISFAVRRVPSLPKPRMDKVLKQDKKDAL DAIMVNLAPSSVSSFKPVTR JR316_0008519 MHDFMWDGQNDGRPHNRICLTELQKMVEQSASGHVIRPGIEIPE LIKARKTRQSSSSTSIHNHVDMSTQTSSNKAALLQKNDNDIVIVSAVRSAITKGKKGG FKDTKPELILSHVLRAAYSKVNLDPKLIQDIAVGNVLPPGGGASAARMAALHAGIPVE TSINTVNRQCSSGLTAINQIANQILAGQIDIGIGAGVESMTNGYGAGAAPDVSEEVLE NKDAEDCLLPMGITSENVAKDYGITRRVQDEFAAKSFQKAAAANKAGKFKDEIVPITA KFIDPKTEKVSEIVVDQDDGIRDGVTVESLSKLKPAFSKDGSTHAGNASQVSDGAAAV LLARRSVAKRLGLPIVGKFVTAATVGVPPRIMGVGPAYAIPRVLEIAGITLADVDFFE INEAFASQAVFSVQHLNIPFEKVNINGGAIAIGHPLGCTGARQVATGLNIAKQTGKKL FVTSMCIGSGMGMAAIFVSEQ JR316_0008520 MDSLRLSSLQINDPIKTASPAKSKISKAKKKQVESTGSTTSDNV DPGHGSSQAVEIVAYSQQSRFHRETFDASDIDIDIKGVNVSVNNKELLVDAHLRLKPG VRYGMVGQNGVGKSGSLLNDSDLLGLFLRPVLLSVLGNNVLVGLPQNVRILHIAQLED FTAGRTILEEILEADVDRTRIIREAQALQGFTTKANSDAALNTIIHKLLVSRAEIALD KAQKIATKRSGQRGHTARQALLVAENDLATLQAQDPQTYVTTQMVHDIMTEVFGAYEV LDLEADEARAKSILRGLGFKDEDLSKEGRKIGGLSGGWRMRVMLGKALFIKPDILLLD EPTNHLDLPAIVWLRSYLTNDAEGQTVVVVSHDRSFLDAVTDETIIFRDKKLTYHPGN YDDWERNTEEQRKRKTRLKELEMKRRKQIMDSIQKNVQQAKSTGDDKRYGQVASRKKK LERMGMERLEDGKRYKKSYHGFHDEIVVEQAVKTAPITLPPPEPFNFPGSSFLQLSEA SFRYDPKPSSPMVINNVSLDVGPQARIGLLGPNGCGKSTLMNLLAGELKPTLGEVKKH HRLRIGYFSQHTVDQLDLSVSALQHLSKSFPDIVITEGEARSHFGSCGISGDPVTRPI RTLSGGQRNRVALALVTFHRPHVLLLDEITNHLDMGTVESLVESLCEFEGALVVVSHD VWFLKQVIEGGDDDEDDDDDDGERQKGVLYTVTKKGELKEWDKGLEAYVEKIQRLSTK ALQTRAIK JR316_0008521 MLFTFALAFCALAIQALSQSILDATSPWPPGPGQDLVPQEPDAE LAQILSQIDPNRIQAIIEKLVSFGTRHTLSNQTDPVRGIGAARDWIASEMRTYAAASN GRMVVTVPSYVQQPVSGLVPKATVISNIVATINGSVEPNRVYVVSGHYDSRVTNILNF MDDAPGADDDGSGVAVSMELARVMATHQPAATIMFAVVAGEEQDLFGSNFMATTLKQQ GADVQGMLDNDIVGSSTADDGTIDTTDIRMFVSGLPPSNTAQQNLNLAAIGGENDSPA HQLGRFIAEVSQNSATQMNVRTIFRPDRFLRGGDHESFLDQGFPAVRFTEPHENFAHQ HQDVRVSNGVQFGDLIEFVDFNFTASVAKVNGAALWSLAQAPGTPKGLVIDTSTLTNN STLRWTEDPNAAGYEVVWRETDQPQWQKVISVGKVSSVTVQLSKDNVQMGVRAVGSNG FKSPAAFPMPN JR316_0008522 MDIKPLTTQSFQSKPVDRLSFNQSAGPTKAQRRPPVQPSPQDEE RSNKLPETCPTPTMVSHGWKDGSLYYNNPYANQQPIPDSQLLKAMDSYLNLSPSQRYL PSELKMLNQREN JR316_0008523 MIFFKAILTCAILALSVLGRLSSSTDAEEMVMTPGGLVPKSSVH RVPEGARVHHTAAEVHLIAADGTIIHSAPVSKTISTKSKISGTPTSFAPRELSSGYVA YSYWKNNATSDIGSFSTTWSVPPTPAKKDGQILYIFNALIPSSFDGIFQPVLQFGSTP AGGGNYWAVASWYLIGSNTYYTVPAQVKAGQSLTGVMSLKSTTVSGNTTTFHWNSVFT SVPSTSLSISTTEVFNYAYEALEIYTASGASDLPTGKTMMTNINIATQDGLHPPLNWT SISDSTEGFQMAVLSSASTNGTMQITYP JR316_0008524 MYPPNGGQAAYSPLPDSEVHQPSFDIHDTFDASPPLKNRLQAAL RHPLFRPIAIVFSFLFAVAIFKIFFSGQTTPSIIETPDPRPILTPEPDSPSKPKDMSM WRSDSFGFSTSERPAYLDKPLTRPLVLRLAIITRVDAFERRQTIRESVLAGVKPNEVQ IDYRFFVGRAKDGVEGLNTKLKLVKENKVYNDVVILDQFPDVAEKLSVKRFEAFKWTN SIPYDQYDYSMTIDSDTFCRFSALARRLQHTHPNLNPRKEPIMVGRMGNHKVYYQNTG SDDNEDFYIAGPWYKYPIGVGYMLSSNVTQTLLSLDPPVAHHVNYPSDDVMIGSWIAA LRYYPDSNATFLTTEHSSAPSSTPGLPVEPKPLLPYIVNTTVVDDQGGWHDIREESTK DTERPISWDSVCIHRMKIPQMKSLRQMDEIKAEWDEV JR316_0008525 MYPPRKDDDLGRYSGLPTERPRDDHDTASTQPYDNSYSSSASLR AKLSSLTRRRRRIRPTTIVLLLLIFILLCVFLNNISLQYGSDEPVMWNEGDFTFNDQS RPAYLDKPLSTPLRIRLAVMSRVDEFERRQVTRDAVLYGVPESDVKLEYKFFVGRRVA KDNLDTVKGWLWKIRLLMESWIYGDIIMLHDLNDVPQRLSEKRYAAIQWTASVPQSEY DYSMTMDSDTFCRFQTLARRLRHAYPELRPHMEPILIGRMGGHLVYLQNTVPDGNIND SDEDFILKGPWYSYPLGIGYMLSSNLTDTIFTADPPFPHHINYPSDDVMIGSWVAALK LFHDPNVLFETTIEHSPPPQHRVYPKPYLPYAVDTKVVDDTVGWHDFKNRGGNEGPIG WETACVHRLSPKEMKDLRQMAEIRMEWDPR JR316_0008526 MSRFGVKSISLPRLLNRRILLFLCAVVFLYVLRLFYLSDGSELQ LQLGKGEENVKEEENRPSIPWGPETISFDPPRPAYLDTPLVEPMVIRLAIISHVFEFE RRQVLRDTVLRGVPESDVHIEYKFIIGNPKEGLGNWTTWLQRTKEDWVYGDVHTVPVT DIPERLSEKRFGALKWADSVPHTEYDYSMTMDSDTFCRFQALARRITHTQPKLAPRTQ PILIGRMGDHVTYFKNTVPDGNINEKDEDDYVRGPWYPYPLGIGYMLSSNITHTLMNI SPPLPHHVIYPSDDVMIGSWIAGLRNFHDPTIKFETTERSSNPPPLTPVKPTPYLPYR IDTEVVNDVNGWHDIKSARESGTEGRIGWETVCVHRMKAPEMRALRAREELKGEWEDV IVKKQQVY JR316_0008527 MSWQRYIKEVLTCIILASGALDPFIGPDFVFENTLKPSYIGVDA NTVNGTSPLVIKLAIISRVDAFERRQAIRESVLDGVPQEDVTLEYKFFVGRVPLPLKN HSVSVSRQKAHDEETRRIMRRIDRENEVYGDVLVVEDVEDIPERVAEKRFAALKWAND TPKEMYDYAMTMDSDTFCRFSTLARRMRYDPMYVGLRPREDNILIGRMSEHRLYWENT VTDNSRHANRNTNGNGKKDVEEDRWMTGPSYPYPIGIGYMLSSHLTATMLSADPELPH HIHYPYDDVMIGSWVAGLKILHDSSATFYPKPSKSSDISGSMHLPPYLPYQVNTTVVD DKIGWHDYKRRGLVAHAVGWETVCVHRLLPEEMKKFRKMKEVRMEWDY JR316_0008528 MSHHKRPHRPAYHRPSYNHHAGFYRSIELTDDLSKPWENSPISD NADLPPEPLKPMFDTHVSSIAVGDTNASSPVSTATSHTVLGSIGKHKKKRKKQSVWGR IRNWLRLVFPLDDGEVLSAPAFDMLESKPSPPTVSKVGLHEWKQYGYWARPILNNVTA VSSETSIDTITLRKMITDMGDDSPDSGRRTPPHKRFIPGSKHRALPPRPERWTPPIPG QPLPFPWEVQLNPLLQHFLWGPSPLTWRLFDDPLTHRSVRFGRTPLPVFVSHQDLAQP ATWPFLTHMYVNAVAGDSAPTFPWPFTIHNARGIRVGDVLGAVYEAFWVPVRREEKES WPMTRQDAAMRALEERCHICAPVKVGRDAQGNVMREDFEDCMRRCDALGGVMWFRGIE PTINAGGWMITFGTH JR316_0008529 MFHPVYGQTAASKQSKQVPYLAPPNYPKAGGSGGSGYNRSLVSN YFPHPQTSRSVPVPPAMKSTTNSSGPPYAPGPSQLPTQQYRAQYPHSRSQGHGTQTPH PVPYIMMQSTAGQQHHPYPPHPSYPYLQSHAPHASQTHPYRSLHPHGPYPSGSSSGKH TSHASYHPHPSWNNSYQGNFPQPTARASVVDMNTITPDDPRYYVPRPKSRTPSPTPLW SHGQVCEAPSGLPSTSASNGPVFPTNGRPPPSPDGAPPSGKYRTNEELQEARERGPLK SILKTSHAPPSIPTPPRKGTTVSPKTHGHPKVKDPQTDDVLELKAQLSAVIGKHNPPR SGNQDNSLSSLKTKGSDCKSGYGNDDDASSTTQASDWGTISPDMKKVAVIPRNINGVE GISTCYNKGNHYHWVSTPKPSTDRHIRRPPTPYNKERLLTDTPGEYIGDNSTHKKVPS SSSNERKDKLSAYALGLTPR JR316_0008531 MSVVDVDDADTVIIYKGSWQVLKGTAQEPAFQGTLHSTVDNRAS ASMIFEGMLAIRAFKRIPVLKKHIGTRLTILCVLPSSTSDASSIHTIININGNSSTVS HSTNATYIFNFVLYDTGKIPENIYTVTLSNAGNAADSPLQLDRFIVEGKLLRIDPLTT GSTSPRFPFTPLQTKEIPPKATPISRTVETSKTAEAVRNLLSAKTTFVQPTASSSPPA GILAFNSSNMDSSTGMMTFSPSNSVKFYSRTLSTDFHITTTEGNVVTEVTLPSSTSSS SSSIEIPVVPIIGTAAGIVFVIILVIFIYLRLRIYLSHTELRDDANDSGSLSSVSNIS TLSLPNLAQYPQANSCGKLEPHNTSPNELSALQNDPGRTLDKVPLTGEGHGGYGH JR316_0008532 MRFLQLFALSGIGLLPIASAGPIAYGICQTGCNTVAVACYAAAG LTFGTVVAAPAAPAAAIAYNAALGTCSAACATVALLAPTP JR316_0008533 MAPVTNGRVLFNAIPEGFPVPGETTVYDTSETIDIETVALNGGF VLKTLELSVDPYMRGRMREPSKKSYSDPFFIGKPLNGYGVGVVIRSENAEVQVGDHLY GILEHQHYSIRKDLSGLEKIQNPDKLPWSAYIGVLGMPGKTAYMAWKEYSHAKKGEVA FVSTGAGPVGSLVIQLAKRDGLKVIASAGSDEKVKFMKEIGADVAFNYKTTKTAEVLE KEGPIDIFWDNVGGETLDAALEAANNYGRFIECGMISGYNNGHSTGIKNLFHVVAKSL TISGFIIFRLEAKYREEFYKVLPPLVASGEIKYSEDVYNGLDKVGDVILAVQKGTNKA KAVVHVADE JR316_0008534 MPDRDSPSIVPKLPSELIDDIVDRALTVLDDQSLSSMALTTQHF RVKVNQKRFSAVILDSIFGRNCSDLSNRLNCFAILVDGQRRLTPRMINVASFVNSFEF SMVPQEGENPPFIDAAMTVLCNNLFRETPGYRPRTDEFTFKFEPWNHSYSDKHYHWET FRMAMRHAFVDLVRRSKLTSLQIAAICSMPVGALQGSKIKHLHLRQVSINPSLDLSSP LLSPVILESLFLDGLVSYRTLCGLFCGRYDSASNLFTRLTNLKVTLRAIDDVDTANQI LTNATSLDTLVFTRTVEEAQEGRMVHYGHLQRLRRLCIFPTSVNCFPMDRLLGDEVPE SLLEIQVLFSYYLTSAEVHDNMFPLIDFSYVDTHLSHRRYGSIRRFVITLHTVLGTGF NLTGLDLATFGGICRDSVRDSLPRLCRAFSESLNIRIDFIPSSSVS JR316_0008535 MSQVCSSWRNSILLSPNLWGQVVNFNRLGHPEWRNEVLRRTRDA SLFLYGDVVDDGDGEISTILINNWQRICRLELRLVGFTYHDKIRNNERLWETLGSCSP SIEVFALWFFNYASRENKMIELGPRDQIISPRSFRLFGGYAPCLSRYDSPNIRFDTSP GILTSRLQHLRLEQPSNANEILNTIICIPTLVTLSVSHLDSSENLTLPRRTKDSRVFH TKLQRIELMEPMNHNAYIILLSSIEPAENHSLIIHCCQALAAQNTEAQVAQIGDILYR YASLASKSIAAAIESTLWIENQTFQIFWKGPGSEYNIQISDPAPQHSFSLSLLRRFPI PGGNCVREVYLILQVDTNFYSSVFETLAPVFMSVKKLSAPERFFLYMADLSEQRFVSA FPKLETIVVKYSWDGNGGDNDSEVSRYVFRRKEMGMLVPTVLPLVVNLQYL JR316_0008536 MSGYTLLSSTSPGTWRANTGKALRYRILGVVIALVLLIFTLRQF QSNYPGRYNLIDNQVGEEVVPESPQKRRNVAVASTFGYHFDVYMAVVWTLQRVMKEGK VQVYTPGPFYFDFQRIVDTYKLYNGTYKDYNTLVDDVTSVGGDGGIDLIVLGTCELDM RHWSEKLLAAWDARDSAHKFQIVCIVHDVRDEAWQPTIQEWSRRNAIRLLPISQHVGN TFLRKFEDLARSTDVAIKSAGYEYIPVDAHIPILDLQGLPERTSTNRPFSNAVIQGSF STDRRDYTRIFADLNHSLHVEPESWGYLPLGDGPSFVQDTSIDQIPFKLHLVGSGWID VPIELKQLVVFHTNLNYTEYYQLMSGMDACIPAFLAADDKNFKYQASSSIVMCLETNV PILAVRELRESYIYIDDDRVTINRPAVMSEFQAIKVLRTGDASDFLESDPSSSGVTLG FHSRIRQAVHRFVNEGWVRTKEDFDLRKKLILKENERIVLKILQDRV JR316_0008537 MANYNQKVEADNRKFVPSIRSILYLIGFVLIFALTCAELGLVSQ QIHKYGRFAENYASLQYKNVLGLLLCACLVSLLVTIFHLFLNVGFVAFFSLVLAVFFG TGAGVIRTATPFRGTSCGRPADAYPEKWQRFAHECSRIVSIEAVAWSLWGFLRATPGG FYGSHTRSV JR316_0008538 MNPSPAQNNDHDTQPPSYPQLSSPRRSPLTQSSASSSVDGRKAK LHLHPYSSTNDNSISQSSLDRARTRSSPALSTRSSTKSSASSSSDGKKRIGNGSENRS TAGPLPSTPTSPATARNGRQLLQKDTHTHANPNPTANANSTASTSGSTSPSGLGRRTG TMVGERPRSVSTGSRSSTMQVQRAAATPTPPPPYTISAAAHTSSSTTAPSTRIPTKSL THTSSPPTTASPSSPSSSPTAHGHANSNAIRRPSLASPLPPSPLVPPSHTLPHPHAHS HPHSTPTTPGNKRYTTLTSSSHTLSPSAHAQVHFPSSRESPLPSSSSSGVAAGRMVHG RHKSNPSLRSGPMGEGYPAEVHASGQGHVQRPSVQGWAHAQYVTLPSSRVHARGHVSS NPSSNSYAATTANGNASTSSSPGSAMNGNASSMRPESPSTAAMMRRLLAKPAPVGSSS PSHVYNSDGYTSPGGGNSGTERRRGTGLAYLSGSESDSGWPGSPRAIEEATGKPTTQL QPVRRQPPTQSQPQSQQQPQARNKLKKTPEEKERAMARGVADLAFFNDGGLDLGLGKG WDKDGRYPVGSGSGIGIGNGKKELGRSVSLGAGAAGYHQAKPRQEQQQHQDYYYQQAK QQQYARYSEQQPYQLSNGATIPSSTTFAEKEKETEKEKEKEEKRKPNLLRRKNSSAAA AGAPMHTRSAKNKEKEREREKESKHYVSASSGSSSQGHLHSYSQPNSHSSHHNPSHSH PHTLQQYPHPYAYPHPQSQNPPPPSTQNQNYRTSSYVSPPPHPHYSEFGVMSSSPSQE YRGSGNGDQALGRGQAHGYRQGQERGQAQVAQGHAQEGPGYASGGYTVNGAGPHGPGM HGSEFDEPFLQPQQQLRPVYHDQKRGLYQHQEQHRHQHHDQSESERWGEREGEEDAYH QHQQLQQLEHQREGLSLREQDQEREREHPQELHDFTNSTTPSTSPASDAHTSLSTDMR FLGGSSSTGKTKSTPGASATSPVTGSVPGNVVKSLGKSTGKSSGAGKATGVHRAASAS AVLASLNPTPRYDSSSSVQRSSGLPSPSPSSSTFRNVSRQSDAPRTHTRTHTDIHIRP IASGSGNGTSKDPPRSPRSPIPMPTLVPLPIPSPTPIGTQAQSSSFAYSRVPGTPGSG RSGSSGGGGGGGGALRPPAPLFVTNPNPGSGSSVSSRGSASPLPSPLPSPSPSALRAP SRAFSPVQSQSPPPVEGNAIKSPAVGAAAAKSPVGIRFFGRGGSGSVSALAEKEHGKE KKREKESEKSKAKEKEKDKEKMKEKEKERGRTSVTPASSLVEAYKRQEREREMLARIA SGGGGESNGVIETLEEEQEDVYEYQSRGQIYGKNEEKQRQRVIAERLEEDLKVRRAAL EEAKAAKAKAKAEEEERVKAEAEARARMQAEAEAEAEAERQAAKEKEREAGMRRRYSL SLLDALDVNTSTDNASRPGSSAGRSRSAARGDKDPDRRTPTPTVSVRRNLTVDGASHS HHHHHHTNYHHPPQHQQSQPHSTHHHHHQHHQLQRSQNSQSSLLQQQRKSVYSFASGV TQDSGLDLGPRAASGSMELDSYSYVQMEGQRERGWDVIQRVVGGGDGAVASGSGVDGR SESVAPSLDLKLSLDFDLGLEFRTSVESGKEEEVGAKKGVEKKVDMEKVKVNERQAKG EEVQETEVAEGEGDATPYYTVFGSSSGRVVAVGSREDAWAGVTSSDIYSGKGKERQTA RDKEKDSGRPSLSRTLSKKVSERWKKQGGSVVGVFSDSEGRSPVGRASMQERRKVLSR KAGTGTSGTVDEEEDRRAKAKHRRSLTLSIDKYASEDDGPEVAPKNVKAKEELASVSH NNSPAGTGNSSSGGGKFWKLMKRISIGSGLRDKFVESNPDPVPPPPLPPPPVPPIPAT PIAMPAKRTLHPSRSIDSSIKNASGKLSSPTISSSPASRPSMSTSTTPTSTKNPTQFP RQSTTTATTATTRSSSPLSRRSSDFHRAQSTRSSMSSVSAGDFTSAPPPPPPPLPLPM SKASIMLGQHILTPSELRRTSADASTMNAASAADKPGTNTPALRITNMPPLTLREPVS PADEDWMIVRSPSVELSSLPLPPRRFMSATQSHTKASAAAAKVASVVPPTSATGVPAS AAASWSMSDNDNDRNAATNEDDDDEEDKRSDRADSPIIPSFSTTFAVNSFPSRRASTA LSHISGGKDTPSPSSSHAPHSAVSLPSRRTSTLSGVASPSSAAMSSSFPSSSSAVHPT TGSSPVTTPPPRPPRSAQRGAPSASSPIPSPSSSPPKSQGMNWSASLPPLTSAAWSSS ASLPHTPPSSGGGNRPATSIPAGRKSESHATSSTVLPSSTTNASSTTSNSTSTSTSVS TSSNSNSNAKAHHRRSSGGFSTLTIRPSFTLGGKRRSSSIIVPSTSASASGSTSTSAA TSNAAAAAAAAAAATAAARSKSPPRTSQGLQRPSTSAKQVTGTDRTDESESSGKERDR EKEKDKFALTEQEKAERWADLLERSDRAGGTLHIELGVGLSEGSF JR316_0008539 MAEYTLLSSTSPGTWRANSGNASKYRILGAIVALIILLFTIRQF QSHDAGQYTLSNNQLSEEVVLDSPQIRKNVAVASTFGFHFDVYMAVVWTLQRVMREGK VQVYTPGPFYFDFQRIVETYNLYNGTYKSSDTLMEDVTSVEGDGSIDLIILGTCEIDM KHWSEKLLAAWDARDTAHKFQIVCIVHNVQDEAWQPTIQEWSRRNAIRLIPISQHVGN TFLRKFEDLARSTDVAIKSAGYEYIPVDAHIPILDLQGLPERTSINRPFSNAVIQGSF SADRRDYTRIFADLNHSLHVEPESWGYLPLGDGPSFVQDTSIDQIPFKLHLVGSGWID VPIELKQLVVFHTNLNYTEYYQLMSGMDTCIPAFLAADDTNFKYQASSSIVMCLETNV PILAVRELRESYVHIDDDKVTINRPAVMSEFQAIKVLRTGDASDFLDSDPSSSGVTLG SHSRIRQAVQEFVREGWVRTKEDFDSRKKLILKENERIVLKILQDRV JR316_0008540 MPQLPFEILDGILEEGLKVLPLSSLSSLALTSRGFRIYANEARF STLLPYKGHVGHDCDGTIRRLDSLADVIRTGHSIPTLPGVGDFVTSFQLKVTGYATAL QRVLDSQSIPFIFANIFRPSPLYTSVNKPRSLMLSLHPFEWGYSSDVRIHWSMLHDGF RGEFANLLCLSDLDELHLRQIKGVPSSFLQGSKVKHLNFRGVSLQSSVSGKSAPINLQ SLSIDHFISFQDLVQLCFNSSFSPPPQSFRSLTNASLQVNHAEDMDTLNDILGNAPHI QHLGILLHYMGPSE JR316_0008541 MSEVVASDSEDKVKVIKSEVKEAKRRAEMSCGRMDCMQFDNEKN PTFKRCGKCVVKYCSRHCQKMDWPRHKENYTTLPEEGRRFATIVKYLASNPYLAMNFQ IMTVFLFKLHLMNESELINFQDKPFAVGIFLQQEPANIVDFFRLYRRASIYDTKGPIL GMPKIANVVVEKDFSVRDTLIWRDELEEAKSNIRRDSLDLLRLRTELQEYERGVLALG APPYDDSWLNVVFTRVTREKIRREVVYSIGAHYCGREIEGISKMTYQDWKTGVVGGLE MLDLWKAQVSEMQLTPDTDRHISKKKKKKKKKRQKPLQGSS JR316_0008542 MKITSVLSYFSFFAGLALMVQAHVDYNEYEARDYIDELAARDLV LDYHARSSLLAELDTRELVEELENRLQRRGPKPPGLKPSKVSRKVKGVGGNIVSKDKY KCPYCGSEWDTVQDTAGDDYCDYLSAVDCSKFCKAK JR316_0008543 MGGTQSYLSSEAALTALVVAGAIGIGYSQMGATSGATPNTPAVI ATEGQATASKKGKKKKQSKAGLSAAGDISEALSASKSESKVPSPPLQRVAASQEVIPG QFDPTPTQTPVQDQSEPSAKPKSKKSKKKAKGKAQADSTADSKNAQPISSSIDYLSET SAQATPPKTAKRQTSTQQSKKQSPPASSSSQLTRPSQQSTASIETDGSWTRVGPPRRG PKATDADSSQLSAEADPTTSDAGITPSVTGNSSPVADRRASSSTEDETFLLNSNVSSR GSGENRRTLAEKLLPKPRKTGVDELRLFYSW JR316_0008544 MLETSDFPTLSRVMRVQPLPNEKPATGFSWGDYEDVRVGTDGGE NDADGEEEGWGVVTSKRSKRTLPSTSSQSHTQKAPETMTKRQKQNAERNAAKKAQKEE SEAARLATLAQYERERERARIAEQLGHKSKGQR JR316_0008545 MRSGPMTLECKRRKIKCDRTQPCAPCTRRGEEAGCQWHIVEPVE KYATKAEFDELKARFEQLAAFVQRLMPPPGGQPFFPLGVQAAMPGVAGEAVQLFNSPA TSNPMGYPTLMPPPQPPQQQQTYSQHMETSSLASSRYVKPEGAQSPTRHQQHQSVSGP VPSAGSASSGLHSNPPSHPRHRAETSPSTAAATLKSSPLSLSVITSPFNRPEPTSSAS SSQPTATEIQSKNFHAQTLMLGERLRPGSEDPVIFFVKTRARIADMFHDNTATAPSGT VSPSAYNPQQSMLARRTSDHEPLARPLLLPAREDERTRKSMYHSANRDR JR316_0008546 MDTSRTPPGSNKRRRSPPSGQLSMGSQGQQGQTSLPSIHQLGAY LPPTSSISQHVSTEPSAYAYPPSHFHSNVSQLDSGTSHAMGSAAARDLMYSVDSDPDD LEQRGPPKKKRRRQALSCTGDYIQLFVIRVVPLMLTVDSIRGSLIDFV JR316_0008547 MADATTSVAALFSICSTAVYKAANLCHKQVVKQMAENSVAEMLN GLVNISHKTNKTWYECPATRQQPLGNHDEITQKQPPITQSGRRETIVKNVDAILRSSG Y JR316_0008548 MISDTQTSITSSDPHFPSEITDLIFDFALPGLDPRSISSVAHAS HHFRALANHQRFSSISFVYNDTKRIPTNVKMRICKFAELIQTGRQITTMRGIQTFISS FTLEVGIGSDFLNFTKLGYLSVIFDNLFRDPAITCEPVRMLSLNIAPDQPFRDWKKDG NTLLESLWSLIRTPYLNELRILRGSGIPLNLILGSNIENLHVGDLVMFDDEIVELKQV SRPVELKSLWLDVWPDSDLENYLQLICDTSDPPPERFSDLTDLVVYNGSNVFISRILG LTKSLKTLTLGHRYNPSQCNS JR316_0008549 MADPPSPYDGFMTMSLKDRFALLFRAQQVRFDADKKVDDRLSAI ESKLERLTASLPKPPAAPTPSARAPRARRTSRKERPAAPTSTPTTGPPHSQSIRSTTT KAALEKIVAILSIADEQAGHVIGHAGTGLRQIHDISHAMISVSPVVTSGLRAVTIRGT AREVGDALSAIGKRIACRRIRNPRSKKPKQPPAPTAAPPTLVIEPPSPTPTSSSTPTT RTSRSGTASPHLPTPTAVDTRSSPSLPLAPGSPMEVDTLRAPQQHSDGYSRPGPVQPR EGIQTARRGGGLPCVFGANCPQ JR316_0008550 MDSDDRTFTESLGSQLPPEIVDLIIRFAVPELDPHSLSSVALTS QHHRVLANTQRFSSISFICFEEGRHNRKEEKRIVRLSRLMQRGGRPLNMRATRTFITS LSLRERGGHSFAFFRNADALCVIFDNLFRDPAILDAPKRILSIDIGRDQKLSNWNGPL LQSLLSLLRTSYLNELHLLKGGGLPVDLVLGSNVEHLRFGSWAQFGNRLPKGSARPVT LKSLWIEFWAGYDLEVYIKFICGKKEAPPTIFSCLTTLTVFYASNELIATILGMTKCL ETLTVGVHFSKKGVDDEPFIPYHSLPFLKNLHIKCRYDTVYSITQESVPIKMLGGFTP PPLLESLTVSIISTIQHYDMWEYPMFEQVRLFTPWRRNVWDEHLAALPLRSTTKVDVE VEMCSLMGTSSNPDPPRWKEQLDAYFKAAFPLCADVHHKFRVFFHGSNYPWRTVGETA QV JR316_0008551 MGPPKPSASRAKPKASHIQQQQQQHQQQQQKTHADTEATAIVEK NVENGKNAKFQTYGQVQERYPPPSHSPPPPSKSKSTPAPAHPSKSKPLPPSPSKSKPA PAPAPAPAPAPAPNPTKPTPQTLKLTHIHTRLLRTLDALDTLHTTYTHDTAALQRALL GERRRRAEAERREMEVRGEVEGMRVVVGGLVERVELEHDYKKWPVARMRLSELADDIS IPVPGPLSCVPVPASYNTKSHNPSKHQHHSIPSTTHPSQRTSPHHPPSLTHSHSHNQP PPTSSYTPSLTQHLSTQLAHERAAHARTQARVRVLEAKVARREVELERLCGWAGGAGA GGDETEPEEEGEEGEEGGEGEEGGDADVDAEEEIIAMLDVTVTRNRMLEAEIHELSER LAKARASSSTTSASGSGSASSTIKSNTTTPSSNSTTTPTPTPTPTPTHASPLPPRRHP TSPSPGYPAYPSYPASPLSPASPASPARPPHAHAHSEWELEDKYDGDREPIPRPRPLP MPPPDPNPNPPTQQTQTQTQKQKPASSYIHRETKPEKSEQLEPSLHLDELEAEIGELG RQVDRFRAERGAWEDVVFRGGGGGGGQASEDEYEGRGMELRVDGQGGGGGGGGGEERT VEDLRMRVEVLERVCARLRKEVEDMRLYRYARDISSPRARQRERESGASRSRSRSRTN PSPSPNPNPNPTSKAPPAQQHIPSNTEHQRAHEVQPRHPETQVELEPLPASSTTTTAV VVGTNENLPAPSQSSANLPSHPHPHPHPHPHPHPHPHPHPPRSAPLPTPLPGLPLPSK RARGSSHYHYHSPPPPPPPPPATENADANSDRNEREHAYAHTNVNANANTDANTDASL FGSTSDMVLSTPFEGGVVLAALPPCSPPPPSPLSPPSSSPPLRICAVVPPPPSSSSLL PLPHAPHSPITTPQALPLHPAPGPLSSAWTWTWTPTPSLPLHPLHPPAAPPPAASPAQ PPISPATLPPLTSSSAILALDSVVPALDYGASDVPVHVPAPAPIFEASVPVPVFEASV PAPMPVPVPSAVATAAAVFEALAPVPISDVLDGGAAGARSGVEYLERGGNGYGQGDGE GEIKREIKRVREGKGENENEGENGGGNDEDEGENEGEGETSMELATPLMPTSMLVSAS VSSTPPPPPSPPSVGMGMGVSVVDRQGEWVSGSGSGRDTGGRDRKAHENENEDQHGDD GESEDEADKIWNWAVDVGKQQHQQQRQDQHAFTFNEHSHGYPHLHTYQEDGDGDGDGD GDGHGKCADERGEGEYERRIQNQGESESESENESENENESESDVPRDVTLRSPLSMPS PVLLLFEQRELQGEGEAGGVGSVVPRMSPDPVPPSSVPESAPIPALQPKNANQSGSVP LITLAASPFVVPANPTVGPGPGPTTSHVQPSSSAFTFEPVSSTSTSTSTSTSSSNPFP FPAPSARGTGIPHSPLSPTPSSSRVSIAHRIHAQAQAHARGGSVGQRACASSRSRSGS RSRSALQTQSHTPARTPARTPARTPARTPARTPPPASARAAAAQSGSTLPSPSILHLD LPLDLDFDVDVDRDLALDRNRDPLIGDLSRHRMHMRDSLTDSTGTDRSVDAEMEVEMD SGRESFEAAYEAEAAVEGGALVSAQVDSEADAETDADADAERERERQRAVYAELALAR ST JR316_0008552 MQNRILLALYTGMLSAMMAFNGVTASSYRIELYSDDNCQDLLAV DSGTFATNGCITQSGVNSIRITDLDDAMIHTWAHGECDHNEWTVPAACPGGNSCIRAP GTESFGFQVGCI JR316_0008553 MSRTPWTKPTSSFPSDRIKPKPSPNPTQSKNKGKGNQQRDDEPP KSKPVRKLEKLLSGIRKSKLDERDPKGGCFCLARTHELSPYTPLCRSCGLILCSLNAP YYACPHCTEPLITASSSPSTSRSISRDALVAQIEAELESTLAAEQAAREREIEQARIE AGAFPMLRGGNGSGTASPSPAANRMAAASAPPSRQSQPQSQTHKVMSLTSKPGNARGR VVVSSYTTVTTPVPSRPSSRNANVDDLEEGIAPRVPAPIPLSKEVAPPPTKERPWLNY VHGPVTYKPPLRVDDERGTVAGTSSSKRRRGKAKVKNDDGGSAAKG JR316_0008554 MDATQGLDFTCDLDFWFAEITSDDGILPTGSGQDTIPFTFSPLP AASATAPFSSETPGASTSTLQMTEMYPENIPPRLESTALSSAIYPLNNAEETNALFSS PSELFSSVDLGIETRYSPPSFYEPEAQPFSSDHGSSILDIPSQSDNTFPYPLIPNFFD DDVLQNNDHEHLPMPLEPSSRTGHFTHPVENGDCRTSQNLHLDNHLTNYLSTSSPKSS NAAKKIPAEQCRYIDIAHGGIWFGNAGPSPMWPAVTQNNWPEAQAHPTLSSVEELMSY FGTRMHHNLSGEVSVTSDFRSRNGEKEIRYTKKSNHAESIREESSGVTPVEPNTRLRR HGGGKLNLPSRTTECDISVASTSAYTRKRREHTPENNGLGTPTSVVKRPRMRSPLAGS SVTMASVACTEGERGGKKRVPGTLTVAPRPQITPAAPSCLATAFQQSHLLMHKRERHH KNGRDTGFQVITACETRGTPSRRFKANTK JR316_0008555 MGLGGMLVSAGILHFAEKLIRKRELGLHILKQHIAADAIYDSAE RFPPAQCHPGTRERIIEAIIVWVNEPNPDKQVLWLHGPPGAGKSAVRHSIATILQEMS GNQKYGSSFFFAKGAPGRGDGNKLFCTIAYELAVNFPSYRSILDSVMQENPTLPTKLV NIQLHNLLIRPLRKVSNWPSHHPTVIIDGFDECSGGNSMQVVILSTISNAIMQHRIPL RFLIISRAEYWIADAFETGCLKFIVKRLSLRDDHEADAGIRHYLCEEFNRIYNENIEV MHSTPLPWPEKHIIERFVASASGQYVYASTIIKFVGDTPHCDPLDQLRLLTTAGPHEA FAFSELDSLYAAILSSYPRWDTLKRVITAIVLRCTISEAVMEHIFNVPPAELRHILRS MRPVIHKTEVKCPSLLQRLESTFGAPAYQQQWLTFHHLSFKEFITDSSRSGELNISQI SAEMTVWCMLIRHLAGLLQGDTDIEGIVTRISMSQYRHWLDLMPSTMRPEYFEHPPKE GTATMIQELKNLLAVLDILSQEDTYTISHADYTSLLLDKLGYSLLRLRFEMEKKSKKR FKPGMKLFKALSNRVKLALTISVQQVLVTTPMDGPVFGHLIKQSWVGPFQYFDIAEIC NETNMNDETILTELQKINRVVTLCCTHDGVGQVKIIPHFFKHQIHTIPSEYTDALRVS AWEAQILANIASKFSDLGKRALHIEAVNYIHITAFLDNARLSDIRSQHDLDDANRMTI LNILNGPNRLVFEENDYTMIGKMEAAVKATFSALLPLYIEASTHCTALRSCCFTFEDL SVHLSAKPDGTYLWSKEKVSESTYGAPGLWIHLIDLIKIASENWHIVPADLYPHFSSF LLKGLSGVYYSILHPEFKETYATTASTTFQKLIWWMPHAIPTEDNLNMIRLMHYLWHK MDHNDQPIPPPRLCNLTMDFLTVRNLFVLY JR316_0008556 MVTYDEWETSLTETQAGYSNSFKSVGYAMKVGNSRTLIIFEIGS VVGSDQMRELITGAARGDRDGVIYNAKPGIWVLLESSGSEEAPFCATWVSEGHIDYGS LPQEPLVSPLNLDGLEWRKVHEEYFNSTVGCILGLEVAQEFEEVGIDNATSAFADLGG VIPGGFIFGPHESGHEVHVRVDRNQVVQIKKADKFPCGCGKVAGENHSYIFHPSALLF TCRLSTGTLIVRHGIIFCGTLRVSESQNP JR316_0008557 MQLIGINLNWGLMGILFVQLYIYGYSFPNDPKFIKSLVFGLGVL EAIQTILATLDGYHWFAAGFGNVLTLNEPYISAFDSPFLDSIISLVVQFFFAYRIWVL TRSYLPVAAVVLGPEWQLEFRCGLLELLRSRSTLSNTNHTILRLVRITIETNSLTAGV AVLSLILFLSFRDQPTLNVPSAYVLGKLYTNTFLAMLNNRLLIAKDAKGVVSKFEWTF AVLPAMNTTALLSANSTKKSSRQHISVEIIQTQTLSVDPEMAIPLDELVATAPHQSHS G JR316_0008558 MNFFETCEKITITKGVLTAGCKKADKKTTVHSSISLNDYIGVTD GKLTWGGRGFSHHAEDISVHNGVLSAKVRFGGKVVESKLDLNLYIHNQDGALAIIPVS ELSGKHLAAPTLSRGISMASVSSVASATSANSMFSAASATSTSTSVSTTSVTETKSSS SYNAFSSTKFRSESQLLLIEETCTKLELKGTFLHAECRRIDGSVVHSSIDLNTIIGFF EGHLQWDIEGFSTHCFDYTLDGFFLVVKYKVHGDQHRVARIDLRTRIRNSNGVLIVVE LNKKLSVMLSEVPWMKFKVIAEPDLSVFANHPVMRQTLVSIAESTVEHVTVEMHKMLT VAMETAITAITASAMKHVSAQMETLVADVAGHASASASITAAESLHLYGLGQYYSSAI GNAYAYGGGLNGRAHYESHGSLHAGGGLNGSAALYEASGNILVEGREAHGSLRAAGHA ESRESFHSEGRIVEISNGNSHAETAKHAKITLSV JR316_0008559 MSRTPWTKQTSSFPSDKIKPKQSPSATQSKNKGKGKQQRDDEPP KSKPVRKLEKLLSGLRKSKLDERDLKGGCFCLARTHELSPYTPLCHSCGLILCSMNAP YYACPHCTQPLITASSSPSSSRSISRDVLVAQIEADLESTLAAEQAAREREIEQARIE AGAFPMLRGGNGSGSSSPSPAANRMSSASAPPSRQSQPQSQTHKVMSLTSKPGNSRGR VVVSSYTTVNTSVPSRPSSRNANADDLEEGMPLRVPAPVPLPAEAAPPPTKERPWMNY VHGPVKYKPPLRVDDDNGTVAGASSSKSRRGKGKGKSDHAGTIGKGL JR316_0008560 MPHRVDQHSFQMASSPFVLSPPPLYSTPLNSFLAYDRRTRHFDA NGNHRVGSPPPPIATMSTSTSTSTDPHSHSPSPSLSVSIDRSSNSTPHIRRSPPSHQA YANTSANPTYDGVMPSQSQSGGRHASGGSSNANGSNGNNSSNAHSNVNASNGTGTGTG RQDSAQPVQHPGYIYSPSTSFDQHPRYDPHHHQQHHYAVPPPPPFGHPHAYALHPIHH THPLQPAPVGAGPGTTHHPHHAVQHHAHAHPHAHHAPHHQALPHHQAMGSDYVGRGAY PSGMMPGAPHGHVGPHNGHGHGHGHHPQGGQPVTIVHTDDAATKLTDGIRRRCFNCCT TDTSTWRRSNLSPGKVLCNKCGLFERTHSRPRPDQFPHKRGPLAGSTLGAASGVGPPL PSSSSSTSTTSSGVGVGRRTPPGANQLPPINSSSGVVGGVGVGGTGVQGGGAGYQYSH QSIPPLGGEYAQQNQGGAQGQGGAQGQGQGGAQTLPGLGAWHGAGAGAGASSGTTANG NGNGNGVESNANGNGNGNGAGEGGGGGEAHSHPSSATGTPLLGSRRSTMDYTHGHGHG HGHSGHGHSPRMSPRERERERERERDRDRERERERDRDRERDRGDRDRGDRDRDRERG DRGEREREFHDLSSNAADKLRSGISSPLNGVGVLQQQQGQQQQQQQQVGAGVGGGGGE GEGGRTTPA JR316_0008561 MPPLPWGTPEQIEFLSAKVPEFQASQRTKTTPNFWTKIYQEFFV LWPTPEAEVRPMTVPKKKKKKKNAPVVLPQTKTELSHAEWVKLRKNQIVNWFNNRGAG TQHRRGPTIVIGGNNAPRLLSETNLYSKKYYDERIRPRVIEALRTNPEGHRIAIINKC TGEAWNDESDEVKAEIRAELAKLKELKGQIPDMPEVMSPEECAINLLTLPETIQAFID EMSSRTGWVFTVIAGGPEPADQGKIRTVAVHNAQEDRDRQTLNSSKAKPEENQVASTS KVETGDAGQENVLSETTPPVTTQPEIQSNALPIAVNQVPDPAALVPTSSTHAHMGSVA QLSGLPIAINQVPFHPTYTAASVPISSTNAHMGSAAQLSGPIPNTITAAHTPPEAAQQ TAMASMTAAPAVAIGFAQAPVMTQSTVQPAQVQPTQSVASPSPPCYPATNGATHATIE AQNFLPPANPLPQQAKVAPNPVAAFLPYGAAISMTDGVGGQDIDQLTAAVGQDMMRLQ AGDANRGDGLTLNFSEEEWDRIDLALQQYAADPNMMGTALALNTNNTVTLPGLHGQML QSQDMMAGQANAPMLLDPNHSISAPHTIVPAQTSAPVLSATNSAGVLSNHAVNDPIIP ESGMHAPLTAAPDKSENLDASATRSRNRKAAASKDAPQTTAWLLAASEYLFKDIEVEK WQECVRAWESFERMEIAEMDTSSLRLPAKGRPTALGKWLSSSRKYTAIPAIRQDEFQK SWMTWWNSIQPAWRQTKTPNSLPLSFETAKAKDSMASLRKGGPNGLLTVMVGLKWWYS AQASDGLWELAVSDLLNTFNTFQKAKLNNKRKAAEETGKEKRTKKAKA JR316_0008562 MAQQSTPPPTLPNSTVPPSTAPASRIMSTRVGDVINLLLALNNA GLEVVRTPDGVDHASGLENLAMGELILILQIMGIHVKGNRATAAPPPPSDSRDPLVQE IEQMVISSARRQAINLFFRLTNGPLTVPPVILEELSSTVAPPPSSVEPQATVTDPALL PPKSQSASDTVMPKGFVCMSCNTYNPIRPSEKPVYVVFCGTDVGVFDHWKDAQSLVSG ISHACHCRYNSREEGERAFQAALTAGKVRILGNPIAAPGAIVSSLSPNAPNGTSGSSS EASSSSD JR316_0008563 MGRQRKYFSEAEKLAANRQKSKKYYEKCKDSINRRRRRKYARIQ QKRIETARKAQAVEQEPVSKSKPPAATWLDEVLRVYRRFNTFIKSDPIAHTEAICLQF ISNQDMHELSESVDKIEHFLGPISRYKNHIYMCSGVGPEWNKTVALAKLLEKTQSWLQ EIELTAMEDLEFVERNYNAKSFEFQKVSQ JR316_0008564 MAKVKQRRRRTDNFKGPSAVTIEPGIRPVTLDTKSKQDKFLADA GPYYRQAKSKGEKEEFLHEISQLWFRIWPEDPLNTADIDFARHRQKNIMKKIRTRLLL LGAFGIVEGDTLWRDFIAAKMHELHPDKLPSV JR316_0008565 MKRRVNRKKKVYYDEYISPDERDAKTASFGDIHTEYHGRTHHTI RMPLPQGIQPMLPTPPPLGSVESPTPRLDWVLSEESPYRIFDGDLELEEMAECELEAL GLKNYLKPNLKTGDKVQADPEEEVPQKKRKTQSTHPIHFWTPHIDTYVEEFLRLEGIG GQANLQKCSEIGCISDLEAGAFRCKDCWSGSLLCLACVLRSHSVNPFHRIEASGVGYD DTELLTNLQVWTGHYFRRTSLKKLGMRVQLGHDDCPIPMVAFNDEFVVIARTGIHEIA LDYCGCPSAPSKPIQLLRARLFPSTVGDPKTAATFDVLEHFQLLSFNSKVSGYEYYST LSRLTDNTGTKAPPDRYPVFLRIIREWRHVRLLKRMGRGHSETGVNGTKEGECAVLCP ACPHPGINLPDNWKERPESEQWLYSLFIAIDANFRLKRMNVSTDERDPGLNHGYAYMV ESCKFKNYLANYDGQVADEKSSCNNHDAIKSANSRGGHGTAASGLGTAECSRHDMKRP VAVGDLQKGERYVNMDYFFLSTIAATLLLRLVVSYDIACQWWINLMKRCQLYPKNVLS DPSNLSIVYLVPKFHLAAHIQKCQTSFSFNYTPGVGRTDGEAPERGWATANGIASSTK EMGPGSRNDTLDDHFGDYNWRKIITIVETFLRKAKEAIQERQEQVEAFIEFDAALPEE STSEWTQMCLTWEKDSSQPNPYVIPKNSSVKESDVRLQLAREDSDALKRGEMTTLHEE VTPSVLISQGLQLEESQARLALDISKLGAHSTNIQQTKILERSNSLKRRIDAWINIQH LYMPAVAALRARENLQAETPVAVQDIKLYLPSYNTETIRFSSHILLKCESQYRYAQAE DCLNNLRAFLLLRSHMLNSKKRHSRGQRMQTRSLTLLAAVEEKIKFATARYNVAYQAL DLLSTPTVYYTWRDILRPLLDTDVRGLSSMDDSGSEGRKKLSWIWKVHGMGEDAEKCT QAALRIEWCKARARAHRWQEECVLLAEEMRRVIAFFAWQAAQWDSRAQDIIDSTDVPN RDAQAINSGKIAYAKKQADIRRDIGDRCAKQWDGVTEKLTTMSEGRNAYKMVECH JR316_0008566 MGFDLRFGRPVNIEQKGLVTESLSTPTQHIDFPRAADLPNLYIE CLNARTQCIDFPRGDLLEDIQGELSALTRHIDFKAVR JR316_0008567 MFTVGNRRPAVDKHARNGCAADDTHGSAHLASPRSRHNSALKRG AYFAGLLLSNTGRSCIVQHDGLTTVFQPSQGGTDTTLE JR316_0008568 MYNNMHCDKDPPHASTLLIVLPIGFNVAVNKERHKLTDADAKSS CTTAIPLISAASKHSQHHIGKNKQPDTYRGTRNPALVVDIALAS JR316_0008569 MFTVGNRRPAIDKHARNGCAADDTHGSAHLASPRSRHNSALKRG AYFAGLLLSNTGRSCIVQHDGLTTVFQPSQGGTDTTLE JR316_0008570 MGVADSPDLANLFGAHFEIKSKILDHPNVAFYGRYIDDCFAIVY AESEALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFIFKESGKLHWKPFVKTGNNRE RVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAIRDLNALYLMRGYHENLVMSW CKKNIQERWEKRFALRVAEHDESILVLKTRFDQVWNWFSAAELGKTVTEYWSAWYEHA EKGLYSADSSRPLIKPDPNYVHDLDDVRPELFTKILVDGETEFVPDLRKIGLLGSRWI VSQKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQNVNETYHSALVEAAEQISI ESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0008571 MELGLSMGRRYVQRTIASIPEEALTEMRKHAFSPKRDKIRKFLI DNNYVITMTDKNLGLAVSERDWILRNELNLLEDERNYEELEFEVAQEVMKSKMIQMQT LARTVEDEHLFLFELGLSRFFLSNVPEDGSSFKYPQFHGIPKIHKKPTGFRPIIPCHS VVFNPAAKFVSKELKPIIKSTPSIIHGTKDLFTRLSQLRIDSKRQWYFVTGDVVAFYP NIPLDLCIEIVCSMYEEWLLNASEENTALAHINPNSLENNLVKLGIFKRAIEIGNTQL ITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKILDHPNVAFYGRYIDDCFAI VYAESEALALNHIKETIMFDGCVIEWAVSSSGCQFLDAFIFKESGKLHWKPFVKTGNN RERIPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAIRDLNALYLMRGYPENLVM SWCKKNIQERWEKRFALRVAEHDESILVLKTRFDQVWNWFSAAELGKTITEYWSAWYE HAEKDLYSADSSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVPDLRKIGLLGSR WIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQNVNETYHSALVEAAEQI SIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0008572 MSSQYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSVDQVIDCVFFDYRAALREFLLNLASWCDKRETVKASLERLESA VSAGNTPNRLKVKAPEFQLTKEFADAGSAEALRVSTTFSTARDAFQKAINDGAVQAKK DELAFWDDKCALNSCYEAAALIVKATYDDRKSSYKLPVFSTDNKGVRRIAEWVVSPQK KAECSALQTILPAIFSHIKQIVNMRHRALAIKIEKKRMTAATADVEMADATKPEAQPW ICGQEGKLCLARIRPRLLNLRARKAGPSKPKSSSTPSQRKPQTKASNKVDNKKKGKGR APVKNNDPKGKGKARA JR316_0008573 MSRTFLLRIATEESIKTKGLVVGIPQQPSGYFPFNSQFKLVART SLRSVLAPPLNPKPYLMRGYPENLVMSWFKKNIQERWEKRFALRVAEHDESILVLKTR FDQVWNWFSAAELGKTGTEYWSAWYEHAEKGLYSADSSRPLIKPDPNYVHDLDDVRPE LFTQILVDGETEFVPDLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDENIAE KGGVVPETQNVNETYHSALVEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYN R JR316_0008574 MELGLSMGRRYVQRTIASIPEEALTEMRKHAFSPKRDKIHKNLG LAVSERDWILRNELNLLEDERNYEELEFEVAQEVMKSKMIQMQTLARTVEDEHLFLFE LGLSRFFLSNVPEDGSSFKYPQFHGIPKIHKKPTGFRPIIPCHSVVFNPAAKFVSKEL KPIIKSTPSIIHGTKDLFTRLSQLRIDSKRQWYFVTGDVVAFYPNIPLDLCIEIVCSM YEEWLLNASEENTALAHINPNSLENNLVKLGIFKRAIEIGNTQLITQHGSRYFRQKNG LAMGVADSPDLANLFGAHFEIKSKILDHPNVAFYGRYIDDCFAIVYAESEALALNLIK ETIKFDGCVIEWAVSSSGCQFLDAFIFKESGKLHWKPFVKTGNNRERVPWVSHHPLDV KRGVYIGELSRLAVLCSTKEIYIGAIRDLNALYLMRGYPENLVISWCKKNIQERWEKR FALRVAEHDESILVLKTRFDQVWNWFSAAELGKTVTEYWSAWYEHAEKGLYSADSSRP LIKPDPNYVHDLDDVRPELFTQILVDGETEFVPDLRKIGLLGSRWIVSRKRNTNLFDL ANVWKKTVFRKLDEDIAEKGGVVPETQNVNETYHSALVEAAEQISIESDNEIILHRRS ISQEREHPEFGRISKSYNR JR316_0008575 MSWCKKNIQERWEKRFALRVAEHDESILVWNWFSAAELGKTVTE YWSAWYEHAEKGLYSADSSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVPDLRK IGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDENIAEKGGVVPETQNLKLLNR JR316_0008576 MSWCKKNIQERWEKRFALRVAEHDESILVWNWFSAAELGKTITE YWSAWYEHAEKDLYSADSSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVPDLRK IGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQNVNETYHSAL VEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0008577 MSSTYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSIDQIVDCVFFDYRAALREFLLNLASWCDKRETVKASLERLELA VSAGNTPNRLRVKAPEFQLTKEFADAGSAEALRVSSTFSTARDVFQKAINDGAIQAKK DELAFWDDKCALNNCYEAAALIVKTTYDDRKSSYKLPVFSTDNKGVRRITDWVVSPQK KAECSALQTILPAIFSHIKQIVNLRHRALAIKIEKKRSTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSVGKKASSRNPSPIILDTNYYSNYPDFVWPEFVQGSSTSGQ ESWPFEIQTLVDAFAEEAPNQGFQQGRQQEEREGESPRQERSKGKGKGKSLDARSNVV PFTSEGMNKPFDPDYGVSSKKKEKPPVLPQYMELGLSMGRRYVQRTIASIPEEALTEM RKHAFSPKRDKIRKFLIDNNYVITMTDKNLGLAVSERDWILRNELNLLEDERNYEELE FEVAQEVMKSKMIQMQTLARTVEDEHLFLFELGLSRFFLSNVPEDGSLFKYPQFHGIP KIHKKPTGFRPIIPCHSVVFNPAAKFVSKELKPIIKSTPSIIHGTKDLFTRLSQLRID PKRQWYFVTGDVVAFYPNIPLDLCIEIVCSMYEEWLLNASEENTALAHVNPNSLENNL VKLGIFKRAIEIGNTQLITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKILD HPNVAFYGRYIDDCFAIVYAESEALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFIF KESGKLHWKPFVKTGNNRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAIR DLNALYLMRGYPENLVMSWCKKNIQERWEKRFALRVAEHDESILVLKTRFDQVWNWFS AAELGKTVTEYWSAWYEHAEKGLYSADSSRPLIKPDPNYIHDLDDVRPELFTQILVDG ETEFVPDLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQ NVNETYHSALVEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0008578 MLAHNALPPSIVSGAMFDWDASGLSGTQTPSTPSIVRGPILFPT LTQTPNFQTFFKQKTGMEDPSEPAKRRRRETEDDGGREGRARRKDNQRSVTVGDTVVP RNADMKFPCTHCRKEYSTPYSLQRHFADSHAEPSLEVPQQTSDNERSLSPPSPEPMFP SQLPRPSWGAAPPTRAPPPASQLHPPSTRLFLIPHAPRRQASPRPLGSRAGSPPTMIP APVTPAASRPSTRTFLGARAISSQGSPRPLVSSPGSPPTMIPGPVSPAPLRNISAQRM TPTPPGMHQPPAIRATPTTAMEVDISLYDIVPDDHTVIYDDAHNLPALGIVINTFLKI VICIECGEAIEPASVCAHVKQHNAHYTPGPTLLEDLRRKYGIVSLAEIAYSAGPIRPI FGIPIEADQLHFCSKCHRGYNSLLSLRGHQSNGQRCQVPITQRACYTMYGQILTKGPS KRYFPVDTSCLSLRQDIPFAYSTVFGTTMPPPPDYTQLPVQDIEDPQNLSSFLFREGW LDAVKGLTPVDIQEVTRLPDAKTEPWGKQLQLAAHRALASVQLLVNEHHTFGMTHNIA QFNQSSNMSSNNFNHIQPETQQRYGNIIVRLIFNVLRTMDSAWTSPVRYPALDESQKV ILLELRAKLDGGDKKLIDEAYQKACYSLFAHERRQYPISSKLRKFFSPVILFVVFYSL RANGSFRLASEITGICAAVEYCIRATMLFEIERISEESNVSSFDALKKVERYISTGQE TPMAFIYNVHRVLASVRSDEVTASQFRFTDKNGREVSCNGHLISLSMIKKMHDEEHVR YENMVQDRLFFGEDIPSDLFPELDLESIVDSANNTSAGYCFLDDPRNNFSAYRDSYGR WLLSDPVRAQRFVYMHEGELVWKPSAALDLLRRMERVREVLAPGVSYSTLLQVRGSEF ARALLRNTPAALRNLRFEMHLLAHVALQDKTSHLHLKDRHIPHVITREWAESLIRNLA VFRPFEEVLVAKFLNPEALHRYRVQLWPGIKSTMTSEAFSARCGDMTMRYLLQPFKPL RWRSLITAF JR316_0008579 MSQQTHIDWAQGSAFVQKGTIYVSPNCKRVVIIPPAEVDVTNPF EQKATRAEPRRRDLDLEGVKQPVRWHDQYGWIAFIPLAPSFVSLPFNFLCWSPKILRN KPHKSPATYEMEWHSVKEWRQLDENITLLCEKIRLWCRVPGTPPPSPKSFGYDLRYSS EADAQRSFEATRNAFILWMGYLSYLVAQSRREIYSKHIKHDPKSPVPAWHERLRAEHP DISEVWLDGLYHSNVFSFNARTPRVGMVYELSSTHATRPPIEWLLEHHVPVYYPWRMR EEEIFLTHKIINLELRPPRDLLAATLTDLFKSMDVSLAAAFARKFFNKSHSTEGLTMK SLGDEYSTTLVYSILSNDFAHNSEALDQHMSQPYEELERKLQKRDEEQRQLAIDSANL PTLRMIELANENHKLLTSVHDDWDAYWAARVEERRQILAKETPEARQARLNRENNPSV VRSKVFVWKTLVSTEGASVYMREHIATSRNSTEEAKLRPHRKVYNGVTDEWDLCRDLE PPAELAGASAMLDQRNQAQTRPARGQHRRGRGVRPAKQPRQHPKPAPAEEEWEGVPWY DTIEPDPDAPVASTSALPPARNPSPPPLPPNNPPVIEVPTPSSSRDRPRRAPTTPPRP TLGRRLRSRSPTPPEVATRSRPRARRSPESESRVQQSPPAVVEDFEMEEPGPSVEDEN ADVEMTTDAPATDVVQPQPITPDNDMVRCLRQSYGYLPGTTTTPCAAADWDELVETFG FTELLTPEVALDAQQHIKQFYLACIEPTSTEMPAALSDLNTHSLTSLSRLLDLSQIHR PHENLFVFSEPRSEEHEWMLGVETAEIALYVCRLRLEHSWARIDSLTKMLLERGVPCR TLMGIEMSGRCSTVQEPYTPRSVRPSAYKFGVDDFEAYRLQCENIIKHQQHGRAALLR GGLVGRIASEYLSVDDGLAGPSKEIIQNRQGFIVPAGDTTWCYCDDQLTENELSIICG TYTLYTATKGQITVKSWFPPPNLWQVPPSMNGSQWVEWTPANEAWYRERVEDIRTRQA QPLTRVQWKSILRGTPPSRKLLAAASHRAQAFVNGHVPVVPTYRVRPGL JR316_0008580 MILLATGLQLHQCATLGPKGHNVIIEQAYGGPKITKGALFRGSG RVFADEGLVFDRRCEDKFENLGARLIQDVASKTNEIAGDGTTTATVLARAIYSEGVKN VAAGCNPMDLRRGSQAAVDRVVSFLSAHAKTITTTAEIAQVATISANGDAHVGGLIAQ AMEKVGKEGVITVKEGKMIEDEIEITEGMRFDCGYISPYFITNTKSQRVEFEKPFILL SEKKISALQDILPALEAAAQASRPLIIIAEDVDGEALAACILGLFPPEGGAFVALGLL FRNPEI JR316_0008581 MAETIYSDVVGRQNLSGAANYWSMVMELRPYLFQCGSAIFQEVP DSALFRLPAFAQQDVQNWMKHQFPSDFSVLKANAGSRVDLQRIQNQLLQLALEETQSL LASQSFQLAEVARR JR316_0008582 MRGEGAELTYLSHIKKLYFGALRIRKEQEARDPSLSQRCPATNV HVWDALKGRMNEALRWAREGLIPKEDAPDIVANTFLSGITEAQMNSVGKGFLMHRECE JR316_0008583 MSVASMRVNAQNVKKWTALMGASAFGYSSIVDIILHFPDVDINI QNEDGDTALSWALYMGHIDVAARLLTVPGIHVNTQGIIGWTALMCASYNGYCSTVDGA PGNQRGYPACDLFLRATHKCESVQV JR316_0008584 MEAGISDEDYMKINDSNSNTGELERPDTPIVDNHRTRGEYPPIL LDGKEVEEQAPSNGSDPGREDAVIF JR316_0008585 MPPKSTGERAYWTQDDESKLLAYLNDHKAEAGDAFNFKAATWTA AAAHVNAVVTRGAPKNGNSCKIKYRTLKLTYKIVDAICNNSGWSWDDEKGADITPEKR GMCDDYVLCHPGAGPFCNSGWAHLAIFDVFLGKRAVKGAHVYHTSQGISASDSLDREN SPPWPKTLRDSDDNTQIDPALLSDNEDNIGEVAEPPSTPVPTQRKRPATTPTMSSASI KKARTSTGAKAISDLNATFERMTAVLENAFPKSTLQPSPVHKSDAIKLAQTQEKDWLD KRQMAKLLSILASEHFAAENYQLLEDEELCWEWISLKLGLE JR316_0008586 MQSGILHAKGKDYCTVYSYFFQAFENLRAAGVKGPSANGGKVQD KEGGRGDGDVNVLLTLKLANTYAQTRDVQSMHAVARAHRGRDLKEFGEVLREHRNELQ SDPTIPTHLPELYEAFLKGSIKRVLESYSIVKIEYHAE JR316_0008587 MSTHTVSEDQHEGIENSDINTPGPKIIQPHPLNFSLPLSPGCCI SASSTTEIDVKGDILNGNKHRSFYWVQIYVDNQEIIKSDKIKAHSDKLSWQWGSDTKI YFSPSSVVRIELYRGFKSSAMDKFRQILVHVAQFEEQIEKLLDNSSALFELKDKKEQS VAQINMSLFLSETPNEFISDFMKKVDRDVTNLKDIDGISKLALSILGPVLQSTKTLMD TVADAHPILKISWKFISNIYDATQETEIQDQSIRELAENLREMLATANEKLDLPKIPN AVDIIKQIGQHSLQVASIMHEYTQLSYNKRTLFLSTGNLGKRIKECQSKLQALNKRFY ERVQLEMNKAVKENQDMIKDVKKEVTKVKDDALEKKIIEWLWHSGPPLNFSQNYNEAN KKRQGETCSWFLEDERFGQWLHHSGFIWVYGKAGCGKTILMSLIIKKLPDANSSTGIC YFFFDARDGQTDLQLHMNFIRSMIHQLCDFRHGGIPQDLVNLYTKCGSSQPLDEQLEE TLQRILEGFDDVFMAIDALDECSDRQRTLNWIQKLLTKFHGQTNIHLIITSRRETDIS NIFHNFRGDHIDLVNSENKDIEQYITQKMKSDNLRKFDEQHQFEIKQKLLSCADGSYI ALMLNEVEKCSNLAMLKTTLTEMPKDLDEIYGQILRKCDSKKALDLQRFLQFLSFSMK DVTLETLAEIITIEFTPENEPAYNPNKRYFNPADILDLCGGLVVTVRDDKADNQNKDY IKLSHFSVKEYLISSRVQSTFHLMETTSQIKISKTLLLYLLETSAATLNNKDLDPSAF PLQSYAIQYWHSHVQCQGVDKDNIICKLVVRLLRYADSRKWNDDLIPGPYTINMNTEN AQARTIVWASSLGLVGVVEYLLNNMDNISWMEKSMRVKGKYGAALQEASYMGHNLVIK TLIQHGADINVVGGKYATALQAASYMGYTWLVKILLQYNADVNIVGGQYGTALQAASY MGHILVVETLLQHGSDVNIVGGMYYTALQAALYNKRVYVVQALLKYNPSDMHVIVDNA TFCNALQAVSFIGDNLLVKTLLQHGAAANIVGGAYGTALQAASRKGHKLVVETLLQHG ADVNIVGGMFHTALQAALYGESEYVVQALLKYRPVDIHVIVQNVRFCNALQAVSFIGD NLLVEALLQYGAAANIVGGEYGTALQAASFMGHKLVVETLLQHGADVNIVGGKYGTAL QAASYMGHTLVVETLLQNNADVNIVGGIYGTALQAASHGGQHSIVDLLLSNGADMNTS AGRFDNALQAACSKDHEKVIQLLLSHGAKVTSLDYLDCIGNPHLQIELRIAYSTHKES TSNPLQTMPENPAMR JR316_0008588 MLTPTQIEKALTAIDTNKELECPELADTLKGARWIHQSIDPFMN VKSVIQEGLEFLANHITDGMTRQQILDLQPKLWSFYEMQDLMPDLMDIIKKCEDNSAL IGKVIDLVSSAAAHSRSDDLFKAHKLIPELIPFDLRVPLDLQAYKLACARVNRGYKHP AYAALLIPRVKFAELADLNAREILVRCESLEADTKNGDALDWPACLYDPDIPFDIDDE VQGLFRPPLGPQFVQRMLVGEANRLGGRASKGQIHKLVIAISGKTWPEAKSILKADRL YASILTLLLGLSDDDTWAKETVAWWQENIILPSEPVDHDKKSSPLEGSARDGRLRDPV ERRKAQMAAAAQAPAPARAPARAPAPAPPRAPTPEPARVPTPAPASPQARAPSSHPAP DNGSAPVLAKAFAAVSLAEPVPQQVSRRRMALNAIRIPSESPPDLSSEDSSSLSSENE RSPSPPAPIKIKLPAKRKAAPHRPGPKRKEAPPSDPFETGDEALPTPNADAVPAPNSD ATAADGEEPPAKKARTQAKGTRQPIKRKAKKF JR316_0008589 MPPTTPSFDWSQGSVFLQDGIIYYSPNCNRPVRIKAPERNHPHP FPERAEPDPTSVKHPVWWTDTFGWMSFIPLNPSFISDPFDTFTWQPELDVTPSYNTPP GPHSLPARNSYYKALAV JR316_0008590 MVYQAARGGSDATAILVGQAFNIASRAGIVIPWYEYDNRRPEIQ FFLDQQIPVFFPWCAIAEQAIINNPTLRYLEPPANLVRDALEKFLNRFPSVPLAGLIL RSYFRFHDCPLHSTKHILRMKHSTSLVTKYMYEKFASQTDKVKAAMEENQVEATVAEL REIVSRAMDMDLAEAERAIANLPTHDWMDKGDYHRRGELYDHVSIFLEKRERNQRFII ATETEDAKIKRLQREEALPGYNTSVYRWKSVTTPGGKELYMRVRLLRSKHERLFAKVP PSQRTYNAVSNEWDIFDEVDLPRKYLQFVDPPPRKDGYIYDYPIQAARINPQSIIPDN DVPRVESVPVIPEPCVDSSSGGATSPHEPQYETFPMDTEEF JR316_0008591 MNTDTDEASKIHDYDWDTADLISNLRYSYGFVAFVVPKKEDKTP EGWKHACQHFGFRKDGAEQFVSNTDRQLICQFHDGLMGSTDRPLPQDIHDLHPQNYLS LQVLGNLSLIHRPIPNLFVFAHHDICAPQEESDRMSADWSIGVETPEAALYVLRVFQS HPGHTVVSVAHRLLSKGVQFRTLVGRKNVVQVHQPYKEVTFYRKVSYKFTNDDYESSM LACWQILDQQRGRAALLMGGIVGRIAKEYLSTESVLQGPSVELLRNGGGYVANLEAKL LAYCDDGLTEHDIAIIIGSYSLMTGRSQVLVSSPGGME JR316_0008592 MSKVLKGFQENFSRQNQNSNVASGSSHQATLNNEPGPMDVDVHH EYLDVDMVPPPMPPAPPPKSPTPPPHPPTPPPPIERPRGLPPRVIRLPQRFRDEVPPK PPLIIPPVVEEEPPQPQEPPSVESLYRTPMNGYGIFREYTYGPPSITPDEHFTLSSVS DSPNIAKDPADSLRKASEGTPMALPSDWSLDSEPKDDKSLLFKNRSTQLIMSWFYNGH GTKSYADTDKLIHQVVLDPDFDPKDFDSSFSTAREAARLDEISTQKNSTDPTLSEVCR PEAGWIKGSFSIPVPCDGFIFDSEEEAPQFVVENVMYRKPLEVIKQAFAECTSETYMT IPYREFWRPSPDEPPKRLFSESYVADIFNEEYEKIKSEPRTGPHRHLEPFVVGIGIFS DSTHLTSFGDASIWPILMYILNQSKYTRGKPKEFAAHHIAYIPKLTDTFQDWHQRQFG KAATSEMLTHMRREVNTGVWGLLLDEDVKRAYAEGEAVELADRVGSKMDMRNRLKLAR VDSETRQFDIETARKALFLGKKVNSKAVNGSLQQTSAFPTRNAFSKALFEYGFNFYRM FTVDFMHEVELGVWKALFSHLLRILYTSSNQNAIATLNERYRQVSPFGLTTIRRFARN ASDMKKLAARDFEDLLQFQKEVCSQYATRELPSETAARGRRQAAKAKKAASAGLPVPP TQPNEPKTRTFNMQTYKLHSLPDYVDSIRQFGTTDGTSTQMGESEHKRAKLFYKRVKK GDHIQGIAKHIYRECVVHRTNRVEIRKLLREDRELLEPTPPDLHYHISSDVRRKLDIL PWMSQNQNDLATRDFMLRLKTHLYARLSGVNEFSDSIGTHERLQITILDDRIYKHQVL RINYTSYDMRQIQDTLKPNSSRCDIMVLASNKGADQQVHPYWYARIIGIYHANVVIST PDDYYRASKHKVDFLHVRWLGVCEDCHYGWKYRRLPQLAFGDINDSASFGFVDPSLVI RATHLIPRFILGKIPTLGPSVAYRSKENNEGEEWERYYVNFFVDRDMVMLYRGGGVGH ASTRAATDSLRQDRRADDIASRKKCREAHEAPDPDLEPDGASDQEQDAQDQAEVAPEN SADSDDNEDDSDQHTDSEEEEEEEEEEEESNEDEVRGDLDELRGSGNGYASNAHARVH AFDAHAHARAFDARVHAFDAHARACDAHARAESVEGLAECTGHIEGGRAGL JR316_0008593 MDENGVQFGLAINRDGLRLNVAVDGRNGHAKIGVNVNTDNKVEI VVSVEEPATGRVDTEPVVSTHGEIREREEQVVAVENRIENSGTVKDSDVEMRDGEGDD QDIEME JR316_0008594 MASALSPRFQQVFPPPMDDVYMDQMDLKTLYRFSWTCKELNNRV SGYMRRAFRPKNLFALIFKPNEHLLFRLLQFKTGLVISEFQICWENPVWKTDGRMLMC MAAQVGTYFP JR316_0008595 MPPGNHLLLSGILSHIDIKGDILNGNRNRSSYWVQIYVDNQEII KSDKIKAHSGKLTWKWDLGTKIIELYRGFKSTAIGKFRQFQVHVAQFEEQIVQLLDNG STSFALKDKKDQSVAEIKMSLFLSETPNEFISDFMKKVEHDVANLKDIDGVTKQVLSV LGPVLKSTKTMMDTFADDTQETEIRDQSIRELAENLREMLATANEKLDLPKIPEAVDI IQEIGQHSLQVASIIHKYTQLPYNERTLTLSTGNLGKRIKECQSKFLALKERFYERVQ LEMNKAVKENQDMMKDVKKEVTKVKDDALEKKIIEWLWHSAPPLNFSQNYNEANKKRQ GETCSWFLEDERFGQWLHHSGFIWVYGKETLQRIMEGFDDVFMAIDALDECLDRQRTL NWIQKLLTKFHGQTNIHLIITSRHETDISSVFHDLGGDHIDVLNSENNDVEGYIREKM KLGKLQQLNENYRSEIERKLLDCADGSPRFRYIALMLAEVEKCSNLAMLETTLTELPK DLDEIYGRILRKCDSKKALDLRRFLQFLAFSIRDITLEELAEIITVEFTPENEPVYNS NKRYFNPIDVLELCGGLVVTVRNVKSHNQNKDYIKLSHFSVKEYLMSSHVQDVFHLAK TTSQIEISKTLLSYLLETYAATLNINNLGPSNFPLQSHAIRHWHSYVQCQGVDKDNIV CKLVISLLRLGDSTGFNNQVINILYNKELKTKTAESRMICQASVLGLCGVVEVLLKEV NDIILTKKSMDGKNLHQERVNGKYSDALQAASCMGYGLVVETLLQHGADINVVFGTYG TALQAASYMGHKLLVEILLQHGADVNVVGGKYGTAVQAACYMGHRLVVETLLQYGADV NIVGGDDGTALQAASYMGHKLLVEILLQHGADVNVVGGKYGTAVQAACYMGHRLVVET LLQYGADVNIVGGDDGTALQAASYMGHKLLVEILLQHGADVNVVGGKYGTALQAACYM GHRLVVETLLQYGADVNIVGGDDGTALQAASFWGYELVVETLLKHGADVNAVDGEYGT ALQLASYKGSKLVVETLLKHGAEVNIVCGEYGTALQVASYMGYESVVETLLKHGADAN ILGGKFGTALQIASYAGYELVVETLLQHGADANVLGGSYGTALQVASYIGFNSVVETL LQHGADVNVLGGKFGTALQAACSKNHEKVIQLLLSHGAKVTSLDYLDCISNPALQVEL CIAYSAHKESISNPLQIMPEN JR316_0008596 MFERTDIDLECLSFLEEEMFENSLRAGISGNYQWGLDVGNHEDD WKPYLCRDWFIGYQEVNEDEILPGSKYIDQPKMKVEAQPKRPRSTPRLIPKKRKWDDH JR316_0008597 MHFTSLTVFAALTLLTTTLASPISVDHDARHVVSVERDVASVYS IEHTVQRDVEDSGLTAEATPPDRDWKRDNVAGPPNQDWKHAGPPNSDWRRAGPPNSDW RRDAAGPPNQSWKRGQPNQDWRREAVADAAPLGDKDWRRDASPAPAPGDRDWRRDASP VPTPGDRDWRRKDPIYPWRRADTPPNRPWKREASANAGPDVAPGNSA JR316_0008598 MFQYLVPFLLLAVPTLALPAPGACTTLPENIDFTTNPALPNPFA FLDGTPVVTPEQWACRREEIGQLFQRFELGTLPPRPEQVSGSFSNGVLTVNATEAGKS ISFTVPITPPKNLTLAGKGPFPAVIAVGGASVPIPSDVALINFNNNDIALQNDQSSRG VGKFFTLYGSNHSAGALIAWTWGIGRIIDVLETTPGHNIDVKRLGVTGCSRNGKGAYV AGAFEERIALTLVQESGSGGAGCWRISDDMLNKQNITTQTASEIVQENVWFSPNFNPF VNEVTVLPFDHHMLAGLVAPRGLLVIDNTGIDWLGPESVWGCQTTGHLIYEALGIPSS MGITQEGNHDHCALPADEAPDVSAFISRFLKGQNVNTTVFDTDGPNNVGFVPSTYINW NVPKLH JR316_0008599 MRPKSPHWDSPNSPTKRPAAFYGNSVDYRGNPSSSRLPSQVDLL LSTPSRRSQYPNNTAVFSEASLNIEECREEVGKMMNLMSTAHTRLENLPYGQPQSWIQ YWKDERAAALVAIRRMLGNMNKSNNMTPAEYFLQEFWRDPENGVALVLPTVAYTDACN KGIGFFMPAPGGHQQALGLAWSWKTGKNYIIPRILDGSVYASWGELIAVEITLETLLT LHQYESIQSRRYPPDPPCFVILSDNQDVVTSLSSQNRWVDRRAVFLEELDSVVGRIGK TCLDHNVQLHVRWIRGSNNYADPWSRMEFSKENADSMEEWHEKIKMKLFDHGITVPAV PYHHRLLLEPCWFKHRTQSFRGSPRKSTRSFHPY JR316_0008600 MDTGSPRSRPHEQECERELVRLLVEHGATDLERLIILQDKGWND TTTELHFRKQRHTSDTCSPKLNLIWFKRMKILFREIDSNVDVVSFTQDLVFLDLGCSP GGFSSYILENNPNAIGTGVSLPVEEGGHEFLLESDYLTRFHLLSANLTYFELGPHCND TVELHRLPMYMSVPVFDLVLLDGHQLRTQTTSVPWDRDRLLISQVIIGLKSVRQGGTL VVKLPLPHRHIAARIIFLLRILSNELVGWKPLCMHANRGQFYAVAKGVGEGKSGGNLQ NYVEKLGLLWSALTFGGEDNVGRAMVPEDLDFIISMNDLRDHHLEWLLEYGKAFWKVQ ANAMERFYLKKGIY JR316_0008601 MPYFFNLSDSESMGVTARNTAPDAVDGTSYLLDNGTNLMLDQHT APVENADGNKLSSFLSPHIEGCADAAQHQGLFSDNARPWFELGEDSNTHHPSTSLSTE REEKKRCTSESINDGREELRSRLTNELVERQDVNEAQRNDGGYGGRREIGPSQTIGRY GVKARLESKRRRDELDSEGYRRYKKPRTTESPDSACGLGQQRIGSPEVSKRKGLWDAK IQRQLSDGVSVEPIVYDSL JR316_0008602 MPYSNFNLSDLQDYVPHNPCDDNRDLVALSGASYAAGDSNPVEA INASLPDPTFQFCADVLRSISRLFPGLPAYHEIKDERGAPIDKIDVQALSKNGESSRH QDNHQPIPGEIEDSHHRHTVYATSLAYNSKQYEGLSTPAVVPVHMSSFPVLMNCRDTT SLGSRHEPSASPSIPSMGQPGLSNWHQGQFDEPFQPSIDRSVWPEHDMAAPSALHLDS ERAGFLTHPTVPDAFDGTLSHRDNGKNLMVDQHTAPVENVDGNEMSSSLSPHIGGRAD AAQHQVIFSDNAWSWVELGEGPNTHYPTTSFSLLREEKENCTSTSIRDGHEEHRSRLT NEPVERQYVDKAQKNDGGYGGRREIGPSQTIGSGESGSRKRQHEESDSEIESAGGSHK PKKSKVKESLDSVPGDRSTGVQGARGKRRNLNPRAPAMMKSYAHDLTPLATSEAKQGG FIPFVAAETKAASKAFAHHQMSVNMENMTIV JR316_0008603 MFQNARNIRISGTPTFQNINGSYHYHDHTSNTTNNNTTTITGND FSQTVHNHNTYTIEGGRRSYSQPEESSGVPQHTPQAPRPPTIHSLPNSQHISPPPDTQ VFHMQPYPHRFITKNPMSATIPYTYPSLGGAAYGFHEGHHMAASILPGHIVAMSPEVS LSLTQFFRKLEDQVSCEKTRDAGTITDTDLDMDGDGVRDGSGDGEQVYQDTVYQSHPT TAPPPQLTFERSGAAHIGVCTQVPQTGNQWEWATPHQPAQQPLPQNSHLQNQDNDTNA PYTSYTPVPNQFNLADQQPNANSNLNANSNSNSNSSSNHNHKKNPIPPRVRRTLQAAF TFNSHLGESSSSTTSSTEYDYTAASKNTTSLPFAYTHSPEAGTTHTDAVAPRMEVCDG TVVEMRRAENVHTTWDVRGDDVGRVPVHVQRLWHCEGESEGEGEEEEGEGDRGDGKGV VYAGESGDMDGRMRWTGNRSPLESMQISMGYLRV JR316_0008604 MPSLPSVPGTDPTKCVLDSFRIAIAQKLSNALPLTLEQAFSGVD YGKKGEDFTVALPRFRIPGKLEETAAKVIDSFQSDEWVEAVVLDKSFLHFRVNTKSMI REVLNQIDSLTRSSPTGEATYGTNDSGKGKKVIIEYSSPNIAKSFHVGHLRSTIIGAF LANLYKACGWEVISMNYLGDWGTQFGLIATGFEKYGSQAELEKDAIKHLFDIYVAINK DAESDPSVKAAAAQWFKRMEDGDEDALKNWRVWREMSVKKYEKEYERLNVKFDVYTGE SKVGKESMDAALEKLETMGLISDSDGAKLVELEKWKLGKAVVRKKDGTSIYLTRDIGG AIERYEKYKFDKMIYVVSSQQDLHLAQFFKVLELMGFPWAKDLVHINYGLVQGMSTRK GTVVFLDQIIKEAGNVMHEQMMKNEEKYKAVEDPEETALEIGITGVKIQDMAAKRIGN YTFNWDRMKSFEGDTGPYLQYAHVRLASIARKNPTLTPLPPSSEIQTELLAQYAHARD IAFLLGTYPDVVKVAMKTQEPSGVVTFAFRLAHAISSAWDSVIVKGEEDLEKARAKLY LYECAREVLGAAMRLLSLRPLERM JR316_0008605 MSLVGTVVTQSINLALKTTLACILLIGAYFLSPIALRRFVKDKD GNTIPPGPPTRYAYLRKYAERALDSWAKQYGDLFSIWMGSQLFVIISDPQVAKDLFVT NGAIFSSRKRYFMKSQVILRGRAITGSPYGNTWRQHRRLATLALSPKSMEGHADIMDY EAHMLMKALYEESQRGTTPLNTTQATGRYALNNMLILSFGIRTTSLDDPLVAKALRLT MEFMELTGPWANVIDFFEILQYIPTPKKARGHQLYADMVDTYGSMLLQFKTKMLAGEE VPDCLIKTLLENQESEKLDWEDICMLSAVFTLGGVHSVSGMIQWFIATLPSHPEICAK AQEELDRVIGRDRWPTIEDEFNLPYVRAIIKELERLHAPFWNATPHFTTEDFSYKGHY IPKDTVVILNCYTIHHNEIRYPDPFSFNPDRYLDDGLSCNESSKLSDPMARDHWAFGA GRRICPGIQTAERELWLVCSRLLWSFNFKSVPNEPISLEEYDGLSGRTPKPFRVHLTP RFEGVSKILDSAEDVPFYL JR316_0008606 MTTPNLSVPVNVENYAISSNLNSSMTFNLLMDFSLQWYYLNWSI VVNGSTRNSIFVASLSSPTWLHIFNEFLFNLLFVVSDALLIWRCYHVWGQSIKMISIS LIFLTAELALSLTSTIFDGTSPILATKARETLSNNISTALAFVSLGTTFSATFLIGYR VHILSNSHVLSFKSFYNRIVLIVIESAALYSLVFLFWGIILVIPIFHNLESPLVEVVP YVEIVLTVGAGLAPTVMVARLALEEPETSHNCSTTVPPISNLYFNHQYGSAGSSQDVI ASAVLAIEKSVTTKDVHHGIV JR316_0008607 MSSSDSFPSSTVEGYTLSASLNASMLVNLLLGVYTVVYGGTLYL YWSRKSSNKSRRVVIAMISTLYLLTLADTILEWYFLDWSFITYGITRDTIFWSSLQGP VWYQAVDNFLFSSLLIIWRCYHVWGDSRKVIAIPVFLLIAEIALTITTTVLDAMLPSL TSESNTYMFNNIATTLAFVSLGTTVCATSLIGYKIHSASKNNILGSRAAYKRIVVTIV ESSSFYSLVLLVYAMTGVIPQLQNFQLPSIQATYYVGAVLSISAGLAPTVMVARLALT GGSTTASSGTIGNISGLQFTSKTGDRGDEESSSSG JR316_0008608 MDQASISTKIDRFNMIVNALTFGSLATTFLTTFLIGYKLYRVTQ VELQGSRTLLMRIIRIFADSSAPYSMILIVYSVIPTIQGCCHGQGDERIIQASFYVSS ILPIVAGLFPTILVARLTVSDPSQLKSQTANPQFTELSAGFSGVSTEIGRWRLSVDSR NIDSI JR316_0008609 MAAINASIPVNAINYTVSANLNSMMLFSFLIGIYTMVYGGTMYL YNFAVEWYWLSWPLVQNGDTRATTFQATLDIPVWVYLIDNVMFDLVLVVSDGLLIPEV RTIVALALTDIVLDGIISEYTTETKALLTNNIQTAGGFLSLAIIESSSIYTLGLLVYA LTLSIPVFSDVMSPTLEVVYYIATLLFIVAGMAPTAMVARLALPPREVAESSDLITHI SGTDSISERGNRGEECLQVGDGEQIEAMSLNQHLHGLEIPTDNEEQL JR316_0008610 MQRPEKRKRESEETGYKKKTREDNHKNIASKKDDDATDQFTNEE VQNQNDAEPDSDDPYYPWDYEEWYKDYYDAPSPDQQIIRTITDEQNNSPNTQTPEHYV LWNPFEKNPSFAPPGNGDILLKTYLEEFEKQGRNTPKNNPFHHLGYIPRFTRGKGKEL PPCLQRQAWRK JR316_0008611 MGTWNPTITPETHRETGSESVEEKKHGETGQPMNHNNSPHPKQG TRRNETQKTPQPRCYNAPLTSNMLTNKTPTNAVKGVQLPWTPPPAEGTTSRKENKAAE PGPRANTGGNGTRNERYHRSAAKRFDTVNSFLPLTYAQVLSSPPRQTKALTQDNNRIN NPSPKADDPFKDALAGSLTQKENGHNENTPEPDLSLEYVDPESPTPAPKVNKKNNNIK RRSVPMNGKRDPKERLELGVGSPNGDQREDGDESMHSASPVTRQEAMYQLTSPRAPTP AHQQAQARRFNTDPPRTEGMEHRFRFAPHPPRGWSTSINGDNMGDQNTFTTAKEQLEK ERREERALEEQIRRSEFLLDQPRIAQLQPQVESNQNQVENEVGERAIDSAFHDPIGGT FPEVHGLDEQRCKELIPKEQVEYYDDQALRIATQVLLLLRSHDNPSMCPTARAADVLA IVKKALPGPSNFIIGSPNYPLKPRSAQHVLVPCIGTNFTEEQAAVLLERRYWGTDKTS IFILPWKGAMSAYLFSIMTLNVDPDHPNMENLPAIWVTNELKKKKALIDFLNKHGDNI HLISEDRATTRPDCS JR316_0008612 MSLLKKILAKVRSMPNINDKRQKEAEPYPPLPDSVPPGDIRHLI RDVYSGRYFERMREMEEEWARAPQGTPHPKTMPMLPVPPPAHLPDTPKDSKRRERTMT TTLHPSAIGERDGGRRTRAEREEERQQWQHFQDVGLVR JR316_0008613 MADQSKSENYTISAALNSSMLQNFLMVSARSSSNSGRRIVSVSI SALYLLCLIDLFLNWYFLNWAFIEHGKTQDSVFRAAVGLGAPIWLQILNEVLLNLLLV CADALLIWRCFHVWGRSWKIISVPLLFLFAETGLSITTALFHGIFPLFNSHHRAMTFN NLITALAFTSLASTSCATLFIAYRIHQVNKEINNLRVTSITRYNRIIATIVESSALYT LVLLLFAIVGVVPPLNEVTLSLSEAKYYLQVILVITAGLAPTAMVARLGLISPHITAA RSEPLREELEFNNTSEI JR316_0008614 MALEHVVRRAIHLHVQDPASGGAGYHNYLAQRDGETPFGDLLLA VFNSILEVFILCAAGYILARQGILDKKTQKQINRLNVSLFTPALLFSKVAFYLTPEKL KELWVIPIWFMIVTLTSMAVGRVLGWSFGLRKSQRSFIMAAAMFMNSNALPIALMQSL VTSVPDLAWGSDDNKNAMLGRALTYLTMYSTLGMVVRYSYGVSLLSRADTILTPATIV SSTVTPSTSILGLDTETGERTPLLVDFDDDETEAGGEHDLKTHPSTSTLVHSTSTPKD KKGKMVNHFTESPTSSVSSSPRIGASGSGADTGAGITATAATGGRPPRLTPGRRNTTF YNSFPNSPNESRADLPAWGVNGSSGAPPGIATDGRMEHESDSEEEEDEVAHNLDRTAA DLEHGTHHRDAARPPSSFSKFVSSVSAALQPVKKYVLLPITSFLLAVHAFMTVPLYAA LLSLIVALTPPLQYALEHNEVLRPVNGAIGTCGKCAVPITLVVLGGYFWSDEDGAVDA KDKAKKKKKPKKDRRSYLQRVKEFLHISTSEDSGSTPTIEPGKKPGETKTVMLSILAR MIITPLVLTPLMVMATMSDWHAVFEDPVFVVVNTLLLCSPPALTLAQITSAGAGGGSF ERLISRTIFWSYCVMTPVVMLGSVLGGLWLAEL JR316_0008615 MALQAHWSDEDLEVLIAFLVSKKAAAGDGLSFKDAIWTEAAQEV NNKSPPLRGAPKTAGSCKSKLHKLKQIFNIVSDIKAQSGFKWDEERGADIDDTTAEVW AAYEKRHKGASPFRNKGWVWYNKLVPIMPNAPRGAHVFHASTQSQQFVNENEGGEISD DELEKPLSGWGSPSPPPSASLITSQNVVIPAKRRESALSSDTEFSVSYSAKRSRSHGS ERPSGAMALHGMNSRLDEFTDVFREAFVGKRGGVEATPSRKTRAMKRVQQLETQLSNS RKAQIGRIQLLYIVPYMPL JR316_0008616 MSRRYQTRQSPVPFSNEKLFRSAWFSYIRLMDFGQDMICSICGP TPDATIWDGVTVAYSRRNLLPSLTPPTMQGKRSIQRSNGPQLSTLSNQIFSEGTVEYD RNKKLVERITKIPDLVEKLSEINISLGRLFNTHFGLAVVLKNPLDSKASALRFIPSLY QVVKHEIKTGKLSADVLGVCEWLYLRSKVVFDLLRVHEMSQAPPLDKYIPQDEWLKTG CCYAMPAIRDRSYYPNLPYENGLDLGGADIDEDICHKYYSTYSKKRLTGGIMCVWCTH SVCYGFHCIRAAEGRNDVFSAIYTRWRKAPKVVVYDFACALQPYCMSREPEFFKDTLF AIDIFHSSEHKCGEACFLSTYCADNPELLKLNSSAAECGNSGIAKIRKAVSYMTQERA VMYMRVFFSIWNRQQIQKMEGRL JR316_0008617 MSDSPPIVYAVLPDSPTEVQYSYNAHESISPIVTPVRKKRVSGK VSRLAKKQRSTPIAYSKNAEEFETETQTVLQDDTNALAPFYDEDYGVSVPEIDALGKS VNEGASGTDDEEYSYFLDAVLADECGFYQLTGNLFVANGWSNSRRESTKLWYHIQRME LNGVWKETCICPRNHNQFECFHERFLRETVANGFKLPLPTDGNEMKV JR316_0008618 MATKSVPRSPKKKMSSTSGKTMATRVNTRSSKKLAQSPKRQPQR CKRCPGNPFRSHCVHTKHGREFLANQAAVESLRTSTPAPERNLPLPTDLGNESPVGAT PFTSPAITPSRPSQLPNPFISSQSTHQHVGTPMSNISSQITTPRSNVPSVSVLHHRQS AEADASSPNSGAGGIHVMTSSHLASLSVRSSSQSSSAGSASRPNRTSAQNPYNGYVDG AYRGSSVYQIVRGHRLPSPISDNTRSVKKFTNTINSIIEKCEDISKETGCWLFIGAQH ATARAGSISYASPRLRRDAAEQVGQIGTQFSTLTRNLIHSRTQETLDLQRQLEQSRRE TEEAKKSLEAVQENQKELRSILDRFREQYHIDV JR316_0008619 MSSKKSHSATVQSADKKLGSSSSKARKDPRVGPDGKTKQQRYVE RNRDAINAKNALRNRQKRAKQKQLEEDESELEAVEALVNPSTGQDIGTDDQDQFSDGD TDSDSVIAQGEDPFPQKAEEPKRPTTYEEVVQEYIRYHDRELDAELDLLVVYDEFKRA NAMYDDCRERYGTYFDSLLPQYWTVVDGWTHKHKYAGSSLQVEGEHLLREIRDTEKLF NSVLLKLPPKDDVWAEAFDMTRHLMGWMTYLHHIHEGLSDDI JR316_0008620 MIVMSSCHQGIPPLPHESESLKQRRLKQRREKALKTYQNVSGGY ARYCLSKDEAEDMYREALQSSQVVLVKTPTRETLKLSQLSGLNGFRNGDPTGPEEASW MAVLVGRNPGVYLLDDVGTVGIQENIKFIKGARAVPYRLRSEAVEAFTLALKAGVVVM VDRGRDIRLPLTLNTTSVVDGEPFVKSEVDI JR316_0008621 MVDTGHQEKKKRGRSKASTTLVPPIPEHEGRYTLRRGKQYSTSV ERSKNSASHQRVKNIILETIQDSTDIDNSKGTGGSSNTATNGADKAVLDKRPDPSTSV HDAEVQPEQTQSPNIPDQTHNINQTLQESSSASASHTRTLNLDTFDNNTAINTVLYDP ESNNHPTVPEPSNHVFGFKEGSTSHVDSQNGVSIDEAYSLFHAPKESHNLQPNGNAAL FSPLESQNMTFTQLLQDAIMPDTPINHTYTMDVNMSHQLISNSQFDMLYPENFSTSNG AQQFSESHITQDNTQDLPTRGSTYGSLDFKFDAQTNGTLDTQNPNMTDINSLILNAPE DSTESNNIKGRQVSASSLNETTPILTIPPHILSLISPKLQEEFDMSVANRQKVFLEYS ERERMICAPAQRTLPEIRHALENIDRCIDSIQRHNLRIAEIQEEVAKAQRKFQDAENI KSLEEKLALLRDEEKRAQSNLENGTVETFLDLKQALMSIRSQIRDVGLLLDVASASLK NSKSQSPSALSAGQSSVVQPPAPKPNRSKSTQPVNEKPSEKRAAPSKPPPRKKAKTGR PKLIEEESDSDETIEDIGVETMDIIQERTWASMNLHDRGKFEAGARQAMHLYESTGDI SHFHIRYRLALRHIQSFLPEECFHSKKVAIAIFRTASLNLLIGICVLGGVFSS JR316_0008622 MSNFDVDIGAMDEVIFRLQGIVSDKMLPPMAKPASRDRTVKQQP YLRTAIAITGLGDIVFNKVMEKLEEVFLRFANNFPADSVSGYDPVLHKDTGFNVFHAH SQYFTKVSTYQDKSDNIGFHPLVDPDNVLASMVGDSFIHAIDNKVQFLCREILPDGIA RYYSYNPASIRIGDIVEISVAFVAFPAQGNKYKFVVALRGILVLDQEAREKADILRMR LRYTPAKRQVAVLCRTKRQLYKGQIDIEDTQQRMARMRLNEDTVHNSNTMSQD JR316_0008623 MFIAYSTAKWYSAYQGIVINGDTRKHMFWGVQGGPDWIWRCYHV WGRSFRTVSGLLAFVSLEAALCIVATLSDASYNDTFYNIYDYIVGALIFISFVTTVIT TFMIGFKIYRASQFRGSPYRKLFNNITVILIESAAPYSIILLLESVTSFIPSYNVFGS KEDISVTYMDAAMSFITGIAPTVLVARVALISSETVISRPPSNL JR316_0008624 MSSSTSVPSQVTISNRIISANLNGTMILTFLMGFYTLVYFGTMY LYLSRKPANTNSRIVLVTISVLYFLSFLGFIVQWYFTDWSVVIHGETRESMFWALMNL PLWIGIINNIQLNALFVISDGLLIWRCYHVWGQSFKVIFAPLVLLATEFCLAVAAVAL QIMYGHIASEVANVALENHMSSAAVFVSLGTTLITTFLIGYRIHTASLLNKSPSQKLY NRIVVTIIESSAVYSLVLLAFAITLVIRVPENSQSLLTEATYYSEAVLLVVTGMAPTV MVARIALTNPSALAPSATSHISGLAFQSDQEWEQSHCGEYTNGNLSNTVHTDLKSQSP IIDFKKTTESQGLDVTEV JR316_0008625 MSSEAESQKYPELVRGTQSLQEYLEKLVDAYGWRPSEYMTELCV VKVSLMKNVKTKSRHESLLVELRDPNGGAHYLYFERARDVNDGKRRSSGPVQPPSDTE QLSAGPTPHSGYSPSVSSRKKNRRQKSLLRRQSELLLNQTISSLSTASSSASQSVSDS SMGTACDTVTCVPDGRRSGEKCMCRLEFEREGTGNVPGVPHARPSVYDIALIADILNK EVPRYHLFKSNCYHFAGLLYDTLNKLYNPSETILEKLNGKWKRFSLYAKDPEQTIASI IDHYRDAREQFHTKIENLHVARDKAKREAEERAQEADERARSEAHRADTEAQQKKEAD ERARSEAHRADTEAQQKKEAEERARREAQRADTEAQQKKELEKRLQMEGNQKKEATYR ALNETRLKQEERGRREEAERRAENEARLREEEARRREEAERRAQNEARLREEADRRAQ NEARLREEEAQRRQEAEARSREFEEELKRYKNMLKESP JR316_0008626 MTGIISCMLGNYLSITGTPRYFLPVDNYWIRANPGPTGTTGFDG GINSAILRYVNATAEDPTTNSTASNPLVETNLVPLDGAAAPGTPGVGLADVNIDLEFS FNGTMFTVNDAAWTTPTVPVLLQIMSGTLTAQDLLPSGSFYVLPPNKVIEISMPGGSA GAPHPLHLHGHTFSVVRSAGNSSYNYVNPIRRDVVNTGSDTTDSVTIRFTTDNAGPWF LHCHIDWHLDVGFAVVMAEDVDTVEGSTPSSDWSTLCPTFDALPVQTFT JR316_0008627 MESAHGYHAESDSHSALNTIKAMIRISERTPRYYRTDTQAFLQK YWRSPSLNTFNALLPVVAYTDASDWGIGFYLSSFKQSLAYSWIPGKAGIPIGPTGQVI TSWAELIAVEMAIHTLIMLDAIDTLYPTVILSDNDGVVKALSSGYWTPNYGLDGIVKR IISTCYTHRIILDIRWIPSKANLADGASKGRFSSPQLREMEAIWENLYDPELTRLLMI PPHLRSLTEPIGF JR316_0008628 MRVDIFCKDKTHIVQTYIDDIFIQFNILSIRNNIALLNIGHINS YMALAHVPDGKFQAMALAKVRYLIRRVEKIPQYKRTAAQRYLLEYWREPAFQYNDPRS PVAFRLPLVVYADASRWGIGVSIPSIGLSLAWYWDRVSDKLPVGRDNQIVMSWAELIA VEVAIHTLITMKFHRMGYPLVLLSDNKGVVNALNAGRWKDAYNLDGIVDRIERTCGRH KMFMEIYWVPSYANIADKPSRGRFVSKEGIRDMVRLWEEVDKCYGHSRRGRVVPKALK PLIHPAHEQDITVTSL JR316_0008629 MPCTPMPVRYQNFLPEQEPDPHDYKVRLVKELIDHGAVILAKLL KLQGDGWNDERIEVHFQKQRDASDNASPHLEGVWYDKMKGLMKEIDTRLKCIPAEGHL SFLDLGCCPGGFSSYILTKNPASRGIGVSLPVEDGGHKYLLEEHLLTRHKLIFANLTK YQLNAHSVPDPDLQHLPYDIVKSPFDLVLLDGHQLRTQISAKPGDMHRLSISQLIIAL RTVAPGGTIIMKLPLPHKPIAARILYMLNEISTKICRWKPMSIHANRGTFYVVAFGVG LDPKKANLATYLTGLQNLWFSLSYGGENGGGRYMTDEDLDFIIPVDGIINNHLGWLAE FGNPLWHVQVSALERLYEKNHRKQCKKSTEDAT JR316_0008630 MPALRTRETQSRTLDVTADAPQPPSFNIVSPTPRAFTFPVTNNL SDSPYSSRSNSPLEPSDLRSFPSQCTLPSSSSSFTPPPTYTSTESPSSSPLAVDLQRR KSSSSDSPERRPKKGEEDYIKRPENAFILFRRKCCEDRQAAQDEEAASKGPAKKQRQA DLSKTISQQWKSLSPEERKEWENLAKNKKLEHEKRYPNYVYRPQRAKDKDGRPKNGKK LKKLDYDESASMSFIVPVPRPHGRSASAPSQPAFQSIQIPNVYNMAPCPTSPSLLPMI SRRSAFPGHPEDSASSFDFIPNTNNSFVPPSYSIPAQFEAALQSSEFLRSMFGTSDQQ QSSSGSPLQQLTMQGSTTEAPGLLPAHQIVSPSSSVGSGSSGPSSPLSGPFTPNSSNL TQNFSHLTPDASLEAQAQAEIDLQLEMQMQQEFAAFTWEGNHNSIWSNEPVILMGDDF DINAIRPVELDLSLPKYTESVAIAPPQVSGLDFGHDFSPSLDAVHYPDESRNLGILNF DEMMAGHSF JR316_0008631 MGVFRRVRREGAVPKRPTTPQKVKLHAAYVDKSPFPRFAHPTAD EAFEVYDLLSEAHGTPDLVRRDPTASSSGAETGAEVPNVLESLIGTILSQNTSSGNTN KAKKGLDSAFGRNNFAAVAEAPTEQVIDAIRPGGLAKAKAAFIQKMLRSVKEKRGDYS LQHLAAVEDGERMTDHEIMSELISFDGVGLKTASCVMLFCLGRDSFAVDTHIFRLSKL LGWMPLYADVIRTQVHLDQCIPNELKYGLHVLMIQHGRTCKGCKNRWSKEPCILKSYV DDIKLKASKK JR316_0008632 MAQSNIEKARELRFVSSDVNVLVLPIIFRHVVMVLPEHVNRFTT TLLPKRKNFIPALRSKLHTMPRLLSSYKVDTWVFVVNDRRPSLESALASIAPVFVGLS RLVITGQNLSSNAFWLRQHAIHPSIMLLVHYGSPHLVNFYDPIFKSVTHLYTCITHGH RYSTIADLASLTHLAVSTRPDLTETTARNVAASLTAILQTCTNITSLILVMDLAGTDD FRYRMWTTWLKACLEDQRFLFLPQYQPPRMEWSSIVNGRKTLWDRADEWRRLSEISEP MRSKLMRQLVIQNEKERMAFPPPGDRREEEWEIDLVQRDNYHSQADDPDLRGGTGFIS AFG JR316_0008633 MYTDHFDILSFHERREQLQAFEHRKHQMEVELLKADLDAARDRI LALETAYEELQIAHEQSLRAHINGHNVLNPSPKSVNEYETDSESGSWEVPPSPWIGDS PLSSASIPSFIQSTSPVIHELSCISSSPTPSSALSEDSSYDIEEEVPALANASQEDAL RYAELLEQCDYDVRSANAVWKEWEASVRESLADEETAGDFLGDTDSELESDVQDWEWE EEIYEASPLSPESVRDVSLATHSPTFDVERDSITQNRIGLNIPPLSSLPRPNHIDGPP IQPASVESTIYVDASGTGLGFCICIPSQTSTTTNFLSRFNSKTNMMCYWLGWKWVRGN PAIAVGISGQIVMSWAELIGLELGVYTACTMRSQRGGEPSPLIIHSDNMDVVKAISCA VRSKNAPARRWKHAEDAIVRRIISICEAHGVHLVVRWVPSKANLADGPSRGHGVHGAA GWERTRETQASVRGLGGDPTLMIGDRGWMEWVADCLPVPAHLEAFVQDPTGGVVGLRQ LKIKGPRVNSGDTRT JR316_0008634 MDRQLSAAMALVPYQRVYIDTRKRIPHIFSLPVELMAIIFSLIA APYLDDCGNMGNSNSYSLDRYALQLRTHPILVGVRHVCSYWFNLTSSMPSLWNIVLAT PILSRPGERNYIKLHRFVSMTALYMRLGAPAALTVYLRPVNGMHIMRDDDENDDKWTI STQHRFYRIHQELYLELCTSHSTQIKSLTISFTRHMFWFFLDKLAHLDVVFSRLEMLD IEIPGGERSGDDTESIPELQFSRFFPALRNLKVINRRTPMDVCSLVQLSELHTLHLEQ RGIVNQTVEVLRGLTSAKNVTLVERDFSLKKQNSSSDCSRVKLPCLEKLVLKCYPDPS VILTGITTPHLQILNVHSTGTLRQLYIAIRELIQVSECSLAALAVTHGKPDCFGLASV LEDFILSRIQTCRIKYEATWTLTEEERTDLENAKSYLSTHLDSMRRTISPSFVFESTG RFNLSYMTMWDGCSGIEKGVLDDT JR316_0008635 MTSPLSDLPDVFVQKADAQSSLDLGLLGILMMVAKAQPNELQAA TVNQIGASGYFISAISSLVVTFLIALRIRSVAKGGLGNSKGTFNQIVEIIVQSVLVYS LAQLVEAIAIVLPFSIGNTHLFALENYSQTILLPITGIAPTIMVARVKLIDERNRTKA HSSHVTDLQFNGSNFESTAGARAAQYMSTHNKQDTKLESTGYITSTGA JR316_0008636 MAKWLGPISLLSTFTSSKTLQDRVESQYKMDLLPLRTRHGRKMI SKRTGLSRSALHGGDSLIGFISTDPRSGANSGMNQIPPVTELFDQAVVATTQERHGFA ERIAKDIIDKQASTVPLVNVIVSKALNPEMCLSAAGCGVDILCDIVVKVVGELGFNQR GEFVGYLTEVILKKTKSNWAIDSETFGSMYRERGQWGHETHLQNHIRCALSFSRFVGE LFIRDVISRLTVDECLCVILDSIQPHSAYVDSLVGATLILDRAGPKIWDCLDLQGKFT TFLRPKIEQVERLMRRLRVAERLVIEAGDMTIVSRGVIASAKDMSREDVSAKGVVDRL FKVIDSYGGLPFDNFEA JR316_0008637 MDSDPNNASYDPWLSQPQYLPILENDDQPDSLPSLAFIDEVLNS SEALPPLVCHSGINADHNSRPMFAALFDAFIALPHSPAPSDLPVMTSSAEERVHLARY IAEECLQNTVQGGCAGIFNVLGYMIMRAAMRIDREYLYEVDFTGAGYVSAGSSSSSSS GSDSGSAGGSELGSESDFNGHNEVDTARWKGAGVSMEKLIALVEDIASALGEQKKLFA EVLGEKLIGTTKFVWDKNMFPAMRKKVFRESHLEVHMRRAFRFSAFLGELFVRDIIFR NTLDACISIILENDLDTRGEACIDQLVALAVVLDTARGKMWDVNLIMHGELADSSVSR EEQRKKAKENEVHKFKTRLELAVNDIVATEVGYARTQKVNHKREVQTWMRKKGLKFWE MEAKYVMGRLNAIVYRWSGI JR316_0008638 MPFALNFSDLQDYAPNNAYDFNLDLFSLSGDWDTAVDSVPLDQA ATEGTDAPLAGPSESYVLNDTSPLSLDVLSNTVGLTEEQCGLEDMRGEGCDISGRSYR TPEASVADFFDSWPSPLRPIPSSQDTSDPITQDNHQLIPKEFEGYEEPSAEALVNSFI SSLAELINTNDTVSSGRGSGVESPSLPPIPSMGQAGPSRWSREQWDESIQQSTDWSHG IDHDTARASHSGLYSQYSHSSFPPDATPSPHQRCSERMGAPTQMTYEGDTAPIHSVHG NKMPSFRSTLTGSSSGTSQYQGMNYNHAQPWFGPGEGFNTHQSMSFSSAREVKEINNI RSISDGCNDVRSRLTLEPVEQTNIDGQTNDGGRGAGPVLEHGQENGRDSSAPRPRKRH QEELESESEDTRGSKSKKARLSESQDTIRGPGITEVEGKRGKPRNLNPRAPALMKSYV HDMMPLTEPGAKEGGFVPLVTAERTVASEAFDRHQMPVSMDNLVVETGSPAISKRKRP RGGKKSKAS JR316_0008639 MPPPPQPTIGGGSGHPSGMPLQRRHPLTNTAMNVNYPASAHPHQ THQNQQQQHQEGPKPPPKAPSSPPLPRQNSKTTPPSPPKVISDKTGRLQFDRVGFLGE GGFARVYEVKDQRGSRLACKVVTKASLKTKKAKTKLYAEIKIHRSLEHPNIVHFQECF EDSDNVYMTLELCPSGSLMDMLRRRRRFTEPESRFFMVQLIGACHYMHTHQVIHRDLK LGNLFLDANMNVKVGDFGLAALIENPGERKKTICGTPNYIAPEVLFDTANGHSFEVDT WSVGVILYTLVVGRPPFQTKDVKAIYKRIRDNEYEFPTERVISSAVQHLIQLILTPNP AERPTLHEIVDHTFFTQGPVPAYIPTSAHDAPPDFRHVSKAASDANLKRLRKYSLLDV DFSAAGASAGAAAGVAPSGSLPASGSSNNMSSIAASKSITSSIAQQEKEFQKAVQPGS PISALLSSARQPLVMSTNGSPGGAGPRENPLLRKLQAVKEGGAGGANGVGGLASASPL GRRSVTRGLDGIVEESDNPRAAVEAAPRAGSIRSHRRLNMMDVDGVADPEEAEEMRLR TKELEAQKARIVAQMAPVREEGDELPQDDDEDEEEERRPDPREPERLREQQQTYPTRS LREKERVPSSGDKENVNINPSTVKHGSLKPALKHTTTVTTNAPTPAPTEPLPKLNGFD AAAHTLTLAFEAKAAGRLYPAPPSAALPLPDEKVFIVSWVDYCNKYGMGYALTDGSVG VHFNDSTTVVLSADKVHFDYITSRRSGSIYVRKSYTVEEYPEDLKSKVYLLKHFERYI MDRLYGEYDWTFEDVGRERGMEWVQKYLRMKHVIVFKLSHDVLQFNFYDHSKLILSSS GQLVTHIDKHYKLTRHSLSSIMAASFQPPPPATAPQAELDKAKLNARLLEKVKYCRDV LVSIRTAGERGGVVVGGTLGVGAGPGEEERERAREGVDAAAAAAMEKERMGGLATRAS KMSLR JR316_0008640 MPFALNFSDLQDYAPNNAYDFNLDLFSLSGDWDTAVDSAPLDQA ATEGTDAPLAGPSESYVLDDTSPLSLEVLSNIPRLTEEQGDLYDTQGDGCDISGRSDR TPEASGADFWNSWPSLYNSSDSIIQENHQSIPEEFEGYEEPSAEALVEAYIKGYNTMY SDRRNDVESLLVPSIPATGQAGPSRWQREEYEESIQPATDRMTPRQWDKSPIRNTHGN RMLKMRSTYRQSFPSPLTGSSTDTSQYQRTNYNHAQPWFEPGEGFITHPSMFFSSPRE EKKLNNTISINDGCDDVRSGLTREPVEQTNIDDQTNDGGWGAGPVLEHGQENGRDESV PRSRKRHQEDLESENEDTRGSKSKKARLSESQDTIRGPGITEVEGKRGRPRNLNPRAP ALMKSYEHDMMPLTEPGAKEGGFVPLVTAERTVASEAFDRQQMPVSMDNLVVE JR316_0008641 MKPSPQPQLTTTQTPLIPYRTEPPSNRFSHSYRACNQDVIDSAK TVQVHPDSDGFYNHAIFSQSEPNLEVKNRAGGRSRREMDPNFLMPPERVFGRHEPDLY VLGHRVNPTHHSKTREGSGSTRGAATPNSQAQHDKLLPMIASSNSQSTKSKHSTSKVA NASSIPSSAKSSTTPNQEPSSYSSLSEKNQPRDLRYLYDWSNHPSGPPPPIYADHITA VPVDKIPYRTEPPNARMSRSFWREERRRLVTLTKETSDDKENMKDSKSVQVLPKAPGI GEIRRRSVRIAEAPEVTESPKKHGEKVKSVAGPKRTSLKEVAPVKVPRAPTTYSPSTS SSRTAVELDADRQTANNSVKTSVTTVTIVVPSSGKPIANTGSVPVAASTASKPATKPD LDAVVERGRTLHRSLRRSRSAPLRSPRSSSSSSEDSDLYRADGSPIPRRPRALSRRKP RPRPLDLRTAFKVQELISAQAPTGARSQGHGQKAASLPVAPNPAHNPDAIPVPTVIAV GPLSVHFADAPEIISFYTCSSSDEDGMGNVSDSDTLDDAHDAGSVQDVDAIMALGLPG RTKDGDTPHPAKERFSLQSIGSSWFDMSSLRESGMGELPSTQADPGFAYASVQRPPSA LSATVSTGGGEPRYTVIKTGPNGEVPKGFDKLNLLVRRWLEKEKRHSHFVFARSCEMK YDVAARQIYYETTFDDVLEGGKGGMRKENFYPFKGEVEWRVYH JR316_0008642 MSTISPPAQTYALQLAVGGMTCVACSRAITDAVSEISGVTDIVV NQLGKCASAVIVRKELAEDVRNMIEDIGYECTIVGIVAIGAAKMSKGTTRSVAVEFRG LGTVPSDASDVLHKLSLTSLSPLTIEAPNQQDPANVLRFSYTPSAPNFTIRTIIKALS NTLPTSPISRILLWHPPSSDDMARSMYRKEQRNLLQRLVVAVLACIPILIIGIVYMSL VKKDNPGRRYFEERIWAGQVMRGEWALFILATPVMFYSAMDFHRRSLKELWHLWKPGS QASWATRFLRFGSMNLLISLGVSVAYFSSVAELAIAATDVHGTHEADGSMTYFDSVGF LSMFLLIGKYIEAFSKHQAANAITLLSGLRSSDALLVTSNEEYEKASPSPTSSESLTQ DGLKSESVGSSEETSDPTTSHYPRGVEKISVDMLEVGDIVRVPPGATPPADGIIVSSD STFFDESSLTGESKDVVKARGDQIFVGTINRLRAVDMRVEALDGGTMLEQVIEAVREG QTKRAPVERVVDIVTSYFVPVVTALAIITWVVWLSLGLGGVLDPEVIANSAGGWPYWT LEFAISVFVIACPCGIGLAAPTALLVGSGLAAKHGILARGGGEAFQEASQIDVVVFDK TGTLTEGTEPKVTDQMICSSEHDSLISALITRLASASSHPLCVSLRRYYQNVPSVPID GNDIEELPGCGMKGKFVIQRPHSATHNVEAFLGNETWLRSYDAIPSEEESQFLHRVKS EGKSVVLLCTRTQETAAMRLAAIFAIADPIRHEVPAVIRQLHAQKIETWMISGDNEIT ARAVARTIGIPSENVIAGVLPQQKADKIRWLQTLPRTGRGKSKRTRTESSRQIVAMVG DGINDAPALTAADVGVAMGSGSDIALSSAKFVLLSSDLRTLLILTDLSRKIFRRIKFN FAWATVYNLIALPVAAGVIYPAGHARLSPVWSSLAMALSSTSVVCSSLLLRLYKKPRQ ESCGDALSSTEESGKPGV JR316_0008643 MSLPRGNYDPRRVCLNPFPEFTLGKHRRTVGVYLAGALFALANW TFLDAAILSAHAKAPYGAPPDADAPVHLTFVDWIPGICSLLGYLVINLIDKDRIRGDE GFGDSRAVWRARLFLFIGFALMAGGLAGSVTVLVLKYILKGYPEQFTYYGYANVSQSV ALMLSAIVLWIAQNTSSEYEYNLTL JR316_0008644 MDTLPTELHAYICQLVCDDDGTTIRTLSSVSKYFNQVTKPYLYR SICATGTSQIMALVERLDSIPSHLRPIERLFLSDTSCKTASPTSLSPPKSLTDRETRY INRLIALSAPTLKTFTFIATSPFSGTSLIARVFRTSFPLLVNLTISGFYPFPSTPGRF PLLNYLHLDGNRNPHGLFQMSSLEDACPSLRSLKVTGLGAAGAFVVELEEALAFGKNL DERSDYMAHSRLPSCLCEITLQAGPELAVSGARDSAATKDQILMKRLQSVKTLSSESL QVSVVERSSRPLTLDDFRLEWIQNW JR316_0008645 MKFASSFLSAALASVIPIYSTPGVTTVSDVESDIATIISRTRAL QKALSSFPDTGGSLTMALSIHLDVVNLRASLDKGSARVKRVQPLPVSLEDAERILEAI KFVKPIIISALEQIILKKTAFESVASGVATSIIKRDLDGLNAGISDMGSAIIAFSPPE LVKVGNEIKAEIDAAMELALKAYN JR316_0008646 MSSYTRLPRAPRLQSIPLPPVDDDYRDQELLTYALQDRYSPASS ESLILSPYTPPKTSPISLSFDNVYSQFQALNIAAGSSEGSEYYTSYGHHRKSSTAGSK KQGRRLG JR316_0008647 MHPRSDRYYGAQNQQINNQGNLQVDHPRTPAQQPPPLLPNNVIS LPSLIDMTHVKIHPLLRYTGVPSRLEYDIVKPPHTACLLNQTSDADWQYLPAVEPPFS RMVSELVIKVPGVPSFVIVYPEDKSSGIITIWDVFRGVYKAVRGVVESKYGQDVDTVL QHPFFRNRFGPMPIGLSQLKEKGEKERRMYEIIRDYFGGGKFIWAGLYPSRTEPDAAM NDKLVDNQRDGEKADNASVGNASLSVVDPKGQGHLNPGELTFEEDVAGGMGRHLGVFS CTMLIVGRIIGTGIFSTPSSILSSVGSVGASLMLWVLGFVLSFCGLFVWLEYGTMFPR SGGEKVYLEAVFKKPKYLATVIFASNAILLGFTASGCIVFANNILISAGHAAERWVVR GIALGVIFFVTVLHGLTPKLGVLLMNALSVFKIIILLFIVITGWVVLSGKTRIHDPHA NFRDAFSGSSHSSNDYATATFKVLNAYAGWSNVNYVMNDVKNPVRTLKIAGPLGLGIC AVFYLLANVAYFAAATKQEIRTSGVTVASLFFKNVFGTEAQKALSAIVALSALGNVIT ITYAASRVNQGEDRTYPLSVYDDH JR316_0008648 MLAGVIYWAVWRVVLPKVFGYELVPRKETLEDASPMDGTAPQKI GQNPIVLSVFLRRSSGRLLGTVTQRYYNTRKVHLPEMSS JR316_0008649 MSGREGRVADLESGSIAFGAASTAPSTIVPGSNVSMDVDVDISQ TRKSSTINDNLGGGAVDVDIGSEKLVKCPTSIAVIEEKIQLKQEEEDEEWESDPANAR NWSTHRKWISMAVVALYTLIPPLASSMMSPGLPELAEKFDIHSSTILAMTLTVFLISL ALGPLILAPLSEMYGRTWILHVGNLLSIVFNLSCAFAPNTAALIAFRFLCGLSGGAPI AIGGGTVSDLFAPRERAVAMGMFNLGPLLGPAIGPVAGGYITQTVGIKWVFIVIAIFC AVGSVIGIPLLRETYAPVIRMRKAQREGNEQKAARAHPMLIQARGNMTKILWINLTRP LEMLFGSFICFIMSLFMAFIYGIYYLMFSTFANLFSDTYGFGPGAGGLAYIGLGVGFI LSTVWGARGADALYKYLADRNGGKGKPEMRIPSMLIGSLLVPIGLFWYGWSAQARIHW IMPIIGTAIFGFGILPITLYLVDAFKFAASALAAASVFRSILGFAFPLFGDQMYKVLG EGPGNSMLAGLAIVLGIPFPIWIYYRGEAMRARNPMTR JR316_0008650 MASLLELASNNIGLSSLILSCFGLTWLYYRRSSTLVNVPGPRPS SLLTGNLLDVVAPATGRDWLLNVSNLYGGVAKLGTLFGGHSLLVHDPRALHQVLVKDQ DIFEEWSAWTTTNSLLFGPGLLATVGSQHKKQRKMLGPAFSVKHLRVMTPMFVSIARE LESHLSSIAQSTPQEVDVIGWLTRFALEAIGRGGMGRSFGNMSQSTIFSEAAKQLTAT LSVMSPGATLTPYFKYLGPAQFRRFLLKSIPWSLLQRLVEIVDIMDDEAKKILAEQQK DLGRHEKDNDTKDIIRILLRANQASSEEERMTEDELVAQISILVFTAMDTTSTAIARV LHLLALNPDVQERLRAEVVEAYHHNDDAIDFNNLSALPYLDAIIKETLRVYPPIPMMF RQTLKDAVLPLLHPITGENGQILNEVHVEKGTDIVVNIIGANHNPKTWGPDASEWKPD RWLAELPESVTKIHDLSGVFAHQMTFIAGNRSCIGFNFAQLEMRVVLAILIQTLEFSV PKDKSIAWNSGLLMTPVIEGDSSIHTQMPLIVKRRNL JR316_0008651 MPSNLTPSSQQRHSVPDNQWIDPPILASGDSYSKESMNLPVLDT SLDQVSENDYDQFSTPLDLEVVASITRLIEEILDSQYAVQNQMGDAAGDPTLDILPVA SDLDYQDSRSSVSLPAEPLLASMTPVNQDSYHTVHGAIEDSFHPPGINPSPHIPMGAF SGAWRRDDERALMGHAGPSRSDKQYYDHTQPLNEQGMALQQITAGSLSGGLFPSESYP STYRQATQLPHTMGTAYSVPPQQRLDVTGDSFYPQISEPTYPIDKWDAIPAHGNRMPS LISQSEIGYEHLQQGVSYHRTRTAQAVTGGSNNHHPTASFTLEREEKRSQLISINDGY DIGSGPSNGHVEQPINDPQTYDGRGSEQPAFKRGEDIGRAGSMSRKRQYENSGTESES AESSRKIKRAKGTKSESTDLVPNFRDMEEAAGAPVPRQLKPKVPKMMKAYSHAIVKLE TPDSKGKGRKWHFVPTGSSESEEAASKAFGSGQQSISMDNVRVETGRPDLSKPKRTRR CEK JR316_0008652 MRTSNIASEQRGGSSSLPLPMARDPFATPTRGVSPVRGERDRNE RRRESKDEYRDREYVRDRRDSRDRREREYVIDKRESRDKDRDGGRDRDWDWERRERRE SRDRDRGREERYRSRDREYERERDRAGGAGGRYQIRDRERVRDRERDRYRSPERDRDR DNDERDKAKRREKRDREREREMNYERERERERMLEREAERERERERQQRDRSRDALAQ PKPKPQIQQISTQRQIPTHDIHPAASSSHPHALDRERPITPIMSSSSLAGSNLSLTVN YVPTKFSAPPSPSSGSGSRLGSWYGSGAGVYSAGAGSGTPAGGPRKRVPYHYTRASAS RMAESSSPSKAADQPRQRHQEPVFGAGIVPKMGGGVDAFRSGEARIGGRGDGDGDEYG DEDEGAEGVNEDDGMLREDTGRRGWFGKSGPGMGTSTGKVVGGEGVKITPRARGPPKK KLRWNRFKWMLFVANFALTTYSFTTLILILLTWFNIFTSADIIRVGNRTELIISTLFS ISGILVSLIGWAGILTNNRSFLALYTALLWVVFILVLVPGYMTYKRRTFNLEGKVNKQ WSQDLGAEGRVRIQNALRCCGYFSPFVEATVSQTCYARSLLPGCKKDYMDFERRVLKV WYTVAFGAIVPLHLAVMIISLLCANHVTYRFGKGMMPKAYRLSRSSMVAIMNNYVAQL AEQYGEDVAADVLARSKSNLNLQMGLTIPTVPYVDSGNNVSTVANPSSNVNMRQGGGG NGGVGAARVGGGGRI JR316_0008653 MDMDPFQLPPTSPSVEELMHEVQLLTQELNFLRQQQQSSSTPPH PQQPVSQSPSLPPPPPPPAALDIHSFPTPHVAPSCSHSVIKVSPPDPFDGSMEKAENF LRDTAGKWAYEKTKVIDNA JR316_0008654 MKSGLALPRRVLSVRSPKQRLGSAACRAYHDESFGFRKPREYDF PDYTEVQLNNRAKNSPLLRYVDSMRTHSHRAARIDPLDLIHREEVAALFPERYGLNDD DKVYDVNGIIWTKRVGEAGEGEELWNLKQIREHLRKVYVGNIAYEFMHSPSKTERLWF SHLLESQSLPSPEDTPLVQMDITKKKRIHELLARSEVFDNFLQLKFPNLKRYGLEGGE SMLPALDSLFSAASLAGVHHVILAMPHRGRLNLLTDILQYSPAALFHKIKGGYEIPED LGAEGDVLSHLVSSPSLSYPGASHPIKVSLLPNPSHLEAVNSVAMGKTRAKQYSLLKT SPDDCKLGDKVMCVQLHGDASFTGQGVVMESLGLSNLPHFTSGGSVHLVVDIGYTTPA SNARSSLYCSDIGKMINAPVLHVNGDHPEDVAKAMDIAFRYRNYFRKDIIVDLLVYRR CITSPLMYEKIAARKSVPQLYEQKLVAEEVLTLEDINAVRTSYKSQLEAELARVPTYV PSASMLEEQWSGIVWPATPEAQHNPVTGVERDVLEKIGKASVAVPEGFQIHPKLHRHV KNRLQSVTTGKGIDFATAEALAFGSLLLEGNDVRISGQDVGRGTFSHRHAMLVNQQNE GVIVPLNDELKADGKLELANSSLSEMAVLGFEYGASWERPNLLPIWEAQFGDFFNGAQ IIIDTFISSSETKWLKQSAMVLMLPHGLDGAGPEHSSSRLERMLQLTNDRYDYNSEPN NINMHVTFPTTPAQYFHLLRRQICRNYRKPLVIAGPKGLLRLSAAASTMEDMESGTQF KPVIADPVAHPVKVKKLVMLSGKIYYDLIKERQARGLDDSVAFIRIEELAPFPFEQLE EVLEQYPNVETYSWLQEEPRNQGAFAHVSGRIASVLRKMGKGNEELVYLGRKESALPA PGVGKLYQVQQRQVLESPFVGL JR316_0008655 MIMFTNLNSPKMKISALMYVFLLQVVSLASAKGNQHRSDKYHKD RSFIFGGMRFGEGYDPDWAPGTSHHRYTFWPLSWPVSNDVTPGYAGYLDVQDKYGDSS NPDRKGGPMTVLFLTADSTNLAVVADQYTTEQLSLSIAANCTSFVSESFLSNVTDSIK TYEGIPRPENVLQYYRSSSIALASSGYINNATYSAPGTPDTEVPQLFGLQCFNQTIGN TAPLSIEMKGIDGVKIAGVILSVLVGMAFMILGTLYCYRSYLKKKVQKKRDMVPLF JR316_0008656 MPAFLFHSTSTYSTPSLNDQGLAHNEGQPNATVEDDFQPFPSLS QPPPLDRKRHIPLPVLTNQTSTLNGLLMSSWEEKAHIQWDITQRPGEAQFAGIKSDDT MARRDVFWGNATCPPTNEYIKIRIGANFAFLDITVTPSGPLTILDVLNAVYQGVREEA LKRWRSGKFEGGDVPPRPPFALMRDGRSQDETKLAESEVPRIIRAHMQGMTRWAGLHK PHRDEGGVWLLKIAPAQKMM JR316_0008657 MYFSAFILTALATAAFTSATPLVPGRMCQGRQAITSTQYIGAEK NVKLDIITCEGIADSATIESGVGALLESRQTASVCDAPCTTFCHDPAGGGPDPNDCHI IADALRFESQSISPTFEIDNGANNVVSLTFSSCTSFFLNQASTGLNYCRTDFASLTDF LSTNCQATQNAHGGLCVANDGRWFVQVQHS JR316_0008658 MDDLPLEVQAVSISIRSSISGMDGRAVSPVISRTPRATIHPIMD KESTLSLQNHMGSYPEYFDSSIPENDRVPWALKFLPQELAEYDVKKLEFEEDKKRWEE EMEGLRAEKLFELRKEVVRVWLRREQKEWDLCRAEWELQRKSLKEKMRTELEALKYQF RATHSTSQALKRY JR316_0008659 MSINTDTGEKQGLPTSNDVPSSSSEPQPTAHLHPDSSNAPQVSG ATSLPPEGVVGEAEEKKRNFEPGKEQEAPTPEDLYDKDKVDLETIVIDDVFQLLQCDE GGLSTEEAERRLELFGPNKLEDKQQNPFLQFLSFMWNPLSWVMEAAALVAIVLSNGQG SPPDWEDFCGIIFLLFVNSAIGFYEERNAGNAVRALMDALAPKAKVKRNGAWGEIESA ILVPGDIVSFKIGDIVPADCRLIEAVNVSIDQAALTGESLPQNKKAGDQCFSGSTCKQ GEAEAIVISTGPNTFFGRAASLVGQDDDTTGHLQKILAQIGSFCLITIGIFVLAEIFV LYAGFRFRYRRGLDNILVLLIGGIPIAMPTVLSVTLAVGATQLAKYKAIVTRITAIEE LAGVTILCSDKTGTLTTNKLTIDRNTIKTYGRFSAEEVILLAAYASRVENQDAIDMSV VQALGDSARARAGIKLLDFKPFNPVDKRTEITYREESTGKLKRVTKGMTGIIIELCSR NKTEEQEKRLEQDVEEYATRGLRALAVAYEELPGDDYTAEGNGFELIGLLAIFDPPRS DTKQTIDDALALGVKVKMVTGDQLAIAKETGRRLGLGDHMYPAKVLKEGPAPGSKHAN LDEMIMDADGFAGVFPEHKYEIVKRLQALGHLVAMTGDGANDAPALSRANVGIAVEGA TDAARGAADIVLTEPGLSTIVHAIRQSRIIFQRMRNYAIYACAVTIRIVVAFAVLAFT YKFDFPPFMILIIALLNDGTIMTLSLDRVLPSTEPDAWDLTEIFSYAIAYGLYLTAST VALIVVIVETTFFQRKFGVQLNRAGPVDKNDPQLHMIAYLQVAIISQALIFVTRSHGF FFMERPSVALMLAFCVAQLISSIIAAYGDWGFTNIHSISGGWIGIVWVWNIIWFIPLD WVKFAMKATVIRHLRNKRLGEYAESGPPLERTSSRVASIHESLYSNRTTFLRRTMRKV GFGGKVSMKPDELKRFSSIQAAKTGQTLARYPSRTAAAATAGPSRVPAPFTTA JR316_0008660 MAAASASFSPLANIINKPSEWSNDVRAAVIIALSVVVFSAVLLR PSYDGKVYDLGGIPILTVWSFFTKRYDFIREQFKNSGGKTFRFRVLQHRVIAFNGEQS RKVYFNEAGFSMSEGYRILMGGAPELKDAHVQSSVTDADIEDGFIKRLLLLLRRDRIT DTLPTLLDDMHTRMTEWGTEGKINPFSQLVFQMTIRMATCKELAEDRKAMNDLAKHYW ALEKSATPVSLLLPWFPGPAKRAKEKSTVALYNLFNSFVERRRNATVPSSDPIDLFIA QGDSNDTIIGVPCWTLLFLGSNPVWKKKVVDELKALVANHTDTLSSEPLHKRLAAIPL NAWEEQLPTIDLAIRETLRITATGSTLRRNMHKDVTVDGVTVKRGDFLTYQLADVHLN PEIYKDPMQFDPSRFLEGREEDRKETFAFIGWGVGRHPCAGMKIAKLEIKLILALILL GYEYELVDGSGKYPKQLPTPDRNDIQQARPLGEPCYMKFKKVAE JR316_0008661 MPVQAALNQPFSMFSRGSFGLSSRSRDKKTENTDEDWYIPYNGP YEPPKEQPRKQKARDSWGFPVDDYEDDSMLADRELHLRYGGHNDFNPRGSSDQPAEEE RKGRSRDRTLSVISGRTTSSGAMDPNRPSLTLHRRSTVSGNPPPVPSYINLDSMGGVG ESPVPHVRQSKDTNRISIASIFSFGVAGRKVAQSPSLSAVGRKSSTRLLKPTSDGPYG MVDSPTHTRRDSSSSGSRRPSDATTTGRPNPHQTDQTSLIVTDEEDYYNSYYETLLRP NESTVNHPYQSRSPSSSGASNSSPRTQTNSISRQNSNSSTSAHPYAYAFPRTEAPEPR TAPLTPAPRLMPAQGLPNPPRLTFTTPQPHAGPSNSNGVHSTLPPPSGAASKSLKNST STPDFRTQTLVFGKTTTIPNKNGPNSPTYQLRRGMDRWLSAETWCDALLFPRPRLRIK QEPIEGPIKAKESSGRIVSPPLTPTEEEEEFGSQQLQPKREPGFASRVLAHSRSMVDL HKGKRKPEDVQHANPSKGPQGSRTGGAQASSSGGRPPRPKSFAMDDLALLPTPVMSLA HVLREGELLETERREWQRQAKHSFGNKRARSMSRTRTKSLTQKGRQGKHSHQSSMEYI AARACLGTQDLTPVIAPAQFTTSFTESNATTSLSAKTHSHTNSLVKTLTKSSKAHSRT HSRNDSWGKSAMKVAKSTVLCGHDTASSLNVPNADAKGSELEGALARNETKVIRLADP AMLPVDRGQPGQSYQNLTVRNSPSPANSGISDSKVGIALGTPPEEENEYMPNHPYAQG GLSFSHGTSASADHPPRHTDFAGPHPSINMGMKVPAISDIIARHKLPPYMVLHPYAQT QRDSYLDANGLVGQFRSEDSTPHGSKMWAQLSPGVVREILPHDLQYSPFSPNHGSRLP HTPDRSTLNINDTVGVGETLVNAARFRADNDKGTLASGKSLNLSPKGQDQLPTLHLQS SQPSWEHEEEDDQLAELNPISRRNGVLYGSSQHGDEEDIQILRVPSFERTQSASSGNT STTSSPRTLPQPLGSPNDLDNFQDLFYRPTGPGVGRTPNEAALPETPSPPNRGSTPWE NMPNRRTGSSLTSLARKLSEEFEMLSHERERSSNSQYSQSPPSSQRPSRMNRHPTEGS LQFVFEEMGVSGSPPNDSNDPILAFHASGVLPEDVQADSRASSFIQGTDEDDDQTARF RMGVVESVSTPPAVSAHHVSFDGQMAYVHDNQLQRQDIDTANSSGRILSSLMPPTEAT RSSYMTTSTLSRMSGLSDFPAPPKDEYITPKHMSILSSYFDEALTQSESRLEPLPPPP MLLQEERVTFGGNQDAKTVAQTLSSSTS JR316_0008662 MKSNGIIHSDRIMKAMNSVDRGNYVPRKSEAYEDSPQLIGHGAT ISAPHMHAYATEHLLPYLHPGAKVLDIGSGSGYLVSVLHELVSPGGKVVGIDHIPELV DSSIHNLKKDGRGESIENGEIIMIAGDGRLGYPSAGPYDAIHVGAAASKVPQELIDQL AKPGRMFIPVGDYSQYIEHIDKDEHGNVTRSQVMAVRYVPLTDKALQTGGPMN JR316_0008663 MSEKPQRVKTWYIEESDLLTVSLNPDSDGIRIAVENEGANTARH ISVFEYHPSSGRSNEWIWNHFHETENVVVDLITMSCNYLLIATSAHVCVWDFVNDKEA MWTYDRLGIKSRTLSNVMLDTEENVVILFTFNRVICWKILPLGMKEAGISSVCHHDKM ELVFDINFEKPRFPGRTPLATDFKRVADWYKITPSSRIFDIRLNFRSRSDTRKWIWTG YQVVDTPVPGPQSETNSSGTHTNLQSIYKVVRLEHWSTHTESQGVLSSIEHIDDDDDS DGDDNDNEGEGDSVHQYGSYVDVTRFGLEGDEERYNSGDDGNRPTILDGGEEYDDAIE NDDEVQPDTENDDRYYSSGSEDFFFEFGEGSNEYRKCDDYLDSPQDTNHATTYHIRSL GFEREKT JR316_0008664 MDSFAEPYTRQGDDISLEQVRQNIASLEEQHRKNGIPLSGRILH VCHYLPITATLLPKPSLGVLSPPATPPSKPADVPQEDGSLPTEQKTEQEEQAKWSMAP RHGHAAMISGIRSMSHTHEQLIIGWTGDIETPTGEKVSSDTTSPKERQEFEEALNNYH PKESDPDDERDRKTKYVPVWLDDKVAHGHYDGYCKQTLWPLFHYLLWQDVATEYASAD SHYPYYESANAAFARRIADVYRPGDLIWVHDYHLLLVPRLVRESIPDAVLGLFVHTPF PSSEVFRCLPREYLMFIQSPSSGVGSLDASTRVTDCQSTPGRKEILDGMLGANLVCFQ TYSYSRHFISTCIRVCGYETTSRGIDVEGHVTAVMHCPVGIDAERVARDITRPGIKPK LDALRNLYEGKKIIVGRDKLDVVKGVLQKLRAFEKLLQDYPEWIGNVVMIQVTSPALT DSPKLERMVSELVAHINGEYGSLDFIPVHHYHQTLKKDEFYALLSVADLAVITPLRDG MNTTSMEFVIAQNDTAKSPVVLSEFMGISKNMEDALLVNPWNLGDVAAAINQGLVMSP AEKASRHAKLYKVVTVHTSHTWAAVLYKMLLGQLGLQGMARQTPYIPKDLLEGLYAKA KKRLFLFDYDGTLAPIVKIPSAATPSAATLEALEKLSADPHNIVYIISGRDGAFLEQH LGHLKNVGFSAEHGGFVRERGSSEWTNFTKNLDMSWMAEVEEIFRYYTERTTGSHIEM KKSSITWHYRSSDPEWGQFQCRQCQDLLENNLAHKRPIEVLLGKKNLEVRPIAVNKGE IVKRILYQNPDAEFIFCAGDDKTDEDMFRSLLLFQPGQTSKVTMEAPVAVKLVDNPNA PPVELAIQPQAVFTTAVGHSSKRTLAAWHVTTPQEVVEHMLSLVKDVPYVPTPERQGT PVAAAGASWDGARDTPEKANL JR316_0008665 MSLSDLEHAATVPSKWASLSSKPRKSEEPLSSATTRRLHCPRSL TYDIDEELGEFTSFCLVPGGRYLVTFARNWVAVWDLGLKPGPDTITDFQPLGVSAVHF TGMFLVHPTIDGKGLHIFVSAAEQTMFKQDCYESSVLLIYEIYPQNVNPKLELIARLN HVNTDEINFFSLSRNRLIFMEGSILKIWHYTKNSWAHWTVEKDYYQIIVGESTVTLLS PTGVSVWPIPALSSSSPPFLNQPPQAISPLVTLPYPNPRPSNTDWCEGPCDWYSGTTQ PFLYDLVNWDSDSETITMRRYEVSLAQDLKSSELIERQAFTFHGPDEPDILFQPSAFN DNSLVTIFFDFTCDSIKLHTGSFSGPSSPNKDGKLPDPEASETITLVKGEHITKGYAM AFCPISARFLYLDSEKNICIIDYISQPASEVSWLDIQRGSRDYLVDDAVLELVETC JR316_0008666 MSKKIIIVGGHGNARTIPFSLLIRSRRLTSLGIHTDPAQEQDIK DLSATPLVLSLEDSPVSDFTAAFTGKDVVYFSAGAGARGGEERTKKVDYEGALKVFDA IEAVDSPKPRLILVSAVDIRDPAKIPAHYNEEDIAMSNRIRKVIAAYMHWKYEADKNL AKRTAFKWTILRPGGLTNAPGVGTASIGRTHLTTTITRDDVAKALALLVDREDAASLA IDYVGGDTPIEEGLNAFIAKGETDFLG JR316_0008667 MSAGDPKAIKVITVFNPFDHNYAHRLKKGRQIQKTAIFATSRFR EILEHIVKAPTARQKKWMQETLQIELDVVLACIGAAYAGSQVIEMPLLLRSLCNTLAV ARTELLPLDIDPSMINLLAVGAPCTALDRRVNSYMCAWWLKNTPPSDDNDILNGSNLT DVMKYHEGELKQCLDKEREDLAKASQPTSSVPSDVIPTQIKPILMLLNNANSATCDSC SRLSFLADDVLHQLQGFKTMLMANAGQSSHSVDRIAHRAAGFFKLYKASQLLPLKPEP IPNTPSSKWTWDAQLTVKQVRALGVATKTVHSGYVKSLRDGGDLGKRSELSDSKMRTL FLPTNSILSREDIKAPPSNNLDLPRYFKTGTEGSDSSGGEMADFDVSERSTDNSAENL STDNSAENLKNMVKAAIELFDAEEDQQAGASGNVQEHTDNNSDISETNSDAINQALEL FADELQDTNNESSRSTLSDLANEAADLFFDSAEESDYSIDVDENSAQPQFTSEHFAGN LELDLFD JR316_0008668 MIPDRAEYHAFLKSAIEALTGAPCKNTFHAIQNSLLSKSSCNVT GIVGIACARHGCYAPNALVDLFKGEQQKNVDFAFLAALASTGVHPDQGTMVIYDIVCQ YIIWLLKRIKDHLPNGLKIDRAIGMFHVHAHKDECFFRYAPTFIPGASCVCGEILESL WADLNAISPAAQTATLAHQAEILDDHACDSNHKKALGITKYLCQRYLEAEETRDKYRI CFSNLTNSADPEAIKLWTQQIEHVEKNRLQDPKLMDIYTAKWPGSAPTVNTSDLIEEK QLDIRMCARRLVNHDRLTDRVKLQKLRDSLKTLMVQLNKLQAKAGVVATGRQDIDISD QILIDWEDEEDVLAPGLGPTVNEDIDLQPICLPSNGAASKIYASDELEARISKARSHL NQIRELIAERSFQFKEVVQKGPRKGVRTRGQTAVKELKDQISMHAQAYSHCRTRIVKL GANDEILQELRILTKEDIKSSTAILNPNLPGSTKFRLSWIWYSVHQRFGPRWALDPTA TPDADPNTLSEEADPATVLECELF JR316_0008669 MPSQRTSSNRKSRKKALYKDDANAADAVTYKNQTRTTRAGKVVS ELVKVSLSTTNRPHKKKPIGLEEQEHGTFNKDAGFSQDENSLPSTHHDENVVPKIRKI NYLENIEETKDEEEQNQLQQLKWKQAPIEQSTEYTNSIDIQMENLEEDPENLDPNGPT DEEFEAYLNRCREDPHSLDNIQDCDNEEEVSKAEADVRDMPQYLRPQSANKGIPTGTP KTDGLNNAYIRAIHTNGVHHLAMVYCVCHGANNLPLDLIASRLLPTSFHRIRTVFSAQ LLDYFRLSNLELKASAYQFYSLIRQVTNPMFPSSVVDLYNEFRRMSRLWRWMKKLKWS GFAGHNGRAALSVGKGELGIFCPACPQPGVNLPDNWREDPNRWVYKRIFVADGNFKAD HIRSEKPS JR316_0008670 MATSTSIASNAVEATPTPTSNGLPHRAPDALQSAPTRAPVMSQS ALTHAPNMSQSAPTRTPDMSQSAPTRAPNMSQSAPTRTPDMSQSALTRTSDMSQSAPT RTSDMSQLGPTRKAPASQVSDIESTSSRGRKYPPAVVAHQGPTESSSGWDHKALNSTN STVAGTVSTQAAAIKLTPSEATVLVVFFDSVALSNVLLKPNHASRALAELATSNRSAS SSHPVHPNPKSLSRHAPSHADKPNQKSKPRVQKEQASKESFPTKSVGLFAHEVAMVHF DCPDSPENKFRALKLQRMLKEDPTQPPKLGSEYEESSENEESGVETGVKRKRSVKAAT PTAPATKCDQCLKNNNKECQEIITNTGKRTCAFCSKHKKGCTWGGMLVSESRRANKAS AAKASKRGPKGKQSEPVTHSNKEAMPPKKRVKSQQYVVDTDDASEEEKSGTETQKTTK PSESVDYLEVSSGSETPAPAKPASLKAGPSNVAPSKPAPSKPTPTPAPLTTVQRATPP RRLQDSDITDIPTIADFAKRINELEIMGCATQVDLAILKTTNEDLQEELYNLKASYTS LKRSYDNLCERVSRHRNAVVDRQSAFGAKWEAGMRKMKAEVKHLSDSLDRTDDTLLSL EGCIDKIQMHQMNSDGAYLSEEFVEDVESAAEDGPTDGSIPMDLSSDDKAGTSANYHP NSATDPVSDNHTDPDSDNDANSASDNNTDPASDNNVDPASDHNANHAVIDLTADHAID DNANPAADHRVQAIGTENVENVDGAKGASSLNPPVDFTPPQPPTTPPALPLSPPPPSV DANAL JR316_0008671 MAFKISTAESLRAALAQLDANIKDAVKRGEVKKMMRKSNEVMKE VLRVKKAQTHPEIFKEFGQFLWSVVVDYKKSNAAVSYPNPDWR JR316_0008672 MSVQNSSPNILEGYVAIDGPDNIKYVVPRFIISSLENDLAALGA KEDANVVTADLTRSLTDNEMLTLHAEVCALQKSLGISYQDAAHRLYMAEVAKLKVADG DRRYHAYMDRCMTKTLIKLEERHTFREDLRK JR316_0008673 MSSTLFYQTQLSVLSCICVFALFLDRRATRKVWNTSPNSPHSDG TPGNDVQRRLAAASLLAWKYLTVYGIVMTADWLQGPYLYSLYREQYQLSERLVASLFV VGFISAGLAAPLIGVWADQHGRRKLCLAFCITYTLSCLCITMPHLVLLLLGRILGGTS TSILFSVFESWVISESTTASLLPADLSTILGRATLLNGFVAAGAGILSNQLVLMTSNF ASPYMASASLLLLSWVLIRVLWTENYGGGGGLPNKDVWQMRRLGQACTIVRQDPVLLV LGLAQTCFEGSMYLFVFLWVPSLQESSSDPQLPLGYIFSSFMISMMLGSLLYTLIVTR HQQLSDPKPSSVTLHAQLSSIVCAVSALAFLTSTIFFSEHTRFWAFCLYEVCVGMYYP VQGTLRGALISNEHRATLSSLFRVPLNIFVAVSLLTGVSSARRTVLVTSAVMLTVSSL LTAIVIVDRSKEPQSPPSPRPSDD JR316_0008674 MAPSPISKLSQELIDRIIDALVEPSEVNLASLNSSPSHNSALFS SSLICRSFRARSQKLLYSHVTIDVHRVDSFYRLIMDSGIIAGYVEDLELLLTHNDYKW LWEDQRFMRIMNALALPRSALRTLSLRTRHVIQNIEDGRAMVQKFIKPFIGPKITSLQ IYGLSSIPVEVVSSCVNLTHLVLSWTGVDTSFLASPEVEILSRPVKLRRLTYNHSPNA LTMLLGWNGTRPCLDISQLTILTIGIEAFNNLSFEQRIIDATGNTLRELHITDLECHE INYTADRFVNLRGCSHLRVLELDVNFGRSVLLEDDMDDLDNICRILSNIPNPNQLHDF RFTVHVGYWVNQEPEAILEADWDRFSEQIRRCLARKSVLSLHMDYLPSDDSMAEGNTS DHEDRRSTSYKVRCAEAMGKLVREKFSGFSTAEALISILTTYSISSFYS JR316_0008675 MDVDMKNKDDNDDKGIIPPTNGGNGRDYDRDKDRDLRDRDYDRD RDRRDRSDKDRDRDRDRGDRRDSGRPRPRVGDHWEPERRSSDRRRRSRTRSRSRSRRR SASPRRRRRSRTRSRTRSRSRSRSRSRDRKTNDTFSRSLGGPMNADHEEAVEFAKISK RENRVYVGNLSYDVKYRDLMEFMRGAGEVLFAEVLVTPTGVSKGCGIVEFASSEDAQR AIRELSEQPMLGRPVFIREDRENEARFGATPVPGKIGMAMAGQGLNAAPPPRPPSHNY FGTHSNPGNQLYVGNLPYQAGWQDLKDLFRSAGNIIRADINIGADGRPKGSGTVVFET PKDAQQAISMYHGFDWYGRTLEVREDRYAGLSGSGFRGGLRGGPRGLGRGLRGGGLRG GFRGGFSQAGGGRDFSDQDLYADYPGPDQQGASGGSGGLRMNSYGGGSGYGGAGNFSE GDPSQQIMVRNLPWSTANEDLVELFETTGQVELAEILFDGTRSKGCGVVQFAQTAEAE TAIAKFQQYMYGGRPLDVRFNDRWHTFTPSAAKGGQIAPMQSDGM JR316_0008676 MSAIAALRNVTRVGPARLAAGMRVSMVSTMVRPMSKMTVAKVAG ARAFSATSGRLGSGSSDLALSQKLQEELKYEQEALAEQADVTPEFLKSFLEQGVWSID DVRGNDEVTMFRKFGDENLRLMFSIADIAPEENFDMEGESEEDQTPIPAIRVSLSITK NNGPGAMNVDLICEDTHMTVENISFYDDAKLGTELTAEADWNRRGLYIGPQFETLDVG VQDEFEKYLQERGINESLATFIPEYAAHKEQQEYVKWLGKVKNFIDL JR316_0008677 MHHVVENHHKPYNHLILTKAFGKKYNIQEIKKNVGLLKSSTVKV ETVRHDLGGANAKTTRPDGHLHFDHKYYTAKTDRERAGTLIHESAHSLFNTHDHFTND RDHSKIRAISSGEKKRLEAAHKASKSQDHRPITLSGYVKQSDYNTLKAKASHVMHHNS DAYKVLGHLSTYGLLAPMDKDKKRKHPMVDNHGIPSKLVKHGGSMIPISTKKLSDKKH PVINPYTSKPLGMPKTPSEHHKHSPGSHVHKSGSHPPSKIPVHSGLAKIRHEKAKAER LSPDARLRLYSQVSHGAHQNSHILEAGKHAQNSRNKGVVREKPLPPLPHETDAHAAHQ HNNHPAAHAHAASQHNHPTTTPGSRSQSRQGAHPHAPLKRPTSPPRGPRAMSPAKRPS SQNKRH JR316_0008678 MLSLLQNISTRIQHEQRAIDARNYSSFAALAVLVLDHVLSCRSE YKYIWQAKFRLPQFLYLISRYWALSLQIMHYTFVQKYLIHGPVSSSLCKIWFSLLGSG CIMMGVCMNLILLLRLYALYMKDSKILALALPLIGQHVVALVVVGRAVFKDDAFSDTC DLYHTPIEALPLGILTIVSQAAVWLAAFKKRKIAQAAVLSLVVRESHWTLAVFGVLAA IMIPYSFATQSVNPFIIFVWPTTFISMTTCTQYAQAQIFKRSKGVGHRVYLFNHHQLN RELLSFDARLP JR316_0008679 MSTSELVYTPIEEIAKIRDNLRAGFASGKLKSNEYRKYQITQLI YLVKDNMVRFEEALSKDLGRPALEARMMDIIPTISEAVTQLKNVDKWTKPTRPPFSFN FFAMRPLIRKEPKGTVLIISPFNYPVWLTLAPVAGALAAGNSVCLKPSESTPATSALM TELVAKYLDTDLVSFVNGAIPETTRLLELQWDHSNGRVAKIVATAAAKHLTPVTLELG GKSPVIIDPKCDLKTAAKRIMWGKVVNAGQTCVAPDYILVPREFQETFVDALKEVYEE FYPDPAKRAAVPGSYSRIITPQATERISGLLEKTQGKIAFGGEINKDSKYIAPTVVTD VKADDSLMSEEIFGPVLPIVPVDSIEDAIKFVNERDYPLALYIFSQDNELKTKVFNKT QSGAVIANETLIHPGADGLPFGGVGPSGYGMHTGKYTFDMFTHFRASMDSPSWIDYIL KFRFPPYNTSKENAVFRLMNSLPSRPTGPPSTKGTGKWWGKWFILALAMAVAGGLTSR SKMTAA JR316_0008680 MGKTPLLLLSDDLFDVIVDSAVGETVDYWPYRWELLSSCALASR VFLPRCLQHLYSKIKLPFDLDPGKREQRIRDLHAILHARPVIADYVQELHLCLPRGEA SWTYEDSYFVEVMGLLRNVKKLRLEGGPHQEILSMPDIFIQRFFRPYIAPTVKSLHLE CLVDAPTQLVTECTQLEELVMIFCSLDAKYPGGTITRPSIRKLDYNSAGMALSELLPK GQSGSSSLVDFSNLRSLKIYTDTLRNLLFEQEVIDASRGALEELRFVVDEWQRDPQFQ ASVSLRDLPNLHSLEFPIFFRIKVEDSLIDICSTLNTIPDEFQSLKNLCLRLYVGFTC TTTPEHLLESDWGPLASQILRISAGRSLELSLDLTFQDRSDEPDTPSDLGSPNREDLD GRCQKVLEELVQGPLARLKENNQIIVTLSSAVETPHYS JR316_0008681 MSSPISIPRSSASSSSSGSESGSYTTSSPASSYISSSPSKGLYV PVHKRSPSPGSPTSTLGSSIPPLAQALNGLHYLTPTAPLPATTTPHPRVYSLQFLLSL RPNAEDGMKEKIRAGPAPELLMNRRMRKNLEFAEHQHRRVSPTRSPAPAPAPAAAAPT PTPTLPPAQRMPHSPRLAPRRNRFGPRASERRKQVLQSSLDSWRHDTALHLPATPRLV L JR316_0008682 MIRPPNRCAVFCTAEIPASELDCFLSITEDHKLGTPEVFQRSDF IIAKWIVIMTSENVSEIPHLLTQPVQPFQSPFLGMSFEEVAAWYDTNIIKPKVPGFWE GAFIVLDEEALQEQICTVVNMNKGLKNIELLGCEWILGLQMAGIFDICHPFQDVIENS GMYLEGMEVKVDEVWKDFNDW JR316_0008683 MGQTSRCAVFCTADIPASELDTLLMITEEHKLGNRESFQSSDFI ISDWMVIMTSEDVSEIPHLLTQPVQPFRSPLIGKSIEEVAAWYDTNIIQPNVPGFYFG AFVVMDEEALQEQLCTVVHMKKGLENIELLGCEWILALQMASILDLDHAFEETIQRYI RKGVIMTLENLKLAMSAGRYLEGMEVKIDEVWKDFGSW JR316_0008684 MGHPTRRCPIFCTVDIPTSELDIFLNITEEYKFGTPQVFQSGGF DISNWMVIMTSEDVSEIPHSLTQPIQPFRSPFLGKSIEEIAAWYDANIIQPKVPGFYS GAFIVMDEDALQQQICAVVNMKKGLQNIELLGCEWILALHMASILNLNHPFEDAVIEK YMRNNILMTLENLELAMNSGRYLEGMEVKINEEWKDFDNW JR316_0008685 MGRPPRCAVFCTADIPASELDTLLIISEEHKLGNRESFQSSDFI ISDWMVIMTSENVSEIPHVLTQPVQPFRSPFLGKSIEEVAAWYDTNIIQPKVPGFYSG AFIVMDEEALQEQICTVVHMKKGLNNIELLGCEWILALQMASILDLNHAFEDTIQKYI RKGVIMTLENLELAMSAGRYLEGMEVKIDEILDTLLNVSQEYLESNSDVTERMVLMTS ENILEIPQLLTRPVQPFDTPFVGKTPQDIATWYHANIILPNTAGFFKGSFIVLDEEAL GEQICTVVNMKKGPDNIDILRCEFILGLDMAVILDLDHAFEEVIEKYMRLDILMTKAN LRLAMNGVLSKLVISTNSSYPQTEMDTGEYFPPSLERKTRDHFLIVNTPYAGISADNL ISLLFSITVVFVSFLNIAYWGVLVKHRIIRHPTSPSMGHPTPRCPIFCTADIPTSELD IFLNITEEYKFGTPQIFQGSGFDISNWMVIMTSEDVSEIPRSLTQPVQPFLSPFLGKS IEEIAAWYDANIIQPNVPGFYPGAFIVMDEDALQQQICAVVNMKNGPNDIDILGCEWI LALKMASIVNLDHAFESVIEKYTRNGILMTLDNLKLAMSSGRYLEGMEVKINEEWKDF SKW JR316_0008686 MAGTIKISLTTFIFLFYLLLSTGGAPLPPNEAEEKLRPLPGRYD QHYDSETGIVTAYYGDDKLPEHMAHVQFNQALHPENRKPMPVADSETNRLNRANALRG IPHGGPFREYAPSLGDRVRDEKQPAMFDNEHHSTTTTVQYVPDRESTAEGGLLNGAKR LMIQHNALGTVRANPGWLPLDPKQRIGHEAPARLNSQTQKPKDEEKASNTKSKKKKVV LKKLRIPKSSRAKKARSRNTRKKSYLHPGRVAEKDHVWKPENFQPSKDDHASHFGAPV PISNSDTRQPPRPQDRAHYERLLAEKAQKFNKDHPPPAAHSLHNLVESHSHDKPISKP GETAEERKQKFVEDLDKKIKADRLKSKIDKFNAAHPPAKIHQTAEAPARLESHGFKQQ PSKPVGRRRGRSRQRAKSNSRSSTGSKNKSTGPRRASSLSVQPESGKVHGKGQHTSTA TPANQKAKADQHDRSSHHNVNKSKPSSSKVQSSRSRSKSPGGHRGSGKGKKKGGRK JR316_0008687 MASSAFNNTVSGEPNKTTGQFHSTKGNVVEAIGNVTGATSWQQS GKEEHAAGEAEYKAAQAKGYAEGTTDRISGKKDSIVGAITGDKSQQAAGNARHDKGQA QQEINKNT JR316_0008688 MTPPLLPSLAGPLIRLLLLSVIISRSTCRHALDSDADLDAELNG KNASQDISSRQCSHLLKRQEWRTLSDEHKSEYIRAVKCTRKLPKLSSYAGVQSRFDDF QAVHIHTADSIHYTGHFLPWHRRFVTLFEQTLRRDCDYRGAIPIRTSPVFDPVTGFGG DGVKGTYTLPDNVTEESQIIRSTFVGCVMDGPFGYNASDPESFVVHGGPGKLVTTHCL VRGINDGFKQFLSADVVQNALRQQTYEEFRLALEGNDQHHRREESMDDSDLELSEHAS GHLVVGGELGNLYSSPGEPLFFLHHANLDRIWWNWQIMDPDQRLADMSGNVLSDDVED APEDGLEWEDWISGQLPEDHDDQYNGIDFGGLNASSTVTLDLGLRFGPLGETIHIRDI MDITQFPSCYIYI JR316_0008689 MSATTTETQTSPPQTPQTPEFTVLNRVASIPMISSSLVTINEAL STNTYTRSSYIHAKELSTSAYKLTEPLQVKLAPLIVRADTYANKAVDAVESRYPYPFK AQPEEVATYVRERKESTTNYVSERVNHVNKAIDEKVKTPAYNVVHGIDRRFAPIVDYF EVTVSRLNNSEAGPSTPPDAQYQYQRALALSKTLGENIYDYSNEQLKHFQAQSVIAQK ASETAASISTVASSSLASAQNRIHTLSDTMLAELQKLQASTNSLTTSLQASLQNSASQ LQSQLPSQIQQSYADLSAALTSTVNELTTIITTKDLPLQEKVARVGKEVQERVHPLLE TVKKGVSEVLARSKEARVVAEPAAEPKEAEAEVKEANGNGHAPVASE JR316_0008690 MDSTPSTTSQLVLRSQDVSRLSLTSLNDDVAIVLLSFMRPQDIM AYRQTCRQFFKTTERRVVWLNALRKVMDDHFVTEDTFPLKSMSLEMLQHAALSPFRFT TLLKEADGSLLEPTNTRILPCRLTENEKQSFGVTGNTVLETFTVAPGGRFVAVQTIHH KVNSDELLWVFTIWDLGIHGSFNTDAKPVKRVSIPISGDTHDFYTVALHFDPSYGSGT LFLIVHLIPESTKSSVSVYTMDITSHVNEMKLFAKIDFPGHLHLLLIVASPRSHRITG QFYEGEDDKNAYFVWDYLENKSTSWFTKDTLEDTYYYDGSIFYPYDNALIVVDIDGNL RVYNTPELTDGSAFWENPKVTQFPNIDIRRPFSGPCAHIPIADNHKSFSDYLCLSDTD EDVAAIYRIQDLNSLANSRLPQKLPVHAGTVNLSAVSVPGGPKSGLVHHLDEDNVLIS YLNVDYSRLRAHVVDMNGGTPSLKLRNPELLNPLEAQTTILGYEGTMRMECVAISSMT GRVFIQTVQGHQRGLRQLQVMDYL JR316_0008691 MVTSIYDLDFDVLNTLFSVMRPMDIINMRRTCKLMYLATQPRNI WLKVLANVVADNNIFKGTYHIESMTDDDLERAAVFPSILLYRAKGPHALSKVPLCQRI LDDICEEDEIAHKDIIFPEESLYRDFDNAVLVPGGRFLFTISASEMDGDVYVKLWDLG QPTSKRYSRITLVARFVGRYKLRILATAPTPDELGIRIVVNEETRAGNTLRVFEIHPF SKKPEFKQIATIQASNAVYSGIMSGNLVVCSSITAGPPPTQSFFQGHILIWDYVHKTS ATLQPDGPLPDHTYLHGNHIITFCKNILSVWSIPPLQPGDPEYTYDNGPDSPIWSQPL TMWGAHEREQSEKHNSMENHSLGWLTGPDSPRYLVCRGYESDVDFDHLAIFRLDASWN PADPLSAPCILAQLDRRTIGTNSMDIILSWSFTTQACQENVVFMSSTFGHDDKFSLSY IPWFASGSTNPAHTIQSDACVLQKGKEGCKSLQFCPVSGRGFSISTHTGELRILELSV JR316_0008692 MSPPMQVNVMGPIVLFQAMLPLLKKSPNPKFVPMSSTGGSIGGE NLVASQVGGVCYGATKATLNWVARKIHFENDWIVAFPMSPGGVSTDLLSDTSDNDPTG IFKTFLTGNEPTAEEAAKLIMQVIDDSTRETSGGKFMHLDGSTIPW JR316_0008693 MPTITLVDDLLKLDTCLADISSLGTTKLAVDLEGVDLCRHGKVS LLQILSYHSNIIWIIDITVLGKAAFDHTTAYGSSLRGVLESQGIVKVFFDVRNDADSL YHLFGVNLTNTYDLQVLEIAARRSQYLRVKFVTGLGKCIEQYLNPTPQWKRVKEEGIA LFQPKQGGSFEVFETRPLDPRVQAYSAQDVELLFQLEAALESQLEGKAAAWKSAVIRI SAERVAEAHNSVYAGHGQHRAIAPNFAV JR316_0008694 MASATRRTTTREPSKLARSATVTATQLANELATKLTISNGTETK TTTKAKKTPENVDHKVLAMRSVNEASQALSGVVQSGWKKSADSSKTTLATATSAASKA SKALDTLRKLRPGDLDVERAASSVLGKLIALEMFDFAEKALTETQPRLILLLNVDSDQ SNPISIPPPSSPPTNAILLNLVSLYLLYALIIKSHGSNSVDELSKSLSSPTTHSLLSW LPMLSALPAKHTDSLLTRAYTAVNKLCSTSSSLPPTKSKAHPSPQSIFILRMYALRCL AHTTPGTIEANTFWDQATRYASVFVKATPQKGEEQTTSMILHAFGQLVDLAEQRTDRE AFMSVEQQEKGFLRLCEHWLSFAKRAGDISAIQRINACMKQPSSSTPPKSQSHESATV EQDIVVDGTKVCTLFSQTLVLLENAESSSNNDLLRSIEDCATLLNTSSSIRTLLQSSL RIGEKLSKEQQSISRISGKVDRAFEKLRRMAIKYLDIHPTSTQSETFHTTRDAVLRNF LLAAVDTLHSVLQIPDLTATETRDLLTRSIDTLFVMSKTVLNVGEPSTFTIAFELLNR ASDILKTVPQDKFPLSPVDQAVDIANYARCISGAFYNIAGSLYQASRYGNAVPFLMES CVLGAKALQLSRPIPEVSNEMREKEWQNLEEQFFRRWELLGVCYSKNGDRKNAYHAFK QSIHTFPFHSSGLTGQTDHQAPDILFGSSASSAIQSLVTLVDRISYIGSCDLLLPPEE ISVLSATNFTTCDHPDQGTNPAGLESCVIGVLLERQLDSLEPSRCKDGVRSVQLRLLR DALLVYSKHHENSSAFMPVRRARILVRCLEFLYRDQADDACANLGFKTVDEIGAEIEE LLTNNARNLGKDARLNHYTNQYRIASHLWVALHAHRRVDPQQTGIMSRHTDDACRMMK ELLAKEAEGTPKLRKTSSPKIVKATVSPKVNKLVSPKQLRSTRQRTVPAAPRKAPPLR ASQKVPLNPVTPKPRTRTALPATFTVKQTPPRRSMDPAQPTKAHTLIFDNFENFMSLL QLTARILGLLSLILPKARLLDMTRKLAQRHVGAKSDEFVISSLDLAHEYVLLGKTKRA ASIFYPALEIVRSGQVSDDVAVRFLLRFSESLCVIGDVPESSKVYLEAHVISNALDLE QKGVSTQQRIYARAKVLEIAALASRVFSLVQFEKGDIYASHEGLLQSLRLWNRAVDAI TRLYPVRGPPESDPFEMTSLNDALPSTSSTSVPTPANGSTTKKPNERRPLLDGLEWRM SEGLLSTMLALAQTYFLRGSAREAEYFAKQAVDLAEQMNAPGMIGRALTRQGEVQLHM GHLQDAWETLMKASLIVKDVPGLETVEIRRLKVECDLRVNGEDAVVAEDHQTEFNETV AMLEDLDAAFRQFDNLAFGPRTSLGSKTRIDVLAPDLLVSLLSQQLWNLRDAGDDNFN SLLEKLLSLSYSPQNKAEENALLGKLTLHKVYGRFRSDMFLSSLTESTIAVPMGMESK ESSPSPAQSADMVDALSSAEKFFWDHLSLTASKGSVIKVREAAISLALISAFRTSLGD KKIQGSSTMASLLDISVALTLRRDMLDAIANKFPSLQCPDDLQWPPLSPDGAALPRPV KSLSSKFSLSSLSDSESDSEDSSRFSTKAVKSYWKTTLARYQSQVLGSFIPSTNETTG LPQTWAVINISVTPDKSTLFISRQDGGLDATEPLIFCIPLKGRRDHGGGDEDDTYLTF DAAIQEMKDIVQSSDDCIKSAVDIKSDDDEAKSNWWKIRGELDVRMRELLENIEYCWL GAFKSILSPRTPISPETTSELRNQFEKAFYRSLHVKEKKPKSKTAHRKTASQTPNISA TDFTLDDTMINCFSTLSPKCRDEELEDLIYFVLDLYQFHGVPVAIAEVDIDQLVVDLR TVLEDHSSKKNRQNKPGRSPEDEHLFLVLDKNVQGLPWENIPILRGRSVSRIPGVQFL YDRLAFAKMKREANGQSYEADNGACIDPKNGYYVLNPSGDLGRTEERFRDWAKDMKFS GWDGVAGKPVSEQQFVNALKTRDIVVYFGHGGGEQYIRSHKIRSLPTCAATMLWGCSS GLLRDMGDFDRTGTPYNYMLAGCPTLIANLWDVTDKDIDKLSQSVFDKMGLTGKDLNL PREKNAKSRPSIVAAVAQSRDSCKLKHSSCSTFITIYVLETHSQRGLALIFADGGYVA DQNCTDSEDI JR316_0008695 MVAPNSPSVIYLITGANRSRGIGLALVQHALEKHENAFVYAGAR DPDKANALHELKEKFVGRLEIVKCVSGDSEGNAVVAKQIEERHGRVDTVIANAAIWNS FTPVSEVSVKDFEEHFHVNTTGPIVLFQSMLSLLKKSISPRFISVSSIGGCIGMGLVP TQAGAICYGASKAALNWATRKIHFENDWLVAFPLSPGGISTDMVTNACNDDPVFNSLV SGVEPTAAEAAVSILKVIDEATREEHGGEFIHLDGTKISSWKRNKEDI JR316_0008696 MISSSHPKNSRIIDTFTVESNDVEKKGEVVVSVQTAPTSALVFP EGGRQAWLSLIGAYIGAFGVYQDFYVREYLTEHTPSEIGWIGGIQIMLTFSAGIFVGR AFDRGYFYHLMICGAIIHGLAIFMLSLSHKDSYYQVFLTNGVMMGLSAGICYIPSLGI GTHYFMKKRSMAMGIATSGSALGSVLHPIMLNQFFGGSIGFHKGVRISGGINLALFVI AIIIMRTRLPPKSAQKFPILSWMKEPAYLALFIGSWFVFLGLFYPIFYIQLSAIKHGI DHTFAFYSLSILNGASVLGRILPGVLAPRLGAYNLLSFCGIVAGVVVIFMVFANDVTS VTLVAIFYGLFSGSSIALVPSVVGSLCANMNEFGTRMGIMFFWIKGILGLFATPISGA LLTSDYHWIRADLFSGISLIVAGIFFLISRYFVSKQRNTQIL JR316_0008697 MTYQGAAQVKFLATLRDNPQLATLVRVYHIPTHDVSDGSLSWQL VLCCIKQMTNLKELVYLSLFAKSENPFPQVSEGEEIPFQLERFVWAVEGRHRQDGVTQ FLRTQHKLKFLQLSCQLNQNIPSDIVPDLHTLDGDVDLICAALPGRSISKLYWREYPW RAHRPWSYFNILFHASQLEELSGIRGLSISMETLSRLFSSQLQNGLRRLQNVEVLEIC TNQAMSNLINITKKFPCLKRFIIRPDVSKVPFPYPTVVEKIYRSEEIQSEVAALFKAS PSLVYVDIWVGDLLFKRWEVNVLVPELVIVPVEKMLE JR316_0008698 MSTIKSISPNSKVTGPVHHIYPKTSPPKRYYFGAPTPEMTPESS EAGDSVKLAEVKAPPPLDLPLRLSLTRSQESNHGSAITSTSPHTGASTSSEESALVQQ LHNRIPKGPAPDVRRKKHIFGSGRALPYSIREEDERDAASSSHSIRSILKNAHQKSAA SSSTLFASEHVSRPNVMTRASRDRSFKKRQSFRKRVRRIFGGGKGGIKGGDRMRH JR316_0008699 MGRMHAPGKGISSSALPYRRTPPSWLKTTPEDVVDQIVKLARKG LTPSQIGVTLRDSHGIPQVRFVTGNKILRILKSQGLGPSIPEDLWHLIKKAVSVRKHL EVNRKDKDSKFRLILIESRIHRLARYYKTKQQIPPTFKYDSATASTLRYAPTPTLTSV FIDLRLNVRYTTPTVFNPITTANIVSVMSRSVRAIVGLQFRSAEGIIRILPQEMLDMI IEQLRVPDNLDPDNEDWTAVRFILCKLRRVSQSFNEAASRVLFRQMLSKSGRTHSMFL RTLLKNRRLARHVRIYSTPTSETRTGHVYKYIKQCLPLMTGLNDLVYRTIENPLVPGS SERQPLPRHFPNLKTRKRPFQLINFTWHSDARRPEEDEKALEFLTTQNSLVYLRWIST RPLPPSYKEKIPLNLRYLDSSVEVLVAVLPERDSIEGVRWRMHRPELLNHLSTADIAD LCRKLCRMDKFLNLTFLSLAHGYEEAALQYLCSTAESFPQMIGLVLGDIKFAFDMNLG QTFPALRQIFFSNVSEHNDVTQVKKKVEYVFKCNLFIRHVNIRRESNWHPYEWNFFTE WRQGGLQGPVSRTWELTPEYQYCQLVFEHTNIFGLNPLIDTSPF JR316_0008700 MDAAAHDEERLDGLEEVLLSVDPATGAQKLVDLDDEKRYRVFFD KKIAQEVPGDSIGDEWKGYIFRITGGNDKQGFPMKQGVLLPHRVRLLLSDGHSCYRIR RTGERRRKSVRGCIVGPDIAVLSLIIVKQGESDIPGLTDVVLPKRLGPKRATKIRKFF NLTKEDDVRKYVVRREVVSKKKEGAKPYTKAPKIQRLVTPIRLQRRRHLLSLKRRKIE QQKEQKSEYDVLIAKRVAEKKAKVAAIKAAHHKTA JR316_0008701 MSTALEKHRAMTENRMNEKFPSSSNESERPADALEYELDGIHDG LVFPTEEERATLRRVPDTIPWSSYLIAFVEGAERFSFYGSSVVFTNFIQRSLPPGSKT GAGGLHGQAGALGKGQRASTGLTTFFLFWCYVTPLIGAYIADGHWGRFKTIWVAVFIA FIGHIVLIVSALPGVIETNGAIGAFIVAIITIGLGAGMFKANIAPLVAEQYKRTKLFV VTTSSGERVVVDPSLTVLRIYMYYYLMINVGALIGSIAMPYAEKFIGFYLAFTLPTAI FLICPIVLYVSRNKYTRSPPTTSVLGTSIRLWRHAARGKWTLNPIQLYKNFNAPGFWE NAKPSKQIGEKPTWMVFDDLWVDEVRRGVKACSVFLWYPIYWLAYNQFSGNLTSQAAT MTLHGIPNDVVLNLDPLVLIVCIVLCDLFIYPALRRMGINFSALKKITAGFMAGGAGM LWAAVVQHYIYKTNPCGNHASECDGVSPLSVWIQSGSYVFLAISEVLASITGLEYAFT KAPKNMRSLVMSVFLFMTAISSALSEAFVSLSGDPLLVWNYGVISVMIFVTGSLFWWS VRDLDAKEDELNNIPEGHMGAPINEASKQNA JR316_0008702 MPPLLPRALGRQKCQYCHKENSKRFPLKTCAQCQRSSYCSRECQ KKDWREHKELCGRLKEQIDLLNQASNESPTIYGLTLSDTASKIKKWSQHYSVLLAHSY TEALKLWHGAPNAYQTHLLVVYVVPKFTKLNAPQKTKDIWTAFGVADAVVVPIAEIIE INPEMAYSIKMISEMDKTPEARRGPLALVYIAMPEFDMCMMAPLGLSPPPKNLPPWDD HWKTRLQRMVDLGVAESPVCEDCNRKLCNQMQLPYRTIGYAKCKKHTPVPQ JR316_0008703 MKSDETLNNNTLATIGMALADAETKLKKWVQYYKSIIGSTVVHA LKLQMTPEACLTHLLSFTLIPKFTAANPPIRDKDIWTAFRVDNIQVLSFADCIAEKPQ LKVKFDEIASRTKNARKENFNAIGFGIVLIEIPCIYTTRFVPYGIPEDLERWDPQWQA KLKMVIERGIAI JR316_0008704 MNSFELQDELQSLQEIEEYEIPNDEYLSSQDPETLLEAAVESIA ESSDSIRDPDIFNAYRSLLKHPNAVPGPVMSKLLDSISSALQAELEATQRDVETGDPQ VCANHKMPLEMYAFLLYWFVIASEKVKASEETEAAPAKGRKGKGTKATSRAAANKKTD TVWTWKDQIVPTLNLISKILRHLQTQRIWTTTAERDTFINCITRPAYHVMESEAYMKN QDIKMSVFKVICLSVKHHNQAMAVQITIMQSLQFYEHLSEPLAECLIILSNEFASTQL ADEVLREIAGKTFGSQETKGPRAFAKFLIKYAEACPRPVLKQLSLLLGQLDSESYPIR QAIIEVLGFIIADLAGIDPQDADRKQTQKQINNLFNVLLDRLLDVSGYVRTRVFVVLG KLAQHKSIKFPKQRLAIASNAVESLDDKIASVRKSAIALLTQLILTHPYGTIHGGTLQ REIFAEEYQKIVDQLDKYESAVGNAVQGAADEEEDEDEDGDEDDAEGEDGEEEEVDED GQPKNKRSKKSKKQRDGDDATMDVDDDGGDTEPDEMSVDGDDENTTPKKSKKKKGSKL KRRKSQIDIEALNKEQEVLATFDEQEINTLRLQKKYYADALTFIDQIENAMEPMCKLL GSTSKAEVLEVMDFFRVAFEYKFDSAHEGIRKMLHLIWTKDNTTTSEDGKELKGIRQR LLETYQSLYLDPTADDKPKEQVNRITKNLVELTYESTLAELTSLEEMMRIMMESNRIH HDVIARLWQVYGRDKHLPKPQRRGAIMIIGMLALSDQSILTEKVDLMLKVGLGRLGKT DPTLARYTCIALQRLNGSAKKVKGSLEDKVHRIDMDNVIFRKLQDAILYPSRTKEWFG MAEQAINTVYALGEHPDLFCNEVIKKLTIRAFSRPQKEKDMTEATDDKDKEADAAMNE DQAGDVTMADITMQDATQATQATQDDQDKQFGEAFELSQLLFVVGHVAIKHVVFLELV EREWKRQKDEKQAAEKLANGNLKGNKDGEELDQVAGNAEDEIGERIHAIRETELLYGP HSLLAMYGPMIVHICGSPHKFKNRTLRAAATLAFSKFLCVSSQFCDAHHRLLFKILET SKDSNIRSNIVIALGDVAVSFSNIIDENSNELYKGLSDKHFVVKKNTLMVLTHLILNG MIKVKGQLGEMAKCLEDPEPRISDLAKLFFNELSTKENAIYNNLPDVISHLSTGEHAV DEETFQSTLRFIFGFIEKEKQAESLVEKLCQRFRLTEEPRQWRNIAFCLSLLPFKSER SVKKLIEGLQFYRDKLHEPAVFERFTEILAKARANKSKDKPDSELNEFEKILEEHKAQ GQEDQALEKRVEGKKAAARKKAAKRSARTKKAAPAPVAQDDDMYESD JR316_0008705 MASAAIYNRSPSPPPVARNDSHRQSIASSSVSGASYRTAPLLAG SHSSLANSNFTNGNNSSHSSVHTVHANKDTPRDTPATTTNMINPIYALGGQQEMHIVK VTNDKVEIESGPVPDSYLEKVGRAVLRTVKPDSDHAGSPPPPPLPYPLPPSADQQQRE EEQLETKPPHPRMLGPPLPAHQNGSVTQHQQRRSSIEPPASSLPSQLPLVPPEALQRS STDTKASYSGLRNLVTSSPPQQQPSINTNAEASSSTPSPSRANGHLSVDGYLQPPVLT AAQRPARRNTTGSTPLMSKRGVAAPGVGGSAHGKGASQPFASVDDNAVLGEGGLELAS DIEIHAERIRRERMSKRAKQQQEAEAALTRAESSGVAAAQDAPLVGNLIGEDHVNYVL MYNMLTGIRIGVSRCGAKIKRPLTPEDFMARHKFSFDIVGNELTPSAKYDFKFKDYAP WVFRYLREDIFHLDPADYLLSLTAKYILSELGSPGKSGSFFYFSRDYRFIIKTIRHSE HKFLLSILERYYEHVKANPHTLLSRFYGLHRVKLPRGRKIHFVIMNNLFPAHKDVHET YDLKGSTVGREYPEEKAAKNPRAVLKDLNWINRGNMLELGPEKRALLTEQLRRDEEFL KSIQVMDYSLLVGIHNMQRGNRENVRKNTLKVFSPDLPEPRRSKASQAGSKSPEAIAM RRAMRSSDPKRLGKHTVRLPEEDTGDRQEFVFYQDEGGLRATDDNNEPMNVIYYLGVI DILTPYTFVKKLEHCWKGMSADRHKISPVPPVEYGNRFFAFMKAVMRGGEGGEKFKEH VKREKEKEE JR316_0008706 MASSSFNNTTSGEPNKTTGQFHSTKGNIVEAIGNVTGATSWKQS GKEEHAAGEAEYKAAQAKGYAEGTADRITGKKDSVVGAITGDKSQQAAGNARHDKGEA QQDINKNA JR316_0008707 MASQSVDNTASGEPSKLNGQFHSVKGTVVEAIGNATGATSWQQS GKEEHAAGEAEYNAAQAKAYVEGTADRIAGKKDAVVGAITGDRTQEISGNVQHDKGQA QQEANKF JR316_0008708 MPAFRNIAPIRHSARLVNHIPAISDAAGHTIKNEAPDISLPLSL APSTNGLEDTSHRAHNPQPLPSLFDDINSTAATGRTKRPSHARRREPGHIPRPCNAFI LFRSKFVSSAYFGKDEHQNELSRSAGKVWNSMSKEEKEPYRAAAEIQKMEHKAKNPGY RYNPGRVERTKSATAAKKSTSKAKRGRKLDDCSPLSFSSSGSSSTTPSESPVHTPPFF PVKAADEQAAYDQNANVFDGAQYVLSDEQGVCSSLSRLYNSVAQSTAPNPCTSMEPHD HQMEVERDPPPPFHPHLDPVTGQFAYQDYSHDFMADSLPTLPHIHTTPYEAIDNAIDI SSIPSLNTQFDFPSAAFSFDDPNANCTDLLGGISEGNGTSTSKAEDQANSFPNDCYKH GDLEKYHEALFNEFFNFDQ JR316_0008709 MAFAEWEKKYNNASSSSLGALDEEEEEEGKQEKKEGDIVREPCD VQRTTGNEGRSVQQLGCRSPIVDPRSSIIVYREMVYENPLNPE JR316_0008710 MEFVAGPAVHRVLCADCGTPIVPNSANLCVSCLRNTVDITEGIP KQAAVSFCRNCERFLAPPSSWTLAKPESAELLSICLKKLKGLNKVRLTEAHFIWTEPH SKRLRVSMTVQKEVLTNTILEQTFEIEYLVQHGQCPDCAKLAAKNTWKALVQVRQKVP HKRTFLYLEQLILKHNAQKDTISIKEVRDGLDFFYSSKSHALKMVEFLASVAPIKSKA SEQLLSSDVHSSTANFKYTYSVEIVPICKDDLVCMPLKQARTFSNINPLTVCIRVGNS LQLLDPQTLQTCEIPSQIYWRAPFDSLASVTDLKEFTILDIDPDHSRQKGKFLMADAQ VALAGAFHSSGKQADDEDSMDFESSGLTTQVFHTRTHLGAILQPGDTALGYFLTNANF NSDNFASLPVSRIPDIILVKKTYPNRRKKSKVRNWKLRSIAKEAGEEGETSNARGAIG RMGGRDLKKVEQDYEIFLRDLEEDPELRSGINLYKAPEVTMSAPEGERKPRKRAQFAM DVDEAPAEVIPANTTEEDDDEEEPDFPDVKLDELLEGFDEMTLGAGEDEAEEEQS JR316_0008711 MKRVFALLGAAIPVLQVVGSPPVKVGLRSSWPAPPFLAEILETV SLENPDAFFTYLDRLTDPELLRLPNSLKPEAIHQAALQIAIDNGILHEEGSLSLVEMN LAMHAATPKLEAFYNYYADNHNRSPGTQCGSWVDWYGEVICDVETLAQLAGVEAIDPP RDPKTDTFQPKPKILTFDHIYPPPDLILERPPRTAILYASLTSPNFRELHTYLLKLTN RLDPHVEYVFRHIPPTSQSEEKTYLSGYGVGLDLKKMDYLALDDRTNSAASNAESKSD ISSDTQRVDPVMPLILAHPENVTAPGADVPLSEEELEGLGAKAAQIISESLDPLETLT HLSQNFPKYATSLARRVVVNESIAEELHNNSLKAQRGINALWFNGLQIEPKDVNPFGL IRTVRKERNVMKSLTSLGLERSEALQLLTHSAIAIAQKDSASMEAIFDASDRPEGGDV IVWWNDMEKDSRYAKWNPSLYALLRPMYPGSLPSIKANLFNIVLILDLSQTTSLNFLA GGVTNIINRDIPLRFGLVPLVETEDGKKMARLFYHLVKNYGRRKTLQFIHSISQIRLP AHLQTADLDWTTVGAAYDELVAREHEENPDVVTPSLDAIFNGEVDVDDSRLDKINAYS ERLASTLASSETGHAFFNGKHFDVNENFMRQLQGEVAMQMAYLQEKVYAGVLADDAER ETMSNYFYDLPTTSKRRNKYIYPAAGSKSVHIVNLPEVFAKSRFRLSSSAYLYPPADA QSISESLYVVADFDTEDGLALVKDAVSSLNEESKTRISFIHNPSFVKSPDNASRSPAT WLFAHLHTRELLAKASPESLLTALGFDGLSIPTEGTQIPIKKYNAFEELTGGVSLGSI MEEEYIDYVKTSRLVSRELHLLPGQSALVVNGRVVGPIAANDFHVADFKTLEDHEFRG RTEPVVKALQDVVPQLSEDRNKFANVVSLASSVIAATQQPDPSEAGLFDKPLIVRQRG YQLLDSEYTSFQYGDNSTALHHVAVLVDPLSETGQRWSSLVKWLSNIPDIFISIHLNP AGYKDVPLKRFYRYNLIPQLSFDEEGREVASQAIFEDIPVDPIYTLAMDVPTAWLVRP REALYDLDNIQLGKLYPGDTAVEAVFALDYIVIEGHAREVANQVPRGVQLQLAAGDGV PVDDTQVVANLGYFQFKAKPGVFKLEIREGRGRKIFNLDSAGNEGWDSPTVEEAGAEI TVTSFEGLTLYPRLSRNPGMELAEVLQEEEKDDEPKGIFEDISSRVMSIFKSSPKKEL STEVATVKPQADINIFTVASGLLYERFVGIMILSVLRNTNSTVKFWFIENFLSPSFLE FIPHMAERYNFQYELVTYKWPSWLRAQTEKQRIIWAYKILFLDVLFPMDLKKVIFVDA DQIVRADLKELVDLDLHGAPYGYTPMGDDNTEMEGFRFWKTGYWKEFLQGKPYHISAL YVIDLVRFRQASSHFSTITFQPLILSFFDLDILRGSYHSLSADPNSLANLDQDLPNNL QAQVPIYSLSEDWLWCETWCSKDRLHRAKTIDLCQNPMTKEPKLARARQIPEWDEYDT EIARFTRELAEAGKLHSRIATADANVLAGGGADGKKDASAEVVEEEIKDESHAEEPTR DEL JR316_0008712 MSNVEKEPGPQPPSPAPDSAEPEKRKREYKDFGHEEEGPTRALV DMDTIELKAEDLYDKEKVDLETIVIDDVFKLLQCDENGLSEEEAARRLELFGPNKLEV DEQNPFLQFLSFMWNPLSWVMEAAALVAIVLSNGQGQPPDWPDFVGIVLLLFINSAIG FYEERNAGNAVKALMDSLAPKAKVKRAGNWSEIESATLVPGDMISFKIGDIVPADCRL TEAINVSIDQAALTGESLPQSKKNGDQCFSGSTCKQGEAEGVVISTGSNTFFGRAASL VGQDDDTTGHLQKILAQIGSFCLITIGIFVLAEIFTLYAGFRYSYRRGLDNILVLLIG GIPIAMPTVLSVTLAVGAQQLAKYKAIVTRITAIEELAGVTILCSDKTGTLTTNKLTI DRNTIQTYGPFSAEDVILLAAYASRTENQDAIDASVVQAIGDPSRARAGIKLLDFKPF NPVDKRTEITYREEATGKLKRVTKGMTGIIIELCSRNKTEEIENRLEKDVEEYAARGL RALAVAYEEVDGDDFEAEGNGFELIGLLAIYDPPRDDTKQTIDDALALGVKVKMVTGD QLAIAKETGRRLGLGDHMYPAKVLKDGPAPGSKHGSLDEMIMDADGFAGVFPEHKYEI VKRLQGLGHLCAMTGDGANDAPALSRANVGIAVEGATDAARGAADIVLTEPGLSTIVH AIRQSRVIFQRMRNYSIYACAVTIRIVVCFAILAFTYKFDFPPFMILIIALLNDGTIM TLSVDRVLPSMTPDSWDLAEIFSYAVAYGIYLTASTVALVCIIKETTFFQDTFGVRLD TDFPIASNDPQLHMVIYLQVAIISQALIFVTRSHSFFFMERPSTALMVAFCIAQLISS IIAAYGDWGFTKIRAISGGWIGIVWVWNIVWFIPLDWIKFAMKATVIKRLRQRHEAKV AQEAQTGVPMTRTQSRAASIHESLYSNRVSFIKRAARKVGFGQKISMKPEELQRFSSI QAQRVGATLARNPSRTAA JR316_0008713 MSTNCKKRLIRDFKRLSSDPPGGISGSPLPDNIMLWNAVIFGPG VFSLPHSPPRTHLPTGDTPFEDGTFKLLLTFDESYPNKPPTVKFLSRMFHPNVYANGE LCLDILQNRWSPTYDVAAILTSIQSLLHDPNPNSPANAEAAQLYRENMKEYVRRVKVT VEESWLDPEEGGLGENEGEGENEGEQEGEGGEVEMGEVGREGGEPMEGQHAGGAASER V JR316_0008714 MDGNAPVATPSRRRGTRMRVLSELEDGNLACVFPRVGHVVETVN LRLLSELEDGNDLESVFPSGGNAVESRIDWGAGNNETYAKTHRAPPIYSKAYIRSTGA ARHMRSARCESPLDSPPCCGFQYAIGEWTGRGGDVVDVLWCCRGFASAAYTVEMWREV PSRCPKDAIAGLRYPKIWLSNIPGGTPVECESGTPQQAGKGIRIDAYVPYTGNVDS JR316_0008715 MSTNCKKRLIRDFKRLSSDPPGGISGSPLPDNIMLWNAVIFGPG DTPFEDGTFKLLLTFDESYPNKPPTVKFLSRMFHPNVYANSELCLDILQNRWSPTYDV AAILTSIQSLLHDPNPNSPANAEAAQLYRENMKEYVRRVKVTVEESWLDPEEGGLGEN EGEQAGEGGEPMEGQHAGGAASQRV JR316_0008716 MSTNCKKRLIRDFKRLSSDPPGGISGSPLPDNIMLWNAVIFGPG DTPFEDGTFKLLLTFDESYPNKPPTVKFLSRMFHPNVYANSELCLDILQNRWSPTYDV AAILTSIQSLLHDPNPNSPANAEAAQLYRENMKEYVRRVKVTVEESWLDPEEGGLGEN EGEQAGEGGEPMEGQHAGGAASQRV JR316_0008717 MSTNCKKRLIRDFKRLSSDPPGGISGSPLPDNIMLWNAVIFGPG DTPFEDGTFKLLLTFDESYPNKPPTVKFLSRMFYPNVYANSELCLDILQNRWSPTYDV AAILTSIQSLLHDPNPNSPANAEAAQLYRENMKEYVRRVKVTVEESWLDPEEGGLGEN EGEQAGEGGEPMEGQHAGGAASQRV JR316_0008718 MHHYNPPNRKKTFGMRLNGDSDEEEQCCVRTVASEYLKTAQFAN HPNPLKTRKRRRIACHVSERSVPQPSSLMLVGMMPRNERKRWKWAGMRIWGRRSLLRA MYGMRGSHYRYSR JR316_0008719 MSTNCKKRLIRDFKRLSSDPPGGISGSPLPDNIMLWNAVIFGPG DTPFEDGTFKLLLTFDESYPNKPPTVKFLSRMFHPNVYANSELCLDILQNRWSPTYDV AAILTSIQSLLHDPNPNSPANAEAAQLYRENMKEYVRRVKVTVEESWLDPEEGGLGEN EGEQAGEGGEPMEGQHAGGAASQRV JR316_0008720 MSTNCKKRLIRDFKRLSSDPPGGISGSPLPDNIMLWNAVIFGPG DTPFEDGTFKLLLTFDESYPNKPPTVKFLSRMFHPNVYANSELCLDILQNRWSPTYDV AAILTSIQSLLHDPNPNSPANAEAAQLYRENMKEYVRRVKVTVEESWLDPEEGGLGEN EGEQAGEGGEPMEGQHAGGAASQRV JR316_0008721 MSTNCKKRLIRDFKRLSSDPPGGISGSPLPDNIMLWNAVIFGPG DTPFEDGTFKLLLTFDESYPMFHPNVYANSELCLDILQNRWSPTYDVAAILTSIQSLL HDPNPNSPANAEAAQLYRENMKEYVRRVKVTVEESWLDPEEGGLGENEGEQAGEGGEP MEGQHAGGAASQRV JR316_0008722 MSPGVATPSRRRGTRMRLLSELEDENLESVFPSGGHAVENLGLL SELEDGVSKQAIQHGTPLTDLDTQNDLESVFPSGGHAVERTARD JR316_0008723 MSTNCKKRLIRDFKRLSSDPPGGISGSPLPDNIMLWNAVIFGPG DTPFEDGTFKLLLTFDESYPNKPPTVKFLSRMFHPNVYANGELCLDILQNRWSPTYDV AAILTSIQSLLHDPNPNSPANAEAAQLYRENMKEYVRRVKVTVEESWLDPEEGGLGEG EGEGGEVEMDEVDREGGEPMEGQHAGGAASQRV JR316_0008724 MVVCYPSRHGIASTLYIVFRRPDIALTRRIDVRRAGLDLWSSDF ALTRRIAVRRAGVVSCVPVEIYLETIYRRPTSRMGHLHECFDTIVEIYYKQKPSTLCI SVRRARFGMLA JR316_0008725 MSTNCKKRLIRDFKRLSSDPPGGISGSPLPDNIMLWNAVIFGPG DTPFEDGTFKLLLTFDESYPNKPPTVKFLSRMFHPNVYANSELCLDILQNRWSPTYDV AAILTSIQSLLHDPNPNSPANAEAAQLYRENMKEYVRRVKVTVEESWLDPEEGGLGEN EGEQAGEGGEPMEGQHAGGAASQRV JR316_0008726 MSTNCKKRLIRDFKRLSSDPPGGISGSPLPDNIMLWNAVIFGPG DTPFEDGTFKLLLTFDESYPNKPPTVKFLSRMFHPNVYANSELCLDILQNRWSPTYDV AAILTSIQSLLHDPNPNSPANAEAAQLYRENMKEYVRRVKVTVEESWLDPEEGGLGEN EGEQAGEGGEPMEGQHAGGAASQRV JR316_0008727 MSTNCKKRLIRDFKRLSSDPPGGISGSPLPDNIMLWNAVIFGPG DTPFEDGTFKLLLTFDESYPNKPPTVKFLSRMFHPNVYANSELCLDILQNRWSPTYDV AAILTSIQSLLHDPNPNSPANAEAAQLYRENMKEYVRRVKVTVEESWLDPEEGGLGEN EGEQAGEGGEPMEGQHAGGAASQRV JR316_0008728 MSPGVATPSRRRGTRMRLLSELEDENLESVFPSGGHAVENLGLL SELEDGVSKQAIQHGTPLTDLDTQNDLESVFPSGGHAVERTARD JR316_0008729 MSTNCKKRLIRDFKRLSSDPPGGISGSPLPDNIMLWNAVIFGPG DTPFEDGTFKLLLTFDESYPNKPPTVKFLSRMFHPNVYANGELCLDILQNRWSPTYDV AAILTSIQSLLHDPNPNSPANAEAAQLYRENMKEYVRRVKVTVEESWLDPEEGGLGEG EGEGGEVEMDEVDREGGEPMEGQHAGGAASQRV JR316_0008730 MRLLSELEDENLESVFPSGGHAVENLGLLSELEDGNNLESVFPS GGHAVERMHIAGLRYPKTWLSIIPCGTLIVRGMQGGNRPAARYVTRSEQL JR316_0008731 MSTNCKKRLIRDFKRLSSDPPGGISGSPLPDNIMLWNAVIFGPG DTPFEDGTFKLLLTFDESYPNKPPTVKFLSRMFHPNVYANGELCLDILQNRWSPTYDV AAILTSIQSLLHDPNPNSPANAEAAQLYRENMKEYVRRVKVTVEESWLDPEEGGLGEN EGEGENEEEQEGEGGEVEMDEVGREGGDPMEGQHAGGAASERV JR316_0008732 MADNTVKYDLPDGYIFVLGPDDRDYIVPEFMVPSLEQAFAIQRT KSNIGVAKASGIDPSAKNAQIYNTLGGNLHVPPEPPLTDQERLSLHAEVCSLQQRLGI SYIDAAHRLYLAEVEKIKLANVHRKALGALDRHVRKSLQFIAERHSVIEGKETGSNTK LQ JR316_0008733 MDATGSLTEDYKNLLLDDVFRTHDRRRKVYNHQEREKIEEFRQQ YMEAGSNRGRKEVVCCILPVLFNYWVETGSRAVSQTEDFTQQEAKKLLKWIRNNWRSA KPTEAELTGHPKKRTTILWRTRKEDVYKEIAAILGVDSVTAGTPGIFENRMKAMGNIL ARMSDAELKQLDLDGKALETVEYTDEQKRANADKHAFRKLDEASKRDWAEMGLMNITF VTRLTESGQLAVRVHDQIANILGVSSTSFEDQKSAEVKQMKRFIGVYVRGLLNARDRA KDGGGDGDGSISMLDQDEHGFPKLPRDFEPDKLNKRQLETLMGLYFSQHYSLATNGRS KHPPYDYIEKKQSAFISPNYLPRGLKLAPPRNMNMEDIRLLLTHLRQRQETFPLSQVF RFHKVKKHRKGEEMISSQYPDEEIRLEEPKRPIPAAKKSHRRKKVSKSKLPKPTRVEI QPTRIEEMPGASNLLSFDREPSTQASIDYVPIDPQLLGHGVHTEIINSNPTIPDIPPI LAGLQDQNHTQNSIAPQEMSETSQFSLETVPAPNIDISNPALSHLQTFIYPPPESAAI NYTSSAIPLTNLPTTQPTTPQPANLPTEPNNVPKPRPKPRPRRKPVTAEEIAQAEENQ RLLEEARSIEKDLLANGSATVRSPSRTGDPPVESEQPKGNRRKRSEVEESLIISGKRI RRVRERTS JR316_0008734 MTKTSRKSKKKILYEDDADAADIITYETQTRTTRTGRKVNELVE VPLTIAERYHVQEGQLPSVSVDDDGDDTQRDYILQFVERVDDLLGALLSREALKVPVE QSICTHCEANNIATWRCRDCSLPVVMCRKCMRESHKSNPMHRIEQWIGTHFRSAELWE VGMYLLIPHHSGEAICPSLKARIQFLEYLEEPKDRSEQAQLRTVDLSQAPWRSEEQTP TGGENSDNPLDNVEPEAEPGNHGPSDAEFEQLLDGLLEDPSMELPPDVLDEDDEDTAV LNGDSNVRNIPQYLQYPTGPIPNASMHAGQVPTADGLNNSYVRVVHTNGLHHLAMVSC VCHGSNTLPLDLMASRLLPTSFYHTRTLFSAHLLDYFRLSNLELKASAYQFYSLLKRI TNPMAPSSVVDLYNEFRRMSRLWRWMKRLKWAGFAGHNGKSALNVGKGELANYCPTCP QPGVNIDPNWKDDPNRWVYKRIFVADGNFKADHVRSEKPSRDIWLSEGGGMMPPREEY HEFLRTAIEALTGAPCENTFRAIQNSLLSSSSCDVTGIVGVACARHGCYAPNALVNLF KGEQQKNVDFAFLAALRSTGVHPDQGTMMIYDIICQYIIHLLKRIKHHLPDGFKIDRA IGMFHVHAHKDECFFRYAPTFIPGAACVCGEILESLWADLNSISPAARTATLAHRTEI LDDHASDSNHKKALGITKYLCRRHLESVKARETYRISFSNLTKAADPDALKLWTKQIE DAEARRLEDATVMDIYAAKRPGRTSSTNQESDCSTESLTPIQSWIQFALLIEERQLDI RVRARRLVNHDRLTDRVKLQKLRDALKPLLSQLALLQANAGVVTTAVHGRGFSEQLFV DWEDDEDVLAPGSAPPVYEAIDKQILCLPSNGTADNVYVPYELQARILQARSLLNQLR ERIAERSFQYSDVIRHAPRKGVRTRGYTAAKELRDQISLHAQAYSHCRSCLVQLGADE STLREFRVLTKEDVRSSTAVINPNIVGSTKFRLSWIWYSVNQRLGPRWTLDPNADTAA DPYSIGEDADPATVLEFKRVHWLRARALYNRWLEEETLVRYEMSENTPTMRIFRQPSV LAMDKAPTIRPFRAFDLQYGANPQHHEFLQSVVFCAGSRFREALIHVLQSSGQRQKRW MQQALQRELNWVLRCIGRAYAIGFNIEIPLVIRCLADTLGSVSADHLPLDIDMSFAEF LASGTRDWAFDKRVESYSHDWWNRNSAPQPSEHLGTATVSEILTRHKAEFYKTFDPEP TTTFDELLKTHIGTGKVTNVPPNMPLIHPVQVILNNIGDTCNACDAFQNLSIESIQQL SSFKNHTSIMFSTADNTLDLISRRAIGFFELYEAAKRAADLTQGPDTMDSENPASKWT WGRQLTTKEVCLLGAGKKASHSGYAESLRDGKLPSRSVHEASHSAHASDTFESVPSVV METHDSKDPIKHPQYFEDGTDTGSIASIIERAATTYESDSDDSDAGNAGIANNDAMAQ APQTVAELLAQAEYISSDDDDNEDMHGEEDGDKGKDTDTGLKRQNADNNGADRRTKPR RSDAPTVEDTDTSQMTAYFTKNFFSNQLDEGLFEMDAEEDEDADDVEDTDTDEDKDAD TDEESVSEDRAMEE JR316_0008735 METRFATSFQPTTLAAAAVALWLAAKLLKAFTARKRELYPPGPR PKFLIGNLLDLPSVISPMAFAEWEKKYNSPLLHAEVFGRHILIVNSLDDAIALFERPE RARIYSDKPEFPVLDMMGMDINVGMMPYGEHWRKHRRVSHQNFNIQAALQYEPVQAKH VRNLLQNILDSPARFHDHNKLYSAAITLSAMYGYEVKSIDDPCVTLADEALRLTSQLI TPGGSIINVIPALRHVPAWFPFAYSKRTAEKAKAMTEEILRIPLEHVKRNFERGTVSP SLVTNFYERKLAVGASEEEEMVIKNVAYTVYGAGSDTTASLTYTFVYLMTVHPSIQRK AQEEIDRVVGSSRLPALADRKALPYVEAVYREVLRLYPPLPLGLPRATSEDDVYDGYF IPKGTTVFTNIWAMSRNERDYPDPSRFDPERHFTNGQLMDEKALAYGFGRRKVGCVGR PMASNTVWLMMVSILYAFTITKAKDEDGNDVQVNDQFLSIGIMTHKQPFECAFHPRIP GIRQLIAEA JR316_0008736 MSNNEIQSKQLMDAHIFGYDQPEFSDITLVPGGRYLFTVSPMYH DNRTYIKLWDLGRPGGAEAQVILAEYIYEHKYVCRHKIAPTADGRGIRFLVISTWQIV ILEIYPESPNPKFEYVTCLDIDTDGNSEECNFKFVGIHGNQIVLSISISRLVIQPRFR YFDEYTSPGVGHIVVWDFIEEKTATIHTGKIIIGACIIKDDCVIGTRFGTNETFLWDL PEREKWKQTLFFRRNTPPTPPTSTQLLPFAGALDRIVKGVKTQELPRGWSGADQQAPQ RLLSLYGTDSGIDEMIVSKFNNNETSRGAASVCAGHQEKSVACPRATYFCVARINGIR JR316_0008737 MESREEISEDDEDFGKWFQHIAVVPGGRFIFSVSPMYPDKQIYV KLWDIGRPGTQDTQIKLLAQYIHYELDIWEVKTAPIEDGRGIRLAMACTWYIFVFEIY PENLNPAFELKRSISLIYGSESDVEFIGIHGDRIVSSVSVKLLTFKPSPPVRYLGYIF VWDFIEDQVGVIHTGSEFHKACAIRGNSVISLQEDRVYVWGIPELQPVTGFDLSLTEP ITIPLFIHSHSDERRPPFDVRQDIITLSPDQGWYSDPKVLQLRTWEVDAATTGTALFT INDDSTTSPLIHAKIVWGNHPRMQISDTLQLCHQNIITLQATSPGYVHLAGIPIPDQP SAKVIVPNIISFSMDGFKPDV JR316_0008738 MSRGSFSELNEDVIANILLYLAPKDIISLRLTNKTAYLASKYRV VWYEALGNRTREEKILGFPYDRDAMSTADLESASVFPQALLCEPTGLTQPPKVPSLK JR316_0008739 MTLRSIDGQADCDRESLAPPSIGHLDETSTSPRLEVADLDDTQK FSDLFDSLGLHIPQEQKNRISRSFEASYEIENYPNVKHIDPEWKHDEIEEIVVVSPKR ANAFDLSTIHEEPSVLQVPSACLADFDIVKTDICSNVGPAFKVGVCTRKDNGQRYVIK RKQTRNDSSWSEKVVLEMLASLSTPFVETIRWSFRNEEYVYIVLDTYVAGTLLDLVNH HGPLGSHKATFYASELVCAILSLHNAGIMHKDLDPRSVSLDTDGHIVITHFSRAESFP QTTRPHGVSCNVGFGDTMSEFRAPEIFLGWELDSAVDCWSFGMLCYFMMFGTHPYGER DVADDPRWLYDRVVVFSVPTESLRLVHPMARDLITKCLQRNPSLRWSMEKIKSHGYFA LVDWDQVAAKQVDAPSFRRTAMEVDRAVFQTQSDSHQRDAPSVNEVAFPPAFSRNLIH PATTPTGTSNARSRITISELANASEIFRPLSISTIAEALHEDDEPVSLSASTGHVESE RDETSMSLSATVSLGVEQRQQPPPVHAQIRPTDKVSRFWAELDQEEQQSSVSIVTEQE FGGSSNNIPFTHGKVPKLRKYRSAIHAQRLFSLSTASFQKRLKQKPKSSGALRQGHGH GQGQGHEGQGQGGSKSRHAERIDDLPMGIQQSGSGIGFTYNIPSGVPSKVSVRSFAPS CNQFFHGGITNALSLNLNRGLGLGQAQRVGLKAKNGGAKQESSGGCSVMSLSNPQHGS LEAPASQGTPTVLSMPTPRAREVGNATFIRDMYRSPSWIFSPPDSLPSPMALVNPNPV NSCSNSPSMEDGRNRECRGVREGIHMGRNAHRDQENHSEASPDSSGPFTPATLVSVES NGVGNVKGNNGSVRGHGQRVAGAKRQHENEHDGEHDKGPQQHHERGVSHDDDDDDEDC PEEVNISIPKNLELDLDFRMWAPDSTLRLVPPSRSAAAAGGGYEDSVPVHRSTVGRNL LPASLLGGRRNTGINGLGSRISPSVSMSMSIMSMMSYDDVENENENKDNDSSCIGGDS FYTSDVTASSARI JR316_0008740 MSSTAAQSKRRIVVLISGSGSNLQALIDALNTPALPNAEIVLVV SNRKAAYGLTRAAQATPPIPTAYLALATYVKQNPGKTREDYDAEVAKIVLRAKPDIVV LAGWMHILSETFLELLDGRKVVEDTPVLKPIPVINLHPALPGQFDGASAIERAYEAFT KGEITHSGAMVHRVVKDVDRGEPLVVREVAFVAGESLEAYETKLHNVEHEIIVEATKK VLEEL JR316_0008741 MPLSISDISSDISGSPPRLDPSTLSLLDSFFSEKAEADRKFQEL AAERAAAQVAGLALEEDIESDETKPMVSVSDFKLAFGEDWQLSQFWYSESFATNLASR LHALCTPNTHIAFLCCPTAFVAFEHMKPLTNSKLLEYDQRFAVLSPKKFVPYDIDDAD VFPEAMRGKVDIAVVDPPFLNEVTNKKVVQTLKQLLHQRSKLIVLTSVSVEDVLAKLY SEPPLGPLRRTAIDPEHGRLANDFACWGSWDGAEKFGKD JR316_0008742 MGMDFGDVLIHLTLPSLKTFEYEYYSSAATLDKLVKFMDRSAAT LDKSIFNANQGPLPPLWYERLRGITHLYMQLYGDRENASIGCDFLSALTDTSADALFP HLQVLTIATHNISGLVWSKLCEAVLARGKCPTIQDGVGNDYARALASVRVQLRSGDVD RALRQISRPDIALLIERIRASGVKFKSMDMENTDIVVCASRLVANSLLPFQASSLPFQ AS JR316_0008743 MSPQNKLTDHKILIFDVYGTLADWESGIHNALKPLLERYPASKT WSRQEALTAFGSVESDLQVQYPEMIYSELLAKVHERLEDRLKAQSGVASSENPFLAPG EAEVTSGAGAGSSGSAGAETQAAAASPGSDDEHKAFGASIQNWTIFPDTCAALQRLAK HFKLVVLSNVDRDSFRHTHALLSEGPTRDTITSDIRTYTYPDPNPDRFWHPQEAKGSK SPFTLIVTAQDVGCYKPALGGFRAILEYAKAHPELFGDLELAEGEDVKEKALSVAQSI PHDHVAAKNIGMRSVWIDRQSAVTCNVDPDGPGAKEKWSWKFETLGEMADAVEKELAA ST JR316_0008744 MPKHPKVGNHTLRKVKIGQCSGDLEYFFTKFSAPNLTQLLLEKA GSVKNWNSIRTVVEASGPQLTTLGIIIHADGWDYVSLLSLLSNTPVLEDLRINAQIRY DPVQLFHIIAKSSTLDVDIRRPQILPVLRSFRYQGYKTWDDQILRTLVQNLALLTV JR316_0008745 MSNFDVDIGAMDEVIFRLQGIVSDKMLPPMAKPASRDRTVKQQP YLRTAIAITGLGDIVFNKVMEKLEEVFLRFANNFPADSVSGYDPVLHKDTGFNVFHAH SQYFTKVSAYQDKSDNIGFHPLVDPDNVLASMVGDSFIHAIDNKVQFLRREILPDGTA RYYSYNPASIRIGDIVEISVAFVAFPAQGNKYKFVVALRGILVLDQEAREKADILRMR SRYTPAKRQVAVLCRTKRQLYKGQIDIEDTQQRMARMRLNEDTVHNSNTMSQD JR316_0008746 MQNSCFVINRANIWIPGDMDMYVKHRYALPVLSWMMSIGFTIMP HPKYPDNTTAEKILKMEKIVLQKHPLHRHYNTTGVVVNLWCQGSFVQLITTCQSVVEC ILGYHSTGVMNFVTFEKVYSLYPNATFGHQVSLLQPSADLKRAQKFLAKYHSRGLKFV LSIPSQTLKMDRHIQSRIQSIRDNVNVGYSSSRGHVLVVLDYDPDPELFSPGIRRVGD RHCWVYSLPLLPKANQTSFVEANSWALLLNEFDCLHFGVRRISGIALDFHYTAADVYQ LHKRVKKAIKSWERGIRQKDDRVHATVLYLLSRKADIFWLHRPQPQSLLWNGYL JR316_0008747 MNSAALRLLQQFLASKRYEQQFQQPPSLGAKANESPPHCGGDGK HAFVQIIRRLGSGTSQHFTFPQHPGPPTGAKPVGQVGGRRLHSREQGSFSSVAAVAET NTRIEATIAEYFMFSSYKSVRSIVTRTYRQCTFLHTVLPMASALSPRFQQVFPPPMDD VYMDQMDLKTLYRFSWTCKELNDRISGYMRRAFCPKNLFAPIFKPNKHLLFRLLQFKT GLVISGSTILHFTCFSGPTQSVA JR316_0008748 MTIPPIESFPLLDGTSIPWLAWGNGTGGARRNAVECGHLALAGG VRHIDTAQLYENERETGEAIRLAGLKREDVYVTSKISCTENDTPLPLSAVPASIQASL DRLGSIPDLYLIHNPFVARPGELKALWHVLEDFKEQGKLKSIGVSNFRPQDLEEILEG ARYTPVVNQIEYHPYTLAHLQPLLDLHARHGIVTASYGTLTPLLRHPSGGGPLAPILQ RIASRLSDALGKQVEKSTVLMLWARARGVVVVTASGNAERVKKLGEIASLPPGLLTEK EVEEITRVGRGVHFRYYTEHMEKDFPVPDLPRE JR316_0008749 MYDSPPPIVYALPPESPSTIQYAPVKPQDCELITTPIRKKRKVS GPVKRTKVADRQGKAQNTEHTPGQQSTPATFTDFPEPNVLETSNQELEATDDDIDTRL LPNFDENYSLFLDAVLAEECGLFQLTSRLFVVNGWNILRGESTRLWYHVLRVEIDGIF TSVCLCPAANGQSSCFHSRFIDQNAGVGSENQAFEVEKDTDQTTFLFSRHESIKDGQY MNYFSTPSFTRFSTIKNRAVVEHQGDDTGAGVWKCNKDSGASSCSHIVSARHTLQQYL TGNCDAQDDNVGKDGDTGLQYNGKLDYLLKGIAESVSYRPLPPPGWSRVASDPPSMPR VTFDIPPTIISLSTNDSCCCTTPRERFKALEPTIEKECTIYTLTRAFKAVITLQKCGK CTHRCIGPDCSSQGIFNFNNRSLFTHELLDDYTSAFSSSETPFISWVQTVSRQYQARN SPIPFANEKLFRSSWFSYARLIDFGPDMVCPSCGPTPDSTIWDGVTVSFSRKNLMPTL RPPTTIGENSISRPDVRPLPNLQAIPNRSLRLLIRYILQGPQLTTISDATHPEGSPEY ERNRRMVERLTQIPELVRKLMALDPSVGELFDIHFGMATVLGKRNAHDVYSKFFIQLS SDENVLQFIAFSCLDNLRTFIRRPYLSNVHLLRYIPALHLLIKHELTMGTLTNQVLGV CKWLYVRVTVVYTLLKVHDGPAVVSNVLQENLFMDDWLKTGCCYAMPAIRDRPQYPNL PYESGYDLGSAEIDEDICRKYYSTYSKKRLTGGIMCVWCTHSICYGFHCIRAAEGRND VFSAIFTRWKKAPKVVVYDFACALQPYCMLREPDFFKDTLFAIDIFHSSEHKCGEACF LSSYCAENPNLLRLNSSAAECGNSGISKIRKAVSYMSQDRAVMYMRVFFSIWNRQQIQ KLEKKQGH JR316_0008750 MGRKESSLITKRKKQFSNAAAASRTPPRASKRKTTSTPIEPQRQ AQKCQKCPGRPLRAQCEHTKRGKEYLARQAALNELEDDSDNESSSDSSNSNVGPGPST SLAFNNAGPSTPDIFASASTPASHSVVATPTPASARSGNMGVLTSSHLAQLSARTGST TSASLSSASASSSRQARRTSARDPYNGFVEGAYRGSEIYQIVRGHALPSPIADNTRAV RRFTSTINSIVEKCEDLSRQTSCWLFIGAQHSTARSPAISYASPRLRRDAAEQVGNIG TQFSSITRNLIQARTQENADLQRQLDQSRLDAQQMAQSLEKAAQTQKELDKQLKRYQR IHGLL JR316_0008751 MELCANPDCRRDECVQRSTINIHVFSSLTPRLPFMWYIRAKNGH FVYGDDSHLCHLTRASEVPLLRAVRLGAKRFDWKEFDAFAPIEPEDFDHYMIFKCADV PDSSCYMIEHFLRRLHNNLDDGPDPEEEGDEDEDETDDENRDDSLIDSD JR316_0008752 MEGSASSCRVGPDGLTKQQRYLQKNRQKINAKNAVRNRLRRQQE KLLRQEEAEIANTLEVDSEDVIISESRVEQPQRDSAISVDQSTQTELENPDQPRPEGY YTSQEYYASQEFDDLMHEKLMKLERLDRAKKAEEAFEKGIQEYEDFSKALKQRWTIHS GWKYPRRFKGSRLEERCRQLQGFLQEADELNCNLLQETPPSEPEWFEIFLQVREIVAK RGHLQVVYHTLMDTV JR316_0008753 MSQLAKNRCTCFSLAAMEKQLSVLNSDCTCHVAGTTCLRPGHFL PPEVERWYSVVVGRRPGPVQGRAAALDNYTGISGGHALFCPSELIAKAHFYSALERGE VVEVVPPVRTVLNREDVSTCTGFRPEDPSTPEVGTWTLVIVGREPGIFSSTYRPQGSI VHLNIQGIRGSQTKALPTRTDAVNKFLQLVDAGEVVRVSGDSNLRIAHTPETYLELCR YCQNM JR316_0008754 MHGIRCIAQHCLGVETIRSSPAIEAIAAANIRLETATAAWHRIH KKRRENRPANWEDIHKEFKDAKESLTALLKDRKSKEINQETLDSVSNLETTAGFMSTS SATSYLAAIVASTISKVPLAPVEQTTGAPATILQPKTWDRTIIDENQVEETMPAEKEP VTGPPRKDDEPCAPTNSVVYKGPVTESAIKSTVDDANIDPVLKALSLPSQSADTSIRH EMTIEISGFPAGVVNAATPPHQDLISGDARPIQIDSGRREALGSNGEAPILNDCIVTN MDSFGIQIVGGSTMFPIPPNLQKELDQCTAESSGLLQKIHTLEEELCAPAQRTMDEIR LKLREIDSGYAAIYAVEKRVSEIQNIIAEGERSFTANERRKHLLKRKEILDKQRDEGE AEFSRLTVADLPEYQRKRNLLMAEIDAVKLELQQLASSKSKKASKGTTIRSKFAKASR QARITKSSGGDKAFSRANTTENGSGDDDESSLSEGSFEGDGEGSKSSKRKSSTKFDPT IGRKRTKAQRLMLEVVYSDELKEEEEANATGLQEFRVDEWDCEEDMKEEANWAAMTPI QRVEYCDDIVRSIARFEKTGNLQDFRPKYRRSLRHVQSLLPEKCFESRRVAIAIHMTS HGNLICRFHKRYPKTKFFDNGPGLYRVRGVPKKPVYRYRVEDAATPLRETGYMSCGCL IDDVLLEFYFWKTLTISSPLPSLQGLEEPMKGDVFEPRHRSFLIKVFKEQSLLTADDI YDPNRPRDIRKFEREVRLLDLSITRLAKKWEEKTGIEMRIIFPQREAELERMRREAAE AATKQGQAGESSAMSQ JR316_0008755 MVPNVRPTTILALGAFTFVVTTSALSLFSIKQSKKKAVKWPRRE KLPRDLETWKFLVIEDYMDAWNELRPLLAQHNLELWRTTIGQQLWEHDLPPHGDNFLY LTSHDTPSKSLVNWCRFSVLTVCLHHAARMDGIRDVVLRVVSLSAGDTGQTHLRILKR LASPPDQLLSSNHILPILQEIYFEDIVIIAVPKLIYNVREVLRYRKSNSVEDALYMDL YLPNFMVEWMPESLSKRTTVARPRVYMIDFETAVDFLPDSIEADRVCTTFHRDLDQFG RYIAPELRTKEPYCPFKLDMWQLGEDLRINLTGLDEVDGLWQALCMPNPQDRMNADTA LKTLDDYLRKTPSIDLHREILNPLDANEPIFLYN JR316_0008756 MVHNVRPTTLLVLGTFTFVVTTSALSLFSIKQSKKKAVKWPRRE KLPRDLETWKFLVIEDYMDAWNELRPLLAQHNLELWRTTIGQQLWEHDLPPHGDNFLY LTTHDTPNKSLVNWCRFSVLTACLHHAARMDGIRDVVLRVVSLSAGDTGQTHLRIIKR LTSPPDQLLSSNHILPILQEIYFEDIVIIAVPKLIYNVREVLRYRKSNSVEDALYMVV QAFEATAYIHQKFIAHRDLYLPNFMVEWMPESLSKRTTVARPRVYMIDFETAVDFPPD SLEADRVCTKFHRDLDQFGRYIAPELRTKEPYCPFKLDMWQLGEDLRINLVTGLDEVD GLWQALCMPNPQDRMNADTALKTLDDYLRKTPSIDLHREILDPLDANEPFFYITEHID FPPRPLKY JR316_0008757 MVHGSRPTSLIVLAAFTFVVTANALALFSIKQSKKKAAKWPRRE KLPKDLETWKFFTIKEYMEAWNELRPLLAQHNLELWRTSAGQQLWDRDLPPQGDNFFY LTSHDFPNSSLARWSHFSVLTACLHHAARMDGIRDVVLRVVSLSAGDTGQTHLRIIKR LASPPDQLLSSNHILPILKEIYFEDIVIIAVPKLIFDVRDALRHVKDNSVEDGLYMAL QAFEATAYIHQNLIAHRDLYLTNFMVEWMPESLSKRTTVARPRVYMIDFETAVDFPPD SLEADRVCTTFHRDLDQFGRYIAPELRTKEPYCPFKLDMWQLGEDLRINLATGLDEVD GLWQALCMPNPQDRMNADTALKTLDDYLRKTPSINLHMAILDPLDANEPMYIYD JR316_0008758 MTTPVQKSALDAIRLFRTKEIAGLYKHLRKFGPLPEPPASTTTS STAENAISLPNPFLPKKHPHARKWLGAKYSLRRQAELVKKAQVSGTLDLLPPGPKKFA AELRAERVRAAMPLAQRELEEKMSKLAVSHVDAAAELKKEKAALEAKVAALRVSLAGM KESLVGVTAEYDAGQLASFEAESASDNISPADVAQRRAAHRQVSKTKRALEKRIKKTQ EAVSSAKKRIGEIGLQAKVEENTAWKVPVLWAGTGAGKGRGGKTTPGAELGTRLYSGK KRMFKGHLWERKAAGRARRHAILMRDMPARIERYKSYYKKRKPNPLRPSRYTKPPKLP F JR316_0008759 MSSTKQTLTAYLLSLSKPQTDHPFLKSAKSGTLPLHTLGLWLAQ DRIYAAHAYPRFIGALIARIPFLSSHSLRHSLATPNKEEEGNQRVLRVLVACLDNIVR EVGFFGEVDAKFALGLGVGVSEGGYEERKETRDYTAEMARVSLTGSFEEGIVFLWAME QVYLEVWTSIHTSLTSLPPSSSPSPSPSTPALLAFADNWTSPAFHSFVQDLRDLVDAF GIEPGTERWARAVGVWERVGELEEAFWPDVDH JR316_0008760 MSTGDLPIAIPLGFQISLTSAQLNSAIVFTFLSGLSISLTVLGS EESDEVIPTTFKNITGPQAFVSFGTTSLCTVLIGYKIYSSGRSVSYHGKKTSGSPFNH IIRVIVESAAIYSLILLVYAVQATLPISIDSLLHSPLLVEGYYMQPITVAIAGMAPTV IAARIAMTYSTKSTEVDSNSSLDSHSLLNLGLAAALISESAIQSSEAAPTLFKRLTGI QAFASFGTTALCTALIGYRICSSASPIHTSTNSVDQAQDQSLDQSLLSVCDQDSKAEA KIIYRIAKILVESAALYSLMTLIYAVQAVVPISSEKLIQSPLIVEGYYIQTTIVYVAG LSPTIIAARIALEKNRRIDGVKGNMANVTSGITFRRQDASTVNGIETI JR316_0008761 MTEHHPVPGQSQNDALPSEAYWSIQACKMSNGSCCSLCSQYQNL HEQVTLPRKRQLRTEINRHHDPISRFLPYELISKIFKFYVEDDFRDLEEQKDWRNFRI TNSPLIIASICSTWRSVAMSSPQLWTHIQMILQEFCRPLDKLDVLNRWISSAGNQTVS LFVVCEAPKHIPDRRRTQYKRVIAGLNAISHRWRILRLSCSHAALYQMFSGNVQGMPN LCGLSIENSIEPDYDDRVVFRTDNGHKPRPCEVRLIRVPLICLDIIWNQVTRINISQS ELSLNEWRELMRQASQLLECELTDIFFAPVLLNLDMTMPKHPKVGNHTLRKVKIGQCS GDLEYFFTKFSAPNLTQLLLEKAGSVKNWNSIRTVVEASGPQLTTLGIIIHADGWDYV SLLSLLSNTPVLEDLRINAQIRYDPVQLFHIIAKSSTLDVDIRRPQILPVLRSFRYQG YKTWDGSFWEFLPYFINRSDPENPCSKSCPLDSLELTTWDDDYRSKKENVLASICPSR EVVHRISLLSSSGTTIRLIHNDIHILAASIRHHCPVMA JR316_0008762 MSPQKKLTDHKILIFDVYGTLADWESGIHDALKPLLERYPASKT WSRQDALTAFGSVESDLQVQYPEMIYSELLAKVHERLEDRLKAQSGVAPSDNPFLAPG EAEATSSAGAGSSGSAGAETQAAAASPGSDDEHKAFGASIQNWTIFPDTCAALRRLAK HFKLVVLSNVDRDSFRHTHALLSEGPTRDTITSDIRTYTYPDPNPNRFWHPQEAKGSK SPFTLIVTAQDVGCYKPALGGFRAILEYAKVHPELFGDLELAEGEDVKEKALSVAQSI PHDHVAAKNLGMRSVWIDRQSAVTCNVDPDGPGAKEKWSWKFETLGEMADAVEKELAA NK JR316_0008763 MNDLLSASVETHRLGRHSCTSTNRLLEQLLHTTTVYTAESVVRG NSAMVHTPPVVCTRCCLTANCAFIHNIDSIPKCLSRLCDACADLLALNEQIDAVQQQL DSLLRRRQEVKSRVNRQHDQLTNRLPLDIVAEIFHLVAGSVELPEEKEAWGIPNLFPT AGPLLLGVVCKCWRDITLGLPRLWATVCIEIGDLSNIQPVEQWLQRSSKAPLDILVSS DGCLDSKEYTSIKKLWNLLRPHATRWNRFSFLGRWEYFYDLFHNLDSILQLDTLEISI TGMETWDLPPIKLERPLGPRNLFIDNVSLSQVPLQFANLTYLHMDTIQLGEILHIICH APNLTKCIIGIQDEVIEPLDSGMHYPLPSGPIVHRSLKFVEMDLDTEDLLVHLTLPSL ETFECECYSSPETLDKIAEFMARSAPTLNKFLFNASQGPLPPLWYERLGGITHLCLYL YGDPENESIGCDFLRALTDTSANAVLPHLQEINIGTFDMSDLLWSKLCEAVIARGKCA SIIQDDVGDGYAQALGSVWVHVMYDDIVRALHQISQPDVASSIERIRASGVRFEVVDK NNIDMVFCASRLVVNSLLPFSRELTFPFY JR316_0008764 MASRSAQRKPYSRPAARADGQWLHDKAPTGPAKGRVAINRVAPP PTGPAAAAAAGINNKLLVSNLHYEITPKDLTSVFGQIGTLIREPLLRYDRSGRSSGTA IISFETALEATRAKKQFDGYLAKGQPMSIAFDTTPPPRAPRASRRAVSAPTTKSLLNR IEKPPLAERLAPADDSAIKTPSAPRAFTGAVRTKAGRGSASRGPASTATGRAPKGPKK PKTAEELDKELDAFMGDADPADTNTAATSTIEPTKDVDMV JR316_0008765 MSYKKLGLYPPNPVTVRGASTKLSSGKDKVVYTSGRTVLIAFNL QSFAPRFSQLKEIARQNPGITTSYSGHIQNATVARLSPSGYYCASADITGKVNVWDTV GEDQVLKGEYKVISGRVNDLSWDGESKRIIAVGDGKEKFGYAFMMDTGSSTGEIIGHS KPINAVSIRHQRPFRAATAGDDNVIVFHSGAPYKYEKTIKTHTKFVQDVQYAPSGDHF ASVGSDAKIFLYDGKTGDTVAEFTDSPHKGTIMACSWSPDSKNFFTSSADCTVKLWDA QAQKAVTTWTVGSGVSHQQMGNTWSGTSDLVSLSMSGDLNVFDPRTGDKPVRVLSAPQ KSITAIAPSSSGTFLTGSADGRVYSNSSSTQETRVLEGESHSNHVSGLATSSTDGTTY SIGFDDLVREISPSGTSFVPASAPTGAQPKSIAVAGDASVFVAEIGKVEAFRSNQKVF EQKTSFEPSAVGASGTLVAIGGEDRKVRLNEWDGKTLKEVAVLEGNQGAVSALAFSPD GKYLASGDSSGKLILFDPKERKLVTSRWAHHTARINSLSWTADSAHCASGSLDTHVYV WSVAKLMKNIPIKNAGPGGVNGVLWVDGGVGKGGKLVSAGFDGCVRGWDVTFHA JR316_0008766 MDPNYNQQYQESGPRPHPYLHEPLLYITNIPPYITDENLAMAFV NCGPFRPKIQRDGSQNLLSGTIEFKFLDKAEKALTILQSRNIPETMPPVPLVLSPYPP TNPPTPLPPPSALPRLVKQLPPDYTDSQLYDIFRPFGALASAQTHTQFGPDVAMIEFW NEEDAIHAEEAMHCAEIEGQNISVQLYQPLRRSLNSPPEFNVAAPTFIPSGLSYSPYP TQYSPHSPPRANPYSPVRSPIQAPVPFLHGPGQQVQLAPSNGPGSASHSGLIDPCNLF CKNLSPEIDSNSLFAHFSRFGQIVSARVMRNENGDSRGFGFVSYHQPEHAAEAMRVMN GTQLGTKQIVVRLHEPKQLRQEKLAHRFSNNGHPRRSSSGATSPTASEAGDYSGWNSP RTYSTSLVGSPALSPKPATHFERPERGRRGSASYYTAALNGTLNVPMTYNSLSTLSPV VRKEVLTGELSRRLKAMEAVEDSAVDSIVESIVNLSLSNVLQYLDDPAKLADQVDSYK SKHPKVDAPEPVATPAGSDRASQDSRGLDVNPATASAPEHPSTPVSVSPSLLTPPRTS SPAGSVPPMSERDRIYAAISKLESSRQTELTELIMSLPKRERAMCLFNAEVLRLKLVD AKMVLDSADEEDVSPPAATDAQSTKSAPAPVPVTPQAKKIAPPAEASPRTPDLSSRGP SVTASPTPVTPASNASASAHTIASLAKLPAAEIVRLANSSSATGLPLPKADPLIVQAT DEFIDGLLDKQIQVQKQALGEKLFKVIKSLGVKGAPKITIALLDQEDLRALAHLMNSY TPVLKEKALALAVTK JR316_0008767 MDTLKSVLYLVVKHSHLRCNDDEIMIDENKTSRTRSRYTHFYVK HDRTIYPGYFYPVKAASSRLANTLAPQGFSRRGGEGDDYLIFE JR316_0008768 MYHRCVLALLAFAICLATAAPVLARAESALTSEDASTPSSFLQL ALPVVASTESQTESVEESSSSTTFGTRKLLNILQRYNNGWSSSPLPSHSSTSLRRRFT RRYAH JR316_0008769 MSATGEDYSEANIVDCDVLEAAKENVQRLATGRRVTALSAILST PHAQREAKLSATRNRLRINVELALDDEEEGDPLEAYCRLVYWTVENYPQGQSAESGLV ELLEEATRTLKDDRGGKWRGDLKYLKLWLLYASYVDRPTLIYKFLIANDIGTEFALLY EEHAAVLERDGRRKEADEAYALGIARRATPLEHLQSRYQDFQKRMMTNQYLPAAQPVA STSQKPRKALATTASSVPASSSRQPLPRTTPAATPGIPPSSSNSRIQIFVDPTGSEAQ AAEHATNEWNDLGTRKSRISENVPEVKKLAGSTLKQAGRSKRIAASSGAGGAASGSGS KIVPFRDPEPGDMPPPPVPKKKDQPGPAKAPAARGFVPFVDEEDGSAAVAQAPAPAAA PAPSPSFTPFRDESAAAGPAAIVPIADSIMKIKKNDLKAPAPTTEAEALRKDPLKNYD LESLGKLNLDSD JR316_0008770 MDCHAIHDYAASKCPLQECDACKELEELDAEIEDLQDRLIALAR RRWSLKTKVNTRHDQILRHLPTEIVTEIFLLVADSLRDASSLRWDRPPLGYYSCPPLY LGAVCKEWRGITLGMPLLWNNLSINAWSQKSHLSIMEEWLRRSSTAPLAVAYHGEHLW GPSGSTKARPAPRLIQLLRHHAPRWDHITFSGPWKSFHELMHNSDITTPVDTLDIHIF IENTSSMEPVSLKSPLNPRFLTVCATPINKIPLEWSRLTRLHATSMHLDEVMHILTNG CNLLECVIKDVVGNKSIYPLPTSPFVHKSIYMMTLGSPDLENSDVLYYLTLPSLQILD YEAQHDLVLPTTLVSFLERSRAPIHTFRFKYTLGSLDIPWYDCLPGITHLELGIYGYN DALHASERFLEALSRASNPLTLPHLRELRMYMHSIPKSFWSTLRDICKDSQYGSRASA TFDSTSAVSQDDAGVQLQLDPGDQPQHQHQHQPQPQPQPQASSSSSFSTSTCTPPPAP VCTVQRRPLDSVYIQHLAQHWREMTEDDVIQQMLDTKASGIRCLEVKDNHGNDLITSA INVSTSRHLPFSRKFARRNSTWDGWPSDSDS JR316_0008771 MSFQEDLEDVHLVYDYNVTLESGEHQKWRYEIWFSHETRVTYAI HGGPMAGRYNYQKATYQCIRPKELWQCNWLEETGTVVSLVYDIPNRKITTLIAFSKGH WEHPLEAHGDKRNPNDFARWRQLAKEGIQTDRHLLSEQADIVEVFKGPGGLEPIDESW DTL JR316_0008772 MPPPRARSASTPKKIARKLSISLLSAAPKALVLAPSNSTDSFVS PVPDLLNSNAPPSDSERASIQDAIDRVSDEINTTVAIPTRSVKTRRHSEAGLKFIKTH KAILSPLRELPHEILSEIICTACETSFWDEHPTGFTFSPAGAVKLPWAASQVSRFWRS VTLSLHHLWAQIVIETCTRETCKPSKSLLPLVDTLLARSDHAPLRIHFSTSGTVDVEA LRPVIDALVSSSDRWHTFHLTSESPVFDAFQGIRGRLASLQKLSLILAGENVDLTRPI DMFEYAPVLQDVYYWRDAYLGSRDIKLPWEQIRVFHDSGGSSPSSSTAPSTGYSRHTA IPTLRSARDIRIADFSIDDTQKPWPATTARHLHTLHIDFDDLDDTNFSSWGSDHFLPS LTAPALARCKITNYPGNPVPTLVALIGRACGTAAPSMTLQELSLSAFFMDECGEPGEL SALLELTPRLRKLSVHLPSLVDLSRLVVVPGAAEPPLLPCLEELYIFMSSHENFHKYV GTISELAKSRCEAPHESSSSSSSESNTTSCPSPTGTRPGRLAHLRLISHSTFACHTIH EALERHMHAYSTAGAGTDTAINEKQRARNEEIYEECLARYDPLSTAFLNLVPSKFGLF DNIRWAMGLARFLTKTESNWRIRNEHLYAYNIHHLMYHISSLSPSDLPGEKVYNFRRR ARGLLDKWEPLLRADVEARRWAFQGAHSVVYVPKDDAIRSASPDEMLKKMIYGAKFWP QKYSESWDDMQVALQFVD JR316_0008773 MASDPRVHKPRLPKAYSDQQLAFLKSSLPEFERRSQGSIRGDAK KFALERAQDYIARFGLPDEFVGVEEPDSRFKEQIYNWFKNTVGRTRRKLEGRPRSAKK AAEKAAALANDISWNPSVTSPNVVPYSTHGESSTASPVNGVSGVLPSSSAAAAAAAQA HQAQAQAHQAQAQGMLSPIQYGALQPSSSMSTALSTPTLSTPTSAHNLTTLSLGVNKI TMRESFLQGLESSTVANMVASFVAANPSPTPLTPIVDALFEAISADGSAGGVGGVGGG GGGGLGGGGGASPFSRDPHPYLRRFLDASAYFSNSIVHAGVAGPLAGPRALQMQIRKY STWIPTAPARVPPMPMPMPHQHAGGPQARGGAQGGAGPQSSGGVGGGGSGSGSGPQGQ GQGPGPVVVSSSSSGASLSEEMQRIAMDRQRRKDHIQWARIHAAALELGMLGMGRVND TDNSGYAYAMGRAFSEMMARDAVWEADEVEWVAGICVLRAVIRTAMRGDRRQRDEYDE LLRTYEGRWKEIKDEARQAVVTSTDDDDGDDDDEL JR316_0008774 MSSSSVQLNDGRVQNRVENYTKFWKKDPTKEEGADNDKRLDSYT DVVNGYYDGATELYEYGWSQSFHFSRFYKGEAFLASLARHEHYLAAQMSLRPGMRVLD VGCGVGGPAREIAQFTDCEIVGLNNNDFQIQRARKYTRKAGLEGQVTFVKGDFMKLSE QFGEGYFDAVYAIEATVHAPSWEGVYGEIFKVLKPGGVFGVYEWCMTDIWDPSIPEHK AIAHQIELGNGIPEMRPLRMAREALKTVGFQIEHEEDLAARPDPIPWYYPLEGDIRKA QTFWDYLTVWRMSWSGQLVSHNAIRVMEFLGLVPKGTHDVGESLKVAADSLVRSGQQK LFTPMYLVVSRKPSN JR316_0008775 MSSPPARNDGSIDQKIDAAESDCEDAPSQNQHAARETFAGTEEE RQLVRKFDWRILPITCLLYLFASLDRSNLGNARLQGLPEDVLGGDKNGVLFDWLTSGF YFSYILVQIPATVLSKMVPPRAFVAAAAIGWGMTSSLMATGFNFASLMTARVVLGVFE AGFAPVITVYMSFFYTKEELGLRLAMWFGFATVAGAFGGLIAFGVQHIHASVQNWRLL FIIEGIPPVILGVLTYFFLPNRPESTTVLNERERRIAVERMNRDMTADFGAVVNKAHI RSAFLDWRVYVAGVIYFGLNTALASIGAFLPTIIQSFGHTNAIAQLLTVPPYVVSAVV MISFSFTSDRLQSRGILMTVSSIIGAIGYLLLLVVHGNEHARYFATFCVTSGTYTTIG LIIAWFGHNLGSETKKATGIPLFMAIGQCGSILGSHLYPKTEGPRYIKGFAVSSGLLF LAAVCCAILSVSYRWDNARRDKLYGKPKPNTRVNTQELADKTPEFRYVP JR316_0008776 MRLVGFYRYQQQLQHAPSALANAKVSPEHDGGPFAQMYVQAMRR SGSGTSQQPTFPQHPGPPFGAKPAGQVGGEKWHSFVQTASSEAATTDAKARTEATIAD SFLKLLDNPQYLQQFQQPLSLSANANESPPHCGGVGKHARVHAIGRSGGRQHLTFPQH PGPPAGAKPAGQVGGEKLHSRVQRRTSSESANVTEAKASAEAMIVKYFIAVLPNVRG JR316_0008777 MTSPHSKIKNATRRQAANFEYKLEDIRDDLETENSTKCSFDAIL KYFLSLVLRDDDPKKRRLDVIQKEIEELQLKRYEKNLVGNGNTTIRGVSTAAEPVETL GETASTQLEHNNDKDDDTWFGSQKEQELIQERDNILDELLNEALESVLPVANNGDLIK MLENFATAFAKGKAESSRYGEFVRLCNNALQRLAYVNSKRQFREKDRLNIRFHRNDPS HITTHNKDMQDIHCKPDVVITPLIAALRAASRGLEVDVNFDKPPRKSFEWVDILSCQD FKLVNEGIPAEGNVAARTFFEARHPLPIATEGCSSERASDDVFLAENTLPSKKLRQSN SETPTSSASTSTSSAIVNNSKTKATKVETKRQQVINGRVQCESYALEMMSYSAGVHHA VNLLFTDGHVWIWYHDRQGVVQSDGLSIFADFSRFLVLLFAFQRFRAEDWGIIRCLNP QFIDRVENEPVSRNTPEPVPEPGIKFNLKRDLRNVWVPDDQGSTLATVVVNMDNFLSH PPHSLNGNTTAVVLAQGFDEDGALVSVPMVCKIYHPEVERRHEGATIQVVRKIAADED KTMLKHLPSVLFYGDVPGCTTHRIRSMIKRRWKGHRTLRILGLRKLEEITTVDGADFV KAWLETVICHAFLWKNYVEHGDPSLSNIMYDPDENCGVLSDFDLSLLQWEPRVIGTHG TGTVPFMALELLRKKYWEGKIQRFYHHELESFIWILTYVILLYDRGIRKKNEAVDSWR TSDYDMCRMQKRDFYFDSNQKLANQVQENYKTYWRMARQLLYNLVLCHAEHQGQMMRS SANNAPRLASDNLWERFNSVLRSTLPPNSILDQEDLLERLKSQKPSFEPLDETIRHTL QAKYSAIVL JR316_0008778 MPSTPSKLKSGSLRQAGSLKYEEIRADLEPDIGNSTRCSVNAFL KHFLYTILRDDDPKKVKLNEIQKSIEELQLRRYGEDLTINEDTTNNGATSDNVAADLA GTLNSAARIQERANDKDDDTLLGSEKEKQLVQERDALLDQLLADALELVKPVANNSEV IKRLKKFGEAFESGEAARYGPFVSLCNTALLLLASCNSKHHFREKDGLDIQFHCNDPS NIMSSYDGMQDIERKPDVVITSLIASLLAASKKSPKYKFQWSDILGCAEFKVLRKGIP EDGKPWLEVSEVKASPQVREHTIEGVEPPNATEGSGSKRVRDDVSVAENLLSSKRQRQ SNGTATPTNSNPVSSASFPENNLNLQVNMIEQSTQQATNDGVERDVSIAENSLPLNNR RQSSAATPTSSKPIPSASVAKKKLNMQANIMEQKTQQAIKGGVQCASYALEMMSYNAG VHHAINLLFMDGHMCIWYYDRQGIIQSDGLSIFGDFSQFLVLLFAFQRFCPEDWGIIR CLNPQFFHGIENQTRVKNEQEPMPEPEVKLNLQQDLSGLWVRNDQDKILTTVEVDMKS FLSHKPHCLSGRATSVVSAKGGDPKKMMVCKIYHPEVERRHEGETLQVVRKIAADNDQ TILKHLPSVLFYGDVPGCTTHRIRSMIKRRWKGHRTLRILGLKKLQKITSVDGAHFIK AWLETVICKP JR316_0008779 MYDPDENCGVLSDFDLSLLQWELRVIGTDRTGTVPFMAIELLSK KYWEGKIHRFYHHELESFIWILAYVCLLYDRGIRKKNAAVDSWRTSDYGVCRMEKRDF YGNLDEELANQVQANYKTYWPTAQNLVRNLDMYQQTSANNPRPASDNLWERFNSVLRS TLPPNSIPDQEALLERLKSQKPSFEPLDETARQKLWAKYSIIA JR316_0008780 MFTPPPSPRPYTSREPPAPVPVLPLEKPMEITESPLPHHSSFPT HEGKRRTGRRYRWAVLVAPMLLVLLTAYRGYVSSATVSTSTLRSLSWRGLVAEGSTSW SSHLKRSPEPEPQAPSPVSQSSSSSSSSSSSSSSSSASSSATSTASQTIPTIPSSPPV LPTPFPQPFDDNIASNFTSTTCATFFANMTSATPFRSCRPFSLLLTSNAFIIAQTNLT LMNSLIWGTCNTNTGFDQCKANMGWFASALKTACSQELSSKNAMATTTLMGLNSYSVM HDVACLPDPTSNTYCYINAVRNSNPADLYYYNLPSGLTIPKNAQPTCSSCSKSIMGKY QSALADPVLGPGLTGLKTAYPPSAQLSAQLCGAAFLPAAANVSSAAVAAVLRGPSWAL IGGLGVLVWMLSGSNGLNLLLPLSWS JR316_0008781 MLEYKRISGTILRDLEAENSTKCSFDAILKYFLSLVLRDDDPKK RRLDVIQKEIEELQLKGYEENLVGNGNTTIRGVSTAAESVETLGETASTQLEHNDDKD DDTRFGSQKEQELIQERDNILDELLNEALESVLPVANNGDLIKKLENFATAFAKGKAE SSRYGEFVRLCNNALRRLAYVDSKRRFREKDPLNIRFHRNDPSHITTHCKDTQDIHCK PDVVITSLVAALRAASRGLEVDVNFDKPPRKSFEWVDILSCLDFKLVNEGIPAEGNVA ARTFFEAKPPLSIDTEGCNSERASDDGLLAENSLALKKPRESDSETPTSSASTSTSSA IVNNSKRKATKVEMKKQRVINGRVQCASYALEMMSYSAGVHHAINLLFTDGHVWIWYH DRQGVVQSDGLSIFADFARFLVLLFAFQRFRAEDWGIIRCLNPQFIDRVENEPVSRNT PELVSEPGVKLNLKRHLRNVWVPDDHGSTLATVVVNMDNFLSHPPHSLNGNTTAVVLA QGFDEDGALVSVPMVCKIYHPEVERRHEGETIQVVRKMAADKDKTMLKHLPSVLFYGD VPGCTTHRIRSMIKRRWKGHRTLRILGLRKLEEITTVDGADFIKAWLETVICHAFLWK NYVEHGDPSLSNIMYDPDENCGVLSDFDLSLLQWEPRVIGTHGTGTVPFMALELLRKK YWEGKIHRFYHHELESFIWILTYVILLYDRGIRKKNAAVDSWRTSNYTICSKEKRHFY GSLKPKLAIQVQANYKTYWPMAQKLLANLNIYHAEHQFQMLTSANNAPRLASDNLWER FNSVLRSALPPNSILEQESLLERLKSQKPSFEPPDEITRQTLQVKYSSIAQ JR316_0008782 MAPRLRVLAGTSPSSMVPITHLVNTPHSHPIRSDLFEGELVAQI QGMTDEQGKVRESEYFKREDRSGVTWSIVVRGRFLVPYSADDILFGNTFDRPLKLPWG TSAVLKFMHYIDPTLEHDLTSSTKPWALSPLISTMPHFMHTRIPPSSSSCMLPPFSAN QSIQDRNSELYLALSDELDEGDSASSSSGTSFRSASSSSDNVAPVSPSPLSAARSSSK GSSSGGSSFSVKSAMRKVKPKRARSTLSTGSSSSSEQGERRMKRERELQTLQTASQRR SYFAQKARRQDVVFGPEDVITTDFCYGFISFAPTLALQLPGGLSFDLMKYWDGQPVRF VCCERVRKEEGGEDRNGKEPWGRMFWCVAIEIVDEDEDVN JR316_0008783 MVVISRLIVHRLNQQEFKLETPGTMGLQQQLDEFIEDNECPPDD VVLHVKVLRQAPLKRIQAINAEIKRLEEERAGLEGTMKQYDRILAPIRRIPSEILRTI FEHCLPTNRNPSMDATEAPMLLTRICSSWRSAAMTSPRLWSRLYIPFLISAEAKCLSA CEPSVPLDKLIKTLELRCRAVGDWLSRSGDQALSISVCYGQNARTVKSDINQIDGPST LLFGTLLKFSSRWKHLQLSVPEGIYHTLEVMLSGHSLPLLVDLSAVVYHCMWYTYRPS DENPPTVLLRAPNLKRIFMDGITYTDWIHPTTNMTFHSPGITHLTLSQCTVNDCIILL QRHPQLVYCEITIHNFIRRNAARLFIPLTIYLPQLLSLRIGGPSYPKNLGEVLYNVII APKLRYFGYYNSFIHTINGAPDSRYSIPVHFIEGLIGLEKLQLQRLSFFVPNFLAIIK AASNTLIHLSLCDDRFRGCESPIINLVSHWDPFDLENLIVGNFPDGNMQTLLPSLEVF ELDHTEVSDDIVLRFVTSRMKPQSPIAVIKKVVIQFNRLKDENGYDLSEEIRARADEA GVQVETTFHYALSTLQVEKKNNFLSPSYQDKECHALLPKTLEWEE JR316_0008785 MFKHSFFTLSLFILQILSAGVIQALGAPNVLRGRTAERAKVPAS NKVGGGYDEESSASPPCQNISLCPIVEQRDDSKGNAALESTLNDVGIMDEKSLKPRMT RQKDIESQRRKLPDKKGDGSEPDDEKPDSKPMRHKDKKYGNEKPEEKNAVLFDVQVTR EQAH JR316_0008786 MEVSAIQPVVSPVPSAHNGRPQNKTRGSSTHTNKLSFSQTISSL KGIIRIPNRRKLSTPRTHFHSQSQDDADSTKSTSNPHFTSRPRPTHGLSHSLDTQAHL HSRTRSTGTAASDGSGDIDGIISDTEDYDKQQRRRSNIKNDPYARFSRTAEGLNYTYK PYMSQPMLGAELSRGTGGDELVTGYDSESGSASGSGYGGGGRSPVGTGEGGGRRSGEH HQQHAPSGFSATSPLALPPPSPSPTPVSLSSPTATHPATEVAFSSGGKVEDTLIQVQH GDQGDEGEKEGHINDLYDGKAGCSLNNAAPSDTNTDTNTNTNIGTTANTAQSTTPAQI SSSTSTPTSTIQTNSHARSNSPLPLLPLPDGPSSSTSASTPGAALRPRSSNGSGRTPA PRRALPPPPPESPISASSSASAAPEEQHVAARAIPSQSEPSYTGNGDTDDRSPPIDPL ATPRPAYSTSYTRFAHTESPPSFPTPLPIVTLTTPSSPTTPYTHTPPHLSAVDRPAIL SSSLSSSSATSTSTVTSATSWRTVTESMGSMNATSANNNNNNNGNSNDKPKPKRPPPN HRFSMTFMSSMSSGAAPPVLPVLQLPGIRSFSSSSASAQRSRNDTGPHSPGSASSGEG EGGSPGKKLSEMPALPLKGTGRGVRGHEEVDDLEDDDEDGDEEEDEEEDENAQYRGHA FLDASVEVPSRTSFSSEMTSGSSEVGDGDYSHSSSYFVERDGDRTFDSAWGSGGSSAS ETMATVGSSSSARSLGMGMGSSLSESSSASGSSSSWGYHLHLQQQQHRQQQQQLQQQQ RIVYAEQDEEEEDPNADAKSTGSRTSSYKTALGSMPPSPAALPPVPLPLPHSIQSPQH QAQSISKSKHLPSIATSSSQSSVHQQQRKQSPLETYFGDPTRPSEKRFSRTPHLPPVE TSKLDLDFSFLDRDPSESSSSSGSLAKGKGKGKSRGLTDDAGRTPVGRRPVSTPWGGS KAGAGGDYFQQQREKERDRGREREEEMGSGAASSSSPVRTPRADEYHRHTMNLPTPVP VQRTPSFVRGQQIVSPPPLVNRSISAANRLSINFNGGGLGGVSQHPGIYKQASRSLID VHAVEKKERIEQMVREDEEAQEVDRRRKVKEYRMSLRASATAAAAAISGNGTIAEENG TEKRGHKNRTTMEIDARGDVLAAGINAGSTGAASTSANDTDPANRLLPPRSADIVTRE GDEVSFSGEGCSASGTIGRTGAGGGEATASDRNGDDAGLAATATTATATAGASKRISM APAYDALSYPLRRRRSMPTFNATTTAPPPYPEFAPHPQSVSWMSVQIQPREDEGREEL PPYSNSIKLAAIMPRKMEFSKPGIQAKDRKWRRVLCVLEGTMFKVYKAPAGASGAGVL GEWWESKVGVGDVATTAPLTTTTFVSGSGSGHSRRPSASTLAIAGIPGVNPGDYATGR GEEGVRNAIAAVEEAERAEEMERERGLMRARKSGEVEPRQEQSQQQVSPIESGSAGNS SGQLHPPALHVQGHHNLQHDQPSSSSSSYSENHSGGGGLLPATRSALNLAVQLLKPGS SRHGRSSSDVGQNHSPVRAHSPRSSLNIPRSGRTTPTSSLPSRSPTPSPSVNNYSRPS TPATSVSTSHSHSNSHSASSERSGFRVGAGSMASGSSSGRASTSRQAARPNVSIVSRE KEKEKEKERMLKPDEADLIRVYTMQNAESGLGSDYLKRKHVIRVRLEGEQFLLQAQDV DSVIAWIEGLQSATNIALDLDERPMPRGPIFPRRRRRRRVQPPPINTDTANMPAANPS PTGQTPVTASHLAAPLTRSAGRRLR JR316_0008787 MADTATEIDLDSVIDRLLEGELAWSYLCSGKTEAVGRVGCEEGL GRCCLSTVGVAGQWDISASLQYPIVWLFQTHVARDDSPPTKTFPAKSNVHPQTLHGLL ISVPIAKIARLLLARKALHHRRHHQTVIMRGNRPGKPVQLAEYEIKYLCTKAREIFIN QPILLELEAPIKICGDIHGQYYDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICL LLAYKIKYPENFFILRGNHECASINRIYGFYDECKRRYNIKLWKTFTDCFNCLPIAAI IDEKIFTMHGGLSPDLQSMEQIRRVMRPTDVPDTGLLCDLLWSDPDKDITGWSENDRG VSFTFGPDVVSRFLQKHDMDLICRAHQVVEDGYEFFAKRHLVTLFSAPNYCGEFDNAG AMMSVDETLLCSFQILKPAEKKAKYPYGGINMGGRGPVTPPRKPKKSNKMG JR316_0008788 MTSTNSKIKSDVNRQAANVEYKLEHIRKDLETENSTKCSFDAIL KYFLSLVLRDDDPKKRRLDIIQKEIEVLQLKRYGEGNGDTTIREAAVAQADECLKAAG STPFEHIDDKDDDTRFGSQKEQELIQERDNILDMLLHEALESVLPVANSGDVIKTLEN FGTAFAKGKAESSRYGEFVRLCNNALRRLAYVDSKRQFREKDRLNIRFHRNDPSHITT HSKDMQDIHCKPDVVITPLIAALRAASRGLEVDVNFDKPPRKSFEWVDILSCQDFKLV NEGIPAEGNVAARTFFETTPPLPIVTEGSISKRASDDVFLAENPLPSKKLWESNSETP TSSASTFTSSAIVNNSKRKATKVEMKKQQIINGRVQCASYALEMMSYSAGVHHAINLL FTGMFFLSSYNKICMLIRTDGHVWIWYHDRQGVVQSDGLSIFADFARFLVLLFAFQRF RAADWGIIRCLNPQFIDRVENEPVSRNTQEPVPEPGIKFNLKRDLRNLCVPEDQGRKL ATVTINVNNFLSHPPHSLSGNTTAVVLSQGFDEGGALVPVPMVCKIYHPEVERRHEGA TIQVVRKIAADKDKTMLKHLPSVLFYGDVSGCTTHRIRSMIKRRWKGHRTLRILGLRK LEEITTVDGADFIKAWLETVICHAFLWKNYVEHGDPSLSNIMYDPVDKCGVLSDFDLS LLQWEPRVIGTDRTGTVPFMAIELLSKKYWEGKIQRFYHHELESFIWILAYVCLLYDR GIRKKNESVDSWRTSDYKICSKEKRHFYGNLDEELANQVQANYKTYWPMAEDLLLNLH IYHAEHQLQMFTSANNEPRLASDNLWGRFNSVLSSTLPPNSIPDQDVLLGRLKAQKPS FEPSDENARQKLWAKYSTVND JR316_0008789 MTEAFMQPPPPPKTKLGRYRLLSPNAGVHVSPIQLGAGSIGDKW EKFGLGSMDKARSFELLDAYYDSGGNFIDTANHYQDETSEMFIGEWAQKRGIRDQLFI ATKYTTNLKANNNSVAQQIMYTGNNTKSLHISVTASLTKLRTNYIDLLYVHWWDWDTS IEEIMQSLHNLVLQGKVLYLGASDTPAWIVSKANQYARDHALTPFVIYQGAWNVMERS FERDIIPMARAEGMALAPWNVLAGGRIRSDEEEEQRRQTGEKGRTILNPNWERNDQEK KMCQALSKVAAEVGAKSINAVAIAYVMQKTTYVFPIVGGRKVEHLMSNIEALDISLSS KQMQYLESIVPLDLGFPSWFIGDGTKIGDFMNVAGNIEMRPMPQPLHPAVKS JR316_0008790 MSFRFNNILFSNSIQIATGFLTKPLVPVLSPLALSRAKKTLELG LGYYVNNSESNLSITLSPHRLPPSPIFDVCLYENVHWPDWIKILGNQPFDLILEPLSV FLIRHGEKTEVKVLWSKGLDSPRVTFTSFDPFCDEMHLPSGRLVRHSTARPTRQTISQ PFVATSHAPISRELLQTKQEAENEANEILGLISTFTAASPSPASDKFIIPQPTLIVPD QVMQVESSLPDIVSPPGTRSSSGSSRSSRFFLTHKNEESFSSDSSFQSFNSLNVSPKV VEGDDGGAVALGPLKRGEVEVFVDKQKKEVTKYLYRGGQSTVLTGGVMLGGPSAAVHA TRGRAAKHRAPISGKKISGFNKATGSADNRRQSRLD JR316_0008791 MPLVADVWKQIVIATQPDTHVGRNGSVLSVSSLKQLGHELRLPV HIIPKTKFGFKNWQVPAPFNIPKDGESHPLDYFLITASFGRILPHTMLKPFDPTRRLN VHPSLLPAYRGPAPIQHAIMNGDKKTGVCVIEMLKSSQGIDAGDIWASESMDIPEDAN FSQMRDALAVAGGRLLVSVLKDAMQNKAVATPQASADNAPPAPLISSKDAFADFHNMT AEEIYWRFRAISHQKEIFTLTPDDKILKLRQIVVPNAGQLADTQLSSTPGHAVYSKSN KMILIRCKDQTVLGVTTVRPEGKADREAFEFWTGLRSVKNGSAEAVFGKVPSS JR316_0008792 MTSFHYTASEEEEQLANQILTMTDCHHRGVLSADAAIEVFKKSG LSYAILRDIWAIADANGSGDLSREELAVAIRLMGWVQAGEELGDHLLKNAGPLPTLEG ITDVVRKSATTHTQHFPPLNPDDIRNFRVVFLKAGPVDGLLDGGKVMDSFMTSNLSYD DIWKIKTLVDYNARGALDFREFSLGMYLIQALQSCLISSVPTSFPRELFNQFPDLNAG GLKRVPTSPPSIQPIPGPSTPPLVDIGSASSANLKRSSTYSPTSTQTSSSLPGPSSGT KPQSPSNGDSNPETWEILPLERRESDKQFIEVDVENKGYIDGDNAARFMLSFGLPPED LTRIWTLSDLDRNNRLTKDGFAIALHLIRQRLAGNEMPATLPGSLTPPPSLPHSPKLR MNTTVPSPASPTTSSTQIAKSKPPPPPPPKRDRSVSLKGPNGVGHVRNQTMPEMVSGH LSLPVTPLTSSLGLRSGPSSSVSSLASPSIPTTVPEDQLSPFEDPLSSTSSHSFPSKY YTPSPAPSPRPPDTVSIEVLDGFKKETARLSAQVESLLSQLTAQNRLRDSNEALRSEN DTLKAELHEMERTVSEVLSASDLNGRNDLQEVDRLTAELANKEVQYENVERMLAVVTN DKEELRVALRESQQATQKVKSESEDLKHTITTQSKEIAELKSRLSDMTRAMSEPSSST NNRELRVLIRDVTKENDTLKGELRNMQKSMEQLLLSTKFHARYDEVERENKRLKQHVQ DLELIAAQSQSSGGGRAGGRGIENLTRENEQLKAQLRDGQRAFAEFRSASETRAVELQ QKVDSLTHENNQLKIDVSTRRNRQSREDNSIPPPAYDDAFVIPP JR316_0008793 MPAFRNVAPNRHSGRLGKHIPVIYDKDGWQVPDHEVKVESLDDA CPRALKQEDPLPQPLLDPLPDVDATAALLPARRNSHTRRREPGHIPRPRNAFIFFRSA YISRNAANGEGQQNELSKHAAKVWNKMSDEDRRPYCELAAIEKQEHYLKHPDYVYSPG RSSGKGKPKAANPRKTSGVSNAASKKRKASNAFEDRWSKSPDTSSSSPSPTSPIARPA KMQRAAARRAVERFLESPSPAPSAHLSPASEDEEEEFQYPPDPNPHDNASSPETAPPI SYVPTSEIPDLQFTPVMIQKQEEKQLEVTYEPPPFHPHLDPVTGEYAYQSYKHDFMAT SMPILPNASSSSFGDYSFDAPADPKFIPIPTATLQSFSYFAESSSTTSGDVSSPHDPI LEADSILKQYTDAMDIDSGSNEYFEMDQDPDASIFQFFNFE JR316_0008794 MPEVLPEEPQQNGTTTQSTTHESENHTASYPTKQRRPSVDRDAQ DMVIDSLRSQIQDLISQVSQLNNKLVKSYDRVSDLEDDLHVASANVRSSSLKISQLEL ERTQHLAALNTGLLVEKSHVTAELTRLMEKATEEAAQRGQAESARLAIEKDLDDLSAS LFGQANSMVAEARYDKHLSERKVEDAERALKSAEEAVQLMQAQMQAMQAEKEEAEQKT REMEVVMGKGKWIDRQNGEKTISLRLLSTHSPYQEYLAFIAHLRILHPTSPNPPAMST LLQLPFLTRLMTEDSEPTVRLDIAPSLNWLSRRSVLAAIHSGQLTIEPMSSATLFAET TVHPTSTTIAGINSSNDNVACALCGVPIFPTLDPHNSSRPPIHPQSHIYQPQPTSWSG AFFKKANGSNTAPSSPTAPSFRNNFGSHSNSQIFIFRLAAPSTSIASLPIPTLTKASS QPNSSPIPSAYASSSSNGIISQSHSQSGSQSGQPTTIYPLCMSGWCLHRLRTTCTLWA FVRTGIVDKIWEEELPPPPPPPSAHPEKSANGDKPPVPPRKRGLWGIASAFGERAASW GEGDRDKSKRNSGSASSSPAQPELRRLPPPPPPVSAARPSPVPPPLPKRNEGRVRTPV SDPPPPTPPRASVEKPTADVHPESSNTEAPVVVAATSSPRVSADLPPIPPRRSREAPP PSHSEPSSDAAAPATPPPRPPRAHTPVSVPLPESRPASPAVPSRTASPANVAVAAAGG PPPPLPRRAAARTRTHLDESMSATAINSGVAESSVSVSEPASIAADKTASNAELSATE EVKEGEKTVNEVVAETSVESEAAKGKTASSDPVSPAESPVATEGAAVRKEDETVKSAY SEIEKKTDESPSDPDRSTQPSPASDEFVDAPTPGDEAEDPLETATPVKNQEKEKVDGD KEVAVAPANGVEKLQEENEDDKQTIIDSPATVVHPPLPVDETESAEVAEPAVHASANE KEVIEGEAAGVQDKAEVNDDAPPVPTLAIPEKTPEEKLEEERRRREKEEWEKANYVGE ATWEERTWKEIVRLREDMFWARVGGIRE JR316_0008795 MYTEPTTFSAEQYFATQLPPPTLQHDVECVREFIARHQKEGRAV VLVTSGGTTVPLELNVVRFLDNFSAGTRGATSAEYFLKAGYAVIFMHRQFSLQPFSRH YSHSTNPFLDFLDIDSPESPGATPRISVTPNKREDLLQVLTMYKDVHARGTLHTLTFV TVDDYLWLLRAVSKEMSVLKRKAMFYLAAAVSDFFLPRQKMASYLSEHKIQSGKGSLH IEMDQVPKILKPLVDEWTPGGFIVSFKLETDENLLLPKARQALERYGHQVVIGNELHR RKFEVVFVSKKPSPALPPGIKQTKEAISHATDPSNSFSEYWLRIDIPEHPAPGVLVKE IEEDIVAELVKRHTEWVENTS JR316_0008796 MACQDAKMENIANWRSRSRQDLLRSSMRDSFENFGSSHNNKLSI ADYRDMEEEMPSCDGYIILYSIASRSSFQEVSRICRQIPLNGRQDPNLMLILAGTKSD LKSSRQVSTEEGLALAKELGCEAFFETSAKSGENVDAVVLSMVQALRRAAASRDMDMM APFRMMRNLFRGEKS JR316_0008797 MPEQSKPSTPTSFQPNAGSIANFFRPNRAAPNIGNALVLSSFTG LAPVDSQSIARLTSALESSSDATGMGLGQVMLALRILSSVGDGMAPFPALKGIAGIGL EIMNVIVNFQSNGDAMRALALRIYEMIRTINEGSQNGSNESLRTLTDQFERDLCKILN IVKDMASQNIGHQVIRAEVIKAKLIDCTKLLDQYTDKFQVALGINQQQILVRQQENLS RQQESVDKIVAMLEKHTLSTPVNNLLISAIAPAPPMHFVGRDDLVLEGISHITDANLT SSAHIAILGHGGIGKTSLALAILHHDMSKAAFSGRRFFIPCEYLKTGLDLAQAIIHIL GGSMLGQESDGLTVLRQQLSNFGEMLLVIDNFETLWHESTSLAQIKVALETIMSVSSV SVIVTMRGFEPPSGFNWSLILPPQGLGPLSLDAAKHMYMRGQYMVPESFEWNRDLELL LTETDCVPLAISLLSALAHSDPRVYTPTRLLQRWTKTKTNLLKIPGVQSNKMASVAIS IDVSLHSKAIAENPDTLILLQILAFLPEGLPYWDELVEYIIPDTRIEDPFGTVEILCQ TSLIFKADNNSLALLSPTRHYINQQSLVEKSQFNNDIQQIYNFVQLCIANGMENLIKF GVANITQVIEHFLVLHPTTENVQTALHCSEVLFQHAQYMSNLMNTITNMAEKLNIPQL LVKCVVHQRDILMALNMWMDAEQKSQLGYILYQKLGDNAGMAECLHNIGHNMKMQSKY SEAIEMHTLAYEIYIKLGKLKQTANSLKAIGNNLWVLHKHEQAIEKLTEALTIYTQLK DLNGMGHCMKGIGNNLRAEKNYSEAIKMHTAAYNYYYQKKNKEGMAGALNCLGDDFRM IQEYEKAAEKHTEAYNIFKEINNTLGLAASLDSHGDTLRLQGDYAKAAIKHEEAYKIF VKYQYWHGIGNSLNSMGDNYKEQKKYPEAKEKYQAAYDIFKSLDNKSKMEKCDENLKE IAQYIYN JR316_0008798 MASNNKPTDSKSESISAHSRTSSSTAHSADVMGGLLHPYTPSIS APHIGNALVRSGISIAAPIAIDGLALLPSAIDSVNANMPVDPIDFDQARLGLRILASL RADHYENEQSVNFAECQDLAKLALTIIDAADLAVKNRAKSRPVAFRTYDLVRMLFDVS KAGVDINSHLEFSELKRDFSKIFEIVTAVGKENTVQHLIHPEAASVQLAECDIILNGY VDKIKTSLLKHKQQMLVRQDAQLADLAVSKGHISNISKVMVFHLDRVLLFPSKDSGHL PLPPSHFVGRDDLVHHGVEHILQTPSARVIILGLGGMGKTSLALATVHADSVRTAFGD KRFFVSCEGLKSGLDLALAIISTLGGSFMVVDEQDILAKLSQQLIYAGNILLVIDNFE TLWLGSSSLTEVQRVLELVFSAQSLALILTMRGDIPPHNTSWSLILPPEGLGPLALNA AKDMFLKNGLPSNLAPSISESEHDLDQLLVETNCIPLVISLLSVLRGQFTCAELYAKW CAIKTELLQISTTEQTKLTSIAVSIELSLRVPAITDCVDATRLLAIIAFLPEGIPHWD IILEDLVPGIADPYVAVDVLCRAALVYKSSHNSVTMLSPTRYYIQAQKQFNSIWLEKD LEIIYKFMHDCMQSQSNHIALLGKANISEILIRLVSIRPTLELAQSVLKLSEYLESEL QMNLSSMVEQIIPIIKNIDAPKSLLAGYLLHYNNLLMGMNLWAMAEEQCRMAYELYSQ SKNQLEIAKSLQAQGHALKMQSNTV JR316_0008799 MPRQSRSRASAPAARSAPAPAQTRSASTATAPSSYAPTHAPAPP AAATAASAAPAQGPGMLAQMAATAGSVAVGSTIGHGLSSMLFGGGGSTQASEAQAPPV QQQQQAGISCEVQAKDFTKCLEKADLPSCTWYLEQLKACQAAAAPY JR316_0008800 MALGLSRSRIGVVLNQQGLSVRLASPLQAASCVHSGIALSRTYT TASASLTKKTPVTAKGTRKDAHLSPPPPKQKAASRNPPKKATSREMESAKHVADEEPL PTKISEEEKLKEVERMLAFQHLMPTVDAWGQEIKETLDVMIPLSVNYREPKAAFSSLQ KNVINSLKNISSLAALINENALPGLYHGSGFMARIRQMMQIFRTQSVEADAIMAPVRE MALNNYQALNNAIASRADKEVRKYTTSGYLNQSLQLSKTFRSKIPPKGRMIWQMHRTI TPVQVLSLRVTEGYLAPEPPKLGNRLMVHALVKFDTEQSLEIYDARGNPLHTVVTNPD RMIDSEQPKESWRVPAPRKRVTEYLVLEKKMWHQGPWQFREQLWPF JR316_0008801 MSPSLTPSPLTRPQTAKKIILPDLVSHCTFDLRMSRHRKQVTVE TKKWLFKDGNLLGKKREAYHGLKCGLLTSMCYPDAGYGQLRVVNDFLTYLFHLDNLSD DMDNRGTRTTADEVLNSLYHPHTWRSSARVGKMTRDYYKRLIRTASPGAQQRFIETFD FFFQSVTQQALDRAAGVIPDLESYIALRRDTSGCKPCWALIEYASNLDIPDEVMEHPT ILALGEAANDLVTWSNDIFSYNVEQSKGDTHNMIPVVMNQEGIDLQEAVDFVGNMCKQ SIDRFNEERRALPSWGPEIDRDVAIYVDGLANWIVGSLHWSFESERYFGKNGRQVKAS RTVELLPLKI JR316_0008802 MADREGDPSTPSTERAPIPTMMQSTGEVYMTPLPKLSMVVLSIT MLGEFLSANVSTPFLLFMVRGLGEFADEAEVAFWTGILVSTFFLTQFVTSLLWATIAE KHGRRAVLMISLLGSAITCLTFGTATTLQQAICIRLLQGIFAGAVGVARGCVSFVTDP SNEGRAYAILGFCWGFGAVAGAIIGGSFERPATKWPEIFGDVHLFVKYPYLLPCGLAA SVLLFGSFLSIFLGHDGGPREGAIRLLPEKNVNHPPIPEEDSTPLTPVFEDEEPRGIA GIHRKFSRRFSDYFAKRVPDAHQSSESPLDQPPLAMSSTPNTRIDRTRTFSRTSKANG SAYGYGGAYRNRLASNATLNARRGSFTSSLRRRRGSNFDSVRDSATEGADLNFAQRLL LANENAVTNIADLWVAAAMNADNEDPFEPDSDIEEGGEESNEMVEPGPRDEPLGADGA GTTTTTPKLTPPIARLSRPSHRPSSTSSPLHTSSPLRHPSSSPIPHRSSVSFSLMAPL SSRRPSNVPSIFAHPGVKKPTAVLDAQQLLTSDMDTPTDPLTPIAETRLSSQAHSQAD VESLAEKAPSLMSQLPVVVIIQYGVMALHTTTHDQIFMSYLVSDYESGGLNLNAGHFA QLIALMCLAQIAYQFYLYPIFSPPRGRFSHLAMFRIGTVMFIPAYLTVVLYRPFANKN SDDSNPFLMFVTPPNAIGYANGIAQSIVSLARCIGPVIGGWLWSLSVQDGPSGYYFGF LVCAAVCALTVAQSFLIR JR316_0008803 MPSTEPHKRLANKRKISNHLSLSRKKAKHQHRTADELPWKTVSR PSKTGLGGDDGILELEEVEGVEVIYENTEGGRVAKFNVVGDVASENEEPNENQQNHYD TPAAVEEEDEPISFEDLPPFDSEKLLPEWHKFPLHQKIKMGLHKKGFLTPTAIQSASL PFALANRDVVGIAQTGSGKTLAYGLPILNYILSQPRPSANRKRPLRALILAPTRELAL QVSSHLNALVTCIEFSNKGSDVKNTEQSGSSSKPPGKKPPPHVSIAAIVGGMSAQKQR RVIDRGVDVMVATPGRLWDILEDDDELALEIKNLRFLVLDEADRMIEAGHFVELENIL RLTLQESEDDQIPDEFEQKIGDPEEQEEDAKKDIKDSLQTFVFSATLSKDLQRNVKKK FRPKGNKKHYKRDQAPATTLDDLLLRLDFRDPNPEVIDLSPVGGVVSTLQEGKIECLS ADKDVYLYYFLLRYPGKSLVFLSSIDGIRRLMPLVELLNINVYPLHSQLEQRQRLKNL DRFTNTPNSVLLATDIAARGLDIPAVDHVIHFQIPRSADTYIHRNGRTARAMRKGFSM LMVSPDERRVVRALLSNLGRDEAEIPEVTVDLSMLDKLKVRVQLARKIENTQHKIKKT NHDRNWMRETAETLGVELDSDYISESDDDDKLSTRKRKAKDQKMAAMKAELKHLLSQP LIAKGVSTRYITSGSKPIVDDLLAGGFNETMVGLKKAEAGSELTKAKKKKPAIVAKPL AAVKKEEMEEEWGGITA JR316_0008804 MKANYKFSNICGTVYRQGNILFTRDGNSLYSPVGNRVSVFDLVN NKSFTFAFENRKNIAAIALSPDGNVLISVDEDGRALLVNARRGVVLHHFNFHKSVKDI QFSPDGQYIAVTHGAHIQVWRTPNHLLREFAPFTLHRTYTGHHDDVLSIQWSPDSQCF ITTSRDMTARLFTLNPLEGFRPKTFAGHKDAVIGAYFSADGNAIYTVSRDGAVFTWKA KETSDDENSDTEDTPVASTSNGISRDLLNRIANTRWGVHKRNYFSQPGTRVVCSTFHA ASNLLVVGFSTGIFGLWEMPSFSNIHTLSISQEKISSVAISPSGEWLAFGARKLGQLL VWEWQSESYVLKQQGHYYDMNTLAYAPDGQTIATGGDDGKVKVWSTYSGFCFVTFTEH SAPISSVAFAKQGSVLFSASLDGTVRAYDLIRYRNFRTFTSPSPVQFSCLAVDPSGEV VAAGSTDSFEVFLWSVQTGKLLDVMAGHTGPVSSLAFSPTGANQLASVSWDKTMRVWN IFGRSGAVEPFSLNSDCLALAFRPDGREVAVSTLDGQITFFDIQDSKQTNVIDGRKDV SGGRKLDDRVSAANNASGKSYNSLAYTADGRCLLAGGNSKYVVLYDVREGEGVMVKKF QISENLSLDGTQEFLDSRRINDAGINVDLIDNRDGSDLEDRLDTSLPGASRGAGDMSI RRFRQEARTKCVRFSPTGRAWAAASTEGLLIYSLDESVTFDPFDLTIDLTPQSVLRVL GDHEYLKALVMAFRLTEKPLIQRVYESIPRADIRLIARQLPVIYVPLLLRFVGEHLDK SPHIEFDLVWVNTLLMTHGRLLRDRSGEFASVFRVLQKGLGDFEQSISKLCEENTSTL SYLIDQVKVNQEKDDEIISAPSS JR316_0008805 MFASRILRNVGATGNRLMNNGPGPSDNTMLWGAGALAGLTGFVY WAGYKAEGSSKAGRARAKEIESRASQYTVPK JR316_0008806 MPGAVVGNATRIWELNVHWALHSQCGIWDPRGRGVDIWECIRDH DSTPGTQPPNVLYWRYVARR JR316_0008807 MTSSAPSAHRPTVPPSGFGRTFLVGGSIVLAGLTGFYMSMLRNK HKQELMGTNPHFEQIVGHVSKAPPADQPLPKLNATYSDVPPAYPTKEHVSRHTTFANF KDSPGYLEGGPATRYMEPTPQRPKNDGTGRAYTKSPNYVDNYGKTTRPSMAKVPALAQ DPVVDV JR316_0008808 MGRVYIITIFVNLIVSKSRSSPYDVPDSALNRKKLSFTANIMLY NFTKPPGVNLTPQMLDKQLPPTPKEFSHHIDIEANKSSLSLAPRIEVQ JR316_0008809 MVVASNALFAQLSIFAEVTQAIFQSGPVNSTEHPKSRRKALSVS QRHIEINIMSRGDYERLPMSRNERTKSPRCLVTPGTLMTVLKFALPTTIFLLFLGFYL YEPHIELAFYDRTWVHKEIDPVEPLSNCFDRVSPSYNVSEYVYGVKKTEVQAGMPMRM GLDCYNFAGTIKKEPRTQPIAHIPAESRVQFHTYWRNDLAPFGPRQEWMLKSFFATQD IDSSRLILWSNGELGPNSILQSYLTRFPDSFALKVVDIPSLAKGTELEGSDLLTRKDA KAWVDGDLIRLLLLWNFGGVWVDMDSLLTRDVQPLLEHEFVTQWDCYDKIYLPLNGAL MRFRKHSPYLCEAFHVMATSSPPRSGSTDWGALLYLKLWRRLLSASVPPFKILPFCFS DGRSCRLDNRLPDPFIPDSKTGKWTMGLGLEEGGGLDQALQKVFGVHLHNQWEKPYPS DGWVERLLLKRYDEKLKPREEL JR316_0008810 MKHTAGFFAFLAALFHEVGATPLLPRYGDYLVHEKREFFRDAQT LRRLDGDVIVPLRIGLSQQNLDTLEAHLMAVSDPESTMYGQHWSPARVLEVFSPSQES HDAVRAWLLDAGFDANRLSVSHNNAWIEIQGATVSETEALLNTEYHVFEHDGEEHVAC HEYSVPAGVAPHIDLITPTVQANTKLVKPVTSRQPPTSLRRRDIAPEQLQDIVTRASV ATNGSLAGCDTAVVPSCLQTLYNMTYTPKATDRNTFGIVTYFPDTYLQSDLDTFFRNF SPSLVGVVPKLVSINGGTIDVDPTSDVGEDGWILEYAMTLTNPQPVQFLKVGNPQISP SLSFNEWLDAVDGSYCTSEGGDDFTFDPQLPNPLPGGFQDHSCGTVKPPNVVSNSQGF EELRLSQFYRQRQCNEFGKLGLMGVTVLYSAGNTGTSGTQSGYCLDDNGSMNLNGTHF NPNWPASCPWITAVGGTQVKPNASATDAFPEEVWNQEIIPGFFESGGGGFSNHFTRPS YQDNAVANYMKFLSKTSPGTLKHFNINGRAYPDLSANANMFLDISNGSVSIDSGTSGA TPTVASIITLVNDARISAGKKPVVQGDRGGGFNATKGWDPASGVGTPNLGKLIERWLA LP JR316_0008811 MFRQLARTGFTLATRRTTKERCISSTSRLFADPWPLPHTPQHLA STTTPSDIPPPAPLPRPNESVDTLRARLVYQSRKRGTLESDLLLSTFARDHLSTMSEA ELMEYDKLLDEPDWDIYYWATSERAPPERWEKSPLLEKLKIHARNEGKVVRVMPAL JR316_0008812 MITICRAEDGQVFQVNASVRDIEGTGSLEQFLQQETGIEADAAL AFLSDGRRLTTGNIRDLSSAQDQYIFVFNQDLIESNLEDSLNRLIIEPPFQAAIEDST ATPPIRHAALATSYARTAQIHHEHIQHILRSLSLQHQALQIASTSLDYHILQIYETFD AFASNGRKELEKQASLLEGLDADLDILSKVGVHVEFCSTAVRMAIEAGEKPRVLTDYV SKLKMRQVADGCAKTHEDLKSRFQQVEDAVNKLKHGADSVRNNLANALLFDEASTCAR RSEDLLNRITDASAALESPASDTDSLLQDLRHLDSSHRLELQEITHKKNLYTRQCLTV LRHIAALNNDIVNVPPALAALVVSFRGKTSFSHIQRLHNMLYAYGATVIEIVRRKEFS RFFFQRAQSILEVMAKVSANERKRRQVYRGEVHGQLPFETKGLDDPVPTIDFTPSGGT DFVYSFERADVDNLIRVLDDLEQYSRASQNSVALKAVRECRFALEKLIGKMDNLELGF DKIAERSLLSRSHISQSRRRSTEIEEQAIQELADELRTTQEAKALQEQTFNEDKLALQ AEIRRLSAKCLESDASLSLEQERSARLERELQQVRGQMESEAVARRILEQRNAELDAD ISKQRTEIADALADATEQTRQAEMLRQELAQVQEEVEEVKQLEKRNADTVARLMEEQT KNLRNLEEARARGEDLELQIQTVRSESDQLHQALRKASQEKDKLLRDQASEHEMRLRD HKAEADGDRAVLDRQFSELQAVLEHKERQLKDVRGDLEVANADAVGLRQELQRVEHEL RDARELIRVHREDLKAGQASQHEYEQRIENSNRLIAQILDVAITFRNTHVKALHIAQS ISSHPSSTRHTSANLAESAFSMTGFRHNVIGQPDEPSPIDPSDPNTALETLREFDHDH FLEAVTKTGTIIRKWQKQCKEYRERAKGKISFRNFAKGDLALFLPTRNSATGHLAEQL KTREWIVARITSITERIVDQKDPSSNPYGLGDGVKYYMLEVEDWTQPTNNKRRLSSRK ISGADNETKEVFPTGAVSKLSPSALPPGPPEAEVEETFLVTHPPNSHLFPVRGRSNSS PTARPSSLSRLLAQASPAPETSIETSAGPDPEIKSPSPHASPPPASSPQIAVSVPQSV NVNSNHSSMPSPLRPGSRASRLSTTSRFSVGRGVPVLSTGGSMQSKAAPTTALSEQPM ASSPSSSAENPFMSPTTPSPEESISDALKNIVTLPNKTTRPRTTSYQTSRPSPLAATT SQATVVPSRPSLAAAVTTNPLTSLANSWGMSFGRKKKTDTGNLTPTAESSNDGAERES EPTISDNPNTSHSAREMLKRF JR316_0008813 MGAGAVANSGTTAAYSHLVDPNRTWRNNKRLIYLNLWILLLLIT SSTNGYDGSMMNGLQSLTQWAEYFGHPSGGKLGLLNAIQNIGSLAAYPFSPYLADGLG RRSAIFVGAFIMCAATVLQTASQSVGMFIGARFLIGFGLTFAAGAAPMLVTEVSYPVY RGQLTSTYNSLWYSGAIVAAWTCFGSFKINSTWAWRLPSALQGLPSILQVALIWFVPE SPRWLIKKGRNEQALKTLAYYHADGNEDDALVQYEFQEIKAAIELDREVESTIGWKTL FATPGNRRRMRIIIALAFFSQWSGNGLVSYYLNKVFITIGITNPTIQLLINGILQIWN LAWALLASAFVDKVGRRLLFLTSTAGMIIFFAMQTACSAVYANTKNESAAHAVIAFIF LYYAAYDIAFSPLIVSYTVEILPYNIRAKGFTVFNFAISLSLIFNQYVNPVALDKLQW KYYLFYVAWLCFEFVFLWFFLIETKNRTLEETAALFDGEEAAEKLAQAAHQNQYKDEK SSIQEDELKA JR316_0008814 MPITTPVPQDSLQQCAESIGTRYGAGREKDTPFSLYFVAVLGYV LRCKYGISRLINPILGVRTHQSLSPAYLKNAEAEIAAELDSGEASPETRQRVRFLSSF RTEATADFFNNITLMFQSFPTIYRDLWNGKSVTCATTGKSLDAVDAISAYFVDAYASP LIPMARAITGESVPIISFLPVHASYILHLHGPEEMGGHGDLGAKIDAEAVRSGVTTKE IGDKLFYHTEGKVNRVPGLPPMYDWEHFPQKIENDMPVSDFLRLAYSGFEACDALLSL SAYDFEPESMEALKMWYAKQWGKELYAVGPLVASRPTKYGISSNNDSISSDIEHFLDE ALHQYGEKSLVLLSFGSIFWPSKSEYVEEVVEALIEKKFPFIVSYASPYAKLSDALIN KVNASGIGIISKWIPQKFILDHQATGWFITHAGQSGVLESLDSGVPMICWPFKFDQSP ASAYLSECLQAAFELVEVRTGESGMKPMFRHGRKPQGTLEAVGAEIREVLDACRGEKG SVLRRNAEDMKLKFSKSWGPDGHSRRNFDDFLRKFELNLHESTLAL JR316_0008815 MATLQGKSIVVVGGSSGIGFAVALASLQSQAKSVIIASSNQAKV ERGVERLKAYNLPGEISGHVVDAMDSASVKKFALDIGTVDHIVWSSGDNPGKTVNAKV ETYEAGQGVFAVRFWGPFVLAQHAKFNPGGSLTLTSGLIGVKPWPGSHLAAGMLSSLD GLVRGLAVDLAPVRVNLVNPGPIDTEIFDIMFGDQKKSALEGLAAKSLLKRVGEPSEA AEAYLFLMKCGFITGQRIDVEGGQVLM JR316_0008816 MNPEQLDDLAKNNLQPAEDTILVVKELLSAPTEQLEAISLEIKR LDEKRKEIEKSIRGYQRILAPIRRVPPDILRTVFEHCLVTHRNPTMAATEAPMLLTRV CSSWRTIALASPRLWAQIHIPFRKEGREDQFRRLKHVPMAPLQKVRSILHDRCRAVNE WLSRSGNLPLSISVNEEQGYLSPSNIEARSMLDASGILLLSILKEFSPRWMELEMTVS YIMYNSLERMLSGHTLPSLLRLRALIYHTVNSWNPSTVEVKPSPIILLRAPNLKYVSI NHPTISDLLSSPTITSAPQPVPWTKSITHVSLTHCNPDDCIKLLMMYPQLVRCNFVMG NVFDQDMLQQIVIPSIIHLPQLLSLGLSTIGNSVLNFIPTLLDIIHVPQLRWLRYYNN SSSFNREDIPEAMMDQIPSAFFGRSSNLTTLELDRFCLMPSNILDVLEALDGLIHLAL TCKHGLMPRNSIMQRLDGDTFNLECLVVRANGNVVDELPYKELLPRLEIFELYRTLAS DDLLLRFVDSRMNPSSHVAVLKKVSIHFDRLREEENLDLAHEIRVRGNAVGVHVVTEF SYSTSTLEVKKKKNFMSPSYIPSSKDVTWKQQDFIDE JR316_0008817 MLSYWDHLAENNDPPNDSTINELRKLLSEPSKQLEDIKLEIKRL EAKQLEIEEYVRSFHRILAPIRRVPYDILRTIFEHCLPTHRNPTMSGNEAPMLLTHVC RTWRSIAHQSPRLWAHIYVPFRNVTKGYDNNEGFDDPLIPQQQMVSVLQNRCYAVQEW LSRSGDLPLSISVMYEQGSPFVRFVTGNTNSSSIDNLVKMLLRTLLLFSPRWRSLEIV LPDNIHRDFEDMLSTHTLPLLLRLRLSIISQRLLNAPFRPSPSKNLLRAPNLKYLSLE GPKHNITQLISPTHTSQSLTGGNISITHVSLSRCGLDTCIVLFKGYPTLIQCDLTTRH DAFEIENEIIIPNAIHLPHLRALWIKTSGNIGPNSFVPSLLEVLDCPRLRNFGYYNDV WNTTAASDEIPPSFFYRAINLTSLELDRFCLRPSNILDILKAQHRLIRLSLTSKYRME PSKPSSLEIETFDLDALVIRRDTDGGPITSTSASTHHQLLPCLEVFELHRTTVTDDLV LRFVTSRMDPESDIAVLKKVKIFFDRYEEHHGLDLVKEIRTRADRKDIQIDTSFCYVG STIDAEKKRNNLLPAHTAPTRQFDNRTWTHEEHFDSEYDD JR316_0008818 MLPDTSSYFDRLTKNNEVPIDSTMAEVRKLLSDPLKQLEEIEME TKRLETKRLDIEASIRNFRRILAPVRRVPHDILCTIFEHCLPTDRNPTMAADEAPMLL TRVCSTWRSIAMGSPRLWAQIYIPFRRETDDYRYQRWAGDPVVPQNLMTTVLQNRCYA IQEWLSRSGDLPLSISIGYQRGLPRNYTANIDAVAIDKLTQMLLEILLLLSPRWQSLD INLPDGMYYELEKMLSTHTLPFLRRLRISTFPLPDWTPGRFPPTSLLRAPNLKYVSLT CGKVTDLLPAVNHVSSPLTGWSTGITNVSLSTCGLKSCVALFKSHPTLVKCNLFLEPD NWQPDNETVIPKTIHSPHLHSLWINTGGNLGLLNFAPALFNAIQAPNLQHFAYYNSSG PYGDTLSEIANDELPLSFFHGAINLTSLEIDRFFLKPSNILAILESLPRLTRLAFTAK HDITSSQFASLEMETFDLEALVIRRDVDGELNPSTSTSIQLLPCLEVFELHRAIVTDE QVLRFISSRMNPKSHIAVLKTVKVYFDRLKEYDGSLDLVEETRCRADTAGIQIHTKFR YSLTIFDVEKSRNFLSPSYKASEQKYDNNEEWAK JR316_0008819 MIADPEARALVIDTTTRSSSSSTCDTPAELDADSEREPCEWATE EEWEWECEETTGESKRDTLEFDLVSPSFSPSPSSSLSAAPSTLCAVLTSASWLDRDPD ADPDPEHALALALVLVVLMDGTVFSVEVAASTVHYEFPTTFRSFPFAKYSSNDISSRF T JR316_0008820 MPVTFKVANHDAMPIKTATYGRCKNASDVLTSSWGRKSNANRFE ELLQSSFSSDFGNLGPQGNGFVDTIIHAYNQHHHLVLRPDDVWIAILGQFNFYVNANA EQLRSHFVAHEGKKELTVSAVGDRYTVNFGSLANQMTEKIHENVVDKTLKEWILPDFS TTSFNDVVVCAVLMMSTLKQYFSYRMELFCGIPLVTLEGEKEDWEKLLNRLDKLASFG REPEAWGALLRPILRRFVSAFDGKPDIDFWARICHVKNYASGTPILSGWITAFCVWGS TGKWQGPNIDKVLSPSPGEDNTRRGRDFQLVLDGVRYGSIGQDKIPVGFCDVDVKLDD NGELFDCMMVSGHVAGRLQGPERDTLRPAPGWFMFIKPTNPA JR316_0008821 MTTIPRLEFHEDKSLADIKMVCADFIMKIGYSPLSSIGEDNVTY NTILSEFASFNMEEKLFRKICLEASTIAEGVFSYMISEDKVLNDLRRCLADAYRLWDD IPANGITVSGLESVNGCLIEKLLCGMPISPDAGRWPDFLRLKTGAGHAYAYMIFPKEL GVEVATYIQAVDDIALFICLTNNILSFYKEELAGETGNYIHLRATVTHKCPLDALKDT VKDTLDAHERILKVLANTPAYEPFKEFVNGSMGLHHVLSRYLLADLRL JR316_0008822 MPSDVFRPRLPIDPFANIQHNTPWRIPADLQPSPPQVIFILGEP TPTDLAPLLNSPLLATSLVLIATHTPVPLPPDAQHRGPAIRILRLAAPLHVHDTGALR LVGLFERAQRVAAAWRNTLSPPRILHLAEDPMGEFTPTAPPDAEGRYPSPAPSISSAK RTTAEAIKNRLSLLSESLSLSLPGSTASSRRNSVVSIASSESNSTTSSQNGRPRSIMA ATLSQLTQSLANYTTTPASVPASASAAQQRAFDAIVNFLPGGNVPEKALFKHAILLTT LSAQYLALPHGAAPESGAAYHYQRRGSVPAPVHSISSATPPRLSLSHLPADSAPPTRT SSSCSLSASASGSTSASLAAPPKAQISTTARQRHHHRRFSSFVLGSSSSSSSHNSTSI SIPDATAFASPSHSPSHSPLSTPPSENDDSRASTPALPSLASTALTTPARELSSEDLT LTLALADDYKAQPSSAKMTRRRSLSKRISTLFAAVTARPASVAGTLSLSGFGAGTGTG TGATADLDVEMEMGVVRRDTATRRRHSQQQHQGTRTRTRTRTRPQNAHIVHVLAADWR PAPCAPATSPLATSSTSSSSSSLCTSLPPHGRGRAYTNPYPSSGSGHGANGRGNANGH GHGGVNGNAKKPRLVQGIEQFLLSFAYPVSPSSSSSSSSSPSSSPSHSRSSSSLSASA SSPSHSHSNSRPQTRRGPASGPPSSFRQGINSINTRPVSWGAPPAPHMPPHMAMHMPM PGQGQGHLSSLLSQGMGGGAGWGEGGGGGEMGRMQGRAVPYLVAPGMFGRVLSRSGDE KGKGKEVDVEGRQRRSWLGMFDDDDDDQINANNDDEEDEEENIDDPDAQVHPRTITRT RTRKRRIHRARFPTLGEAVLLGALDLDADFSYSSYHTSGAVEEVDDHVRAALGNLNGT RALKQGRKWDNSDAVGCGRAWVGPGDVEVVGVPPVVPVGSVSVVGGVGGEEGEEEEVV EEVVEVVRRRTTVRRKGAVATVTAGEAKATAGTNANAKVSAPVDVHGSTDVSTTKENE TQNEKDQEKEKEVEAEPVVLDLGLLTPPRSQRSSTSTSTSACSGSGSNSGSSHEADVS AAHSVEGAPCVDRDGEKEGLTRSNSSVSRLSMGSPVDSSHSHSSSHAHAHVHMNTQSQ CNNGSRSESELASSSAGVSQVELQVHAQDERVVVSMTSARASVIAVPPRVVVVSSSSP SSPSSSPAEASTTATATTATTAETTTKTATPTSLVRTPSSAAARTPSVEALEKRLLSA GNDAGVGSAWVAKATGPPPPSPGVGVGDESEKNTASSSGIAVPVTIVSGPADSGARAV DLLGANPSPSHNQKQGQDQNQDPNSLTVPTDTITPSRSSSLRSRLSVKIGKARMGLNA GLNTVSSVTATVVRPAGLVREQTKAGTGMGMGMVVLGRKGKGKGKGKGKEVDGDGDVV GESESEVEAEGASTGVGKRETVKVKERERVGRRDSLKVGVLVGSALRRVQAVGGGTGK ETGKKDAAAVV JR316_0008823 MATLQGKTVVVVGGTSGIGFAVALASLQSQAKTVIIASSSQEKV DRGIQRLKAHNLPGEVKGEVVNARDSESVKQFTIGLGAVDHIVWSSGDNPGKSLFDST IDTVEKGQATFEIRFWGPFVLAKHAKFNPGGSLTLTSGMAAWKPWKGAHLGSGMLSSL DGLTRGLAVDLAPVRVNLVNPGLIDTELFDAMFGEKKQEVFATFDKKTLLGRIGKPEE IAEAYLFLMKCGFITGQIIYVEGGGILV JR316_0008824 MQKTDRRPVLHTGSPNAKNLRLKIQIRPELAHQPYTVDNVGIPA HPDVSSNNGKQRVEGTSDVRVLLKTKHPVLHDVCSVTERRELIFPKYLIHGGHVGDHW QTLNHMVAQMTIELFSSATEKIFISPQESYMYYSTTPAYSRMQYILSIVAALFFSNSF VTGVPIQTGSIQSDAAQTCADPSLAGLYASFYSPTRIAHVVRLATDMIAFDTSVGTTD NYELQNPLFFAWPTAQEFTVPVHQLESTDGQDFMFVPEVNGTVPNIAGWRTQGSTVHA YATQVCGSVPLFTAFNAAGSDHWWTTNEDDHARMIANSGWVDAGIPFYVLPLDYDS JR316_0008825 MTSARASVIAVPPRVVVVFSSPSPDTSTTAEASTTVTATPTSLV RTPSAAARTPSVEALEKRLLSAGNDAGVGSALVANVTGPPSSAGVGPGSRVGIGAGVG VGDESEKNTASSSLSPGIKVPLPSTIISGPSDSGARAATVDSSGANPSLSPSQTQNQN QDPNSLTVPTDTTTPSRSSSLRSRLSVKIGKARMGLNAGLNTVTSVTATVVRPAGLVR EQTKVGMGMGMVVLGRKGNAKGKGKGKEVDGGESEVEVEVEGAEKRETVKVKVKETER VKEMERERVGRRDSLKAGVLVGSALRRVQAVGGGGGVGKKEAAVLETRDETVHAILLF QVL JR316_0008826 MMNIFSLAVAFLLSHAFVACTPIKSGTLESRSAQTCADPSLATL FVSSFSHTRTAHLIEVLTITVDYQSTIGTADVYDIQNPSFLAWATPQPFTVPIHQIKR GDGEDFIFLPEVNGTVPSVSGWSTEGVTAYAYATQVCGSVPLLSVFNSATSDHWWTTN AADHARLVATGRWVDAGVPFYVLPLPYDNEP JR316_0008827 MTTIPRLEFHQDKILADIKMVCADFITKIGYSPLSSIGEDNVTY NTILSEFASFNMEEKLFRKICLEASTIAELCYSGTSAEVRVFIARYSWYFLYIDDYCQ RHTDRLATFQQGVFSYMIAEDKVLNDLRRCLADAYRLWDDIPANGITVSGLESVNGCL IEKLLCGMPISPDAGRWPDFLRLKTGAGHAYAYMIFPKELGVEVATYIQAVDDIALFI CLTNDILSFYKEELAGETANYIHLRATVTHKCPLDALKDTVKDTLDAHERILKVLANT PAYEPFKEFVNGSMGLHHVLSRYRLADLRL JR316_0008828 MPVTFKVANHDARPIKTATYGYCKDASDVLTSSWGEQSDENRFE ELLQSSFSSDFGNLGPQGNGFVDTIIHAYNQHHHLVLRPDDVWIAILGQFNFYVNANA EQLRSHFVAHKGKKKLTVRAGGTRYTVNFGSLANQMTEKIHENVVDKTLKEWILPDFS TTSFNDVVVCAVLMMSTLKQYFSYVMELTCGIPSVTLEGTKKDWKKLLNRLDKLASFG REPEAWGALLRPILRRFVSAFDGKPDIDFWARICHVKNYGSGTPILSGWITAFCVWGS TGKWQGPNIDKVLSASQRHDNTIRGRGTSSLSGWITAFCVWGSTGKWQGTNIDKVLSP SQGHDNTTHGRDSQLVLDGVRYGSIGEDQIPVGFCDVDVELIDNGEFFDCMMVSGHVA GRLQGPERDTLRPAPGWFMFIKPTNPA JR316_0008829 MGKRRERNDNYLLCWRLVGLVAVQMKMAKGPKRWQTLTVCSKYP CDSAQRRHTQPSSSEGIPSMSGSLSNHLINSHYPDSPFNSSIVSHGPMSFNPMMHGTP YAQQSFSLFNSQSFANDSISEETAKKIAILQAKLDRKLGPEYISQRPGPGGGPRLTYV EGWKIINLANEVFGFNGWSSSVVSLTTDFTDYSEESRRYSVGVTAIVRVTLRDGVFHE DTGYGMLENSKSKGAALDKCKKEAVTDGLKRALRNFGNVMGNCLYDKSYTAEVIKMKV EPVKFNKDVLHRRPECDEVKPNVSISSTGPSTSAGPSNTTGNMSFQNQAVVTPTRPPY QQNATKPLTSIPVHMRPGISNASIASGSATTSNTSMNQANDHKGKARASAQERLNAIT AAINTPDQCYNAQQQQIQHSERRVSFSETNKVDGGPAPAQIVKPPGAQSAVKQEPDID DDDSFGFGSEDDALFAMADLGPAIGANDADMGRPIQHDVEMGRPIDHEEGLLQGIQEA DDSAVFTVHPAARAAGVPDQTISGARLISSKHASTSGSNSNKSRQELIEAALREGKTS AGDQPAMVPAASTSNTTTTATSTAPGQGLAAGMLSSKLNPQQQQMRSSSTYGNSTGSA SSSGNNSSSSAAQMPPPGVPAQLQSKATSISQQNHQRYMNQRQQQQQQNGSAATGQQP PPRAPTPAIGGFNFPGGVNPITSTANFASGIGVKRPIEATSSSNYRGARPGMGLHQVP TTSSTNNPSIKSATTGSGRSVLGSLEIEEGGMVKRARQG JR316_0008830 MFSGDQEGPKELSTRVNSARLPQFTGQSVRLACKVLTLDADRMI VEASDGGQVNVVQIPPNTNISDPYVEVIGKASNATTIQMYACVNLGQDLDMKLVNDTI ELIHDHRFYQKMFC JR316_0008831 MTNDVLRLPNYPSTQSRKGLSPSQLATLYQTIASTLNSVLELPA NKRDTPSSRNFLATYASDTAFQNLQNLIWIQDSTDTSHQIQGSATEKFIQKKVLVLAE KLASTTPGLDVRCLLDLSVIYCRSQPSRLRLVFKSAFESEPSLAQIITDDLVPGFASL LSQQTSTSQGLYAQRKIAECMFGFLRGAKGTPELIRPFAHSKPLLLALATTYDIGMTT IAASYGGISALTAGIAAQGRDADDWERIWVETKVALLDSFHVILTTLLDDLASCAPGP RLAFEAERTFDLIFALLDAPSSSSSTASDTPTPFLDQSLLADYQQAYSLSNTLAAALK HAQEKDARLDLLESTLRSYDHESASGVNGQKGRKNAGALKILLRSSGIQPGIDNLGTR NATTRQQVTEPIRHPIVLDHGTSKAKGKSRAAAPLSDPDLDIKATQVLDILPDTPVDY VKLLLAHDRYGRNPEKVIEALLEGTAMSREQLEEDLQAAADLDYGAGNGHSEPVRDDT YKVEQRRNVFDDDVLDLTQLRFGKKSAGDEILRDRTFIEDMKADILRRAEAISDDEEE EGLDSFGKPLPVAANSKGKGKAIDSSGAIDLGPDEDEEDVIGLRVAGDGEDSDNSEAE DDEQEEEQTPESIIEQAYLRDPKVFERDAATRRSKARMDLKTQTGWADEQIEGWKVML DRNPGRKDKLAEKYAFRGNEKGLDVRPGGSDGGRGRGGPRGRGRGRGGGGRGGRSGAG GESSAKERAWKDKNKASRANHNRKRGHDKKMARAGAGPST JR316_0008832 MAEAVEKIKLLKSSADLSAATYQIHDESHTIGNSLRWMLMKNPK VEFCGYSVPHPSENVINVRIQMYDNASSIDALISALEELDKVCEAVEDGYLASLREDK FERWVERT JR316_0008833 MPPTRSKTEYERRPTLPSIHTLNLPMLSGSGSARPLTPPNVQHE NYDQSNNSHKFVPRHSRNFSTSSSTTNDSREASPVLQHARNFSTSSTATNESRNFSPV PFDPSANPRNPFASGKPVRVRACSIDEADAIFLVKSHSSLVPARSGPPFSEPHILLFG NTIQQFRNGSITIAKGTRVHPYRVVRRPSPSNGRVPSVVIHPIAPPRRL JR316_0008834 MASSKWPGMPSGIHSRFLGVGDLDMHILEALPPSHDPSSKPPLI LLLHGFPELAYSWRKVMTPLSAQGYAVVAPDLRGFGQTKQRSRAVPSAKIAYEEDLSP YRILNIAADIVTLVYSLGYTSVEAVVGTDFGSPVAGYCALVRPDLFRSVVFMSAPFTG PPPAGTGDPNAKTQLQQLDAALSTLDPPRKHYMVYFSTPQANADLSNPPQGFAAFWRT YHHLKSADHKSNNSPRPLGTVLAPKLFENLPHYYVMPREQTMPEALASKAPTTEEISQ CKWLTDQELEVFLAHYREGGFQGGLNLYRCMAESPRWTDDLKILVGKQVEIPAKFIGG AQDWGVYQIPGAAETMRAKTCKNMKEEDFVLIEGAGHWAPQEKPDEVVQEILKFVKNI JR316_0008835 MDEIIPGLWIGDLASALDVEELKSHSIFSILSAMRGRVTIHETF IRHQIKLDDTEDEDILTHFLPSINFIQEELDKGRGVLVHCQAGISRSSTIVAAYLMYS QKIDPNAALALIKQKRPNVEPNQGFLYQLELFHTARYKISRREKSVRRFYMERTVGEV MNGDGSLPETGMFARYPSDSVPATPSETSAPAFPIPRRRIRCKKCRQELATREHMLDH GQLGPATPAIGTPASVSPAVSRRPSGSSGQGSLRPLIRPSISSGLTDSLAMSSIQEHP STEQKLDLSSSQQESNSTSASTFALETEEDADEPTAVGSPLSLKVNADGTAAADISIH KSEILGRQLSDAVISTIDDRNAHLSRRNSHHKVPSDAAVVESPMELPDTTIEQPSRLI SPSDLSAQLFSNPKLAGLRSPTLPSQSTLSNNSVKGSTPVSAPILVNPQCSGYFVEPM GWMEHFLEGGQLAGKITCPNKKCGAKLGNYDWAGVCCGCKEWVTPGFCINRSKVDEVL JR316_0008836 MPASRTKPGFYAVRKGREPGVYLTWEACEKQIRKFPKARFKKFF VEDEAWNFVREDDDAAGSLQTSGPIQSVESTSFTSQTTNSTISFLPHIPNQTTPVDGG PATIFPDPESQKRAQIPEVFTCDLGSSSTSTLVQPTPLPASFTKKCQTEFTNPLWDIV YVHGDCNDVEQYGSMAGVGIWWGTNDKRNLSERCPGTQRTTRAQLMSILRILELTSNS GRPLAIHTDSVNAIKCFKTSVHEWKLNNWKTNQGKPVKNGDVIRCISKRLEIRSNLGE TVVLAYSRKGNGIEQARVLAQQGALLYGTPETNLEMMADFLEREVNQLVARVNLDQRK AKFVVLGPEDLSDDDSVESCLRKIWISSTERLGASPSKNQEHKDSSSFRSHSIPTPYS PHTLDETNGRESKGTFTLCPTCYQRLPPTSDSPMDGTIIQQLTSVASSNAIEVTVSVK VPGAQT JR316_0008837 MPQSTTLVYAFVGVFGVKILLDYVNRIVHRAPYPPGPPPKPLVG NIFDLPVKVPAERYIEWSKKYDSPVVYAEALGSRLIVINKREDAIELCERRAKIYSDR PHIPMVNLMAWNHNIAFLGYGDEWRRHRKLCQQSFNFVASQQYHSIQMNGVEQFLRSL CDTPEDFDAHSRMLSVSITMEMMYGIKIKSIDEPCITIADEAIKLGTDLLVPSGSLVN ILPILRHVPAWFPGATSLKRAERVRRMTEIVMKIPVDQVKAAFEEGKASASFYTNFIE KKQTLGASEEEEEMVRNIAYTTVSSTGSFLYFMAVNPDVQKKAQEEIDRLTGSKRLPT LEDRQSLPFVEAIYREVMRMRPPLPLGVPHRVVEDDYYKGYLIPKGATIFTNIWAMCY EEEDYPDPYTFKPERFFDKNGKLNDDDRVLAFGFGRRVCVGKYIASSTLWLMMASVLA CFNVVKAKDDNGNEIEINHEIEDLGLLNQKAKFKCSFQVRSPAIKKLIVDGQ JR316_0008838 MSTSAFIICVLVLLWVLRKYTQFRDRTLSRAPYPPGPVPKPLIG NALDFPISFPALKYAEWGNIYKSQILHIEAFGQHIVVLNNREDAEELLDRRAKLYSDR PEIPVMKLMGWSYNIAILRYGDEWRRCRKICQQNFNQKASQAYQPLQRKEVLRFLQAL HSSPKEFDAHSKRLSISLTMLMMYGYEVQSISDPVVTVADEAIRLGGQLVIPGGTLIN IFPMLQHIPAWFPGASSQKLAKIVRELSDEMMSIPTKFVKKSLEEGTAVPSFVTDFYV KKQTHGATLEEEEMVKNIAFSVYGGASDTTISATGTFLWAMAVNPNVMKKAQSEIDTV IGLNRLPTFEDRDSLPYIEAIYREVLRSLPPLPLGGPHTTIQDDHYKGYFIPKGGYQP VIELE JR316_0008839 MQDNVVVRVVKTFGVQVKTGDGTTTATVLARAIYSEGVKNIAAG CNPMDLRRGSQAAVDRVVSFLSAHAKTITTTAEIAQVATISANGDAYVGGLIVQAMEK VGKEGVITVKEGKTIQDKIEITEGYPPCPRGHGAGQTPTDFIIVEDVDGEALAACILN KLRGQLQVCAVKAPGFGDNRKSILGDLAILTGGTVFTDELDVKLERASAEMLGSTGSI TVTKDDMIILNGEGAKDQIAAWCEQIRALIADPTTGNFDRSKLQERLAKLSGGVVVIK VGGASKVEVGEKKDRYNDALNATRAAVEEGILPGGGVALLKVSLQLATASALAARRGG KQGQVLMLLLLPMPMPLPLLPRAEADVMKPVDESVLLGEDALVTEEVHKQHSHAQQGY QHPILGAPHWSAGRKENVHAH JR316_0008840 MTAMIFSICISALLLWVIKRLLKFFVVGKQRNYPPGPTPKPFIG NLLDFPTTNAALVYAEWGKKYNSPIVHAEVLGNHVVVINNINVAEELLEHPERARIYA DRPAKPVTDLMGWNINVAVMPYGEEWRKRRKVCQKHFNLDASKSYEPLQIAQVRKLLQ GLLDSPEQFDAHSKMFSAALTIKMMYGYDIADLNDPCVTLADEALSLGTRLVVPGANL IDIFPPLRHVPAWFPGASSQKKAAIIRRMTDEVVRLPLDYVKKSFKDGTAVPSLVREF YEKQQTTASSQEEEDIITSVAYTVYGAASDTVRSFFYLMAVNPDTQKKAQLEIDRVIG AKRLPVLADRASLPYVEAIYREVLRLRPPFPLGIPHCLMEDDHYRGYFMPKGTIVLTN TWAMSYDEQVYPEPQAFFPERYFVEGKLDKERILAYGFGRRICAGKHVASLSVWLMIA SVLATFTITPRKDENGNDIEINGDIEDHGLMNHKKKFDCPILYAEALGIRFLVLNDAA DAAQLLESSSRAKIYADRPLIPIVKVMGWEKNVAIMPYGDAWRQHRRVIQNNFNNPKA VQKFEPIQTKKIRQLLQGLLNTPEKFEAHSKMFSASLTIALMYGYEIKSLDEPCVTMA DEALSLGARLLLPGASLMNAFPVLRHVPEWFPGASARKKAGVIRRMTDEIETGTVVPS LVADFYEKMYTVGASEVEEEMIKNVAYTVYGGT JR316_0008841 METPRNLLDDIQRPPSARHIWRPENVYIDPTTFHEAYPELSHIP MTYLRHSMRKVSRHFLEFPISPIQLPASAEQLKLPMDTWPAVPSHIFKIPVLVGGQLT FHFFPIHAHVFMVMCAKLKKLPLSLSSDPETQSSIQVPVVEYYVPAPLSFPLFQEHIY TMDHDLLKERFIGVRLPDLERERDAVLSQIPLETLFVQANLIHGFYNNACDFGFYDEQ TFDVIETCWNYLYRARHFQTGATTRST JR316_0008842 MDKSLDEIIASKPKAGRRGSSRRSSAREQVLGKPVTTPVQRARA AANPAADSKAVVTQASEKIIVSNLPSDVNEAQIKDLFNQTVGALRDITLHYDASGRSK GIATVTFQKKGDGAKAFQQYNNRLIDGKRPMKIELVLDPSRPPPLTSRVAPAPAANGR TAGPRPAATRGRRHVRGRGGARKNDRPPKTAADLDAEMEDYTAANAPAAAAA JR316_0008843 MSRGGGRGGRGGGRGGFGGASNLPPMGLTFADLQNLSREATALY PVRDVPIFTESTKDEKEIARLQLGFASRLRKSQYYVVERTKTSELERYSDKYRPSAVS QPTLKRKDLHAPFFPSEVLEDYFNPKRKKRATTQSDSLKPKLNLDELNEDGDEEEKSG EERSDAGSQAAESDYDVDEEYDNDYAENYFDNGEGDDIDDLGGGGGGDEGGGGGGDYD JR316_0008844 MQCPDSISLPYYKLMDAVRIKDGVEVVIKRVTLEEDNVPLLQYL NSPEMLKDPRNNAVRLLEVITVPHTSDIFPRPSVLLVMPKLFPLSTSHLPFRHVREVL DALEQIMEGIIFLHEHRIAHRDACTHNFMMDPTDVIPSGFHFSYHWHQPDGITRIHFR DRCLVPRVKYYLIDFETAEIFPPNSLTIGRYGQVKDVPEMSETVPYDPFKLDVYQVGS LVKTLIEVILVTVLRDESHLQQLYEGLDFLEPLRDIMRCPNPELRPTAAQSLEKLRDI VSQLDQTYLAHEIWYKESTPSYRKYQTVSPDEKFNKWKKIQFICGGKGSTTPDTIH JR316_0008845 MTSLSSELSSAEKEWVMLQPLLLSHGYQLPPRYQPNWKPSWEKR WNFKYKTECPDFFPLLHHDIMDAVRVKDGVEVAIKHVVLEEDNVPLLQYLNSPEMLKD SRNNAVRLLDVISVPNTSDIFPKPSVLLVMPKLFPLFSFHLPFRHVREVLDALQQIME GIVFLHEHRIAHRDACTHNFMMDPTDVIPSGFHLSNNRCQPDGVTRIHFRDRCSFPQL RYYLIDFETAEFFPPNSLTIGRYGQVKDVPEMSETVPYDPFKLDVYQVGSLVKTLIEL YEGLDFLVPLRDIMRCPNPELRPTASESLSQLHGIVSQLEQTYLSHEIWLKDSTPIFP KYQTVSPDEKAKWWKFGKLAQLICGANKSTRLNVL JR316_0008846 MSSEDQIIHQWLATQEEKRAAVRRYITRIDEFRKAILNEKGLTK KDRDSYLQQLDTARQLVASLEKKLNGFINAIWNWNSVRKIQIPAIEVMLNDLEKSFSD ASAATKFTIPIISHEAREAIERAVSLTQPQVQQPQVQSQVPTSLPPPPRLHGHVETTH ITQKPMHLSGGAQASLVGSGIIGISESSLYSAPEHQSQYIAVESASTDEPETGYASPH RHIHTQNLSISSTNTFGYPKHSHHHGDKQALIHGLQYMSSSQQGEHNDNSSILSTSSS GYGTEEGQTPTHWTNQQGQQDHSEGRHRAGHSVNVSVSSSNTFGYPSNFDTEQEQTPQ I JR316_0008847 MPLLSRIVENEEAEYDGLLTQDEADWVELQPFLLEHGYQLRPRY HPNWKPSWRRPWNFFYKDMWDCPDQIGLNRWNLIDAVRLQDGKNVVIKRVVLEHDNIA ILQLLNKPEMLSDPRNNTVPLLDVIYLQNLSGEQGKDNALIGVQFLHEHQIAHRDACI LNFMMDPTNVLPKGFHHANASCQPDGKTPIEFNDRCLVGTVRYYLIDYETADIFPPGT LCIGRYGQEKDVPEMSDTVPYDPFKLDVYQVGCVIRTLIEEYEGLDFLAPVRDSLTCQ SPETRPTATESLSILRATVSNVEPITLSKKIRMKFDDSGDSEEHIAPVTTAILPHAAL FNFVKSCFGW JR316_0008848 MSTNPSPNQEREKIERERQEIIRKLDSYLNDILAIRSRFQKEPD VRIRKKYLQELQKHESHIKGLKRKTENTLIGYFTAPPTMASVDKLKGILMTLQFDYKK ASENQHRLNKQGVLQAQDSAKRDHSYLGFSRTADLGNEHPLISQAYDAQNATEIIQEQ PRLRSPRSLKNLKDIFRGKSKFMGHPELPLPSDQRPLDSQLLQGVTQADNTDEGGPSS RLRGSASQAHHGRGSKGPIVSYTRRVVAYDMFDQFQTGLGPGGLQ JR316_0008849 MALSSSFVYFILAAFALKKLADVLSSKGKRAPYPPGPKPLPLIG NALDFPSEKFAQNYADWAKKYDSPIVHAESLGNHVVVLNKLEDAIELLEVRAKLYSDR PTLPIFPLMGWDFNVALMPYGDMWRQHRRICQQNFNPQAVRKYESLQTEKVQRFLRSL LETPQDFETHNKIFSVSLTTCMMYGYDIKSVDDRVIEVAEEGNVIGGRLVVPGGSLIN IFPFLKHIPAWFPGASSHKAAVECKKLTEEMMRIPTDFVKKSLAEGTAVPSLVTDFYE RKYAVGASEEEEELIKNVAYTVYGAASDTTISASNTFICQMVLNPDVQRKAQEEIDRV VGSKRLPTFEDRKSLPYLEAIYREVLRFKPPIPISVAHAVTEDDHYKGYLIPKGTVVY PNIWAMSHDEEVYTEPFAFNPDRFFDENGKLNDDDRILAYGFGRRICVGKSVASTSLW LQMATTLACFTMSKAKDANGKEIEVDTNYEESGLLIRKEPFACSFTVRSPAARKLVLD PN JR316_0008850 MHRFPTDFHSQSHSPLSPSPALPLQPPTDDAIEAVILQATSARP GADPRPLRDTRTQLFVGNLPYRVRWQDLKDLFRKAGTVLRADVSLGPDNRSRGYGTVL LATAEDAGRAVDMFNGYSWQSRILEVRLDRLLPEYDSSNPSVAPGSSPVSTSGSSYLM PSTTASTSHQGSSASSFAPDDIDYTSKYQNPDPGSCRNLFVGNLPFHCQWQDLKDLFR QAGTILRADVALAPDGRSRGFGTVVFATDYDAEKAVRMFNGYEYNNRILKVNYDKFSQ AMQPAASTSEPVMTANQAYAHQMQIKLPPGFMLDYGPPSGPTSPYEMFSQLQQQHQQQ QRQQQQQQQQQQQQQQHRQPHMLHQPQAQHYLQHPLQQQQRGIQPPTSSSSSAASAHN AYTIPPPPSSSSSSDIDSLTSTLASSHLSKLVKPSQRAASSSSSSHAHVHAHKPSPIS TAASSSQASSSSDHSMSSTSASSVSQSPNPISGQGGRTLALAHTQSQQQQQHQQQTLQ RQLQQQYQQHQQQQHQQQQQQQRHPHHPGPITLPPLRPPIFPLSPHTPQAQAQAHAGM SMSMGMGMGMGMGMGVSTSPLHHPAMGSPYQMYATPGHAVYHQQQHQMHQQRAAAAVL QQQQQQQQQQQQQIQVLPLTPHGLPPITPSMPPFTFLPPPPPQQQQQQQQGVSAFSPV SVHALSRVGGRLVAGAGGSASTASGSSAAAAAAVNTASSLSSAGNAGSNSGSASGAST AATAAASSASSSSSTSSSSADATAATAASSSSSSSSSSSARGDGGRSRGRGRGESNAS LASSSSGSRQQQQQQYQQQQQQQYQQQQNVQYPFYSTTPNLHFAIPLPSPGLYVSAVS AAHAGASTSASGVGGAGPGGASAGTGTGTAPLPSPPTHLRLNLAGIPGAFSPGVVMSP GTFYGRPGEVVPNPNPYINAAVGAPVHVHPHPHAHSPGQHPHQQQPNAAVVGGSPGGG VIYPHPVYGLAQSHGAYFYAMSSPHKGGRGVTGMEPKGYFDPMYFPAGVGGGGGGGYG FGGGGGGGGQGGGSGLVNEVMREGSRYESQQQQQREGEGSGKKEEEDSESGSGRASER DKGKGRESASDEEAGSGRDGGGEEAHKAGSGDDEGTAGSDETVDLNAEQGATISRTHS VGYKRKVAKGASGAAAGGSTGGGAGGAEGPGIMSRGNSDPVRGTQASGSMSALGDAPV SSVVAAAPPPMTLRLPERVPWSRKQQQQEAGVVVTPTARTVSAGATGYVSAGAGKSVG ASEREGEGEGEGERVSTSTSLPSLSSASSAAQ JR316_0008851 MSDIFRDSTFGSLLNTLSNGRLFPYPEQRPDWVLPESLRNSPAG RSSDDTHIEKPVTASAAHSTKSSTDLEKNPTEVTEKPVSVKPTVGWYGDDDPDNPMNW SLFKRCFVVAMTCLLTTSVYTGSAIYTASIPGIMDTFDVSQTAATAGLSLYVIAYGIG PMFFSPLSEIPSIGRRPIYIITLIIFVALQVPTLYANNIHTLLAMRFFAGFFGSPALA SGGATIQDMFHFIKLPNAMVLWSVTALCGPLLGPIMGGFAAMANGWKWPIYELLWLTG FTLILLIFWYPETNAETILLQRAQRIRKRTGMQHLTSDSEDKQAHLAFSSIIFESLLR PFQLMTEPVILYLNLYIALGYAIFYLWFEAFPLVYIEIYHFNLGTSALPFMGLLITAC LTGACYLIYNKYVIEATFLRTGAIIPESRLTIALFAAPFGPIALFIFGWTAREDIHWM APTIGAALFLPGLFLVFQGAVVYLPMSYPRYAASILAGNGLCRALLGGAFPLFGRSLY ESLGIGGGCSLLAGITIAFWPGLWYLWKNGAKIRAKSKYANF JR316_0008852 MALTFSSISSFILLVWALRRLLNTIASKKRRPYPPGPKPLPLIG NLFDLPISNAALVYTEWTKKYNSPILYAEALGNRIVILNDLKDVAQLLENPDRARNYA DRPVIPIVEVMGWSNNVAIMPYGDVWRQHRRIIQNNFNNPKSVQKLEPIQTKKVRQLL QGLFNTPEKFEAHSKMFSTSLTISLTHGYEIKSIDEPCVTMADEALLMGVRLLVPGAS LMNVFPVLQHVPEWFPGASARKTAGVIRRMTDEIVRFPLEYVKQSFEAGTAVPSLVAD FYEKMYTIGASEVEEEMIKNVAYTVYGAASDTTISFTGSFFYLMAANPQSQRQAHAEI DRVLGSKRLPTLADRDSLPYLEAMYREVLRLRPPFPLGVPHKVIEDDLYKGYLIPKGT TVFTNMWAMSRDEVVYPDPDIFKPERYFDAEGKLMDENLLGYGFGRRICAGKRLASST VWLMMASVLACFEITPRKDKDGNTMEVNGDIEDNGMMK JR316_0008853 MPLLSRIVENEEAEYDGLLTPAEADWVELQPLLLKNGYHLRPRY HPNWKPSWRRLWNFFYKDMWKCPDRIGLRRWNLIDAVRAHDGKRVVIKRVIVEDDNIG ILKYLNQPEMLKDPRNNTVPLLDVISVPKPPGSKETALIVMPILYPFFSFMYPFHHAK EVVYAMEQLLLGVQFLHEHYIAHRDACVFNFMMDPTNIIPTGAHHQDDRLQPDGKTRI QFRDRCSVGPIKSYMIDYEFADFFPPGNTLCIGRYGQEKDVPEMSLTEPYDPFKLDVY QLGGVARMLIEEYEGLDFLVPIRDAMMHPDPTQRLTITESLSLFRNTISSLDAEFLSQ SIQMKFRMSMSNPDALPPPTSPLPEIRKGFWKFCSRV JR316_0008854 MSSDDQIIHRWLATKDQKLAAVRRYKDRIAELRQTVTDDKDLTE DKKNDFLLELDAADKMVENLERELNSFIKALKNWQNVRKVGLPDLNTRIEILQAQIKV ASDATKSLARPIISYREREIIVSNMASSSADVQGSQMSQPPVLESLPPPPRSRYNIQS AQPPQQGEAFLAESEIISATPSAYSAGQNQDLSQMDTAAPSSDIQAVRVDVGHRIVHA HNQSIASTNTFGYAKHSYNRSDEQAGETSEQSGHDMYNSSASSRNYRIEDSENRTLAH DDSEVHHGPGHSANMSVSSSNTFGYPSNPDDN JR316_0008855 MECPDSIPLFRHKLMDAVRIKDGVEVVIKRVMLEEDNVPLLQYL NSPEMLKDPRNNVVRLLEVIAVPHTSDTFPQPSALLVMPKLFPLSAPHLPFRHVREVL NALDQIMEGIVFLHEHRIAHRDACNHNFMMDPTDVIPSGFHFACNWHQPDGVTRIHFR DRCLVPRVKYYLIDFETAEIFPPNSLTIGRYGQVKDVPEMSVTVPYDPFKLDVYQVGS LVKTLIEVILVTVLRDESHLHQLYEGLDFLEPLRDIMRCPNPELRPTASQSLEKLRGI VSQLDQTYLAHEIWHKESTPSYRKYQTISPDEKFKKWKRILFICGGKGASTLDTIH JR316_0008856 MECPDSIIPFYYKLMDAVRIKDGVEVVIKRVMLEEDNVPLLQYL NSPEMLKDPRNNVVRLLEVITVPHTSDTFPQPSALLVMPKLFPLNSSHLPFRHVREVL NALDQIMEGIVFLHEHRIAHRDACNLNFMMDPTDVIPSGFHFACDWHQPDGVTRIHFR DRCSFPQLRYYLIDFETAQIFPPNSFTIGRYGQVKDVPEMSETVPYDPFKLDVYQVGS LVKTLIELYEGLDFLVPLRDIMRCPNPELRPTASESLSRLCSIVSQLDQAYLSYEIWL KDSTPIFRKYQTVSPDEKAKWWKFGKLAQLICGANKSTRLNVL JR316_0008857 MASTTGSFTFIGALLAVWALRKLVGSIVSRNKRAPYPPGPKPKP IIGNLLDFPMEYSARVYAKWGEEYNSPIVHAESLGNHVTVLNKREDAEELLEQRAKVY SDRVTMPIFNLLGWEYNVALMPYGDEWRQHRRVCQQNFNPHAAQRYETIQLDKIRRFL KGLLETPEDYANHSKQFSIGVPMAMMYGYDIKSVHDPVIEVAEEGNILAATLIVPGGS LINAVKVKQLTEEMMRIPTDFVQKSIADGTATSSLVTDFYEKKYAVGSTKEEEDIVQN IAYTVYGGGYIVGSRGASDTTISSTGTFFYNMLMNPGVQKKAQAELDRVLGSKRLPTL EDRKSLPYIEAIYREVLRIRPPLPICLPHRSTEDDIYKGYFIPKGSAVIPNVWAMTHD ESVYEEPFKFKPERFFNADGSLNNDDRILAYGFGRRVCVGKHVASNSMWLLIASILAC FSIEPARDKDGKEIEINHEYSESGFMSRKLEFQCSFVVRSPDIEKVILDPNE JR316_0008859 MSQQIPTSQKVAIVASSGSPVQVKDHPVKKPEELAPGECLLKMH CTGVCHTDLHAAMGDWPVKPMTPLIGGHEGVGTVIAIGKNTEKSPVKIGDRVGVKWIA DSCRDCEQCRKGHEQNCENVKISGYTVDGTFSQYLVSYVHSVTPIPEGLESEAAASIL CAGVTVYRALKYSESSPGDWVVLPGAGGGLGHLAVQYAKYMGLRVIAIDGGEEKRKLC MALGAEQWIDYTQSKDIVADVKKLTDGRGAHCAVVTTASSSGYTQAIDYLRDNGHLMA VGLPAKATLDASIFFTVFKSITIHGSYVGNRQDAMEAINIAATGAVKCHYKLRKLSEI SQVYDDLAKGKIAGRVVLSMD JR316_0008860 MAVLQVLSALALLWISLGFLRRRLYPTVLENVPGPPGESWIAGS LNYVRNHKGWDYHQNLADTFGKVVRLKGAWQSDRLLVFDQKAMYHVLVKDYNIYEETD SFIEGNKIMFGHGIFTSLGDEHRRHRRMLNPVFSSAHMRQMVPIFYEVAHKVKDVFLN KVQNGPQEVDVVNWMTRLALELIGQSGLGYSFDELTETSPQHKYGLMSKKLVTMQGDE FVRDWVMPRLTRIGTPAFRKFLVDLMPFEAIAGMKEIVNVLHDTSTLIFETKKKALAE GDEAVQNQVGRGKDIISILMKRNVLASDEDKLSDEEVLAQITSLTFAATDTTSGALSR ILHQLAIHKDAQDRVREEIREARRENGGQDIGYDELATLPYLDAVCRETLRLYPPISW VPREANEDVILPLSKPIRGLNGEEIREIPVPKGTNVSVSLLAANRDPDLWGPDALEWK PERWLNPLPEALVEAHVPGIYSHLMTFLGGGRSCLGFKFSQLEMKVVLTLLLENLEFS LSKQPIIWQMFAISTPNVDPDSVIPTMPMIISMAK JR316_0008861 MPAVSKRNPVLDNPGLLPSPAPPKDPPYWHWYCSSYCSFNVSLN SLKMAGYILQYKSIHWIQVTSSHAC JR316_0008862 MLFLTRIAYFLTLVLIGYASIVSDILNALEHAVDCGSCHTLLGV LQGVALLGDSIFSSALVSVCEAAGVEDDDVCQGLLTEQGPIIAHDLRSISSTGQTASK LCNAVLGLCQDPAVNAFTVPFPKAAPINPKVFTSTGKPPFQVAHFSDAHIDRMYTTGA DSNCTKPICCRNFADQTGPVQIPAGPSGSLNCDTPTTLVQSMLRAVAAENTQFSIFTG DVVEAAVWLVDQTENTSDMQQFNTEMQTLLNSPVFPAIGNHEAAPVNAFPRNTTNQGQ VQWVFDTQSQGWNPFISTAAASQVQHMSGSYSVNPVNTNLRIISLNTIYWYKDNFYLF DSDKFQPDPNGIIAFAVQELQAAEDAGQRAWIIAHMPPSGGDALHDQSNYFDQVVQRY KNTIAGLFFGHTHKDEFAIGYSNYNNQTADTADAIAWIGPSLTPRQANPTFRLYDVDP DTYEIMDSRTFMSDMSDPSFQSSPIWKQEYSARDTYGPAIGGWPATQSLNPTFWHKVT EAFVSNDELFQTFNSLKTRGVGVSECTGDCKTTTICNLRALRAENNCIAATPGINFRR AEEKVDASQRGHIDHCEGIGLRTIFENIALKTVAGHNLTVDGLLTNSFKRTMDPESLI KRAHDAFSKRSYIVINAY JR316_0008863 MLPYQLPIAIVLAVSTAASLIVFFLSRTQEGKIKLSNDDAADNE IYQHDAFDVTRPEDILDGFPIEEEQFWRRMRLRKAILSLFLAFALALSTVSLGWSIAS NNVNDTAIYSLHVCFDLFTFLVAARSIAQSTTEYHSESIIHLTVLLTIAFSLLGVAAI LPDTPSPVAAVIRDENRVILYLWYALVAIYGVACGICYTTPLGPPLHYPPSDIYSEKT VQSITNKDEENVCGIINGSPWDILLFSYTTKVVWLGNIAASLDIGDLPIVPANMRSTV NYARMRKATRELKMRIFSWKPKPGSGWLLGYQLIRLNYIPFTAEFLLAAVSAVLFYAP PLFLQKLVAFLEVDPTRENKGWGWVYVIGIFSANAISFLITGQLWSLSTTLIQVRLRI QLNSTLYAKTLIRKDVASNATTPPTTTDVADGENAKPAEVVEKSDEDDFSSKAQIMTL MTTDVDRVSDFAWHVFSLVDAPIEIVIGTWFLYNLLGVSCFFGLAVTCLFLPMNHYAG KVVVGAQENLMKARDERVGLMNEILGGIRMIKFMGWERSFEKRVLGIRAKELKYQKLN YTIETLWNAIWNGSPILVTLVSFWHYAIYRKEALTPSIAFTSILVFSEMKFALSALPE TFINMLQSFVSLRRIEKYLNSAEVKRVPPLDQQSKKVAFQSCTVTWPQDRAASSLASS VAPTPKNKFLLVDLSLNFPQGEISLICGKLGSGKSLLLLALLGEADILAGQVLCPQSP PDSLASFSNVKPSPEDWVVDGVCAYVPQATWLRNASIKDNILFNLPYDEDRYLKTLEV CALVSDLEILEDGDESEIGERGVNLSGGQKARVSLARAVYSRASILLLDDVLSAVDAH TAHHLYHECLRGDLMKGRTIILVSHHVQLCAPGASYVVALDNGRVQFEGSKDDFFRSG VLSTLIQTTQAQGADDKDEKEDLEKVEEQLLSGEQPESEATSTVASAPASVKLEKKPA RKLVEEEKRAVGRISRDIWETYVWACGNGWYWALFVFVLIVASASPVLENGWLRYWSN SALNGGGQSPVFYITLYAVITTIGLVISTIRWFILYAGSIHASDVLYKRLLESILFAD IRFHDTVSRGRVLNRFGKDFEGIDSSLSDNFGRSIMYGLSAATTLVTVSVVGGIPFII AALIMGIIYWNVAKIYGQTSRDMRRLDSTTRSPLYSIYGETISGVTIIRAFGASSKFL RDMLRCVDTNSNPYYWMWGVNRWLSVRFNLLSAGIVGSTALVCLITPSITASMAGFAL AFASTITNDLLFMVRRFVGLEQSMVALERVKEYTDLKREPPEFVEPRPPASWPSHGAI KCENLVIRYAPELPDVLHHLNFEVNPGEKIGILGRTGSGKSTLALSFFRFVEATEGRI LVDGIDTSKIGLTDLRSRLTIIPQDPTILSGTLRSTLDVFNEYDDAEIYEALRRVHLI PSEDTPAEASDTVNANVFRDLDSKVSEGGDNFSTGEKQLLCMARAILKRSKILVMDEV MLLEQGRIIEFDRPATLLSDPASKFYALCKATGKEEFSILKKMAGVL JR316_0008864 MTNQTNSLNSTIVNQAKANTGNSNRPPGCFSCIIEDNSPLVHYE GVWVLSGSQFSTTHSTTVANSSVSLRFNGSGIVLFGTVPASNETTPPPTAVYLLDDLR PFSTTLPRAVKDIPNQPLFASSQPLSSTQEHVLVVNVKDAQTPFTVEKFFVVPRINTS KNMMVGQVTTDGVETRTSTSTKSSATLQSFQTSQAASQSSQNSHKILGGVLGSVFFLL IIAVIAVLAVWRKRRAARHVIVECPAAAKARPESILRNESALWSPPPRSQYSRSDGRN YSRSASDGRSIVDGVPPPLPPKPIPSSAS JR316_0008865 MTIILTPYWSNGVQKLKLSQPPAAPKRGLVPPQLDHEIHVQRCL EQLRSKDKNLEKYIYLSHLKTEDPSMFYRLCLEHMAEITPIIYTPTVGDACLNFSHIY RRPEGLYISIQDKGKIGSVINNWPKIDEARISVVTDGSRILGLGDLGVNGMGISIGKL SLYVAGAGIRPDSTIPICLDLGTNTQRYLDDPFYLGLRQKRVGDAEMEAFMDEFMEEM SRAFPKLMIQFEDFSTDNAFKYLERYRHKYPVFNDDIQGTGAVVLSGFLNAAKLSSAA SGLPLTEHRILFFGAGSAGVGVASQLMSFFTLLGMTEDQARRKIYLVDSQGLVYDARG HLAEHKKYFSRDDYRGPPITNLLDIIQYVKPTALLGLSTISGAFTVDVLDAMAAINPR PIIFPLSNPVKLSECSFSDAVEITQGRVLFASGSPFPAQQFGGKTLYPGQGNNMYIFP GLGLGAIIARVSEVTDSMVEASSLGLANSLTDEERSIGLLYPRIERIREISVFIAKEV IRAAQKAGVDRSPALRTKSDSELMRFISQRMWNP JR316_0008866 MFMRDGAASSLTFTPAGHFWGTCIRWATVECTPSFPPERVYKLD LLRMALTEIADFPNPNTNEGVPKRTTEGSIHSSEVSYRQRKFILEWVNQSPPPSFQAE QYRSHGSSDLIRLYGQESGPQHYLKAEGEPPPDSPLKINLIVVTSRIFAQSQSMLESR RRRTS JR316_0008867 MLSIPTPTTSVRSIIHFASLLSFQGGLTVPAYAAAKGGIAQLVK AFSNEWSARGVRVNAVVPGYIKTDMNSALLANPTRLRQISERIPAGRWGDPADFAGVV VFLASKASLYVCGELVVVDGPFSRVPSQLPGRTGRGSDLLCIYTTLTELAIDGGPLLR GWMGR JR316_0008868 MDPTPTHTPVLDLFSLKGQNALITGASRGIGYACALALAQAGAN VCLVLRPPPNASAPAPAPVSTATATPPATPITTSTSTPSTTTAGTTDIVTALRTAFPS QHFPVVHADLADIDAVKRVVPAALEAFARIGGGGGEQEGEGGQGQGGGRGRIDVFVNC AGIQRRAPAEVFGEAEWDEVGGFSPF JR316_0008869 MSPAVVSSTTAAVLSGPRTIQLEDRRVWAPPRGEVQVRVQATGL CGSDLHYYIHARNGDFAVRAPLVLGHESAGIVVDLPPGAPDTLKIGQRVAIEAGIYCR SCSFCQSGRYNLCRQMKFCSSAARFPHVDGTLQTVMNHPAYVLHPLPDSVSFELAALA EPLSVLIHSSRRAHLSPNQNIIVFGVGAIGLLACALAKHRGAARVVAVDINPARLEFA KREGFADDVFCLPQPQSSSQQPQSLPEACCAPPKANGVSASSHKHTHAHASTPSTPRE MADEQLKKAKESAAAVMSAFGSPDGYDVVFECTGAESVIQMSIFCAMTGGKVMLIGMG TRAAYLPLSTAALREVDILGSFRYADTYREALALLSTPSPTPSSSSSSSSASSPSSAS SSESEAQVESEANSKTGRSPSPSIPLPVLAAKLVTHRFPLADTKRAFEMLAKGVDDEG GLVLKVMVGSGVPC JR316_0008870 MVHVLKANQSSPKILEVITKTLEFHTSTNYQIKAPQPFTSEVHE DILLDLNRIKQQKYSSDYDLHVDIFRSLKRLNDGLYTNYLPIPLALLTDEKGNQDVYI APEAFTVASAEFGADIEYWQNALPGTLKGKLSSLSGAKVLLINALPPFAAVNANAAIA GSYQALGARQNGQLCRFFSSYRRVAGGWTYLMGNFAQQSLPLSDSVLLTVQRVNRTIP DTFLLPYRSKRAVDAFGDTSGWRSVNCKAKSTTNGQDIYSDTVRTQSRTPSRYVNQLI DESPFSNVILPPTLVPILSPVDGSYNAAQFYLLQDKKTGILALGSFSDADYYGFMNNL LKGLLELKSLGATQLIVDVTNNGGGYICAAHWLHRIIAGPKSTTVPQAGLDTTTRNGA LAQLIVKKIIYEDRDPADRLLYNPTQWRDASNNFFAETHDWLQPPIPVIINGRKDAFS QRLGQECQPEGFPSNPPVEALFDPKKVVIVSNARCASSCSLFSIAMQKLEGSRTVVIG GKKDVKQQYCGTIGGQSTSFTTIDTEIKTTGLKNNTLAPPDLMVNGYLGITWRLAYGV DKPTEPAEWQDHPADFNLPLTANLTNNPVAIWEEVSKRLLA JR316_0008871 MDLNFVAAPMVNQSDLPFRVLVRRYGATAAYTQMYIPERILSDK DYLEYHIRDLCMGNDNELTRPVIAQLCGNDPEIVVQAGRRLQPYCDAIDLNLGCPQEA AKEGHFGAYLLGQKDWPLVNDIVSAMSHSFTVPVSAKFRLCQPSSKTVELAQQLEASG AAWVTLHARTVSARRRRQGAADLNEVKRLKENLRIPVISNGNVRGFDDLQKNLNITSA DGLMVGETLLGNPCLFSGIVPDPIDISLEYLDICREYPGTTLVTIQTHIRHFVEFQCG RRPWFPKFRSALSSTNSLDAIESLLAFKIERWRGRPPRKTRSLEYPIDSTEDVEDPEE KEDLDDVLGLEIFKESSGLTAGY JR316_0008872 MPHYLMSPVNLQNLPIEITCHIFSCLEMHDLLACSQVTKHLRRI IANSSQLQYAIELGRYRMVPLLPASVGPSFSTRLSLLRDRERAWKYMNWKKKYTLKLP PTGSIYEFVGGLYGNGREDDSRTTASISFLELPTADITGMNKSPDELQMWTHPMGDIT IVDFTMDPSQDLLVLVALAPSESKYLYELHLRSIKTNQPHPKAPVSVLSCLRKPSTHT QTSDVIAAVRVQVAGNLLALLIKESFEGDSAHLEIWDWESNPHYSCSMGRSDGIDDFT FLTRDSFLLVLPPGQFEVYTFRHNSSSTTSPILRAAYLFPPLSEGYMYWYISMSSNPA PGYVPYSPSDTPSKSTIPGHGKQIYYPRPDERIHACCLYIFNPSREEAHQVHSFVFFV NLRMFIDQLPALAKIPTPPRLFPRYPSPVATAKRPADTLNGVGSEPSVRSPGSSTAAN GPSSPRSDPTTEGMDDELMRQSLFLSLSSRLPTPIDSSHIPQYPPFPKFDPLNAWPST PSSKSSDRTQPPLPPAIRYETRRSGSPDASPTTVAIFPWETWGPHGTRWFEECISTDW QHAVYGLRTVESIDPEKNSQQTRISLVSANNYVSVATQTTPTLSASSSASSSPNSHHS HAMQSGVSNGTTSLQHQNNVDSPEGGQPKEKLKYLRIRDFNPYSFPKAADPMTFRVTD GKGKQKAEEKTRWRVPRLVVEPSTTPVKGVFKQDIVSSLPYMEVVSEEAFEVTDVMMD DCRLLLLKRGDAGKLKKVDVLMM JR316_0008873 MANLHRSSGSYSGSVNNLVKDSRSSIDSERSVDVDVSPPHTNGV HEQSNGVVDHVEDSSDPIERLQRELDRTKEEKEKLATQYRNLLAKLTQMRTTLGNKLQ QDAEELDRREQLVQQLTAEKEDLTATVETLKQELLVSHEEVERASSKLDAMRTRVLQE NAQESIQREKELRDTQLELEQCRMERDEWERSALQAQAISEDARSTVEALRRELELET AARARDMAELEREREKADNLQSVLQDFQSVKDHELREAVKDYESQLLQTTQSLAEFKH RALNAELQLEESHANITRTQELEKEVKEKKLQIDKLRHEAVIINEHLMEALRRLRRNS TEMNVDRRLVTNVLLSFLSTPRADSKRFEMLSLLASILSWNDQEREKAGLQRIHPSEG SQPSSFWGRSSNVTSPSRANPELEKSDETESFSRLWVEFLLTEAAAGESPTQPTPSQP RSSMTSIPASPPGYPHPGPPRRLSSLSSAGAASSPNLLGPLPSRKGKEKERVASES JR316_0008874 MKEWSKKYNTPIIYLEVLGTRMIVVNRRKEAEDLFESPTRAKVY ADRPYFPVVPLMDCEYNVSIMPYGEGWRQHRKICQNYFNRHAAQEYEPVQTAKIQQLL RGLLEKPEEFEKHNKMFSVSLTMAMMFGYDVKSLDDPCISAADRALTIGARVNGPGGS IINVIPAFRYIPKWFPGAPSWKQIDEVRKLTAEMKRIPMEFVKASFDNGTVTPSLVTN FYERKYTIGASIEEEEIIKNVAYTVYGAASDTTISSTGTFFYAMVANPDVQRKAHHEI DQVIGSKRLPTLADRDSLPYIEAIYREVMRLNQPIPFSVPHCLSEDDHYQGYFIHKGT LVFPNLWAMAHDEEVYPEPFAFKPERFFDQEGSLNTNDRVLAYGFGRRACVGKHVASS TMWLLIASVLACYEIVQAKDENGNDIEIDHGFEEEGLLSHKRKFDCSFVVRSIAAQKL IDETN JR316_0008875 MSLIPYVGVLHIFAALGGVYTVSPNKSTRAKNTQIIFGREWENT LDDSKLARRESTAHVLAATLGFLSLDDGLQKEIYDNVASVVALDRDPAALHLPCSMSK QAYEHYNTLYKYPPEQILISAAAFVGIRGAFEDTMMQIPDPRGPADTMVSGSKRNRGL HSLHCVSIAMTLLPEYSPRYLNNHSNTGLLVGMELQLNPRRSLRSVSVRAIDPYYAIA FS JR316_0008876 MPEGLQHMRYQNRPTTKKEIKCFEMPPCAVHTQDIYPSGWVKCT HPEGVRYFHHNEKHVYTDSNICDEHIYHDLMEDIDTILRHRVKWVVDLPYVDLVINTR YCDEDPTRTFSAYYFISHSYFSVFFMDHYKMDSLSVNNQVYGPTSWDHLGYEIEAQYW YHVQLYPHSRFLTRETIAQLRDVVLHFIGDTMTSPTSTAPYSMQDLRDILALTRDMER NLDLPAWVGTQSLLARQMFIFCRAKYLHFHGEPHARVERDVSVYGDIYKRTWLVKYLS PILFFAPDFHLKNLQSMWVDRIMHKSVFRDAMKKMNEEWDRYVLFATVMLSANVGFLA IQSVDNDTSPQRSAAQIASYVSMLASIGSIVLGLHLSRQNKTKDRENVDNITEVVSTT VITWSKFSGQLTSVAATVYGASFSVNIWRPRGSRYII JR316_0008877 MDPTDVIPTGFHHAKNYCQPDGKTLIEYKDRCSVSPVKYYIIDF ETADYFPNNKLCVGLYGQQKDVPEMSRTVPYDPFKLDIYQVGKLMERFTKEYSGIDFL APVFKAMTLQDPMMRPTATEALEQLKQVASSLKDSDMSAKIRMTNNAAYDLQRLLALA TPSSPFMIHNLLLLQSSSTLNMLGPAVSQYSTGKKGGTEIKMPDTG JR316_0008878 MASNLEDRRVQLLQTRKQRLTKLQGYKEDIAQKRREIEAADITE SDQNDLLLKLDARENQVDQLTANLNNIFLRHWNKSGTIQTILKIETGLKTLDRQFIEA SSANRDLKSRNQLGERPNISSEHVFESAVVEQYDSNFLVSDPLRAVRLQTGHLVATDD PVVTGRHSQAASVQSHEYWPVPEQIEQPGGLSPPPQKFFYAISQYDQVDSPGSIITRH RYSDFEAFGPDNLNPDIIDYSERLPARPSSSSHYSRMSENESHEDHASTTSQLSLISS NSQKIKD JR316_0008879 MAKKKDPIAEGLHTSAEAYWAAQQSLLADHGYQLRPRYHPNWKP SWKGPWNFIFKDMHECPDWIGLYNRKVIDAVRVEDGGRVVIKRVTLELDNVPLLQHLN SPEMRKDPRNNAVPLLDVIIPPTSNEGKSDHTVFLVMPMLFPLMSWHLPFRHVKEIVD VFEQVIQGVAFLHEHNIAHRDACNLNFMMDPTDVIPSSFHHGDNYYQPDGKTRIEFKD RCSVSRVKYYIIDFEFANYFPNNKLCVGLYGQQKDVPEMSRTVPYDPFKLDVFQVGKL IEKFIKKYEGLDFLQPLFEAMTRQDPKTRPTAAEALDQLRQTVSSLTDSDMSSEIWMK DMTPGLHRLQTLNGTPRPSSRRLLFDGSKCRQLLSQIV JR316_0008880 MSNLQDREEQLLQTRKQKLAKLRGYKQQISAKRKVIKAADIPES DRKDLLDSLTLRERQVDKLTAKLESIFRLFTKKPVSAEEILKIERELEELDSHFNASI EVSLANRDTNADETGHSEGENDLFVTGSLDGFNPHGITKLNEILKTENQEHLYRNLIL IRISAAGSVQSHEYRPESEHFEQPGGLSPPPQRIFHAISQYDQVDRYV JR316_0008881 MSSTQSIAVSANIEQAIAFLTRPLILTQSPALVSGIQTVLRATL GSCFDPKLHAAFTLKLSAKSLPPRPILAACFTSGVYWIEWMQLLGGRDFDLVIEAQSV KVVYHGAYPQTIVVWSEVAPVPARPTRIARLHIDEPQVPISKLGQQHQQQSAMQSALR ATVDSAVARAKTRTLAQQLLQSECEDREADEILAMISNCAITTPTPTTERFIIEPPKL IMPISNMTTFPSPLSSPELASSPDSDFSSYCSRPSSRSSTFSTFSFSSDDESSVSSAC SASSFDFLASTKPSLTSTSPLPAASPVSAPALAPSSQRAPIFVPRHKRAAAAAAAPAP QVFVDSNKKDVTKYLYQGGVSTVLTGGVMLGATSAAAAGQNQNKAKATSQVPKYRAPV GGRKFSAAPAQNAASANSWRRAAPRA JR316_0008882 MLRSPTRTSFSPPPSPTSPSKKSRLGMAGVANLFIRIKRLEESA LGRHITVISSGEPKTQPSMTDACIGHDKLPVEYVDHFVCVGGVNIALLLRATRSALVE HIEDFGANGLINEMWECTISGPKQMHNGAYKIRVRYIADAVKSSVPDPRRPVALDKAK GIPGLMTIIKRGDD JR316_0008883 MASKSPPFLLILFVIFAFCLANNGVYAFGAGNIPSFAYMEGRAF RHGDIEDILSDLVKRGAGGGFALASLISKGKKFNGLDVKRVYFGNWLRDYSQAVDIAS LKKLQLQTIINLCIALGFLAHGYATHEFEVTEERLGVYLPTEHIDNPKGYGAGEDPRQ YHPKLRGPVNPQELEIDPRTGMKNYIANENGPWDTSKALVRRTLEQCIHRGRQNRATG DKADEYEAFRLLGQALHTLEDFSAHSNFCELALVSMGHEQVFTHVGDQVRIQAPNGKW VAPIVTGSFGSSDFIHSLLGEASDHLASVTDLNKELDSARTKSLSQTRGPGGPILNPA DALRDLFFSIPGGAGNDMSRDLDNIERIRAGPAAGGKNPDQMSPQELHAVLWQVLTFR DSVVKKISKTIEKIPGLGPLIEKLMDSISVFVFTTLEPFLKPILKSATSGLQSVSGEV IDNQDQYEVFNDPRASDPTHSFLSKDHFNLILNEPAGQIARIIVAHSVKLISAAWDDN SKDVHQITEDILQCLFHPDFHNPNSQIQREMLQCMKDWFSKVQNQHSVIQRLSKDSVR NHKNIRLAGEGGAPESQGSFAETQAHQFQHTLAGYASNVPGVAQAQSLFGGQSREIPG SGFPGASGGYGQGPPPPAAGGYGAPSGPPPTSYGGQAPPPPSHGPPPPQRQHSGGYAP SYAPSYGQDNKSFPTAGPSFPDGGGQGGHGSGGPSFPGAAPSFPGATPGFPGAEQHHH HHHHHHEHGHGGPPSFPGAAPGFPGAAPGFPGATPGFPGGPEGYGGQAPPEPGAFGFP GADQYQGNAPPRFPGSSNSYYGGGGPGGW JR316_0008884 MFSRTFALFVTALVAASAARAKPVVEADNVARGADAAPTVEVCV DVDGNCVNIPAVSDSCVNFTGGLSFLDKQASAATIPYGFVCTFYK JR316_0008885 MSSSSTTSSQHQNVVPSEVGWQFVPQYYTFVNKEPQRLHCFYNK NSTFIHGTEGEDMKPCFGQQEIHNKITSIGFEDCKVFIHSVDAQSSANGGIIIQVIGE MSNHGDPWRKFVQTFFLAEQPNGYFVLNDIFRFLKEETVEGDEFEDEYEPASEPATPA QPAHVPEPVHEPIREPTPPPAVVEPAPVEPTPVEEPAPVEAVAEPPTTQTPTPAPEQQ QPPAAAAAAPQPNGIHTPEAEKPAPVVTEPSPAPTPAPQQQPAPAPTPAAPAPAPTPA AAPAAPVQPARPAPPVAAAPPPQPAAPAVPRSWASLAASNPKKWGAAVAQESRGTTET LSTQPSSAPAPKAPAPAASPAQRPQSQQQGQQANGRHEHPAYLAVQSVNTAQCFVKGV TEPVSQAALQTTLSSRFGPIKELEIVRAKACAFIEFQSVESAKRAIIASLTQHQGGEG GIWIDVGGDAGQLRISVETKKERGDRPPTRPRGGAPAVNGDGRGSPAGRGRGGGRGGR GGASTPK JR316_0008886 MPDPAPAPAAQAQPAAKAKNGAPPAAAPAPRKVRFNVGTQYQVL DVVGEGAYGIVCSAVHRPSGRKVAIKKIAPFDHSMFCLRTLRELKLLKFLSEAGVSEN IISILDIIKPPSIEAFKEVYLIQELMETDMHRVIRTQDLSDDHAQYFIYQTLRALKAL HSADVIHRDLKPSNLLLNANCDLKVCDFGLARSVKTAEPSGTETGFMTEYVATRWYRA PEIMLTFKQYTKAIDVWSVGCILAEMLSGKPLFPGRDYHHQLTLILDVLGTPTLDEFY AITTRRSRDYIRALPFRKRRPFATLFPNANPLAVDFLTKTLTFDPKKRITVEDALAHP YLEAYHDPDDEPVAPPLDPEFFEFDLHKDDISREQLKELLYEEIMSFQPAPIT JR316_0008887 MDDFNDKYETTTVIGVTESSMDEYSPSMTQENEGTDIEKGKVLT PEPTVVDLKAQEDEEWETDPDNARNWSWSRKWVATGIVSFYTFVPPFASSMMAPGLPE ISERFHIDNPTLLALTLTIFLLSFALGPLFYAPLSEMYGRTEILHIGNLLSMVFSLGC AFSPNVGTLLAFRFLSGFSGSAPLAIGGGSVSDLFAPRDRASAMAFYNLGPILGPAIG PAVGGFITQTIGIKWVFIVIAITCGALSLISIPLLRETYAPVIRARKARRDGDVEKAA RVHPMLVQAKGNLLSLLWMNLTRPIELLFRSFICFILSLFMSLLYGIYYLMLSTFPDL FNHTYGFGPGVGGLAYLGMGVGFFMAMFFAARVADAIYKTLADRNGGQGKPEMRMPAM VVGAICIPIGLLWYGWTAEAKVHWIVPIIGTTFFGFGTPETTILTSWFHFANLSLEGM TTVYLPISLYLVDAFRYAASALAAASVLRSLFGFVFPLFGEQMFSALGEGKGNTLLAC VAFTLGIPFPIWIYYKGEAIRAKNPLSNGSM JR316_0008888 MSRAPFGGQNHSAQNLLPTAGRPNVNAPRSNPPPVINQFSRQAK RNSSSTTHSSPSESNHGRFSTGHPFSLSPAPSQWGTPLLMNASEPDDYLHNPDPRRDR KNDSGGSIFTARGLANLGCLTLLAVGCYPIITHYTEKKQTTQGGFNLGGTNASGQVPE LPGNYGLIDKDTPKEAYTWPSYIDGEDLVLVFSDEFNRDGRTFYPGEDPFWEAVDLHY WGTKNLEWYDPSQATTKGGNLELRMDLTPDRSVNHNMLYKSGMIQTWNKFCFTGGLLI TNVQLPGSSDVSGFWPAVWSMGNLGRAGYGASLEGLWPYSYDECDVGTLPNQTYPGER RPLAAVTNGDPEVGGELSYLPGQRLSACTCPGESHPGPMRKDGSYVGRAAPEIDVIEA TVTEGIGHVSLSAQWAPFNARYQFLNQNTSASFDDPRRTVLNSYQGGRYQQTTSGLSL TNPNCYEIPGTCFALYGFEYKPGFDDAYITWINEVRAWTIRSEALLPDTEVEIGRRLV PVEPMYLIANLGISEGFGEIDVGLQLPAIMKVDYIRVYQRKDEINVGCDPKDFPTAKY IETYKEAYTNPNLTVWADYKQPWPKNRMDPGGCT JR316_0008889 MDVDEPQPTRFSPFINFFDVSNNFPWQEPRPEHIRDRRAMMDGT LIFDILLDAGGIDASYMLYPPESQEGLQKLLDAIESSQYDILKKDCLVYFLLKWHQDG RERNFALQRCIPPQFSSLADAYWHLDTGINVGKAVALLSDSRLNRDYASKIIRAISLS PDSATLIRKYVQTAKPQLVEPLDLEQYAIALAESSVMEAWQFLRTFNENETDNMRARL FKKVLAWAVSPAPRPSALKELLTLPLAEFEESVLWAFAEKPPSDLLKFPEQAILQDLV CVRLIQAGRYSDAIKRDRIFASTTSAKNLRITQDRSKMVNEVYAALTPIERSLLDLEL DPTVEKQPPLAPKPSSPPMRRQAAAQEPQDTSLSQSWEDVQMPDSLLNKSTSLREVRV PVTPNFGGPSKPSTPATRPPVIPINFSNGVSSSTAKNNNNPLASSHLGSSQLRNSLSG VGSRMAYGNTSAIASPASGIKLPTVPAPSSHNQTPVFVSASRQPNAFYQPPPEKTNGV QPTFEEDVTSSPERPDTSMNTEQDVEMEPEKEDQHEDGKRRGRKSIVSDNGDAEENTL QFSVFGAKETDKAEGSTAKKGMRKAPPGDFMSDEDDAMEEDQDVSTSKKRTSRTTTRS SKSSKPPAKKPRQTKEPRTIPGGIMEDGDLDEGDDEVAPLRAPSPKRTARKPRSSASV ESTMDDGEGVQTRRRSSRLTTGGGSTHGGSPEPKVKKTSRASGGRKKR JR316_0008890 MRPVSLGVALSIQLFSAQLGLLSANAYDIVKDYSGATFFDGFHF YGSYDNLTSGDVDYVTRENATAKRLAFVNGEGRAVMRVDNATDTIYLEKRESVRIESR LWYGPGTLWIADIVHMPFGCSVWPALWTTGKNWPDDGEIDIIEGINLMENNQVALHTT PGCMHIDPPPPNQRGVSRQLNCTIDAGCTVGETAPNSFGAGFNAAGGGVYATQFDESG IWFWSRPNIPKSILEATSTSSITSLDDWGPATASYPSGPHCEITKFFKAQKIIINITL CGIWAGNPEFYTPQCGNQGVTGLCYNDNVVGFGTARKYDNAYFEFNYLRTYTNGQAQV FDPDVDSDTSPSSSSGTRSPPSSTGSSGASSTNTPGTSAASGLQSFAAKGLASVAGAV SLALSML JR316_0008891 MATPSDQLPPGWEAEWDATHQRYLFIETQTGHTQWEPPSAGSAG LGPVPTGSPQPTHSHTKRRQYAAGQTQAYYGDTATDPGYGAQAPGLQANLQPQGPLFT PGLVAENQFAAQQSQPGAPGQPGYYGQGEPEYINGPAFNQQPQYGQAPVDALANQFGA MGMGQKQLQLFTTNLLTSPPEPRDLQRPPPEIRLPPNSCISPSPTANADPSYCRSTIN AIPTTSSLLTKSKLPLALVLTPYRSLDEGEDPVPLVTDTVIARCRRCRTYINPYVQFI DGGNRWRCCMCNMTNEVPQLFDWDQVRNQPGDRWARAELNHSCVEFVAPTEYMVRPPQ PAVYVFLIDVSHTAVQSGMVATATRTILENLDRIPDEDERTKIAIICYDVSLYFFSMT PGSTESSMLVVSDIDDVFLPKPNDLLVNIAEARASLEALLGKIGDMFQENSIIGSALG PALQAGFKLMAPIGGKIIVLSSTLPSVGPGALKNREDPKILGTAKESACLPHYTSGQT YYYPAFNAGRTEDAIKFAHEFGEVLATPIMLEAVMRVRASRGLRMAAFHGNFFVRSTD LLAMPAVPQDQSYAIEVQIEEAITTPFVVFQTAVLHTTCYGERRIRVITMALPTTTNL SEVFASADQIAITTFFANKAVERSLTHKLEDSRDYVFQKLVELLVSYKTSMTSSGAGA SAQLAISDNLKMLPVLVLGLLKNVGIRQSAQIPPDIRAYSQALLTSLPSQQLIPYLYP SFYSLHNMPPEAGTIGEEGVILPPLLPLTSERLERHGLFLIEDGQTIFLWVGRDAVPQ LVQDVFDLPSYDVLRGGKTTLRTLENPFSQRVNAIIQKIRESRRGVYHPHLYIVKEDG EPPLRLWALSMLIQDRADMLPSYQQFISSLKDKVNVM JR316_0008892 MLFKQISLTALLTFVVSVSAHYTFPDLIVNGVNTGDWVNVRRTN NYNSQSPVTDVTSADFRCYTSETHATASTATVAAGSTIGIGADQPVYHPGVVNVYMAK APGNVSTFDGSGAVWFKVFQIPAVTDGGRTITFPAQNINAVNFQIPKNLPSGQYLVRV EQIALHSASTFGGAQFYLSCAQINVTGGGNGTPGPLVSIPGVYTGREPGIMLNIYYPI PTTYVQPGPAVWSG JR316_0008893 MKLAITALLSFAASAAAHYTFPDLLVAGKNTGDWVNVRKTNNFN SQSPVTDVTSADFRCYTSQTQATASTATVAAGSQIGIQADQSMYHPGVVNVYMAKAPG NVSTWDGSGAVWFKVYQISAVTDGGSTITFPAQNLLSVSFTLPKNLPSGQYLVRMEQI ALHAASTFGGAQFYLSCAQINVTGGGNGTPGPLVAIPGVYTGNEPGILINIYYPVPKT YVQPGPAVWSG JR316_0008894 MFSKQLILAFLASSVTLVSAHYTFPALLVNGAATGNWHITTQHH YIVDFRHLTIYTGDRRPRARISAATPPRPEPLLKLPPLPAGSTIGFRSDDPIYHDGVL NIYMAKAPGSAANFDGSGNVWFKVHEISAVTNGGRSISFPATNLRDVTFTIPRSLPSG EYLVRIEHIALHSASSFQGAQFYISCAQINVTGGGNGTPGPLVSFPGAYNGREPGIQI NIYYPIPTQYIQPGPAVWRG JR316_0008895 MEHRFHALPPELIRKVGQDPHAVGIIVSVIFILTYFSAVFILAS FDHPSPSLLLLVCKTAHEWMIPILYHSLKFTTAGQLSKFLSSHDLPDDLMCSSFSPPS SRLGLIQNMHIGETPTDKGNLFYGSTSWPLTIITRLFWLARSLKRLTILNLDQNKWRM LEHAVPGTLEYLALGPIHGPFRPQDLKQAPPLKMFTSVLTFMRDDEVQDVVCYPTMRR VRRILESSSMAPHWAIEQVRCVSKSKGLERMEMLLLGRSQYTEVVAVVMEEQAKVVSA DPRVVIEKDTRGWVAILYDEWEDCRLQFLPETRKIVLFYPETWRPMNILKLSTAANPL TAPLPRKEGISPAERAADRFSISGNAIVTGGAGGIGLACVRALLEHGASGVCIFDLDA RFSSPSAQADLRAIHAEFPDRKIVEEVVNVSQENDVRRGVESAVQKLGGVSVLLCAAG IAKDCEATEITMEFWRQIQDTNTTGSFLCAQAVGKEMIKQGQGGSIILIASIAAHRAI FPINHTAYCVSKAGVLQLTKSLAAEWARHGIRVNSISPGYIETPMTQVEEFALGKRIW EEHNPMGRMGVAEEIAGPVVMLASPAGRYVTGVDLVIDGESFLFTAPNPLSSSFLSDN QATSPYHTHPYPTPGNMSTAATSSSSTPASVDSSWKSGLRPPPKDIRPQTEDVTATKG TEFEDMFLKRELLMGIFEAGFEKPSPIQEEAIPIALAKRDILARAKNGTGKTAAFVIP SLQQIDITKPKIQALLLVPTRELALQTSQVCKILGKHMGLQVMVTTGGTTLKDDILRL SETVHVLVGTPGRILDLAGKGVADLSDCPVFVMDEADKLLSPEFAPVMEQLLSFLPTD RQVMLFSATFPMIVKDFKEKHMRNPYEINLMDELTLRGVTQYYAYVEERQKVHCLNTL FSKLQINQSIIFCNSTNRVELLAKKVTELGYSCFYSHAKMLQSHRNRVFHDFRNGVCR NLVCSDLLTRGIDIQAVNVVINFDFPKNSETYLHRIGRSGRFGHLGLAINLVTYEDRF NLYKIEQELGTEIQPIPQTIDRGLYVAPSGGEESQVQRAPQQARQQVAPAPAPVAAAP VQRNGQQQPQQQQPPQQQQQQQHQQQQQIPARQNGAPQQQPRGQPAYRGGVPVGR JR316_0008896 MENKLPGREELIDYTPSKGEPGYVGPLFKNALNAWELQGSTMPL TTLREFTMLQLMNTITDKPDWNIKVNDQEITDKWKQEAMSSGADITSAMVDYCIDELR YKASLIPKDASPPIIVYNGDVVKSDTALSVEFKKELQEAVTKFENSIPARLKDWHPGS DEKVWDLVHPSLFPLVYGRSRVLERGMPQTTLDDCIERCGEGKIADVRDSDEGDRSYS QKFQWLPCEVDISGEDPKITTYINNLHPQREKPLYALVEKLIKESIPLWDLTLAPLRN DFCHQLRIKYHHVEHADEGETDPNIVGPLNVTQGAGAGSSLPQNQSDDGEDDDEDDDH DEEDDFDEFREARGPEIRPQPGVFAPLPAPPKFSLKDAYKQRGLQVIVKLANIELTPE KPDYAGGSWHVEGQLNEHIVATALYYYSCSNITSSSLSFRQMFSVWDDMDEVNYEQDD HRWVTTIFGCKQDGLAIQDVGGVDTREGRLLTFPNVLQHRVGPFKLQDPTKPGHRKIV ALFLVDPNIKIISTAHVPCQRQDWWVDNIQQKSNAAGLDQLPLELQENVLEGVDFPIS LREAKQMREELMEERKLYHLGKEEKFKEDFTISLCEH JR316_0008897 MSDSLMDAEVEWVKLQPVLRDSGYMLRPRYHPSWKPSWQKRRNF YKSMKDCEDSIVLETPAQRHNVIDAVRVKDGLKVVIKRSTFEHDNISLLQHLNSDEMR ADPRNNAVPLLEVISVPISDKDNDSMHIVLLVMPLLAPLVSGYIPFRHFREVTHALNQ IFQGVEFLHDNGIAHRQMPRDACMLNFMMDPTDVIPGGFHHSKQYAKPDGKTSIDIRD RCTVPTIKYYIIDFETASYFPPNSLCIGRYGQEKAAPEFSNTIPFDPFKLDVYQLGML VHRLIQRYDGLELLVPLRDSMTQKEPKLRPNVSQALKMLYKIISSLDEDSLSQDLRQR DIFYELISEFKRVPTTKESVAQPETLPLQKKTLHPQQDSVPLQQGDLSPSSRRSTHVC KRGLSTFTKLKALIFSL JR316_0008898 MTIILTVAYVFAVVGAAKLVAKFLTYNRSRIAYPPGPNPKPIFG NAFDFPKYDAAMEYLNWGKRYNSDILHAEALGNHVVILNKREDVDAILEQSDRAKLYS DRPHVPIFKIMGWEYNFAMLRYGDEWREHRKITNQNFNPQAAKQYQSLQLEKVGQLLQ GLLDSPKDFLEHGKKFSIALTMAMMYGYEVKSIDDRAVSVAEEALALGTQLLTPGGTL INVIPALQYVPTWFPGAWSRRLAAKVLELSEEMKRIPTEFVKKSLAEGTASPSLVTEF YERKFAVGATQHEEDVINNVAFTVYGANPDVQRKAQAELDRVIGSKRLPNFDDRESLP YIEAIYREVLRFRPPVPIGIPHCSTQDDHYKGYYIPKGTSIFANIWALAHDEADYPEP HSFKPERFLDENGQLNDDDRILAYGFGRRVCVGKHVAGATMWILIASVLACFNITQAK DEEGNDIEINPDYVDLGLTRRVVTYSSFHLVFDQI JR316_0008899 MPQKAPVSAPASHPPLGTLIDGNALQLVEVLGVGGYGVVYRAVE TFPSRGRSFAVKCLASTHSHSVLRRQVHIREITLHRIASVHPGVVTLHRIVEDHDHMF IIMEYAPDDDLFTQILHKSRYLGNNALIKHVFLQLIDGVQHCHSLGIFHRDLKPENIL CFDGGYRVAITDFGLATTDKMSKEFRTGSVYHMSPAECQAGDPETSPAYSPMHNDIWS LGIILLNLVTGRNPWRSAMADDPTYQAYRQDPLQFLMSVLPISEELNDILVQTLDVDW RGRLSLSELRQSIQNVTSFYSDKVIFEGSLARCPWEAGMDLGNVEPQDAGHEKRPVPK IPEGVEPYCVLSVSAVASTFKSQASTTEGYFERDPWKGEQNVYDARHYGMDVYDNELQ VPYGSSGRSSYSSDPSEPSTPSSVDQFSYRGVRNNFDMETAYDYDSENLYAKPTAYPS FTGSSATETPETNRYASSVFVDTPIMESKPFLQYQDAPYMDAYRTAKRYSSPNTSIYS VTEDSIYGDDYSDSSVDDFPPNSPNFVVWPEPAGQRNGSRPMSIQNSRNRKSRTKSQN VFNPMRFFPRSSGSSWLSPKSSPLASRPITSSAGTLRHNATPSNVPAMPWTDYHHPRS GHKKGGNSHRYPAGGYGTQRRSSRDWIPGGFFNTGDN JR316_0008900 MPNRNSVSISSISSMSSSKHDQEKQAEEQEMLQVKLPSSSSMDL PSPVSAQPPKATPTAAKYKLSSAVVIPIWIALSSAVIIYNNYLYNTLNFKFPVFLVTW HLGFAAIGTRILQRTTNLLDGVKDVHMTKEMFVRSILPIGLLFSGSLIFSNTAYLYLS VSYIQMLKAFVPVAILLISWTFRIQEPNKKLGIIVVMISTGVALASQGEMRFNMIGFL VQAAGVAFEASRLVMIQILLHNLKMDPLVSLHYYAPVCAIINLFFLPFTEGLAPFYEL QKLGIFVLISNASVAFLLNVAAVFLVGVGSGLILTLAGVFKDILLITGSVIIFGSEIT PLQVFGYSIALGGLVLFKTSGGK JR316_0008901 MSTLQGYVDRRVLLVLQDGRAIVGVLAGFDQKSNIVLADSKERV YSADEGVEEIPLGLYLVKGEMICLVGEIDEEIDKSVDLASIRADPIPPIRYG JR316_0008902 MSDHCQRVKDIGSGTNSPPAADEKPMGRASVDSPIDAGHISDRE QRKEYFEHASDKKAEANKSQNDKKMGQSMAASMEQRGH JR316_0008903 MKVVVTGMDLFHPGLNETKDGSLQVHLEFWVQRSSAHSRIQGLT PLGSPIHESVTVCKNWISEMGKKSTLSSTKSSLTVTNYVFDGTSPPYTPSSSTNPLQL YGRSKRDGEVAVLGVDGAKVIVLRVPVLYGPAPQNSDSAINILLDVVQDQSGKTYKMD HYATRYPTNVLDIADFLVRLTGLKKPIPPILHYSSDEPFTKYEICLTFAKILGLPHKH IIPDAEPPSGEGATTRPRDCHLYTKETEDLAVDGGLGLSLFEEWWTSYLKK JR316_0008904 MLPTQGQASLSDALPRTSVAIEKEYTLFSSMRRRANTNQSSESA RPNFKFAPAPIYSHVSTYRSTKLELQLYSPSLKVHEDERGPVAVFSDHDQVTGKVSLD SSCHHTGKLAISIQGSFSYLPTKNMDEPAMVVEAQQYTFFSSMTTISISNGETSTARS AFRDAFVRRRPSITNINISTCSTERVHPFSFSLPESIRLGEEMPATFSSAKDPTSPDY FEVTYKVIADWEPNDPTEIPSHLEVPFLIQPDADFQCADASVATPESWLEMPLKSDRP IPVRCAITLPTELTFSRSSSIPYFVVFTTTPRSSELAKETAADATIAVSLIRQTIITD KTTLPPTPPATPSSDEGESSFRPKILRRVAKSQPRLRSRRPSDPAPSVISSPTQEKPL PQIPVNPLIFSDTRTIQTEFCIGFPKRPRQLFDKENHPSLETIAALPDGLHKAKINLN KDMLPCIDWGGVSVRYYLDVSVLLGADDLRARIPIRIV JR316_0008905 MVEMEHPTLTWQAMQEGNVFYRRQQCYSTPDKLPELGDYIIAGC RYGGPIALMRDNTKLTALGRSTPAVSKSQIQIYSPAGESLLTFSWDQGRIIRFGWTIE EKLAVLNEEGVYRLYDLQGDYEQFSLGSEAAELGIVDARIHDNGLVALTSTLTLLEVK GWEGVRPLTLANPGLSEPPHSWAVIPPDLNISRHVEVLLSVDATIHTVDNLESVDQRL SRGPFTHVSPSPNGKSLALLTFNGTLWVVSADFQRNMAEFDTSTVVGAEGPVRQVEWC GNDAILVTWHSLAVLVGPYGDTLHYPYYGSTFAITEMDGVRVIGQEVCDLIQKVPASS LSIFRPGSTSASAILFDAWESFNKKSPKADENIRSIKPELAKAVNECIDAAGQEWEPY WQRRLLNAAKFGRSFLDFHNPTDFVQMGQTLKVLNAVRFYEVGIPLTYTQYNYASPSR LITRLTSRNMHLLALRISAFLGLKPDAVLKHWACAKILKSRPTTTGTGKDAELSGDDD VCRTIVEKFEELGGSDVSYADIAKKAWEVGRGGLATKLLDHEPKGSDQVPLLLAMKED RLALVKAVDSGDTDLVYHVLLHLHKRLPLGSFFRLLEDGGKTLAPATKLLEVYAREQN REMLRDFYYSDDRRVESAVLSLDEASRMQDQSSKIAAVKTAQKFFSEDKDRSFEAKVT DEYSRLLTIQQQLEGEADGKIKFFGSSLDETIRLCIVNGFSKRADKLKSDFKVPDKRF WYIKLYGLTESRDFEGLETFAKSKRSPIGYEAFVRHLVEKGYQKEAITYVARCDPPKR ADLYIECGEWRMAGKECKDRNDKAKLDDLRKRAPNSLIQRELDQIATNMK JR316_0008906 MALPLRFSLLARQPRFSRTIHISQSLLKEKQKPATQPLPNLNDP PRSDQPLPPLNRPLGVRQRPTTVPVSRMDRVKSLMDTEALMAQRRHLIKEVGKGYFHD LNMTRRHGGKTWIAPKVLIREDKALYLPNVSGSALNDGTKKDTTTLCYGKITVLAMLG TNISEAHARSFAYSTNARYQDNPLYQYVQINLQENLLKSFLVKLFSSSLRSSVPAHLQ PTYLVSSQNMEYVRDPLGMTNSKVGYVYLIDENLKIRWGGSADATLEEAQSLESCTGV LLKRLEERKAKAPKEDIKS JR316_0008907 MALASENREQVTAIFGDALPITCEAYIDAFRDFVEHLSTLNCNH HHPPKPPTSFTTNLSTCGKGKSGKSSAGGKISGGGDGKSQSRSAKAGLQFPVGRVHRL LKKGNYAQRVGAGAPVYLAAVLEYLAAEILELAGNAARDNKKQRIVPRHLQLAIRNDE ELNRLLGNVVISQGGVVPHIAAELLPSKSSKSKKDAEEA JR316_0008908 MRWPAPLDKRETLLICLSITVYFLAYNIDTSMRILGIDPATTHG ALNRLGWVGTKEIGRDGRKPEGWRDALENNIYGDWTWSRGHIAGDGAERSQPVGTGRH GATWTAREPELINAATSYSDASVNDALTKWGINLPQTRVIKHTAGYTILENAYVFDGT VYLITDNPGSLPPISDIVESVGNGFGRWKTLTSEQAQTVMGTYGSFSLDESIDERGNT LLPPPRRLMFPHNRFFTDANPDFSQHWLRRVRADTGFHPYLAKVALPQLTVEYYEDWE DYQKIGIPFVYERLVVADRRAAEENVEEGWPAYASAFDLDASEYWWEPVRKNVAQFLG EYDVKPGAKKVITYLHTQSDSGAKLSRANHDALIKALQSMSDRQGYELSVVSTLTSDT DWADRMRAIVKSSIIISVHGEHLMDSVFMRPTPPSTLFELFPPNKFERDREYAIQALN LRYIAWQGSKSFEGEDLPAYSPPSDEEVPVDVTSLVLAVQKALS JR316_0008909 MATLPRSTKYPPAPADPSFHRPVSDFDSGINTSVAWTEHLENAS SSPLSLSKARSRRYLSESDAKPSLSEEEDDDDDEPEMMTRPARALYAFEGKPEFRELR VEAGDEFEVIKEDVGDGWSLVKDTTGEVGLLPQSYYTLTTELALSPDIQLDVDVDVAQ RTKRREASGSSITPRGSPRSSAHQGSLTPLIPQHTGEWLPNFPSFRQSLLGGKSLNRF SSFVTSGAESFLLNGSPTPEATVEPTPISKPGHAKEDTSASLFEASEEERTRLAILGL GEADKHLIDAGPAGPAWHAKTPPLNVLVHSPSKRTSGLTGAYTMYSITSIFAPSPAQA AAAAEWVEVPDPDDVHPPSPTAISASSSASTGVGGDGKTRITVQRRFSHFVMLHTALS RRLPGIVLPPLPEKQYAGRFSHDFVEARRGDLERYLSKIVRHPVVRYAEALTFFLGCD NDSEWARLWPQHISAPAAGPAFYARVYHPAFNVDLEDAEEAINAFQNHARAVGKSVQG LRSVFGRIREARIEMSKAERLLSYSLLSLITSKPMAASSAPGTTRDEEDASGHSTTTA AAAEKMKGLNNSDGAWCWRENCADCLKLTKAVQKTSETLQTVANLYDGHARRTQLATH ESLKLMAHPSSLYESVITTHKSTLSRYREAVGISNKSALTYANEDMAGRCETVLNTTM AEMDVYHTQKVEDLKTVATDHLDGEIAFYEQILTRLRTARKTFDDPQYTALAESPRLP SIYERDLSFDPANPQSFSGGSSGGGGGGGGMATRPLPQPCPHVFDSAPMRPVSVAIQE GVGMFLGEGGVGRASVFGRFW JR316_0008910 MLNCILMSNNEEKMSSRPGSVPMSATPSLDSSVTHVQPLEKPQH VSEPFTRLERTLSPGHGESALPEDKIGEAVENLEDDWENDPENARNWSTKKKWTAVVI LSSYTFVSPLASSMMAPGIPDLALKYGITNSTVVALTLSVFLLSFAIGPLILAPLSEM YGRTWILHFGNLFTIAFSLGCAFAPTTNSFIAFRFLSGFSGSAPIACGGGSVGDLFAA KDRASAMALYSLGPLIGPVIGPIAGGFIAQTIGIKYVFIVIAATCGAVSMVAIPFLRE TYGPVIRMRRAAKSGDPEKAAAAHPHLQQEHGSKLHVLWVNLERPFEMLFRSSVCFIL SLYMAFMYGIYYLMFATFGSLFSVTYGFKAGIGGLVYLGLGIGFFSATIFGSIFADRV YKHLGAKNGGVCTPEMRIPALFFGSFFVPVGLFTSEAALDNAYCRIRDIWVWDDDYLL YLVDSFAYAASATAAAALFRSLLGFAFPLFGQQMFDALGLGGGNSLLAGLAIALGIPF PVWLYYNGEKMRAGNPLTRDSTLVGYKN JR316_0008911 MFKEEQAGPTHQDEVQAPTSVHSEKPHAAPFPQTNTPRGEYPMQ QMHASSGNPANQQQTGGTSLGPVDPVMGAAIAGQQYRDQLLAQCAAGQHEVVTNYGIL GIIMAVLKLTIAHPPSPDVHPPSMARNPQLHPVHFITLKYDALAPQLRHPEKMRALWC PVVISDFDLDLIPHPHLAETQTRTILLIGVCRQEHEQSSAYDHPADDAHLALVRGYGY FSLKPTGPSEPKYH JR316_0008912 MGKLLTPAELEWVRLQPLLLERGYKLRRRYQPSWKPSWTKPWNF YKYETECEDWFQLKTRNVIDAVRIKDGAKVAIKKTIFEYDNIPLLQHLNSDERRADPR NNAVPLLEVIPVPQPDDDSDSDHIMLLVMPRLAPDACMLNFMMDPTDVIPGGFHHSRQ YLKPNGKTRIRVRDRCKVPSLKYYIIDFETAEYFMPNSLCIGHYGQEKEAPELSTTVP FDPFKLDIYQLGKLVHKLMQEFDGLELLCPLRDAMTHKEPKLRTDISQALRMLHNIVS SLEETDLSRVIQKRDISEELLNECKAELARKLAAPQLGWVHPRRKLPQQMMAPQRESV PPKQEPISRDHPSSGRRFSYICKRSFAKFTKSENAFIFSL JR316_0008913 MSEALRYPKPNTTGGQYPMQEMHAPLEYSSPEHPGGTTTVPVDP AMATMLDDSTVIDFFLSVRLKIMSL JR316_0008914 MECLLTDDELEWVRLQPFLLQHGYKLRPRYHPSWKPSWKRPWNF YKYEAECEDSIPLRTYNVIDAVRIKDGHLNSDEMRADPRNNAVPLLEVIPVPLSVPLS DEDSDPDHVMLLVMPRLAPQVFRGIEFLHDNGIAHRQVSDCCHSLYVILMEMFRDACM FNFMMDPTDVIPGGFHHSIQFYQPDGKTLIRVRDRCTVPSLKYYIIDFETAEYFPPNS LCTGLIGQEKAAPELSRTVPFDPYKLDIYQLGMLVHRLMEKIA JR316_0008915 MSKEEQARSTPQAEVEAPPPVYPAMPQASPYPQTGGQYPMQQMP APSGYPLPQQPGGTTPGPVDPAMAAAIAGQQYRDQLFALCAAGQHERVTSYGICGIIT AVVCFPCGLICLFSDTEEKCARCGVSLSR JR316_0008916 MASSLSPNNHSPDRRETILSLVEMLLRNNDTMSPRNVQAPSFED DRDVPYHLRDRLAQLLFLYHENAADLFPRKISHIARETTEDSEAATQHRKIFRRVRGK AQLHVPRPTVNEKLDAESFPQQFEQFSKEVMTFLRCLNEFPEFTDEAVNTSILSFEGD LKYWASCLKEYSTQFRYPAVQRYIHDLSSEMGEHLDELSSSLSMFIEVGVPTIRFAQK HGAENLLNLSTVATFFSAVTATTMQFSFELEHTTVADSPFSWPQSAVVGVNLDKTFSA VSIIIKARVTSLITSVLTAFTSFGLAAVSAWFASERWAFTRHRGQKWLSDVLSEAVDA FFQLKAIRCLLKIKDLAFRHFGKLRKFILRMCADIMASVAACNAKKHKGDVEANGHPP JR316_0008917 MSEPSAYTPFSVPATPVNSPSDNDFPPTTSPPTASTTPTAPTLG KQLWKNAVRNVTMRNALALAPPTASVIGTTNKPRAPPIRQRTISSVVSLSSGDGRTRT ISEPVYTRSRLSALVPKLIELEATHDLAAHSALVRHMQFSPDGTFLATSSWDKTSVIF RVGTPFTPHRTLAHPQGFVGQVAWSPTGNILLTKMARGIKVWTAEDGVCKKTINRNTA IETVTWFPSGDAFLSIEDSTATKMDINGNVLDQYEFANMKLLDVAITPDATRLVGVGP LLQSPEGLQPSKSRVEKRLVVYNVETKQIENMTPVLEVVRDITLAQTSRNGLVALISY EHKAPPQLWKLELVKDRETNKITTSRLSLRNGTSSSLTWPRRHTYMPKGPVDFAGSSY FGGQNNELVMCAAKAGDIHIWDRESGALLHSIRAQANGGDLTCIAWNHSSSDPFMFAT GSHDGGVRVWTRHPAKDPNFLNTNEFPRSTSPYGLGSYRNGFDSMLSLDEYPSEHDSP ERDLTASACASQTRLISDGDPP JR316_0008918 MGKLTPADFIRDQWSTVAPVVKVDLTGKTIIVTGANSGIGLETA KHFARMSPGRLIITCRNKEKGEEAVKQIIQATGYASVEAWILDLVDFRSVKSFAERYE QEGGRLDIIIQNAAVAYPGQAQYTEDGWELSTKVNNLSTSLLTLLLIPRMLDTAKKYN TTPRIVIAASETHFWVQMDKDVVNSPNFFRTYAHQEFSTPAHERYYGTKPRTSEQGSR QFVWAAIGGEERKDELRGAYISLAQVTEPSDYILSEEGKFAQDKIWEDLIAELTKIEP RIRNIVQECLTPPAPAV JR316_0008919 MVAEKVLFTPLQIGSITLKNRIGMSAMTRNRALQTYPNDLLKEY YVQRTKGGAGLIVSEGILITRQGTEWPNAPGIWDEKHISEWKKITDAVHEAGGKMYAQ LWHVGRVAHPDAPEQKLAGTPIYAPSAIAARGGKYRFLPGEPGNVTPTEIDDPKQFIE LYKQAAINAKAAGFDGVEIQGGNGYLIHQFLDSTSNKRSDEWGGNIENRAKFGLEVLK VVVEVFGPDVALKVSPNGGYNDVGMPLQETLDTFSYFITESDKLGLAYITLVQYHPYF DPVFDVQRATKHDVIAAYAHLPKHSKVFVNSLVTAEVGEELVASGKVSGIFIGTQWIN HPDLAKRIEHGKPLDNQLNFMGLNSSGEEGYTDYPTAVY JR316_0008920 MRFSSLASLLSVLPACYGLVGTQWSISNVPPSGLTDITFPLTVV DTDRVTGYFFAQQFGFVGGNGLGIIGIQPHFPENSNGAPVFRAEFSSFIEGTTTNDGN CALGADGGPGVSCRAEWDGVYGRTYNLQVTKVGGSGWMAMAIDTETGESVHVGTFTLP AGNRGIRGTQAGFVEWYPWNSGSHKCESLPFQKTIFGNPTTTREGSVGEQGSAYEYGD CVGRVNFGTSMVPDGVLIKCGFRQ JR316_0008921 MMLVHKPQNIVLPSPASFTHRRHPSAPVVVQPTRTPGLLSLSKP AKQSPHRQLPSNQRRDVKQSSKTAAGMVRAPVVPLAPTTKPQMGQVVPATPTPSRGRK QAKQAKDKAQAHRSASQSSIRGKHGRQPSPPIEVQAQLQSSELQFSSQAEATVVPPIK STNPFDPFLDSPPSSPTLSKPSGNLAFRRQQQTPPATPPQSKAIPVPVSQRSKHSEIS RSDPITSHMRPRPVPKRSSTYQDFPVCDDLNEPADKSYTLSPPATPRRPVGGNRSLTS TPRVPVSFSDPVTPVRGQRKHHRVPSEGVFNMSSDEEVSSGPGGVVLNPNVQALFASA SKRASLPASAYSTPAFARAAMITPMRSRESSPVYGLSKEQLLEQQAQEKAGFFASSMF QNSPSPEELPDPLLL JR316_0008922 MSCRRIQPQGAGARAESRSTFSPTQPVVAASLTPNSEQHSVASD ASSGSRCNAYCGLQQEVSALKAQNTALKAELADMKLEQRHSANALDSSSTDMSSDVKK WGRYFSLFFNTFVTVSTFASTLPKVLFASDSATRYLTDANEAIGPTVELYEQIPLKYH PIMKLAAQSKGKKFVKLFRDAISASHSTSISQLRNNSGPIIFNLPSAYFESDFSSKRI AVPEIRALLGYNADFADSEEGKKFPEKAYARFPPVLCKDEDSSKVENRFRNGKLFMVA RAIIFGKKAAKAKSLAIGREPSYYLRSDMPAATTFGLIALISGITRFTLSPDTRFDNG GVGPETGIDYTGDINYYKKYLTVLRDDPSTASYVNRLLKEWDAEVFSTHNAAMKRSSD DADREVIDMDNISDEIEQDIQRLHVAEAATNTDQINDTMQDLECDTMANNRSTDLQPE DPDFIPNPIALGRAQSFLDHEHQSNSDRGSNFDIDDDQGSWADNDCIHIQEPAAEAEY VNIPEKEIHTTSSSRALDNLSVSPFQPALNSAKSSATPAGLTAYSEPPRRSTRSDTIR TTKANVDTPGSSDSFNPMLQAVDPANCHPLLMHSDSAAAVNHGSLEASAQEKAPPKRG TKTAARGRGKNGRK JR316_0008923 MSSQNIFKPIQVGSAQLRNRIGMSALTRNRAEATYPTELMKEYY EQRAIGGAGIIVTEGILICRQGTPWPNAPGLWDDKHVTGWKAVTDAVHGAGGKIYAQL WHAGRLSHPDTPEQKLAGEPVYAPSAIAAKSHVRFRQIPGEPGTVTPTAVDDPRILID KYRHAAINAKRAGFDGVELMASSGVLPHQFLDYNSNQRTDEWGGSIENRSRFGISVLK TLKEVYGSDVGLKLNPGAGINDLGMPLQDTLDTFSYFITEADKLNLAYIALVRYNAVH DALVNGTARGTDHDVLESYRSYIKNSKLFLNSEVTPDEAEELISAGKIDGTFIGLRWA THPDLVKRIEQGIPLNNPPKMQLLQATASKEDYASGYTDYPTAAAAA JR316_0008924 MFKLAISLVVAALGAASAYGQTIPVGELCSGIAGPLPYPCKQLL RTFKFMGITEVRYE JR316_0008925 MDSDESEKKEIFSTSVTVVPGSNPSTNVPTRASSLTHADLDCEA GKGPTEFSAATVDEKASEDEDWESDPINPRNWAPFKKWSAMAIVSLYTFIPPLASSMM SPGLPEVAERFNIQNPTIVALTLTIFLLSFAIGPLFLAPLSEMYGRTWILHIGNLFNI AFNLGCAFAPTTGALIGFRFLSGFSGSAPIAVGGGSVSDLFDARDRAKAMAMYNLGPL LGPAIGPVAGGFITQTIGVKWVFIVIAILSGVGSAIAIPFLRETYAPIIRYRRAMRDG DDEKAARAHPALIEAQGSLHKIIWVSVTRPLEMLFRSFICFVLSLYMAFNYGIYYLMF STFPALFSDTYGFGAGVGGLAYLGLGFGFVLSTLAGARWGDSLYKYLAKKNDGKPKPE MRIPFMLLGSILAPIGLFWYGWSAEAGVHWIMPIIGTAIFSFGDLPITLYLVDSFKYA ASALAAAAVFRSMLGFAFPLFGKQMYDALGKGGGNSMLAGVAIVLGIPFPIWIYYKGE EMRARNPLTK JR316_0008926 MKFAHDYRGLKKRITVIRKSQQGLNFHVIPSDESPDEFPSPPAA PRASDVGSEDYAPSIYSHDGPLEETHTKDPKSTGNMDLSSVDLTEVGRYAETSMAPAS ASAFSSGNRVKSTSAKGRASVNISSLKGTGVRGGKSVSSRFNGITPRPLDPLSVLPLH ELLNHLSPQEVSFFILLDAQLDKVESFYLAREKEMLTRGRMLKIQLVELQEHRKLFHE AQSQTSWATNFLSAAKSVVNLGRLPAKVAPPQSDLCRIRSRKIKSIFRFKGRPSQAPK SFEASAETIQTDAIPKSEAISKTGSDQASSSGVTKAKTRFLKAYTKRKERETAEQNDS SCSDSQRAEDPEDLPGSTRGNTVSKCEGSDAGDNDEQESPGPRQIPLSADPDSYLYAK RKLKRAVLEHYRGLEVLNITGFRKALKKFEKVTKIPVQQQYMAEKVGIDVSAPCRFDS KNFMLQVEKSAFASDKAVAQMMAEMEDLYAAAFARGNKKMATKRLRAGNSSKSHHFST FRSGAYIGIALPALIEGLVKVSKQSTRQAIPAWGPLLFIYAILLIPILFTFLVGTNLL VWANSRINYIFIFEIDVLSQLDYRQYFELPSILLSLLCYAFWLSFSLIEHPHVSPMIW PLIWLGVTFIIVFDPINLLHRSSRYWLVRNVAKLFISGTRRVEFTDFWMGDQLCSLIF TLSNIYFFVCVYVNDFHPDWRKCSVNSSTWPAYFALAALPLLIRLIQSVKRYWDSKLL THLINGGKYGSGIVAYFFYFLWRTHQNERGTIFALWCLTNVIYSFYASAWDFLMDWSV LQIHSTNPLLRPELIYNNHIPMYYFAIVSNVLIRFIWLIYIPKSGPNMMVRTFIAGFL EMLRRLQWNFYRLENEHLGNMDQYRVTREVPLPYAFNNRSRDDDGDDDEEGLKIRRR JR316_0008927 MNIRVAKVEDLMGMQACNLQNLPENYMMKFWMYHSMTWPQISFV AEDHKGRIVGYVLAKIEDPSEEGTTEEIHGHVNSISVLRSYRRLGLAKKLMLLSQEAM SSIYKASYVSLHVRKSNKAAIALYKDTLGFEVAKVEKKYYGDGEDALSMRLSLKNP JR316_0008928 MALFTPLQIGDFAIKNRITMAAFARNRAKDTYPTELMKEYYIQR AKGGAGLIVTEAILVSRQGTEWPYSPGLWEDKHVKLWTGIVDAVHQARGLIYGQLWHA GRVSHPDAEQQKLAGIPVYAPSAIAARGGKFKELPGCPGYVVPTAIENPWEIVEEFRQ AAINAKRAGFDGVELHGSNGYIIQQFLDSTSNRRSDEWGGSIENRSRFALEVLKVMIE SFGKNVAVKLSPAGGDNDMGMPLQETLDTYSYFISEADKLGISYICLVRYQDAYDVQY DGISRSTRHDVLESYAHLVKNAKVIINACVTPEEGELLVASKKADAIAIGFNWVTHPD LANRVLHGKPLDNVLDMAHLQTNRSPEDWSTGYTDYPCATDSN JR316_0008929 MEDMVYTNKVQTAVFPTEIFDLVIDDLAAAENRKDLLACSLVSK SFHFRVKHHLFATLQLLGGPQFQSEYNRKQKGWLQTLLNLLGETDRLGTAGTVQTLVL GNIFKDVAQLFRPCWLLMDPTVPSILQRLSGVRKFVFKNVIRTIRWGSFSEELSSSIL RLCASPSLTSLELQNITRLPYNLLPCSSNLESLSLIYTAIVWKPTEEDFILFPPNFEL VSLKNLRNLSITIGAPFTNSEAECRLVLPNLCQMLRIDKSYSSPIEVLNITIGYEILF DCDDNGSIDAPNSRSRLDDLDAVLSNPKFSHLKTVNLNIACKRLGQSLDPKLQKHIQL HAENILPDLRSMSSITFSMKLTDYVF JR316_0008930 MNVDSSALLSQDTTREIQWPMDFPTYVDLVTMNGRASADLYFKM DPLKPESVLHGPPKVVICNVKSWRNKISGKNFLKQACSIKSASNGEASFIIHQPGGIE TSVFATIMRRQDHLSVWHDFLWSDSLGFSDPKPLNNFIQDHRRGNAYSVCTKYGTQTF KPLALTSTQQSKVIVSDWKLYEEVDIFAGQSKSWPFSWHFQTAYDRNSEKLKMWIRIE RGSVPRIDPLALNILVQSCILSTWVMQSMIIEYTQT JR316_0008931 MSVARPIIHQTLDPLHRNWEYPEVIDSNTLLISTCMQPLVEFIP TEIIGLIIDEVVLADKKLGAFKTVKACSLTCYAFLQHSRRHIFSDIYAGETDKLLNFC NLLKDSPWIGQHVRSLWIVLPGRSYSFDQSLLSVHHHLKHMDQLKSIVIKGYSLEWTV DIPLSLRSTIVHLMHLPSVVDIRFIKIIAISPLDLAPCNLHTLTMTSANFMDKCRPLQ SIKCHKLYLEDVIPSQWIRHLQKRKLFIDLKGLCIYLSSYIQQSLYFQLLSDIPYLEE LDLRISDSTYSLFPSILAVPPPSLKCLKIRIHNSHLSMLTCLIDRLKKVADTAGHLVQ HLSFNVMSRSSSISQVPLIQDVLADSSKWPVLQRMSLRVYVRDMWPSYDAPEAGWEDD LKLRLRNFLENRQSNFGFFYSITDAWTEHYDEDDKIDWHEMVRNPAD JR316_0008932 MPGSYPSSPKDTTASGGYSATPESAWSDKSQRGTDFPLGSEGSS ANSTGESLNNDKGLIDQSTNGTSLVPEIQDAQQGRDKSSDFGEDAWGVEQGAGKDF JR316_0008933 MLSKRIIAVARGRSLLRPQHLQDASKVLIRSRIAQFHSSRLLQA ETVKVPQMAESITEGTLKTWTKQVGDTVEVDEEVATIETDKIDVSVNATKAGTIVKLL ANEEDTVVPGQDLFVIEEGEVSQSSTPAPPPPKEEKGPSETESKPKTKDAEEPSDQQT DKKLPPPPKPSDSTKKELKVEKESSKEKAPKKEKESAPAAPKPAVGSRNETRVKMNRM RLRIAERLKQSQNAAASLTTFNEIDMSALINMRKKYKDAVQKEHDVKLGFMSFFAKAS VLALKEIPAANASIEGEEIVYRDYVDLSVAVATPKGLVTPVVRNAEAMGLVDIEKEVA ALGKKARDGKLGLEDMAGGTFTISNGGVFGSLYGTPIINLPQAAVLGMHTIKERPVVV DGQIVIRPIMVVALTYDHRLMDGREAVTFLVKVKEYLEDPAKMLLAV JR316_0008934 MPNAPQKRYKMMHYIDLPEISDSDFSPMSYNSENEIEHSPDTTP NEKPDSYFPGFVSARRSMDEPVTPKRQKLDRSSESSASPSPVSPSNPKPFRWNEVARA SPEAIAAYFREQDRQAMIAKANNLPPPVDRLLPIDTSSTFAFKRRATVSDFPPRRNQI WKGNGAYQSFGQAISSASLTPSGISTPGNAWSHNEPTKYTSTPFSAPPGLSGRGRSTS DYTTPRRVNSTPLPSNSLLLQSDLGQSKPALDFSVHTSLTMVDNQGFNSERSSDGIAA AVPSLISQIEDGDDDWEDIYV JR316_0008935 MTGEEAPVGLISSLEARWVEIYPFLLQHGYMLRPRYHPNWKPSW KGPWNFYKDKFKCPDYISTLKHKLMDATRVSDGARVVIKRVFLEEDNVPLLEYLNSPE MRADPRNNTVPLLEVIPLPSQYKMANSRESAVLLVMPLLFPLMSWSFPFQHVREIVEV IEQLINGLAFLHEHRIAHRLVPNAHVPPARSDVCSFHYVRDWLHPDGKSRPVFKDRCL VSPVKYYMIDYETAEYFPPNVLSEGRYGQIKTVPEWSLDAPYDPFKLDVYQLGCQVKG FAEEYKGLKFLKPLYIAMTRDNPDLRPTAAESLQKFKKIIDKMDPAYLKREIWIKSFK ASDFAEQKAAMAYSPPENRPGIFRSTKQNLSNNLFISNQKR JR316_0008936 MRNIMDNGHPAGLITQLEARWVEIYPFLLQHGYMLRPRYHPNWK PPWNRPWNFFKDLFDFPDFISYQKYNLMDATRISDGARVVMKQVFLEEDNVPLLEYLN SPEMRADPRNNTVPLLEVIPLPSQYEMAKSRESAVLLVMPLLFPLMSWSFPFQHVREI VEAIDQLIKVGPDACFLNYMMDPTNAIPSSFHYARHSLHPDGKSWAVFKDRCLVAPVK YYMIDYETADYFPPNVLSEGRYGQVKTVPEWSLEAPYDPFKLDVYQLGCQVQQFSKEY TGLDFLEPLYAAMTHPSPDMRPTAAESLQQFERLINSLDPESLSSEIWIKRFDSHMLM AQKIAMEYSPSHKKRQLFALGKKKLSKIFNAVNVLS JR316_0008937 MDATRVSDGARVVMKQVFLEEDNVPLLEYLNSPEMRADPRNNTV PLLEVIPVPSQYKMAKSRESAVLLVMPLLFPLMSWSFPFQHVREIVEVIEQLINGIVF LHEHRIAHRLVPNAHVPPAHSDVCFHYVRHYLHPDGKSRAVFKDRCLVAPVKYYMIDY ETAEYFPPNILSEGRYGQIKTVPEWSLDAPYDPFKLDVYQLGCQVKGFAEEYKGLKFL KPLYIAMTRDNPDLRPTAADSLRKFKEIIDEMDPASLKREIWIKGFNASQLARQKAAM AYSPPETQPGIFRSINQKLSNVFGCLLLISSKVKVGVDS JR316_0008938 MASNKLEGHKSEQDDNGVADDDTGSEQDYDRNEEDDSGHDSSDV NSSESSESQEIALLTLQAKLEKVDATLLDINQLRERALSENWANDVEEAYIRRLNESE MLAKRLITKLKSKFYIIRGLGKPPNVDDQLEKLREEVKDLQHDYTLASELQKRVDAQR QLLSSQPRKKFQPKHKRKLSDLTFARSVSPSPSQSDTSSLHRTIRPPLQTERYFSRAL RSNPDPLNSQLNSSQNDIGPSQTTQNPSHYRQPPQRRGDVPDAANAGSGLPKKRSLSR LVQDKFSNLTKSKEDKAGTGYVPSQDTIQNSSSPTNDASGDPAQTSQPFIERHILDPR ELNLLLSGGENLVQQTNREILENSQDRRQGLSPPPRHTSLPRRGIPSPQRRSGGRTLN IPVGSRNDDVGVHGTESSRRVADSGREQPSVSAQNAHRLQPPPALRYTSTNNSARSVN YRQQIPQQADYASAMASLQLSSGLPNPSSPAAADEQEPREYISHDDIYDD JR316_0008939 MDKSIDLLSASMKEFKLREAAQSQLVNSTASVPQTSLTGQQQAP TNPSPTVQIPVHSSENQPLLPNPTALVGSPEEQVTSKQRVPRLLTNAKASFSRLQRPP QVAKRTKPKQSSAESVDSVTEQFALLVTPSSSESSSCKKPSLKQMKIWNQQAAVKQAL LGIDLSQERRMPKDQVDIEAGV JR316_0008940 MADPPSPYDGFMTMSLEDRFALLFRAQQVRFDADKKVDDRLSAI ESKLERLTASLPKPPAAPTPSARAPRARRTSRKERPAAPTSTPTTGPPHSQSIRSTTT KAALEKIVATLSIADEQAGHVIGRAGTGLRQIHDILHAKISVSPVVTSGLRAVTIRGT AREVGDALSAIGKRIARRRIRNPRSKKPKQPPAPTAAPPTLVVELPSPTPTLSSTPTT RTSRSGTASPHLPTPTAVDTRSSPSSSLAPGSPMEVDALRAPQQHSDGYSRPGPVQPR EGIQTARCGGGPPHVFGANRPR JR316_0008941 MTAWDPRGEKKVKRTRGNPYERPSKGSGQYQTKPTQDIDHQELE DNPDDIVKFLEALQVGLPSNAAQVNTLVGSSQIYSTIAESRSLDDTTPASEDHNTLSA DGQKGCDTLIERLPGSSTTSANSDGQTVNALRKGKQVNRTPITKLADSQPLTGLADES HFLAFEPIDILGDVSTELVWEPVNDDEQPLNSYKGIITLEQPPQQDQELVDVGTKILK ATYRQIPEHVVEALAEDTGRQMAAIWDMEIGKNSTIDELESIVQTLLANVIRDSGMYL IGEIAQWEPTNPKEALPVPTTTGSLDTPDMEIDDDDILVRYAQEQEIRDRHLVSSFQE EQQEPEQIELNWIQEQERQLEEGCIYTTNLVVDILNAAASGELPGIVVQEEISQAQQF VDSATAFIHHTVTTNQGTVVEAVGTVRKYLVNKLQSASLRIEVSLAMEEQLPSAPMVS HPAYELHYQDPLLDSNMDEVSASATPTSTLPPRLIKPPSASAVEEPSKTLPLPEEVDN SFHKAHQNAGHDQNEVVRSDGNVEKEEETRIGEDALLCLVHPTLSNDVETSAGVDHGE GQSGLGHGVSAEDPPLEFVNTQPLGHGCLKASTTQATACPSSEPQGIDEH JR316_0008942 MPESVPKVAVGESTPNGGLDDSEEKSVKAEIDGTATQNSEDSAG HQALEDQGVEVLEEIGAVPVLDAEVDLGRLDDRASHTERTSKKR JR316_0008943 MATMAQLDRESEHWDADRGHKRTSEPAQDTHKVISSSTPVASSR KRSRDDDSSLATLLPSNNSTPTPSSSVAKLSLVGSKTESQTEDDTRPMKRARQSKLSP LPSLTVPGNDNVQSPSRQSPPPSLAPRRAKSPSLLPKDIATEKKKSHLSWLDV JR316_0008944 MSNILRDRLVVQEPKLIDAVRIRDGTRVVIKRVVLAEDNVEILQ YLNTSKMREDSRNNVVPLLEVLPLPNDSGVETSSPSALIVMPMLFPLMSVTLPYRHVR EVLEVVEQLIQGIQFLHEHNIAHRDACRRNFMMDPTNVIPSGFHHVANYWQPDGRIHI VHKDRCSVAPVKYYLIDFETAEFFTPGSSCVGYYGQVKYVPEMSGTIPYNPFKLDVFQ LGDLVDRFVEEYEGLDFLSPLADSMRYTDPALRPTATESLSILRQIVTSLDQPSLNIS TENESTILLFYQTYISSSSKSLGFVSSPLATNTLEYPTSKGIPKAS JR316_0008945 MPPQPISQDTDEDDSILLPWEVDWVKLQPFLKKNGYKLRPRYHP NWKPPWTRFWNLNKDMSDYSDWLWTSVPKLIDAVRVRDGAKVVLKRVVLAEDNVEILQ FLNTPKMREDSRNNTVPLLDVLLLPNDSGLETSSPSALLVMPMLFPLMSDSLPYRHVK EVLELLEQLIQGIQFLHEHHIAHRDACCGNFLMDPTNVIPSSFHHAANYCQPDGKTRI IYRDRCAVAPVKYYLIDFETARFFAPGTGCVGYYGQVKYVPEMSGTIPYDPFKLDVFQ LGDVVDRFVQEYEGLDFLSPLAESMRYFDPELRPTATESLSILRQIIASLDYACLSGD IWLRKTTAEERGRKSPPPQSRATRRLISFIEWWIRLIMLFQKRTSNPV JR316_0008946 MASSSRPLSLILPLVVLFLSFCHTVSAIKFNLPAFRYPPQKCIW NPAHPNALVIVTANVGPGLHQRVDIEIVDSSPKRNIYLSKRGIKAESRLAITTHSEGE VGVCLRNYIDTDAKLSQDEQGKLSRVIDLDIDIGADAVDYNAIANQESLSGLETEMRK LEGLVKEVVDEMNYLKKREERFTKTNFSTNKRVQNFAWFSIISLAGLGAWQIFHLRSY FKRKYLID JR316_0008947 MTTSSRQIEIFIEQKMAYRNLKMAQIAKLQRFTTSFRDGVMQAE LEEDIRAEYLHELHVMELNLKRLHDALDGAFNAFFAESIDAAALARLENNLLLIERQF EVCAAIILEYLQASLIYSLEKLTSLDYHAIGNELRSLAVLRSMDLPLPEK JR316_0008948 MSLWSCELSSCPFVQFRSRKNNEKKATDADGLDDYISDDETTAA PIPRDSTTQQEDEQDEEDLYASATQQSERDPIQHTLPTEGPTPDPSAWQAAEDLLSDV AADIKGKGKARDMDPPPPVIPTIHSPQYSEAEDDVQIPGLSQNRSHRVFSLDPAFETQ ESLAGPSRLPSVSVTADQEDIYQDQASLWSSLGRAAPQEPESSSSSWWQDPHTMSGLL DFNEPPASTSDSSHRGVQDPIPILPSSNIASTSNHQWEQGPSPGQTSFPQQESVPHFL LDNDDLPMEVASELGDIPMIGPELEEDYPMCDDEGYTCEQIFGSGVEIPEGNFGEAVW IQAQRYLLQQQMIQQQEHQHQQQILYEELYRQQQIRLLERQQYEAQMATMWEASLEGR LERATRFWNSIPALPRPPTATPPTVASSAATLPPSEPTPSQPIEKGMSAAQREYKRMM DRENVDRDLRRRFPYSIAASMGPSKFAYLQRSLRVLSTSPMNTLMLSRRSFKPAPLPA TLPKKSVPPQRPTNSHVYGTPAVRKLHEEMKRAENERLARLSANSLGKRRMRVSDDSD SDDAIERPSKRVRADDLSASSSTHNNGKVPSNKPSKKKSNSSPSKSTSKFKMSTSKAS MKRFVRDARAPSPPCLPPTSSLSNTLFPNEPLEIISRDHVSDYSSSDRARAFENRVNE MKNGPLYSALRRPSPSSASSNRSKKKVTFALPNLEDGDEEDSDTDVAGDDTRNNRNTV EVKTRICAKFLSSIAIMFRFGRFA JR316_0008949 MAPHRVAGAATVSPYVYDARQERATSGQTLVHASSPVSGGAGAV TSATAATNVNENADRGAPHGKGKKPKAKPRAERPPRQEEEMRFNTGPRSLRPPPASRG VRRGFRIPGRTYPEDQNGIPDYPPPSFQEAMTTPPVSVCSSTTSLPLAPTLPLIIPAN IPEEPQVSIVQPVEEPRESIDQPVAQVVTTDPQGADNDVDFEGEMFIIDRNSVPVCST DLPSGAALEERVKSDWLKRRGTEFPNKSSALMNHSSASKPLSDGINALTRGRSAKKLL TPLLIDPDPKNDDFGPIPVSPKRRFLSLSPLKTIFPPRSPVHEDRATLSAHPSPTSPY HTSRSIFFRSSSSLATASFLRLPLLSPPPPHTGKREPLSRRIFRRDRSKQNSDGLHQN AEPIETWEVVEEETYAGVDELGNETVIHPRSLLSAIESIQQHSNGNGDTSPTKSVSFS LGTPYMSDASRAKRSLMVPESSEEERRTLRIPSPQASQETFLRDWKGSSQLFLDRSVH RNRSPTPGASESTHRSPTFLASESTTSLNSGPPLVSVRVRAVSPSPAPSLTVLKHVAQ VAHPSPLRLGTNTTHSLVGDQSAAEAAMYQKALDTPLPMTPTLYHQFDLDGSVAAFND EDILSDLPVLSAGTSPRLLTNPISEDHHRIAPALTAAPATTTSRMATPPPPSGPSTMS VTTEVVKSNSLEEPITPSRHHYAGRPLPRPPPSASVPTNTNRVHVVDSVYASSDPTLT LRNNPLSTCPEGLLIDLEDTTLDTIPASRSSTPLSSERYTSQPHLPLAQVQAQASSSS VNLMRDPRMLNISSSRSPLSGSRHSPGAAIQQNSSAPNNVLSDLTDLDLLVSRLADAD PNGTDYDVSSGHHGWNGTRLKQPPDASPAFRDNWASQYRFDLDDDLEPELEPVIEPEF EPEPQRCNRQPYNTYDHIQQQRDFVHSDLHPPAPQRQYLAHRAY JR316_0008950 MLNFEDVEERDGVRLSWNVWPSSRIEATRNVVPISALYTPLKIR EDLPPVLYEPVACKPPCRAILNPYCQIDIRGKLWICPFCLTRNAFPPHYKDISNTNLP AELLPKYTTIEYTLARPAQVPPIFLFVVDTCLEDDDLKALRDAIVVSLSLIPPYALVG LITYGTMTQVHEIGYPECSKSYVFRGGKEYQPKQIQDMLGLSSQNRAAPRPGQPMPQA ALGAARFLMPVQQCEFQLTGILEALTRDPWPVANDKRPLRCTGGALSVAVGLLETTYP NTGARIMLFAGGPATEGPGMVVSNELKEPIRSHHDIDRDTVKHYKRAIKFYEGLAKRV SNNGHVVDLFAGCLDQVGLLEMKSLPNSTNGVIVLSDSFATSIFKQSFLRVFNKDDQG HLQMGFNATFDVQTTKELKVSGLIGHAISAAKKSACVGETEIGIGQTSAWKINAITPR TSTAVYFEAVTPAGQPLQQGSRGLIQFVTHYQHSSGQQRLRVTTIARNFAEAGSASIA ASFDQEAAAVLMSRLAVFKAEIDDSPDVLRWVDRMLIRLCQKFADYRKEDPTSFRLSD NFSIYPQFMFHLRRSQFLQVFNNSPDETAFYRHILNEEDVNNSLIMIQPTLMSYTFDT PPQPVLLDSVSIKHDVILLLDTFFHILIFHGEQVAQWRKQGYQDQEGYENFKELLEVP VADAQDLLVDRFPVPRYIVCDQGGSQARFLLSKLNPSTTHMSATMYGTPAGAGAGQAI FTDDVSLQVFMEYLKRLAVGAQTN JR316_0008951 MSARSDSPKSVVFEGDDDKDMLESLRGLSIKGFHDCTEPNANTP SSTNASLPTPPALKSSHIYLASPERPYVGHSTWYPEERTIPPNAPLMKDLDSFTEGTG QGSFDFPLASGPDEPPRLPLIFHPTENFVAAGDISPTIPSPLALDLLPSPSDPTDAVL AWRESVSATSEEFPPTSSDSPSPPKKRRRSFTQDSDNQERRTRAWSPTIDSSFIPYSE NHEDTPEVLEYRSEPDAPG JR316_0008952 MAPSSKGNPSNVLETLFESVAAIFDQVQLSVANHKKNCVALYKL HISTVDIKEPGKHGKRTKLIGERTFQDVFIDMISRVLVVKKGPATADKTIKYVGAFMK YMNEKAIEARVKAIEAAEKAGTTLSDEDEDTPTSRFNSRMLKWLSQGFVAKNKIVRFS EDAYNELRDGLIDRLNDKEVLVRTHATIALSKLLGGEITEEVPEAEQIIVQTLLETLS TDPAAEVRRAILLNIPLMPSTLSAVLSRTRDVDAVTRKLVYSSVLQVKLGHPRHLSIA QRELVVKDGLGDREPAVRVAAGKLVTSWYEMVLGEETESKKKKENEEGNEGVKEEETE EEPEKEKEKPTWVGDDAGVMKALVRFLGLFDVIGGEAVAADAVLSVFTTRPDLPDVFT FSEAFWKNLTPESATLARIFVEHCHSTENEARLESSSLPVVTAFAFVAMEAYNGVLGV LEEIETARLLQAGQEEENEELEEELAKREVILSELLKMALKFDYGDEIGRRKVFTVVK DMLAHPQLPPALIERCLDVLKEIMPSERDLIRVIVEIVVELREGEDDGENADEPPIDD NQSDVSQATIKKPRKKSRDDMTPEERTRADLTDVRCLMLCIAMLERVNGTFEDNSTLE GILGDLIIPCVKRKELAMREKALVSLGLCCLIAKNMALSSFQLFLSQAQNAPTELKIQ VLKIIMDLLIMYDQEFFGRSEETAKQIVDFLLQILETEESAEAQAVIVTGLCKLLLPG IITETRVLTSLALVYISPATYENQELRQCLSYFFPVYSYSVAANQIRMSSVFMATFDL ALRMHEELDDDQEMISPYQFGLLMIDWTDPQKSVGIKNEETMHLPHVNLAVEILVALY DTDRTVDVQKMLCQLLGQLQIGPGLDNRSIHKLNILLSNHEEQAPFENVAVEKIFDRF KNKFTTMFEKELKEIDPLQYADDEFIDLYQRIGVDAPEQGYDGKVRFRSKNKRGALMV EEEDEDDEEEEEGDEEEEVDEDEEEEAAEEEVGGNEAAEQEAAVVAEDEDAVQAPTEE DQATDKAEESEANNEEPEEAHDEESPANSPTPPPKRTRKPPARKAAAKPKGKAGSRSR KAAAVPEETESLKSDEENIPPPVEVVATPKKKKGVKRAHTPGSGQVTSPGNRKRTRVK APLKSTKEVTSEEEYDSPSPSPAKPPARQTRNGKGKGRLVPIKEPTPQISSDEEEADE VADTLASPMLTPTRATATAASSDDFGGYSD JR316_0008953 MPPTQTPHRTPLRRVSQGSLFRLSRSNAFPDAPHGLGFLEPALA EFLDESETLQSNVEGMKHLSEALTMFNESFASWLYVMDMNALTTDWPQLPTDASFTFA KRRAEQDAIAAMEAIAAQTAAAKAREQHISSSVAAADKTMATDADMTFAGNATGASSN ATKSGVPVPVKKKGAKAKLTAKEKKERSLEIERVVASLPLEFRGSDPSLRRNMEDVIE GIMYCPTQTVKLLDLIRPPDLNQARVNKCLIALVNRKVVQKENSTGTSRQIAENDNTT SYGRDAPPPRTYCTIANPATIFSERRARSSTLPVLVALRCIAAPDVLVALAVALSGVA PLRAFNNWEPGGTRSPAVSLPAPTPSGTAAEAARRAAPGPRARLAVLVAPRVEVAAVL QARRHALVACLRGLERTREFGGAQAERAGDAADAGCNVANTLLYSANTVLDAGFDARL DSLDVTAAAELC JR316_0008954 MPAIRSMWIIASLALSTALLSSAQQTLSSGVQAEYDSICQDQAA QNGIEDPGNWVWSDDEEYCVPNALDSNSPEYVNRKD JR316_0008955 MLAIRSIWIIASLALSTALLSSAQQTLSSGVQAEYDSICQDQAA QNGIEDPGNWVWSDDEEYCVPNALDSNSPDDVQAIESGVESGVENGIGAVEQGVGDVA SGVGSVAGSLGLRSAKFARALEAPQACDEGMSTCLQNCGDFNPGSYQDGQSGAWAGCR ASCSFRCSPARGGCWKGYCWAGCSTGFPLTEGAEWCYTTQSYSQSYQYVRCSDASQCN KNWKCGGPCAAF JR316_0008956 MVSLSTPLSLYAIPLMWIITYYPAYAKSALIKRVAGFNNVQPRG NPARLEKKGVPPEILARLQRIEGAHNNGLESLPFFGLAVLAGNYAGMDTASLNVASGL YLVWRVIYNYIYFNQSSQKTAGLRTITWASSMLFPFYIYIKSASLVIAKAALSDGTVR IQ JR316_0008957 MTIMVMIFSITIGLINGNGHSGQAKGTSGRRYRKRRNAAKCAIR STTPAPHHVSSTIRPARKVAGRRFASKRRAVSIVHRSGKKSIRFSRRTGTSRAEFRFL LARKRQQNRSRRSARFSLRQVPSERICASTAKVFAGARYAKVGSSEHCFAGFSLASDL LAIITGKSSWGFPSFPSFPVFRANDWINNIRIALPPVVAYPIFGITPDSTGPSGSSPP PPSSSRDKGKGKATGNDDDGDEGSPGQSQETQYRDPESSPPPPSSRELKGKWKAIDNE DEPEEDRLQHLEDAEPRSVNTSYDRAMAIMGWQLFYQDQDANFGEVSEEAPKAPPQHE SRALAAQNGLQRLEAIVLPGTDAISHSTPLAFPLQARRSDLRFASLSSSWRQRNPTVQ GSSDQGADDMPSTSIVQSSSFDQPRAFDQYIDDNASDSDDDITEQGPQDAIDVDNTPD TKMSGADESGDGSSDDDDSSSESESEDDAMDSRIVKPSKRPGKSRREDNSDDDSSSES GSDDEMVPLPISKSRRQHTPFPRGMSVDSEDDSEDDSDDDSDMEPEGDVPDTRICRPL VPPSKTGELDRALIAVKISEPASNQASGSASGSASGSSLSSQGVPPVGTDQPMEAGGS EQPSAPMPTVKKPSYYVYRSKLHEYMERMEVAGPSSSAANTGNNASTSGTSPSVPSAD GTGASPLDASASGSGTSPQSDPTPSGSDSLSSDNQAPADVSTTAPVPEAEEATATVAA AITDTPPSLEERESNAAAISEQTAEHPTSDASGSASGNGSVSQPHESDPRASTSSGND GSANDDNQAPTAVTATVSADDTATALEDAATAAAPTDAATAAAPTDAATAAPSTTVAT TAPDVEQSASTTTTTAAAVPDAPPPVVQSAPPQIPAQAQVQNQVMANLDLLNYKYDRI DGQPIQNGLFSAGVGMSMNPIFPQYSGLQVPTSVLASTSVVVQSPSMAIGNPSQPRAL QIYEPVPRHPISQQVPAFLDDAGRWSSTTHPFQPHAESSSSQVYTTAGNAPQQQQSLP LPFGAPAPDLLAPSSSQVQIQVGSLNYQRSGYAPIKLDSDEDIDIDIELPDLEYPDFS PRWHPQDVEMKMEDNWELDVRPVNTLDEIMSSFMPAPQVHEPQAHLQWNPLQWRDNGH LRPASPISDSEMADATFKRVAEWRQQTAAATAEHQQPAHSTLSAAFYDKEELMEDVQQ LPPHPHPQPHPAPQPHPHPHPLPQPQPQPHSHLYPQPMPQLHVAMEVEFEPDFNVLAE PAFDVPQAAPVPVPVSVPAQWYTAEKANYRSVQRAREVLTEAWVETQASDPAPARVTP PPPPTISTPPPAILTSTPPPHVPTEDPSTSTPSTEAVSEPGPVAEPSKTPPPPVNAGH ASDRTEVVTVSTSDGSTAREEEAGKGEDALPCAPPALLAPAIEIRTSADADHGEGHEA GLGHGVPAEEEEKDATEESVNTRKPLGDGCLKARTTQATACPSSEPRGIEGRLVMPEP SEGSEETVAKAEIDGTATTGSEDGDGKKELSEDSEDKGVEEAVQVAETVTVSSTVPAQ VDSAEDTTTAEVPTDAGRIANVEAAPQRTSRKRRVEEVYGAHVDTDFGRVVKRQRTDP GPSAGLSKVAEATEAAATEAAPVTETATAMAAAPVMETATAAATERVTAAAATTATLD ETAHTDAEHKDTATPAPVSVPEVLAVSNASRKRCRDSEDEDCSTPPSSSPSGSKAGEE GTRDEAEQRPVKRVRTLPSDDGPISSRLRVRVNGRFKGQSKSFFNLSF JR316_0008958 MSSHSSQQQHLIPSSHKATDHVSYLNKLNEVLLAINELEGHLID ERNDEIKARYKSRIENLKKGVLLEKRGLENRVLPTDAAMKSLEQGIELIFREYENDSG YYRELMRTKAQQKRLKQEAMEAKRLNRRSNSSIGAMFASTSSESLYLPPGRKMSPEEF RYPQNYFHAQTGTSGSSATQPSHPRNKTFLEVQEYPSNSDPARSVSHQGTQKSGAGKA FEEQSTSAPHVSVELANNYPGPYVRPGDDGTSYTASSLVDAHVQAHQQQVNGFNLARN IDASRGHRGGEV JR316_0008959 MAEFEDAEERDGQQGSFVVERLAVITLRSNEDGYSNLCAVYANV DPGGPSAIAVRTGCMQGALSLYFEPILVDIRAKLWICPFCLSRNALPIHYKDISNTNL PAELLPNHTTIEYVLPQLYPLPPIFLFIVDVCLDNDAELRALRDTIVIGLGLLPANAL VGLITYGTTIQVHELRFSECNKSYVFRGSKDYTPAQIADLLGLKAPNRRPGLPFTSPF LVPLEQCEFQLTGILETLLRDPWPVANDMRPLRSTGGALNVGVSLLELTYPNTGARVM LFTGGPPTEGPGAVVGNELKEHIRSHHDIEQDTAKHYRRAIKFYEGLAKRASRNGHTI DVFAGCLDQVGLQEMKSLPNSTNGVIVFADSFITSIFKQSFLRMFDKDDEGHLQMGFN ATLEVQATKELKVSGLIGHAISAEKKSTHVGETEIGIGGTSGWKIAAITPHASFGIYF EVVSQAEESLHPGSRGLLQFVTQFQHSSGQQRLRVTTIARTFAEAGSPSIANSFDQEA AAVLMSRIAIYKAEVDEAPDVLRWVDRMLIRLCQKFAQYRKEDPSTFRLADNFSIYPQ FMFHLRRSQFLQVFNNSPDETAFYRHVLNEEDVNNSLTMIQPTLMSYTFDTPAQPVLL DSVSIKHDTILLLDSFFHILIFHGSQIAQWRKLGYQDQEGYENFKELLEGPVADAQEL LVDRFPVPRYIVCDQGGSQARFLLSKLNPSTTHMSANMYGTMPGVDPGQAIFTDDVSL QVFMEHLKRLVST JR316_0008960 MVAPGIKYLLRASFHFGVPSATTYCLLNFVQGRQFLPFNLPGWA VISIALAARPIIAIASRFYWKWANKRAAAAKGAVIPPYLPDSSFAIISELGKSLQDGY PTDVLKRFNDQYGNVVNFDLMNNSFLVTNEPEHIKAMLSTQFESFIKGPLVLSQMESL FGEGVFNSEGEMWKFHRAMTRPFFSRERISDFEIYDRNWNISLTLAKDRLAEGYSIDV QDLIARFTLDSASEFLFGKNVESLSAGLPYHQSVSKKNSSEFFDHPSNQFVKAFSSGL LRIASRLTMGEEWPLAEFMGDKIKPFREVMDDFVEPMMKAALAKREQDILLKNGQSEK EELNLLSHLVNHTQDPKILKDEVINLLIAGRDTTMSLITFSIYMLSEHPEIEKRLRQE IYEKVGPFEAPKYEQMREMKYMRAFLNEVLRLYPSVPSDIRRCAAPAVLPAAGPGKKP IYVPKDMICIYATLNMQRRTDLWGPDALTFDPDRFLDERVQKYLVSNPFIFCPFNAGP RICLGQQFAYHESSYYLIRLLQNFTEFTLDSSANVPPPANWKNEEGIKATEKVFPAGG LWLRMKEIQPENVGM JR316_0008961 MTTLERTPKRIGIIGAGPAGLAALKSILESPEYALGQWVPTVFE TSHTVGGVWHSSVSSPLYDSLTTNLPHPLMGFPSFPFPPNTPLFPHADRVQSYLEAYS DTFGLRSHVKFKAHVVDIKWRDPCWEILTENSSEVYRCSLLLVCNGHHNTPRVPSIPG LDSWLSSSRASHSQTYRNPHSIPIPLKDASILVVGSGPSGLDISAELLPLARRVFLST STGAPPSPPSQCTIKPRTTSFSSSSTVHFSDGTTENIDYCVLATGYSVTFPFFKNDSN AGFQIVPSLIPSDPPEDSTHSLINTSYSLFPLARHLFGFPGCGFRSHIQGQEQNNLPP PTSIAFLGLLVRVAPLPIVEAQARAALAVFRSSIEPTDSISGVDATSTTNTNDYTRPQ IDWVHESNLISTRHLYLTSKFYESQSSPSNSDSPNTPDSNLPLRQFLSHRWHHFEPPD QFAYRDELDDLVSSLSRTDEHKRVRTRNWELLIYLHNLALRRTWRLIEARGEAEEWVR GVGTGASGRSGEEEWVDLMWRVVKWGEEHDDSGELRIAPNDEQKQDVEGVGEI JR316_0008962 MLMPIILIDTGSHYYQLETWAVLGFAFAQIIFQIRIFALYGMKK ALCIAVFSVFLCSMGLTAWIVITSTKGLTAIILQPPGVNMMMCLPTIAPSLVKAVWIP TICFETLLCALALLRGYQTSDPGSHMNGNFLMTILIRDSAIYYLLMASAHVASLIISI VSWEGYLSATVSFSLAMSCVLSSRVVLNIREITKIESLHSSSSGVGNLWFNRTNSTGQ ISWHVNTRETTA JR316_0008963 MSLGTVIQSTKGLTISDGIRLLIELLSAPQSLFMITVNLVWRSP WSVVKALFLINRYYTFLGAVVIGYGGRYLDFGPNLSAKM JR316_0008964 MADLPPSHPTNANTISTSTDAPTTSTHRDSTHSNSASSTQPHAS TSSAPAAHSSTISPGSTTLMSTNEIIARFIPFLNPVPIPINWDMGNNVALRQLVSTLL GDPTVFDMVDEGAMEQSATPAEDLTMQDGALLPGAPSATVEEEPQELVSDTDAVIDNM VPVEDNNAPLGFRGENVAPDVAVDNAQATYEEQTDASHASSSSLHRSGSHRRSKPPRG QFSPVDWSTTPRTETRDGNVILNLSPTAKLLLGPPSTSPSPFQRSTSSPIANPSMNPS ALHNLASSLSASRHTIATTPPLAMTPTRSYTLPPPMEGSIAELRKATLGTAYTDAYVY GEALKRERSFGGHETGDGREGRVDMVMGAEAGERMLSPQSEEEEDEEDNGDAEEGNED EDEDDDDDEQRDELMDDDQDQTVQGSKGAALASGVASISLEGESTADIVLKETTESNA SSLSTLTSLAPSTVGSTPERDIQTNPTPSAQADSSSLPTIDATTTNFVSLRKDSTIRP ISTVEPSNSAATLSVSHTASTATLSTSATTTIPFTDSQQSQTIEDEDTVHALVMVGSQ DSQFNEEMYDVNADDTMGSALTSYGYEDDEDVTAPVPENTLSPLFTTPSQTPAPQVSS AGPSSSLQRQESAHGGSSFDEDHTMDTSTPIKAKSAAFKHGSNLDEEENSETTRIVQA KKELNSPITVPAQIGRKYTYIPSESPSPSRMSKPAKFIAQANPKASTSKAHATNEIAE NVSNAPGTSSQRAPMKPKKEALTIKKEKPSTSQPPDDVISISSDTDDEIVVRPSQTQT QKNPPTLSQKPSTQSRATVPRPIPDARRKASAKTNSPEIVDLTTPVRAAPAAAAMSSR PQVRTFDPLSHLASTSKALSENPKKLVESVKPVPVQEKPTTHKPEDIKGKGKETAAPA PVPEPEPAPESRFSSPLTEEESEVEEPAPPVRSPFDLVKATARIIQPPNASDEDAPVI RNQTKKKISLRPAPSFASGLFDSNLTGQSKATGTTGAPPKKSTFTDRLTAKLGKSVAA TRIKKRKRPEASPDVSNENAAAEDVPEREQPPLKRARARSAATTASSSSVPPVGKGKV VDLRRASLRNKEMVIKEEASSTRYPMRSPRKDSVGSSAASISTPTLKKKRESDLVWPK MQNPNFDRFVKCDECETWYHIGCVGLEPDDKHLQDTEHYVCPVCTAGIPKSSESFARY FFPQNSKSKSCLDFVESPVKKINDEEVNGLKAPCARPRCLLTYVKRRTKNKNSQQLLQ EPQVYLVEALVGRRKTVVSGKGISYEYLVKWQNYDYVDATVENLDSMGMSNPGPLVEA FENQAIAEGNNFEASDTVILQEAVEAGWTNDMVYTKPK JR316_0008965 MSVDPYHAVQQEIQNSLQTAAQLQSSFLRIRNMAREDSEELMWA RNELKATLATLEADLEDLEESVKIVESTDARMFGLDDAEVQKRRRYVGHVRKEIETTN NVPSEVQNMRANVSSSPSASQPSGSGTQLHAISPRAGPGSPFSERYGDDHQSEWARQE QQIMLQQQDHTMDSIAGTLNTLAQQASLMGQEIEEHNEMLTDLEANVDRSDQKLNDAM RRMRKFLRDSEEKGSGWCIIILMIVLMALLLAVILV JR316_0008966 MKFIITILIVSAVAAVDVVGLPVRLKDTASKNTSFVSNHRYLHN VLNPDIFTRKIASEDGGSHGDLVQVPSHQAVKRLSHLEDIVPANDIQKHMLVRRIWEK QIKAAHKQASEAHTKAAAAHRQVQDNHAKAATKHLNAGNHIAAHDENTEAEKHAGMAL AHEKASISHLNEAHPDLPRVKATVPQAIKSIILSKYDAHKAKKSLKNAAHRPS JR316_0008967 MKPFAICLTLCIALIRYVYGLPSLSKRATFNDDPAITNSESVSV GKRACTENQICRTFIDEAKVRGESLHQYPFARVSSEYDHPIYRRSLAHLKTKAHTKAA QAHDKASGAHAFKASMMSASAINLLEDGSTQYVKHAHALEHEAEEHRKQAVEHTQKSD HHLQKAHQPVSHGVLYPFKLAKSIIKSKFSKHKAKKSLHKAST JR316_0008968 MSTHELTEDELNDDIDDDPPCVRAARNPDPAVISAILRHHSQLN SQIPDDMIPARRSSMLRGFSANTYISGAIHGYYTSPLVEAIRASLPGNIEILLQAGAD PNGIPLDYLDEYSVRFIRGRDSKYDTYSFVACPPRSKVLAISSVVKPQISPLTQTEIL RRRKGFSRFWTEPAFPTISFKSKPARTALEEAASKGDIAIFDQVRASNPDESWWTSGR IPSQLPDILTHSSLSVSSPIHEAIISTKNEMLEHLLAIGYSPNILPLAAPTCCIPPHI AAIGLCRPPNQVAYDILASDPHTDLALRTPIYSVHVLHFAAALLDISLLQRLCRHTAT PLSSAGCTALGHTLLHVASLPLTDLHVNIFSQKVFESVHDVRTLDTKIWVPMDLHRRN PANRGILTSKNDNVPLPRTRSAEDKLDETRQHELILWLLDSGTQNLAAEDVYGNTIMH YLASAASVNVQLLNELRAMEGGENVWKERKNMMGHSPEELFQDGLGAEVEQWKDFWMS JR316_0008969 MLSSKAGLPGSVMDPISAVSAARQTYTGAYLWVPDITSNIIVSA STFILCDTVATLPLEDSLDIPKSFISSLAIRIQIYIGTMTAIAAVKAELLPPSGTQLP GCFTTINLIVTIPVWVTDLCIACIFYSMLVTKLYLERKEERKIDTRFTLRTIYKIFLR DGTACFLLETCVDSTFNEDVIFRQFRIAEISTGTDVDTPVSEREVAPPLAPTEGRRPS IRRRMTVVSREGGNARDRAYSAHDVTGLAPRITTNLEQDSRISLPIITEDS JR316_0008970 MAPRTLISKIPIWLLISTHAHYVLSLAVPAVTPSPSYFSVSKRA DTSIATRYGIPGPFESSGPDDVVNDSDLARISEVFMAIAQLPDGSLSSVDSSVAPTPT PTNPLPSSTVYPESPPLRGPGLVVLSQPFPAIGSSTPVPTAASSTTSLPASNRTASPT KRVVILGSVIGSILLFTLCLFFILDPAITGRLFQLCHSRRRSASRVKENKDAVSSEDK WVPVAPLTNAVPLQSDRQTTQCIRNEGDLFTETAAPSPPSKFSMCSSEYSEPNRISAL SSNSAYTSTPSRPTVSFVSGPTPTRPPRPPTADSPALTDSVYLACSDQPYVIVAPQSL TEAELNSNAPSKPPRRMLTPSEFFALHVPGILSGFGSSGGAPSKKQERSSTCESHLSS ATKRESFHSRTKSAPLLGNVTISERGSTSNTELEFSIEGVREESMIQRISKHRRSRSA SGWAYPDRSIPKKQRKEKV JR316_0008971 MVAPGINYLLKASVRFGIPSAATYCLLDIIQRRQFLPFNLPGWA LISIAVAARPMIAIASRYYAKWANKRAAEASGAVIPPYLPDSTFSIISELGENLRDGY PTDVLKRFNDQYGNVVNFDLMNTSFLLTNEPEHIKAILATQFESFVKGPLFISQMDSM FGEGVFNSDGEMWKFHRAMTRPFFTRERISDFEIYDRNWNISLKLAKDRLAEGYSIDM QDLIARFTLDSASEFLFGKNVESLSAGLPYHQEVAKKNSREFFDHPSNQFVKAFSDAL LLIAKRLTMGEEWALAEFTGDRIIPLRKTVEDFVEPMMKAALEKREQDILLGKDPSEK EESNLLSHLVNHTQDPKILKDEVINLLVAGRDTTMSLLAFSVYMLSEHPDIEKRLREE IYEKVGPSEAPKYENMREMRYMKAFINDHPTQGTVHLRCTHSIYMTFIVMRFGRKSIA PVVLPATGPGEKPIYVPKDVICIYTTLNMQRRTDLWGPDALTFDPDRFLDERLQKYLV SNPYIFCPFNAGPRICLGQQFAYNEASYYLIRLLQNFTEFTLDSTSNLPPPAHWRNGE GLKATEKIFPAAHLTLFIKGGLWVRMKEIKYENSGM JR316_0008972 MKFSLAVIISCMAVPTVHAIPATKVSIAPAATTSSVPPAQAFAL VINDLETLGGLFTKIVSDASSIPRSGIQAIDATHADAEAIHLFFEDANTNLNELPPGS LVSSQTEEILELYSGLVNGILSYLNSVAQNADFFKTLSGTSTITTDLLNSAASCEQFE SALITASSTNIQVTSQIIQTFRPVDEARQSALDALSEL JR316_0008973 MTYLYQIDKINKFPLASYLETITVAIKSITKDGRVLPSAPRSVQ GFFDQLLSVSRMITVTFIRHGQSEDNVKNIWAGWKDSPLSEHANALGQSLASTRITHI YASPLQRAYTTAQYVQHYQRAPKPPLTTNPHLREQHFGIAEGHPWVLQQPEDVSVEEL YEQKIFPVLYGREAKYPGAESLDELAQRTEIAIRECVLPHLQQQRSLHPEGAQMSVQE EGECGSGDGVHVAIASHGLCIAELISALLKLDPHAPTMSRFGGLSNTAWTRMNVRIRE GHQGPFDLSNPPPLEVIVTHTKERDHLKSVNDIPHVELDAASVEARAFFGGESLSVPQ SK JR316_0008974 MFNSNLSAYKPNPAPQKTLRILKAIKLLWDPINEWTIQQYFKMM LTFLSNFDLEAHMFGQVYNLKWSDLSLGLDDKCHHQRLRIRGVHIPRHALAEMCPVGA LGWAFFISFGIMNNPHPNLTGPRFLQDSLFDPTIGVSQVDLRSTMTVSESGSSSFYLK WYSALTANKYTPLSVEEEAECKLLYQYNYNRINLGRYCKRNNVYKNHIREMTQWMFPW YDYVRGMLSVDTVSRHEKIAMFRCLAISSYLAEVVLQDAIVLYLKNPDDLLLSLFHPF CNESFKKFASGARVVLEHSAAPKLPVQRWRQRVDRPVLLVNNEAREDQSVDENELDEF MDSVIDWSSCEDAC JR316_0008975 MPASLSLLLFLSPPPCMSDVSQTQAQSASTATFVTALVFNAAVF AAELGVFTLIRPYFKSIYEPRTYVPPSGKRIGPLSRNRFLWPLAVFRADYRAIISANG LDAYFFVRFLRVMAITFLPIWLLSWVVLLPLTSVNTSVPGFTGLNRFIYGNVAPDKSA RYAGHLILAWIFTFWILYIIKREMQHFIVTRQQHLIERTHVKSVQARTILITGIPKRY LNQDALYKLFNSLPGGVQKIWINRNLKDLPDIYDRRTAATNKLEAAETALLRTAAKLR LKAEKKAKKGSKANADVEASVATAEQEVPESERPTHRLGMIPFFGEKVDTINWARKEI AECTRLLDEGRAKINESEERGRSSPSIGEADGDVQLEGAALDADGNPRLQSESRHGNL LNPVNVGRQAVGAVGDVAKGTVSGVTKGAGAIKGRVVGDKIPEGEYPPLNSAFVTFNK QVSAHLAVQVLAHHEPYRMSNRYIEVAPADVIWGNLNLNPYEQKIRIAISYAATAGLI IFWAIPVAFVGIVSNIYTVCSTAKFLSWICDLPKVVVGIISGILPPVLLAILMMLLPI VLRLLARFEGIPKYTGLELSLMTRFFIFQVIHSFLIVTVASGIMASLKQLINNPTSVP SILAQNLPQASTFFLTYIVLQGLSGVAGGFLQIVPLIIYYVKLFILGSTPRSVWGIKY NLRNLDWGTAFPEMTLLVVIALAYSVIAPIINGFACATFFMFYQLYKYLFLYAYQQPT TTDTGGLFYPKALQHIFVGLYVEQICLCALFFLAQNENRHPSAIPEGALMVVLIVITA GFHIIINNSYGPLLSALPLSLQDRTLTATVIEAQPSGTAGPSSDASAVNVESRQSTDK KDSSEERLTKDREAADTAAAEEEEESYGFAQPAASRPQRTVWIPRDALGLWREEERGC EQAGVRVSERHAVMDEKGKVDVDGGPPDLLQ JR316_0008976 MSALVSSIEPKASYQSLTGFTPIELLQDATSSNAFHNAGERRDP PRCHRNTRVAILEKILLWIRKCTPLNQERVVLWIYGPAGAGKSAIAQTIADFCFYHKL LLAGFFFARSDPTRNHSRSLIPTLSYQIAEHFPDVRDCILRNIELDPLIFTRSLEAQL HSLILEPLRPLVQSGYFATENSRRVIVIDGLDECIRRDEQVRILDALSCALQNFNLPL LLLFCCRPEHDIQASFRSGYLHRITTSLPLDDDYQAYVDIERYLCDHFANLRRTHPFR DHIPLNWPSKEVIGQLVAKSSGQFIYAATVVKFVSCRRHRPTSQLDIVLGIRAANSAL PFAELDALYMHILSSLDNPNPALQILAFQILSKSKQVEIIDHVGHMEKILCMNTGDAD VALCDLGSILKLTNHGTDTGTQDRRLHIFHASIEDFLLDEARSGIFHIDAPSKHAEFA ILYMQHFSRTSEVLQLGGLFYINSHIKESLPLPSLRAEILKFTESVLVPDIPKNRQYH ALYINNDLLGFLETILQSKFEDAAALHESVRVQLNLCDEEASFLDTLFVGL JR316_0008977 MASRNRKKCDFFARGNCRKGNQCKFIHDNPAPATPTPTTSQATA SPRGATSPRSSPSAPRQVCNIFWQSGACDRGFDCSFKHTRNPQATHTASRSAINSDED PPDFYSIDGLVPDGRERTQRGSYDPAEVHNHIKPFLKDNYTFEGPRNIESFVRIISSI NDHNKSWDNGITRIGEILRFKPVDDCTNVFRTSLSFQRGYFPILEYLSSSLVLKSTWH KNINHLYTVVEDNYDDLHAVVDSCMNSMIKRNSWRDPGSAANLDGANVFKTLTTVFLQ YFNRFKSSIRNHPEIVNLVQHLTEWFDIWVAGVMTKSPVLFEDPITDLDPRRRGLIID QIHDDINRLVTIVQRESDHATVLKRHTSRPAVTVAQRRNAEIMRLGQIYDPPGELRPE GPRHDNDSIDIADIRIAPTQNELLCEISPYLPAFLPEAQHHCLPDSMERHLDIQFRLL REELISTIRSSINAVYQDLREIWESPGQRRDTTKLEKLLSKGGGAYRTTGLDSVFFMI YANVGFAPVRAERRDLTVGLLIDPPAGAARDPQASKRVAYWKNSRRLQGGGLVALLVV SNKTLKVYLGVLASFGDDIAESSKASAEKVQVRITFFDPEVEFRALKREKLSGSSSSY AFLVDGSVMFEASRPFLERLQTIEPTEIPFGNYISSSNSLADVQVKPPRYATNPRFKY NLQCLAKDREHRISDLDITRPDAVNLARHQMLESSTLDPSQVDAVINTLTREVSLIQG PPGTGKSFTGREILRILFASKVRPIVLIAYTNHALDHLLREVLDVGITKRLVRLGSRS SDEVVAEYTLDKLEKLAERSSLQRSIGKQYAVMKRLEEDMSRVMESIQLPKVSAQQVE DHLDIHFPQALNVLLSPPFWISQLAETLWADEDTNGEWSTVRGKGKQQAGDVVSHTFY DFWKTGADIAFLAPVSMAHSPMPTPGKKKNRAAKSQGTTVLQEDPKVTRFFETLEFGN NRPQIPDQNRPLTRLRNSEDLWKMSLQERTRLALAWEEDIRVLAYNINLDRYNSLRED YKEACKEYNDIRDETRRRLLSSVDLIACTTTGAAKLTSLINSIAPRVLMVEEAGQVLE AHILTSLVNSIHHLICIGDPQQLRPSLATFGLSMDSERGKQLFKFDRSLMERLADSGL QMTQLNVQRRMRPTISHFIRRILYPNLEDHDIVKTYPSVRGMQKDVYFLNHIHPEGGS EDSVSKYNTYEVQMIRDLVLYFLKQDMYSGPGDIAVLCAYLGQLQKVRQALKDLKIAV SVDERDETQLLQQGLEEEGAFEEVVVAKHIRLGTVDIYQGQEAKIVIVSLVRNTGNYD TKSASIGFLKSSNRINVALSRAKHGMYIMGNASNLRKNTTWSTILDDLEDQGLIGQGF PIICARHPDQVNLISKPGDLACIATSHAGRPHALVAIHAQKSARKTAVIACSQSTTSP YLVAIQKKKFIATFLRSLIRSSVQN JR316_0008978 MPFSGHISVCGEICNQQICVLCLETDKKQEVVDLVMQRRLDELD LSSDDISERLITLKCGHIFTVETLDGVCRMADFYEVSNDGTYIRTKAPPVEYQTPPTC PQCRSSITALRYGRVVKRSMLDILEQNVASNMSRDLELLGPHLEHVSKSLETFKERAK TITPDTTNLGTISQARKTDAIEKQEPISKALLDLNAMNSIHGLSPQETRIWNTIVKPI LDAYSRAHSIATTQGAHVKAYEGAFSTLYRLELDTLINDPSVVTDAPEPMALRAVQMN IGQPPPTADSRFQIEAYIHTLELRFMLAQVGLARLDGLNPAATDELSSKEKRHWKEFI GFIYETCVADSRKALAIAEKSSASRRAATSKVFMIRSDFERIRFQTTIGMKSLKVSDP TFAEDRERIAQQVSDTRTSVEKQLLEYEREYIRSRPTGNNIRKLNEERNWFKENCAIK VQRFIDELNDLEAWVKNGTVYEPLSHNEMEDIVKAFKGKFCEYPATFNILCAEMLHNL JR316_0008979 MHAAVTPKASSSALRILRRDFTRVSSCRRLVTVVAGAGPVAGKS SSSPCRKASISLSRPSTLQHIISYTRTPRQHLRRSYTTTATGAAPSSPDTSPTQHEYE PEPESEINPITFADPHRPDLFYHYTPAPTPLSRVLPAFALSYLDALPPASAAGGEGSE AVIGWLPAQTIVEGEVEGEAIQGEGQGSTLDDFMGNDKFLSFLHATIQTALEQGQDDI WRDAAIAHGNGWMHIGDQRNIPALGRVGDPDDILASVLVQDGKIVPGTYQAMPSYRIC TVDGVLQLTPGLAGCLKEALAQSWAAAAAADADQA JR316_0008980 MKFSISVIASCMALAVASAVPPTTSSSMKVIMDDFSKLGTQFSK IASDVNSFPQTGITGVNNIQADAGAIHTLFTDVISELDNLEAPLPANDVKRIISVYNS FTPDILDYLNGIAQKSADFKSLNSAGTISLDLLKSANKCAVLESTLMPMVPTTMTTTT SAIFNVVDAARQNALAALS JR316_0008981 MHFRYLSICLWVSAITCTNATPSPSNEPDYPEVIPGPGLPSLES LGLTSADLHRNRTMSKAFSDSAEFLVVCIAGGSTVSVGNAQACVNYLANLGTTDCGVP ANPGVISFCVSGDASICGTNIWGNGQEVHSWCSDVAISAQAIVNGCQVTSGQVEGYAP AAQNSNLQVDVEPLEIC JR316_0008982 MPSPASALLLLLPSIFAIQALSRSLLSSPPFASALAPRAHLKIY TCPLGMRFVVGEEYLFEHGGAQFGDVIHRIAMSSAGISGGAGGGVGVRVLAIPRRHRK EIVERGEEGGSLSEGPEQEGI JR316_0008983 MPRSANGTGGGGASTTGYDAVPVCAFCIELLNITAAGRHQLKTM SLAKLKKYINSYNIKIDRAVEKDDLIDAIISAKAPNGCLPPANENYYRKYSVPNKPPG RARGFFSRQQGPSSAQNTPPPVPPRSADNMPEFARPDLAPDGPPPPPPPPHATYPPPP PQQQQQQQGYNWQHHPPQPPPRPRSAYGNSAPPPQQPAPGFGYNRPPPPPPQQQYHQQ QAPPPPPPPHHSRPPPPQNYQTRPTPRYPSQPPPPPSHTHPQSFPHAYSYGHAHPPPQ PQNQYHYHQYTQPPPPPPRQRAASTPSHPPAAATPTPPPPPPPTLDELLAMPTSDISA LSISALKAILFTNHVPAGHGQILEKGDLVRKVVTLVEDERAERERMRRIEEREEMERL QREQERREEEERVRMEREEGERERERRAAERARMAQMDGSGGASASASGEGEGGAGEA MVTDEPSASAADSAPQAPTPTPAPAPTRAPPKTQGSASTLERTGLCVICQDEEANIAI VDCGHMAMCRGCSDLVMASSRECPLCRTRIVTEARLLRIFKT JR316_0008984 MRFLSGIVVLLWGLQTYAITIGPSANLYIGNKVIAPDGNKRSTV LAGASFNTLSFPGPVIRATKGDTLRINVVNQLTDTTMLTGTSIHWHGLHQKGTSWADG VVGVTQCPIAPGRSFLYQFPTANQAGTFWYHSHYSTQYCDGLRGALVVYDPADPYRTW YDIDDETTIITLADWYHNVAHLEPLPAEADSVLINGKGRVVGGSASPLAVVMVIPNKR YRFRLVSISCGPAFTFSIDGHPMTVIEADSQSVQPLTVNEIVIFAGQRYSFILYANNP IGNYWIRSQPDEDAPGGFEGGANSAILRYYGAPTINPTTSKASVSNPLIEANLRPLYN PAAPGIPRPGAADVNIKLDVTYNEQTRKFFINNATFTEVRVPVLLQILSGARAASDLL PKGVVYSLPPNKVIEISMPGGSTGSPHPMHLHGHDFSVVRSAGSNRYNYVNPVRRDVV NIGRQTTDNVTIRFTTDNAGPWILHCHIDWHLEAGMAVVFAEDFQSIKLSKPPPAWDQ LCPTFNALPPQTFH JR316_0008985 MILSPRISVAVLLLGSSTVLASSAGSFTQAGNTLVSALLMFLGN EDTVYIIDKAEGNAAAVAGHPAWGSKWDIASQQAEVMDIRSNTFCSSGMHLPNGSFIN LGGNDGITINGAPGSTKNTDGTGTGFWDAVYQDFDGRKSIRILNPCRSGDDFTSPQCK WFDDSSVLAMKAPRWYAALEPLGDGTIVILGGFTAGGYVNREFPVRDPITQNTQAQST YEYYPAKDADPQLVQFLVDAGGLNAYAHMFLMPSGNIFVQANRSSMIWDHTTNTQTPL PDMPNGVVRVYPASGGAAMLPLTPANNYNPTIIFCGGSTMADDDYGSYSGPRVETWKI PASNDCQRITPEPQDGSAPVYVADDNMLETRTMGQFIILPDGTLLMINGGLNGTAGYF NITTDPFIKETPFGVSLASGPVFTPAIYNPNAPAGSRWSNKGLSPSPIPRLYHSSAIL LPDASVLVAGSNPNPDVNLTTVFPTEYRAEIFFPPYFSASVRPVPTGIPKTLSYGGNP FDITIPSTSYSGSSNDAADNTTVVVIRGGFTTHGMNMGQRFLQLNNTYTVNKGGSITL HVSQMPPIPNIFQPGPAFLYVTIHGIPSNGSYVIVGSGNIEKQPTSPVGALPASVRLD SATGGVHPGQNGTSNLDGGSGGDAKKSHNLGFIIGAIVVGIAIVAVIGVLFAVWRRRA SACLAPSKAYPLSPTAGAGWTSHKGESGIFVPLAQGKYNDTSDPWASSANLNAPYMDD NRASSIGSRSQVFGEYEKYSGHPGQTHAVPKSHSGY JR316_0008986 MAQQTQEGQLDGVGKDKRSGEPLFGPGIGAVSNGPAWTLSNEKK VVTDELTQEIVNLWIEKSKEPSQPTTTLQALVNLKRPTLRLSPLTSVTDDNTTPAPEQ HHHGLEFEYDCDAPKCGIYVHVHLPKTHPDAPSMPTSHALAKLLVFETVVEGGFGRQL KLEEGALLELGRFEHTHSSASTKGPEDVQAGAQTSGEALPAIGEGSNGAAEGGSSRAN PRHRRFTHFHFRKPRSEHNRSISGPALAVVDAEPAGAAQDGKSKEGGKDEPEEGVKIT IRLAALDEQGTELAALNEQTTYLHIVRFGQRAAEPTDGGEPVEDTRPWVVRVVKREAT IGPHTFHLHEIYGLTSSSAHSASASETAPLPAPPSTHTYPPDETKPPITAATPEDDDP QSECLLCLSSPREVVLLPCRHLVACKDCALNMVEFGAGGNITQTVEPVTGGDEAPTGT GTGTQTGTGATAVAAALATPNPPPNPRRKRKAKGWFCPVCRQPYTSMLRLTTTAPPLS ASLGKESGEGSGTEDEGGLLSPTLPLGPNAGATTGDTVLDVNYTPPTGEVGAETTTGA GRGGGLLSGALRPTGFLRALSFSRGGNAHQQQQQIPMGDVENQLGAAQVRA JR316_0008987 MPSRFSKTRKHRGHVSAGHGRVGKHRKHPGGRGLAGGQHHHRTN FDKYHPGYFGKVGMRHFHLTRNQYWRPIINVDKLWSLVPEEQKKGLTETSDVVPVIDT LAAGYGKVLGNGVLPKLPFIVKARFVSAKAEAKIKAAGGVVSLVA JR316_0008988 MNAPARHESYVLEDGEKPVELIEDTKIPNAATIKIVKQDHTLGN MLRAQLLAMPEILFAGYKVPHPLHPYFLIKIQTDGTKTPQAVLEQACTKLIGTMSSLE TKFKREFSYKDIEGTSGGVTASTGEDPYGTVGGTGGGAWGRGRDYLDF JR316_0008989 MILPQFPILPQPPSWFPGHMMKFTRSLPALLKRTNVVLEIRDSR LPLTSINRTLEGALKKWRLERGWDPNNPGRRFFAVEACEHIVVLNKRDLVPEWGLEPF RKAMAAKYPHQQLFFASWQRPRDIRTLNEILVNIAKEYPHAMELNVLVIGMPNVGKST LLNALRSMGIKGRTAKAFQTSANPGMTQTLSTRLKLSLDPLVYAYDTPGVMLPFLGRG AEGAERGVKLALIAGIKEGLYDMEALAAYLLYRLNVLNPISPAYLQLLPPGTAPTNDV EEFLMLLAKRMGMIKRGAEVDLSRAAVYFVRWWREEGGLLSAASSPRLEANLSKDLNS PASRTLAWGFDFQWDLQPEDTPLGYNPETLIQQKMEETIEEYVQATEREEADENNISQ TQIKKKAVLEEKEKRRLKHAAKGGRR JR316_0008990 MTILIPRHNMSLHPNKHIRRNATAVMAGAFDPRPPRDVLTSLLN GVGPYKEVERDEEARQKRKLEKKEQKREEKRKLKAAARQRQKELAEEHSRATAEGNYP STSALNPPPAAASNTVASFWRARPTIFIPGVQRSVSVTPSPTLSPRRLASTPASTPGP SISSSTSRTSSKRPHTPHDDDEETAVELQSTTLSAPPRPRKKRAAAKKGWKGWVEGSP PPSDKLINLDAVPLLQERRTRSGKNFDGIGVGNQHWL JR316_0008991 MPDSPPRPPPIKYTAPLGTRWAKEKAAAGGAAAFDLPTDPKSIG PWILGECVGKGASGRVKIARHRRTGQLAAVKILPVAPLVSSRASLATRAAKSEKQRLG IDREITMMKLMNHPNIMRIYDVYEGPTELFLVLEYVEGGELFDFLVNRGRLPPNEALI YFRQIVYGLNYAHTFSIIHRDLKPENILIASLAPPQIKIADWGMAAFAPPALQLETSC GSPHYASPEIVNGEKYQGNATDIWSCGVILYALLTGRLPFDDKNVRNLLAKVKSGKYD MPTWIDPLAKDLLSKMLIVDVKQRITIPEILTHPWLLTHTSIPFTCPAPPRPLSSSSS HPPSAHQTQHQTQTTLTYTLLPPPLPPSPSLLARPLPSPAHIDAELFASLRIIWGRHA DPAGDVIKRDLCAPQGRGVHAKAFYFLLGRYREEAGGRRREDGLALGVGLSGQGETAE EEMAKVNFNLGWELESGLRQYEVQAPRIPASTAADAAADDDEPAPSPVFSPPPPPYPS TTTTTSTTTTTSDAAPSRRRTVTDGSLPLHIHTVSHANANPQHHVSSSAIPRTPAPPV LVQSAPPAPSQTQTHPSSLPPTQPQTQTQTQQVPQPHVLGVGTNAVIGQKMGTVTGSS QGTRAFGAPKRGWTYSGPGSGSGSGSGGGVGQGQGQGSGQGQGSGQGQGSGHGQGQGQ GQSHMHAMGFSQHLQQLQARAHAHGHGQGGSGRSRAGNSGHASAAGSASSDSNSNTHG YAHGPVHPHVHAQSPAPAHVHAQAHAQAPTHAHPHAHVHPHPHANTHAQALPLLTAPR TENAQLQRTVEDVARKVNELVQAVTQAPPPSPLGSPLGSSPVPATHGHDENDMEIVVE GMTGVGVGVGERRERVDEADKENAHAGTHTHAHPHVHAHAGAHQILTQKRASADKEND KDNDRVKDKDKDKEALHVIQPAYHEADVLAELHLPLNQGNGGGLGDEDVYEGGYNPYE GYLVEGVVVGDASANYPNVKNHSANTDANDPAHNHNANLNVKNHEHHEHHAQEEEDEE EDEEGEESWSHVSVSAAEAHGDTNPERVGRKVGGYQGLAIGVQSGGGIGNGRDLGGGG GGGVNQGGGGVSGGGNQGGGGNGGGNGAGGGGGYAQHVQALVKMKKEKEREKEREKER DKEKEKEKEEKKEKKTKAERKGRPPPLDLPSLNTGHGHHHAARRATLGGALASPLFYA SSPAPSPMHSPMPYHTNSNTTHAHSHSHPHTSNTTTHHPHTTTHAHKILASPVVGEFK GWFSSLFSWKQGSGGSSNLGAYGFGGGTGPGLLYSVQDLRRTVGVVRGVLEGMGVGVV GVGGAPPVSGHVSGNVHVSGDGAAGSAVDGGYTGHTSEILLCRIDQSVVDPGTGTTLK SVRFRVEIRGVAGGAGGSPVGTPGEQQYQYQHQHQQHQHQQQQQAPQYATHQNALMLS PTMEEPSSAPMTPVTPLPPGAGSAVVGGTVAGTVAGMGMGANPVAAAPTTTAAVGAAV APGPRARASMLLGRAASYHSSSVSVPISAPSPSGANFNFHSNSSSNSHNTSASTSASV NANANGRYEIPHGCQCIIALVHEKGSMSTFRAVWKRLKEEYARSASVGLAVVGGGGGG GNVSRGVAGGGGTATRVSPGDQHPYQYPCFSPALPPMTPAPYADGQMHVQPQMQVQVQ GQRMGV JR316_0008992 MLHTVLRCGRRSLVRCESSVAARDYPINVIERQDSLRRLWALVH EEGNIPSAQRLLADILASSDGLDAYRHVCRTITHKPLLHQLALTAAQNGHARYARDEV LPLLDPSVRPELDQLLSAAESRLRPSPVFEDSAADYPAPAPPRPSTLDIIQQSLPALL PDPSTDDGPLFEDAATPVFAPLSEAAHLTNTSVGLLDRTLLSGRYEDAHALLVDMYDL GVPIPPSPKYAYVALALLQSTDPTAPLTQQQSDRIAAWLALIPPLHRTTRQSAPQTQT VLSLLDAHFLRTVANPDTRTIARLLSVLASLGYIDKFQRLVDITVRSLSPTRLPFFLN AIDAALVVYTTNAGLDTDKLPRARSIFRNRVVRTLALARRADEAVPLLVDVAHGLWVL HKPTIKLLHDVAAHDISLGHKDAPIPRVLELLDHAAPATTTLSPAELDALEERRPAVE DEVDFNGSVVLAARYLHAMLLTRTRVPHSKSIAAFMEMYIAQHGRTRILHTLFRRAHR GSGPITLLDGPNPTAKSNPAARITASTYALAEMLFYHRHRLYELVVETFVDHFYLFGV DRALVMERYTAHLARRASNPAKYPARITFPAPPPPRTSSSSDSYSHSHSHSTSTTNAS ASAGTAYLHTLSPFSSAKLFPEDTHCTLLWHALVRVTPRPRRKDVYMAFLRIAQAHVD IAAEGAEKAKEKAKAGKLDAVPAHGIKQPQKHPQNHLQKQPSKQPSKAPPAPPPPPPP SHIALPRALLSLPPSHTRITSASFTPFLEPLLEGYGARAGWRVVRDMLELGVSPEVYV WTEMGRVLARDSGVGVGRASRWAVLEEGVRARKERERERDGMTEGGEGREGEEQGEGG MSERERDVWELIARLEGAAAHGGEWVPLRTHGPRRPRYTTTTTTTSSSTPNTPPNSTS ASDSTTTTTSSGEHPPAHAHAQGQGHWLTYPPPDLPFYTAILRGFIMARNTRAAARVV AHVRGRFAEVGEGAEGAGDKDAEGQGQRQRPGQGQAQGGKPPPGREMTPKEKMLKRRS EALYLEAVRDLEVLVEGMGKSGGGEAGVGVGSGNRRVEDVRAMGMGMGTSERGRGADD AEAEAEEGYVDGDGRWKRVVSPPLPVI JR316_0008993 MVLPLLLSDPSTSLRYGDIGKTVLHCLHVIHSMERAMSIFLEAV TYESLRLDDCSPPPTFSFSAFDAHVGDCACQTRAQMFWDLFSLYKVEENKRALANAIT VLKSVKSNTVKLLEEIELNRAKPRSPSLMTLTTHQAVWKRIGFLSFLDLSTKDPEECI KPCHDAALRGGARSGHRHRDIVVDTEWKPDDIVFVSRLLTMCNMLSEFRFASIEAGPP ARVKVRVDLPLMYERNARELDDLNVCNKRRIDFHIQSLSKTNRQTEAMQIYVSRVTAD WVRKAAITGSGSWRCFPDSFFATNDRKITCVAAYASFLVVREAWLKSRIPILMMVQHF CSHGGYRNLYCRIVPNPAADMTAKDYSALGDVQWFDIEVLTVDQIASAPWNKTPHTII IGMSCQGTIEDFRLRLLESQQGLLPAHHRCGQVDECALAIECTNISHLVSHFFSQHEQ FPFVLPGGEDEIERVGSALECSLGPDAKHAFAMGRVGAEKFGTGRSARLATIEHIFLE YPCVFANDMKKVGEKPQVLGCQLE JR316_0008994 MFSVNDISPLTFAATAGILVVSYAYSSLAKGSRGNHPPGPIGLP FFGPRLSSTPWKDFAEWGKNYGDILYIKSYGKGIMVLNSHPAAVDLLDRRGSNYSDRQ TFIMNEYLTRGLSFGMVNVGDMWRKMRRASHECLSKTGVTRYYHVQEREALIFTQNLL CDSSKWNQEVARTAASGMLTAVYDLPPRESINDPLVSKFNDFHEILISAVTPGLIEYS PWLQYLPSFLTPWKTKAQHRFLELSSFFGELMQDHGEQESSAFAPFLIREKDKLGLSD TESAWLAATVVAGFGSNNEALLWLFLALIAHPEKQQKCQEELDAIVGHSRMPTFEDRD NLPYIRATARELLRWKAISPLGTEHVSKEDDWYQGYFIPKGTMCLANLWLMNRNREVY GDDVEEFNPDRFIDSEGKLKPSISDTKDGTLCFNPGPSVNSAQHYNISLFCHFRSMCP ARHLSNDIIFIFIARLLWAVKLSAVVDKTTGCPIIPDLTATIVDGVKTQVTLIPRVIS DELLTSHMQTPASF JR316_0008995 MDNLLINYSFSTLLLFFPSLVMFAIDHNTVSLSGFQIPVALLVL FTVTSVVQWTLKFSKKYPPGPMGIPFFGNLFQLSKQGLNQWGHIYGDMAYLKVFGQGI LLINSHTAAIDLLHRRSTIYSDRPSFVVINALTRGLFLGVLSYGDVWRKFRRGGHESM SKGAMGRYHKLQEREALIFTENLLKDSSDWHQEVSRMTISMLLSTVYDLPATISKDDP IIAKFNRFDNLLIEAAFPGNYLVEFFHWIKFLPSFIVPWKKRMADGYVEFSAFFEKLW NDTAKQVDAGEEKPGIAGYILRERQKLGFTEAQAAWLPATYANGSASITEVMLWFFLA MVAFPEQQKRCQDELDAVVGRSRMPTASDAENLPYMKALVKEVLRWRPVSPLGTQHVV KQDDWYEGRFIPKGTMCFANIWLMNRDKTVYGEDADEFNPGRFLDKDGNFVDIVGKTK DGYLESEGHVAYGFGARICPGRYYANEALLIVFSRLLWAATIKPGINASTGKSTIPDL RETVGAGITMRPPNFDIMVQRRFDEAPALLLQTKELL JR316_0008996 MPAIRSMWIVASLALSTALLSSAQQTLSSGVQAEYNSICQDQAA QNGIEDPGNWVWSDDEEYCVPNALDSNSPDDVQAIESGVESGVENGIGAVEQGVGDVA SGVGSVAGSLGLRSAKFARAIEAPQACDEGMSTCLQNCGDYNPKNYQDGEAGAWAGCR ASCSFRCSPARGGCWKGYCWAGCSTGFPIVEGKEWCYTTQSYSQSYQYVRCSDASQCD KNWKCGGPCAAF JR316_0008997 MATKEDQETLRLLVQHVSRAFYEPKFTIIMDQLARHPVLKDDDL AGRMGLQPKELNKVIAVLSNDSLVKIYRQNELKEGAQRSVGKQYYYIDYEHFCNVVKW RIAKMRHIIDSTLRNELDNKGYICPQCKQSYSPLEVDKLMDFTQGILVCEICHAEVVD NENAESVLGSKDRMQRFNNQMRFIREGLQKSEAMVLPAFDVAAWIKNNPSESDKKNAV AGGPGLKVAGADGSRKEDEGVGVMMAMDKDESTRRLERDAQAEVKRQQNALPSWHLKS TITGDLTALGVKESNREAAAALSMAAGQLANDDSILKGLGVIGGGPSRNQLQGVQNSV VGGVEDVKPSINVESDFYDQYYASLAASSAAASAQPTPTATGLPSSSSNSPDYANGFS HVNEEEDEDKKPNVEYLDSLNAYRKRSRSQEDIGAGGKAKVAKMDNGIVNGYMNGYGG GINGHVNGNGHAVVNGLVNGYENGHGHVVDEAQDAIMESQGESVPGTELDDDPIVMVN GTPKPYSQVTEEDHDLMTPDEYTAYFEIVQERS JR316_0008998 MESYSVPAESLKLLHEGIIQNPLHAFLPTEIKEASKYIEFIGTD DPSIPINWRFAESISSIKGFQASMLNVLLKKKYGVDYQRVVINTDHAQLFIMSQFLPV IDPLGEKISPRDLEYRKYFPDGDIYKGMDGTPLIAAATNIYKTKDGRFYHVHTSMNAG PVERALKVFPEEEKVTDFAGGCAVYQEKVSKLTAEELDTLMNDKYRQAGTICWSTEEY LNSEHGKANAHVGLYELHHIPNPKQAPGWWTPVDGRTYPSRPLYGLKVLELARIIAAP TVTRDLAELGASVMRITSPDVSDLTVLLCDLAWGKWNAHLDLTKPEDRSRLKALIEES DVIVDGYRPGAMEKWGFGKNDILELFKGKERGIIYLRENCYGWNGPWAYRSGWQQISD ACCGVSLEYGRAMGNDEPVTPGFPNSDCSTGVSGATAVLQALIEKSEKGGSFVIDAAL NYYSQWLVNSCSTYPSEVWKKTWASYGNPVFRNTDNMVIVTLPSYLRMLQEHKAAVMN PDFFETRENRALGVPVKTVKPILTFPEGMVKPGYNIGSRGNGVDQPIWPEDLAEEVVG RY JR316_0008999 MNTSPVQCDTQPLLPSNTEVNPVPETSTSASGAAIGKRKERDEG EHGTRSDYEWIADTNVTIWKGEESVLEHTSDATPSSSSTNPNVEDSDTSVVKCEVHIP KKPRLDAAAVSAQTAQLFEPGGSLASKTDPSSSLESKPCGILSLPLELLAEIMILTGS PQHVLATARTSKTLCDTLLSPDAEFIWREARKGSGCVFEVQNSMFVHLGKETFSLPEP PKQFFGEPAYAAFVFDSGNCEACGNETAMLYQSFALRFRLCKKQSCLSSRSLKPVRRG DNNIVDPATAIIPTNEASSLDDKVSYNSGSIWPNTTRSYCRPAAWEEAVRFHSTYSSR DNYNEMYRRRQERNLEWMKLCVQLYNWNRARTLIFKRNVESNENTTKILASKYGWERG ELLNTDFGHYLRYKNKVIERINEIDVRVLRDNIESRLLALTEKRDRRNGEVALMNGRK DVEKAYQHLRSSKEYPYLPSLATFRKLPVVAMLQSAETPSSTGTVFDSLTKDKTVKDL LISQLKKWVDSAKSDFGVILGFPKNWKTASKNILHPVERVTARFLCTRCPRLDTKYRP DESLDFAGACLHECFVGNAKHARLRTGKKSVWDSKNFVKDDKAINVLKKAVSALGFAE DRDGGNYLLQVGFALVCTSCDPSMVLDSRSIIGHSHRHEDMQCSFTTPLDDIVGYMGG FPYEYGLVKKLLVPTTPPPIIKQEIDKKNYGCRHCLRDKQVKAAALAAAASTNDDATA NGAAPGIPAAAIHPTSASANLAAMRGPQIQLSALVYRPHDLGVEGPHDKPPPLTNFNG MRSHLKSKEENRFGTPPRRLLKETFADCLALSENFVVSPPTIPVNRIANLTMVAYHTF IFALGAFLSLPQDVCANYVQKQGLVAPPQASQYKDAVKAMFTDSYSVYKQYAWGHDDV SPISKSFRDGRNGWGASIVDAMTTMKIMDLDDLFLEAVEFASNIDFSESNTEDTVSVF ESTIRYIGGLLSSYELSGKQYPALVNKAKQLADKLSFAWVGTNDIPFGHIDFSNDTPQ NEVTNIAEAGTLTLEWAVLSKYVGDDKYRKLAEKSARHIVQGPAPLPGLPGQGVDPAS GLPVGKYVTWGGGSDSYFEYLIKYPRLNNTLDALFVDSWRTAVDSSIHTLLKRSTVGN YTYLADYDDNGNIVHISSHLACFHGGNWLLGGRLLKNQTLVDIGLDLVDACWNTYTST STGIGPEVFAFRSADGGYTGGNPMTLSQSTFYQEHGFYTTVADYVLRPEVLESNFYAW RVTGDSKYVDRAIAAVQSFQKYLKVPGNGVTGYAGLMDVNDSSSDRVDDTESFWFAEV LKYLYLTLDDPSKISLDKYVFNTEAHPFEVPAAADTYGSGGYVPHNPPTQTAPGTLPL VSSLPAIPKLTDYGLLNAVANGIAAIL JR316_0009000 MFSPVFSTILLALITTAAATNNSPVSVSTTSAAHNYTVSGTSTT SFAPPIYTCVVYVNATSSRGAYASTSSGFPNYNISSTSYYNPNATYGYTSSASAVPTI VTSSLPVDFGSSVTNSAYATLSSVRYSVSTSSASADSVYITRPLTSIVYATLITANAT VSTVYASPTPILTGYPSGLPVCSPYGDAPKGTVSATSTGASQSSANALQANSKTDDSQ PSTGFAIKQNIAGITFGVVAGIALVMA JR316_0009001 MKLLRQSSIQLLLTTATFAAPVQHPGIVLPPSARGNRDAVQKIF TDSYAAYKKFAFGHDDLSPLSQSFSDGRNGWGATIVDAMSTMHIMGLNDLFEEAVNFS SKIDFSKSQTPDTVSIFETTIRYLGGLLSSYELSNQQFPILLEKAKEVGDKLTFGWVG NNDVPFGFLDFSTNTPNIGSTSNIAEAGTLTLEFETLSKHTGNQTYANLALKSVTHIA NLPAPLPGLAAQGIDPDNGQFVGGYVTWGGGSDSYFEYLIKHARLSNTNDNLFADTWH TAVDSSIKTLLRTSTVGNHVYLADFDDSRTIRHIGSHLACFHGGNWLLGGRMLNNDTI VKVALDLIDGCWNTYAGDATGIGPEAFAFISSDGNFTGNGLPSADQLAFYEQHGYYIT ASDYILRPEVLESNFYAFRVTGDSKYLDRAASAVASFNKFLQTPTGFAGLNDVNDVNS SKIDDTESFWFAEVLKYLYLTFDDPNHISLDNFVFNTEAHPFVAPPAKPVYGSTIRPP TAPFTLRKGPPLPAISPNPKLPQPIKVPPQ JR316_0009002 MSSDIVVYLVTGANRPRGLGFSLVGHILRTHENAFVYAAVRDPA KAVALHELKEKYFDRLAIVECVSADVKSNSTMAKEIEERHGRVDTVIANAALLGAAAS VHEVAVKDMEEHFRVNVVGPMVLFGAVYKLLKRSTNPRFIPISSPGGSLSGPIIEFPI GSVIYASTKATLNWIARKIHFENEWIIAFPLSPGEIDTDMFDFTVSVDNGGEYQNLIK TRGYGLPPATEVAASLLKIIDNSTREENGGAFMNVDGGTLPW JR316_0009003 MMFDGFSSVIPRTTLLSNHPTNDYPNVPVNLLSILERLSTFPTE ESELNAWWVAEFSAKPPKPKASKKSSINAEMSSDDEASPKTGEEEENDDWRKFFEDEP LAVDGKKGSGQRLHMMTIHQSLHSLSSHRAVFTRAWLTLLPRLAVPGNIEKNKALATR ALNLMHRSVMPHLTRPVLVMDWIGACVDIGGSVGLLALNALFVLIKEYNLDYPSFYTR LYAFLDRDVLHLKHRSRFFRLTELFLSSTHLPSALLASFVKKLSRLSITAPPAAIVMV IPFTYNMLKKHPALMVMIHRADVDDSNDPFLPDETNPMLTNALSSSLWELISHSSHYH APVSTMCKIFSEAFTKPGYTMEDFLDHTYNTLFETEINRKIKREPALAMETSSKVFGG EENELQDSEEDRLAGNAQRDFVNELWVF JR316_0009004 MAPHSLPPPSKKRKTHKEEYGSRIKQLEEEITEAVGKNLSLNPL ADLLALAYDVEDPHDTSKAIYALYRVFVVIISNKKLDVGGDEAAKVVKAWIWERLQSY TDFLGSLLQDDEKFLRVSKPSYVREMISLTFFRLQHYR JR316_0009005 MATVLPGKISKHVFEKAFPATLKDTGNVHQDWVVTFKAIIQKFV RDLDYQPEDPITDDNSTYRAVRSEFLMKYDNGNEWFDQMYRTAVTMGELPYRDHAPEI RLEVARFTCFLLHVDDLGNDFNTLDGLQMRILMGENSEGDFLQAFRQHLIGFYKLYDP IPANSITLSAIDCISGMLLEQDDSVREMKLSGSSKSWPNYLRRKTGCAEAYAFMLFPK ALDVDLADYISIIEDISFFTNAVNDILSFYKEFLGGETDTYVFLRARISNKPLDETLR LVVDETIEAYARVTKALQFTKAFKTWKRYVNGYLGFHFTLARYRLNELGL JR316_0009006 MAAVVLPETEIQQTYFQSPLTLIRDEFDGGKNNWVDTFKEIITK FVKDLDYRPEEPITEDNPTYRALRSEFLMKYDNGNEFYDQMYRTAVTMAELSYRDHAP EIRLEIARFTCFLLHVDDLGKSFNTLEGLQKRILMGDNSDGEFLQAFRKNLIGFYDLY DPIPANSITLAAISCISGMLLEQNDAVRGMKLSESSKSWPNYLRSKTGCSESYAYMLF PKSLGLEMTTYISVMEDIVFITNAANDILSFYKEFLGGETDTYIFLRARITNKPLVET LQLVVKETLEANDRVTKTLQSTDAYGLWKQYVNGYLGLHFTVGVRYRLNELEL JR316_0009007 MDTTSNTQHQWQLTLKKIITKFIQDLEYQPIDPIPDSNATYQAV KLEFSTRYDNGQEWYHQTYRTAVTMAEWKHSFLTLTTPPKFEWKSLDSPGKSRFLLGQ FAGITQRTSKIISFLLHVDDLGKEFSSLENLQLNILLGDSTEGAFNEAFRKHLIGFYQ FYDPIPANAITLSAMDCINGMLLEQRDAIRDIKLSDSARSWPNYLRNKTGCSEAYAFM LFPKAIKLDLKFYKEYLGGERDTYVFLRARIADKPLNETLRDVVSESLAAHARVSKAL QHTCAISTWKQFVNGYLGFHFTLARYRLSELGF JR316_0009008 MFSLLPALLLALTAQASVLTLQSPRLIVSDSTGSQLRSEPLSLS QKVATPVQISGKDTLRITFQVVDKDGKGVQPHQTFLRLYDEKTNEEGILPIRVTPGGK AKFDLNLSKPPLSLPPTPNGDPLKVTLLIGSSLHDPLSAELFDLVLPRSQVAPEHPLE STFHPLPEIKHTFRPENKLPPKFISAVATGVVLAPWAILLALWSQVAPTPSRTFSPSI LPFIVSLGAFEVLLFRYWIGLKLGQVLLYGAILTIPTVFAGKQALSSIGNRRLGRK JR316_0009010 MGAIPIAQLLSLVVFGRKTLIKAVRYLLSQTSGKIFQSLAFLFN ILRGTWRRREHLLAKGTGKTPVLPAETSKGNTLFFDTGPEISGFELTLETNGEKISMD NVAFSTYPSSSNPANRFSTSWTQLHFRPQTETVVSEARSTSTAIERFEYSSRWESNIT SRLILSQAGTTTFFKHPRIMPVMPEGSRRYKNRANGENRKFNSRLERMNTEKHPLSAG WTQCTHPDGAIYFCNRDKRIFTDANIYDTVLYQQMLEDISTIEEFISTHGITLPSNCD LALDVTYNNACQEIQTFYYFVHHPSRSIFFLDNYEAPWCELKGINSSSHLREELEAQY WLYLQLCPQALEISSSLIFELRDTVLQFIGASQSSATIYNLDELYKFLTLIENLERNQ GRESNGAVGLLSRLMHSFAQSKVYDSQGKLQPRLKNEIQIVTYAAQASRRTWFIRTIS PFLFFSPNLYLRTLRRMWVIGATHNSVWDQGVTRLRHEWRERIFWSILMLIANAAFLS IRDIGLRHPELEHRSGAQISSYVSVIFAIGSIFLGMTFHEHIMANSRDLIGDVSAYAK KRAYSLVGLEARAIVYSLPYVFLIWSIISFATAVGFLVFQDTRRVTRVIVGVFAAAVG VLVIIGVICSWERYTERETAQPLNESPSKSPERNVIAEV JR316_0009012 MPDVEMKPAESKQVEEEKPQEERKPVPVHPLAEIKSNVALIDRA VTTLEPRFTHRVLRTLTALRKRIDASILRNAVESIYSKGWIPAASDSEDQSMDVDAPS KPSLTDVVPEVEMYLRLLILYQLLKDEDNHATALDLANETVKKMQDLNRRSMDAIAAK VWMAVDRAYSANDLLPEARPLFLAAQRTASLRNDDEANAVLLNCLLNNYLSFDQPFPA QQLVSKATFPPSASNGQLARYHFYLGRIRAIQLNYTDAHTNLQQAIRRAPPAKTAPGF YQAIHKFFVIVELLMGDIPDRSIFRHEVLKKPLNAYFETVKAVRSGSLARFEETFNKH IYQFKEDNVFKLVLRLRQNVIKTGLRRLSLSYSRISLKDICVKLNLDSEENAEYVVTK AIRDGVIEGKMVHEQGWMECGSQKNGYGPEVSEVFGRRIAFCLDLHNQSVKAMRYPLN AHRKELAAAEGAREREKELAKEIQDGDLDDEDLGPEF JR316_0009013 MSFVARLWNAIRNPVRYVGRDLHGNKFYESYSPNGCRTKRTVQY QKDEDMWKYVGGQRRLAVQWSAWLTHTRRDPPTIQELEADVLRQQRVLANVALIEARD RAESEQMLRIRQQDAARALEEAAAKHNTVPLVREPESVSSGGNVEQASTYRNLPPQQL DGAAPSFASASASTSTSTPPPARSTIKVVPPKFVTPASPRKSRTSAKFTLPLSSSESN DQERLSSRESLPEHSLEANFEAKRKEEAVTTQSPPPMPSRPVSETESWTPKARRRG JR316_0009014 MSPEITQGFDQITENVTTTTTTTVTETLTTAPADSEGNEVITKD VSVTDTTVEASQKDENNGDDDDDDDDDDDIPKPPNWNYRGPLVGPVAGNRKAKDAGDK KKEGEKGKGAGKKDEKKEDKDKDKDKDKGKAKVKTQ JR316_0009015 MAADLRLAPLLSSLLRYALRLLRKCAISQVFRQLLQRVQFYWSI ITLRRRRGGKGADGGGHEPPTNLRDRDAESNDDQGRTLVDREDQIVLVANGEVVHLDQ NVSFSLFPYGPGGIRNASRSSQNLAASREAHSRAISLRSASRSNLGDSVRTPSPAISQ IGTEDERYTFVVQPGSPTSPTPTRRFSHSLPDLSNPPPFSMPPLEPPSRYRPRIDTTP PRQPSRIIEHSEVMSPQEMEGTSISVPSMESLSMYVCLDNNRIVPTMPEANLRYEKRP RIHRRDYNMIVQPITTVFEKPTSPLGWLKYVHPEGLRYFYHEQKRVFTDADLFDSKIL AQIMEDIELCENFITENAIRMPANIHLAMHLYYKEDDPSIIENNYYYVDHDTRTVFFL DTYDPSNLFSWYEISGCRTDSHLRHEIEAQYWYQILFFLLLYPHATKLSKAMVSELRD TVHHFIGDAMTSPTSTAPYTLNELNKVLKLTATLERKRLGTGPSYITVQGALYVLKAR SRFLNFYGEGHARIERNFSVHGDPIHSRTWLVKSLSIILFSSPDFHLRTLQNMWVDGI MHHNVWQECMKKMNEEWQEFVLFATVMLNANVAFLAIQSVDINDDPHRSAAQIASYLS VVASIGSIVLGLLLTRQNRTKTRETVHEVQAYLQARRHPRLGLETLAILYSLPYALLM WGMVSFLAAFSFVFFADSSVPTRSLVGSLCFAVAILIIWCVYTSWEKHADDKPVEPSE PKPESTNSQRSIHSQHPFKSWREYALSKFLSLPAVQVFRRKSYDSEHTAVNERV JR316_0009016 MDKITEALAKVTKNVVAPTGIPINPVTGPEAPDIRSRGPIDPQT IGSLHPNANVPRIDVPYPGGSVAPPIPPHGLPSGNPYPPNSGFNYPSHNSNYPSNSNY PPNSNYPPNGNYPPNSIHPSSTHPAHGQPSGYPGAHAHGRPNPYYPFTNAFPPAQGGG GGFPPPNGNPPPGGYPAPGSYPVPGGYPAPGNYYGASNLNPNYPQHHGYPTRRA JR316_0009017 MSTEEIRVDNDDHTNEGYPIENESQAKASIKDSEEVSAHTGEDA NKDKGSDEEGDKQDGTAEQAPQIPIEASKTEDRAEDEDEDEDDSDDDNFPINEDAPRG RVVGPVAGQRPPKPR JR316_0009018 MPRLSVVTDEYLYNSEVGERICAWASLVEKMALDKGFLLPSGVV ELFLQLDGNDCNYYFIDRYTQAIFWLEQYDTTEVGVHPTISSSHLKLALQIQYWAHVE NFCMHVGGLHPRCLEDLILVFSHALADTLTSKFSTFPYEAKDCEKFLNLLTASRDRIH DGYTVSYVARLWGIVVYNRYETHFGQEQSRLSRDASILVEAETESRWSQSLVSALTFN SSERYSRRLNEIYNDKFVYGSDWNSFMASCISGWQKVAGGSIAILLLHMFCFFLPVYP VLAYTSSSLAALAFISSAYLIYRHDGLDTSGAASAHDFLSNVCSSRFKFQGVALAYAL PRTFFMSSSLSAFLQVLFVICQYFRIVSAAACLGVIFAVILAIHLAISETKLPNPLNL TRLFVPKDEAAMV JR316_0009019 MPHLKVQTATGNVLFNYVISTPDSENAQNIDPSLPTILFLHPVY VSAVMFHNQFASPRLRRFNLVGVDARCHGNTVGPVPPTARRAEVAEDVSKFIEALKLP RCHIVGVSMGACVALQMAVAHPEQVLSTFMISPLPLVEPDDVASGRQEIYDCWVAGRK NDNPDEDAMLDAVLGAVQLGFNQSNESIVSALVQYTLPQAIKNWSPENFGAFHTVSVD FFVDRKPHDLAQLRQIECPVHLVHCGGDVAYPLHYVEELRSRLASAGLQPRLSQVKDA PHFGNVTHSEEINDLLHDWVMEHSDGPIPRAKSFVKSPFADELAKCGLRQNGESDSED DLVPL JR316_0009020 MPTGEVRTAPIVNAILHAGKMLFVPKILTKDGTMDFFRIYSSAD LASLPSGTWGIKEPGESLEGGQTRSRGVAFDRTLSRLGHGKGYYDRFITAYNSAFAYS PSSTSVTSVSSNHRKPLLVGLGLREQLLPGGEVPIGEHDWKMDVIITPDETITSDSAE KASIATKA JR316_0009021 MPPDAHKYLETSHNHDRLSYEYTSHLDDPRTGLHPHSSNHYRVY SMNRYPSLSETTYPADHDTLPPLNAKSHYSQEEHSSRSPLGQYRSTLREHHTLSSSNL QNISQDNRGYSSLPDRATGYASQPGTSRYASSRRVSNASDASEHSHPDSHLDDTHSDE ATHKLLSFPSMEQTSSIPMSNNPSRPFQHGNDQHHDYEPTYHHDLQHGEHLYSQPHIH YSQSHHPPGHYHQHRHLNAATSNPATPTQSHSHTSFSPINRRNTPNVVLPSLPPTLPY PHPPRSRATSDPYSINEDSYIEPSSSSKFSSYHDFGTGSNLNTGVSTQKKMQYERLSA PPPRFDAPAPEDDNILSRAGSGRTEQERTVETTIAERPGTGPASTPATIPPMIAGTIK KKKKSKMHECEICHKKFPSLLAEAKKKAEDADNV JR316_0009022 MPPSVPEEPSYVVGFDTPMIFAPEHSNRNVHASSSDLYRVGASS KRLDVRGQSGSLRQQEIPEGRITPSTRVNGYRVRWMPLSVDRQGALRAGHNVADMHAV QDTIQGQDAARKEEENVHQEDMRGRFVEENPTSMRPRLRLDIHSQSDDANDDDMYNDY SDRALLSRPSLLSGQNVPSSHHSPSSFTASRSPTQGVSASTSTMLISSIVDQDTPATQ LGPSNRLSMTNLHSRQSCSSLGPSRSTMKSCRCSPPCPGDSSGTVNCRVLGPVYPALT SPSVSIHSFTTSSPGTSTSPINLSSRYGPTGGYELADAYDSHEGIDRRSTTSSASPYD TYGHSYGDDSRPYRVPQDQRLLLLTTTPQERVGLLNDAHMADSPSKVLLDTSPRSPTA PYRWPPEPEEQRSRAPEFYGFVAWTSTYLLFLLYLLWAILPDEWLVWCGVTWYPNREW ALLVPSWTVVTVLLTYFVYFALAIRATPAFDEMGSVTDSRIALPSQEPGTRNPYFASA ENDAIPELYDIPIGLVNSVLYHDALQRAASKRSWHD JR316_0009023 MGISGLLPALKSIQVTRHLSEYSGKTVAVDAYVWLHRGVYSCAI ELATGKATNKYVDYAMHRVRMLQHYKIIPYIVFDGGPLPAKKGTESERKARREENLAK GKAFMAQGKMSQAREFFIKCADVTPEMAFQFIKALRAESVSYVVAPYEADAQLAYLEL AGFVDAILTEDSDLLVFGCQNVLFKFDSVNATVVSISRKDFGAVATSPGDANSISLIG WSDVQFRAMAILSGCDYLPSIPGIGLKTACNLLKKWKTPDAVIRAISIEGKKSVPPGY SKQFKLAEKCFLHQRVYCPRQEKLVHLTDVDSDWNEEFDAYVGSDIEPTLAKGIALGN YDPDSYLPIKDINPDFLPRALKPIHMETNTPSMTSKGKSKARQSLPTPVKSGGGILDF FGRNPIIPAAPPKKKPVAIPMKHQTVSVGKASGKRTLSEVMDQDLAHKKRHRHSYSPI KSAQSRFFSANDETKFGSVRRRHSDGLPPVAGPSRTHANKENFHVATDAEDQKLEDSE SDMNVSDLSLQGRIDEINSDGRVSLNIEEFSDVVEQEDGYLSPSSSCSKDAQDLSSPP GPSRQRRVVSLTGVIPSDDEEEADTSFGAEVVSSPVSVRKPKSRSRFHNEKTPLRRIA KSKSKAAGESQVVVLVASTPSPEGKERLYPDIDDVPSPTLYCGPDLRKMLGDEETVLD FNEKVKSVSGSASPPSPSSETPNCNEQQPARFIDVVDVDALEDEDDPRWQQELVAQSQ AAVMAGWKQRWALPPAKTPLQARRSVQHVITQRHPIRPLSPKMTSKKLKTSPPGSSRP TTSRAFELRRSDTNVTPAGRHSLANYKPPRSAPSKLFGTSGNSNSSMNPPNIKQLDKG QPRRNVLLFETVKVSGKGNGSASSASSQNHSEPIDLTMDDEIEELDPTAWTDEMVTTS ARARLSHFRCALNFFFTSFWLC JR316_0009024 MSIHEVTDEDAEDILAEALEFLGGKPVIENNFIRYGSLVLTLAP KANSLLADHLFSPALFLAERIERGLLHDLSGKTVVELGAGTALPSLLLSIQANPPSLL VVTDYPDPAILGNVEANVQRNAALVKPGCTVKCEGYEWGTDPLKLLEICKSDNGSKGG YDVMILSDLLHFFDSHDVLLSSISMLLARTKEARVFIGAGSYTRAHVCENFLQKGEEM GLCLDEIIDNDKWLGTLPVSDLDLEALSLRKNNCRYWVGRWSDVPQLTPS JR316_0009025 MRIRIDKHWQELVLRGFLPSLDPGKSMGGGITAKEAKLGETDRN LPVTSVTPSSQVLARYQDFSLVFVVFWAVASVLAHPVNQQRDIKIIGRTESVKVVAET SNRLQMLSIGNQAFRDKLSKESPTLLKTLADEGQAPPFMFLGCSDSRVSEGTIFSAQP GTLFTQRNIANQYHKTDPNAQSVLSYAVSELGVSHVIVMGHYGCGGVAASIASAPTAE IDAASGSIQSWISPIRNIYETSTRAEIVEHRVKHANASLVEEPEIQDPAFRALVEENV KASVNSIVKDSVISNHFAALSAAKNVTGNARRSGGGPAKDVFVHGFVYDIETGIVHDL GVSVGPPGVPIPTVPFSAVAKAATEASKAHDSGSSSHSTEAPKKRSRFWRRTSA JR316_0009026 MAQPRLVSIRSRNPKARIFLRSPLYTDVNSLTARGNDPKCVEYL PWLQNATITNESNTKQVKKWRAESGIKGIFLVIVLLPEHGGPQGISTAADATIGDSGF GPIDLEAKTGECGIMLNSAPSIRGKGIAVEALDLNFAYAFDHLGLEKVELGTDNINEP MRSLLEKKFQLSGIYNQEKDNWTFVVTKEWWQERSKAAGEDRVLVDVEEIPFEDGELT EAKK JR316_0009027 MSSASTHQPKILVAVVGVGLVGSELINQLLSIPPPLSPFKLVSL TSSSRSLFTGKESPIGPNDSWKSLLASSKAPADLKALTEQLKQLVGEKERVALVDNTS SEEVAAFYPTWLKEGINVVTPNKKAFSGDADLYSKILSASRESGAKFLNEATVGAGLP VIAPLKELLATGDKIIKIEGVFSGTMSYIFNNFSTGTPEGPAFSSVVAIAREKGYTEP HPADDLNGFDVARKLTILSRLISSMPSGSSLPSLQSFASVQTASLIPPALEGIPTGDE FIKRLPEFDDEFAKLRVEASKENKVLRFVGVVDVAGGQVRAGLEKYPTDHPFATSLGG SDNIIMFHTERYSPRPLIVQGAGAGAAVTAMGVLGDLLKLV JR316_0009028 MHHSFQVLAFSLAALHCMGALASPAVSPQVNNILSRADAPDEEF VITPGGPVLKSNVHSVPQGARVEHIGETISIVSANGTTLKSTPFTSANRSPHQRRDIQ SGYVGLAYWSNGADPNPISSFSTFWVVPEVPEVVDGQTIFIFNGLEPDAGDAILQPVL QYGGSAAGGGNYWALSSWWVIGTSAFFTPLVQVPVNQGLTGLMTLQSITNTEGVAAYN YNSVFTGFPGTSLSISSTRPLPLAFEALEVYSAKSPTYLPRGRTSMRGIDIIKNNGVR PTVIWSTIVDTAEGFTMSVVSNGVPNGQVDIFYPLQ JR316_0009029 MFSKVWTSATLVLALALQVSAHAAISPALGVRGTPARNNVKRPN NANPCGANVNIASTLDSSTAVTANAAGAVNVVAINFNGGRDGSRRVTARVDPSGTGRN FVAMTVTTNGNAAPNNVGQQNIVANLPAGTRCTGGAGRNKCLVQFVTSAGFGNCVAVT QAANARANAATGSRNRRAAGTRAARAMLAELEARGEEIAELVKRQFWTTSQDRD JR316_0009030 MSTSKRLSQSLTGSWKDASTYFSPPTYVPSADEDIEEWLATWRT VFARDLIDSRIVPIDAETSVEEACELLLAEDIPCLVVRDRQSVPNVGLPYHGLFDFAD VNAFLTLAATRHTLLPEDLRENPRINDIVTAAKAGRVPVHLVSNLSQKNPIEILPNNA TILSLLEVFSRGAHRTLIRSSMNPDEFVGMVSDRRLLSWFDSYARETPSFQKYLSNPL QSLSLPSLNLNAAIVASKSSATILDAMKLMSEQGVSSIAITEEQRGILLSAVSVTDIG KSNQVLATPLHHFIAQIKEIDGSTDGADRYPVYSVFPSSVLSYTIEKLLATNAHRLFV TRESGLASPTLSPSSQGNLTGIVSIVDIKMCDINHAVGLSVPPHTPHAISVSLPTWRD NVGYEEGEKRVVDSMVTGYPRFFIHLSIQKLAAICERKYAVGNEACMLFATQKIADLC RSYIHQRSAALGTPVNARLVNLFICPPDEGHANIDKASIGGSCVDLNIVLFPRESFSV AKEFWQHTGLGISSRLAEKCLSLLPNSTSNSQPLGGPTAKRIPAKGHNRHYSASKTST SFVKSKDISSSTATDDSNPDSLFIEERYGRNLPLEAAAFAKRALRLRVAGTIREGATT PTCSAKDFEIGPSTRGIADVAADDVYLQPSGMTAIWMAHHMALSIRPAAKSVCFGFPY TDTLKILQKWGPGCHFLGFGIDSDIDELEKILEAEQKLDPTKPPILALFTEFPSNPLL RSANLPRLRALADKYDFLIVIDETIGNFVNVEVLPFADILVSSLTKVFSGASNVMGGR GAHYAALKDWLKDNYEDIYFGEDAIYMERNSRDFKRRIRVIDANAEAVCDFLHSRSLA GGASSAAIKKVYYPKYITRENYDKCRIKTVDPVDGKVGGFGGLFSLTFTSLAASEAFF DALPCYKGPSLGTNFTLACPFTILAHFGELDWAAKYGVEQGLVRISVGMEDTDTLLKS FEKALSAAESVTA JR316_0009031 MIVNNSICVWDFVSDTKASWTVPLSGNFDRVRVHILLDEFVVLC NSNSIFVWAIPQLHPCGSVEAEVIQDLEPLKRLSLDLRHGVPSSGYNVEILDEWYNGF NQPFEIDIIFSFSEESVEVSRYRLDLPSATNMLAKGEQQICVHEVGEFKLPSDDGYLE EYRISNEHTFMYWSSDRGVNIVATPFQWSKISSAPRRPLQHSMGWHETSPVDRFLMTL CPVSGRMCYASPIDTIRVVDFVAPYM JR316_0009032 MCLSLWKDRFRAMCLENTVLPTTYSVDTMHLKDFEHATASPSTF LNNLLRSHGSGGPLKTFGRHCIDLWNLHQRVPDLEEVTQLYLIPGGRFLLSEHRVSIN VPTVMLWDLGTPGMYKDLSQCYPIAILDEAPFGGPHPCHLTPDNQGILFLSYSDAWHI DNRWWVLYS JR316_0009033 MVNFPAPVGGTAYADDFAPSILFAVLYAALVPLMLYRMFDRRSR TILLMQTVTFSIERVVIFALRASQSRNDHRRLSPGLATYMQVSFGMGYLGIAQDLVNL LRCMLVNPTYGSDTYFQSPAAMTKGGIYSPPPPGVPDEPKLRGLLRALMGYMSLAFFA ALVPGIIANSVYRKGFHNQDTANRTASLRFVSSGVTLGLCLLIMFTAVWSLKRFPKNS KRATGVVFSVSGLMAVVAVYRLSIMHFKTTSLSDPNPLNDSAAKATFYIFHVLPEWVA ITTLLIPNIRKLLGTGMWGDFRWRDMTPAQVEKWKTQQAKREHERNKKSGLSGDAHDE IPLQEKTKNTTSEVRVAV JR316_0009034 MEQQAKAPPEIKKIAQFLRGSNAGMKIRVGALNGKRLDYFKGKS AIKALLSPAYAKLKGAQKITSEAEAQTILQAVNAFAFFLRVQRGGPTGSTSSSPKALQ IIPEQMFSPDEYYAWFYEGSQWTTYAGGILMVALMLGGVMFPLWPPIMRLGVWYLSIG MLGLIGLFFVIAIVRLIFYVITLIVASPGIWIFPQLFADVGFVESFIPLWEWDLPKKK SKKKKSDKKEKGKSSAEKHKSNGGAFIEEVPESEDSRPQSRSARIEEVEDEDA JR316_0009035 MSKLLSMVSMILLHGLLNRVYACEGDCIVGITNAFLGNYTRPMN IALVNLADSIVSNVIQNKNSPVAPLSYLNPVIAEYNRRAYDYMETAIFKDYFHGKCED PDTGIDPPGCPKPDCDVVCGTPGSMVHFYSKLRYLAFDANIDLFNDIVAPGSDAYKKV ENTVLAAVEASRSGSNKQRRLLRFMRRTDSIVATGSGSLDSRSNDNSKEKRSDQTKSE LRSLLNQFRLLLTVACGGGQDGKTNGIPFCTWEDTFKPYILSFP JR316_0009036 MQLPLDCEKAIIICDLYIDEDGRMVYSDDVGELQQKQSRTTILA TWAVSICIICTVVNCLIALQLPELAPSLLEPIFSVTREQYQILHRPVVSSDRGDETAP ERVTLSKGVITYPIALIQTDLTTGRYVLNEALVSGGMLRVSQTVEQFPSLDITTLSQA ELPARLTNIGYLAAVHGTAINWIQPFDCGLGEVLTFEVSCFKPGDSIEECDLEWWQTT RYEDSYKNILMIQRGYSI JR316_0009037 MSVESTGTVDFKVGTDTYQTWYKIVGDIKASKHRPLVIVHGGPG MTHHYMLPHETIFAKTGIPVIFYDQIGNGSSSICKDAPPEFWTPELFMDELDNILQAL GVENDFDLLGQSWGGMLVAQYAATRLPKGLKRLIIANAPASMELASIGTDQLLERFPP EFQKSVRKHESEGTQESEEYQALVSEFYNKHVCTIDPWPKDVNTTFELLMTNPGVYQA MVGPSEFNVIGSLKDWSIVDVIHKIAVPTLLISAPLDEIQEISVLPFFEKIPKIKWVE LQNSTHLGMYEEPERYFEVVLSFLNNTLV JR316_0009038 MATLTRVKTRVEPEPLVDLDSHIPTPFPREQLIQQNSVEQSKQT EDSEASPCEAGPSITVEPPAVSESVSIKDVMPSIFHVQKSCLMTLNNLLSGPIGWHPI IPDRRHSMPQSATVSSEDSSSTSALQTLVTNLRNYGTNGEMIEVRDSGSDGELLSELR VRVEAISSSLTPIDAGLAIALVSLLSDLNRLSELQASVSPFPSPSLDVDTSSILDAPP PIDMYDTLSRQLSDLQVERTNSSQNQVLPGTSPLVVVESALLWSRIDSELENVLAMCK QRTVPYEHLPPQYDLADYEDDLENPPDYDGRLSLDDHKSKTAHPQLMSISDDKMRLDL EAVTMAIDRLYLVAPQLHNQRVELKSTKLAQMERARREGKNSTVSQRKGKEKDIKELE GLLELIGKASERTLKDQSVILDGNMQARLEKARRRESAKRDAFVEQLVRHSEAGRIHG QDAVLQPRNKDPEALLSLPEFMREPIPASAGERLKDATDLLTLPEFVKEGMPAYLSEP EQEPQTVSAGGKSKEKRHRSISAPPLSWLRSSSSRNSSASNTSTSNSSAKGKAKAIAT QGFDVVYVAENHENLNHTLVFATITGGKTGAEIQAEVLPPFLGNASDGSDHLVIKSGP HSSLPLMLPAHIIPGLKDVRVQSGHYEIKLMNQAHPVSTTSSSSSLLPTTPEQTDDTP PPLLDATQLASINPTSFLCASCSLPLIQSSRVMQYRDLPSEHWEELVEAWMCHGDQKL NEQVAKQGRRGFWPARGQGLVGGSYILFEQDAMIENNLHLTQESRLDDNWRLTRCLCG AIVGRCQAKPTDDTTGSTSVFRILKYAVRPVSQTSEPLKIPLSAFIVEDMIEFVHAHA SYRFVIQDEEEEKPRILIWLFKPKIRLAYTTPASRAIPKSANIVAAKVLYKLLKPSDQ VLDIKSILNKYPGFPQAEFLSYPMPICRRLAGLLKESNTAYPESLRMMTGLEVGWLQR I JR316_0009039 MDNDAYQNFYISFPGPSSENNPSQLSGVSFVTQYPSPVASESTN SQHAENSNEVVGHLDQADNNTVVSLSTCFYPKGDPETDTVFMSSDNVYFYANYKTIVE AEPNAFLPCIVAPLSDQRYRSSVIYLDAPSPELNVILHALYKTSPATNSPTFEVLVRA IDRMPRYGLLAETLIASGTPIYELLLSHAPLYPLDAYALAAHHGIAALASTVSTHLLS HDMRTISDDMAERIGPIYLKRLLLLHTNRFRALKDILLRAPIPHPETPECSFTAQKKV TRAWALVSAYLVWDVKPDTSTHTLSQSLNPLLDHVTCKECEKILKDKIKEVMVRWTAV KVGN JR316_0009040 MSDIHGTVMTEVEDNPYGYIPTRSTAIIFLALFSISTLLHGFQA AKHRMWWLIPTACLCGLIEIIGWAGRLWSSFNVFNDSAFQMQICCTIIGPTPLLAASF IILGNIIKRLGPAYSRLTPKWYTIVFCSCDVISLVIQAIGGGMAASAEDTDGANRGAD IMLVGIIFQLLVIVFFAICASEFYYRYLNRLPIIRKRNAEQETSSNSTLGNLHDPLTR NITIMTWALVFSTIVFFIRSIYRIIELADGWNGRIIQTEVYFNVLDGVMVILAIYTLN AFHPGRLLSTNDSEYQDKEAKLENLNSHNKQLNSATPSLPTRQKMSSTTKPAMPTRLP SNSQLPGRRKPASRLASLGLPNTRKNATSGTGPSMSRRASVTGLTSGPKSQRTSKTTH KLVELPSAPQTRPLLADIGDDLTLGHEIDGGVREYKSQAERMNKQQRKEAGFKRITAY CVAESFKMKLLSSFLKREHNVSPRAFDEALYVMYHLPLLPGYGPNANVRSSVPAKTRT GKTFLAHLSEAEENGYQGTYFTSQADRSPNDTREGYISSSSPVETRGSRVREPVVPPA LAPPAETDEENDPGFVTDPGFATEPDVGFATEPELETPFSETSPGVVATPSFEVDPGA ETDPGVYSQWKKPVVPEEPAKPRDEDVAEVVFFEYGVAVFFGLDEQQEKDILEDITNA GILKRKMEEDDWEIEECHFMHDPHIAYPRIYNDFFTLKSRSHLLKLSIAHALAQSTLL ARYESNAQMVLSSPLTLSIPNQLAASGALKLRRHDALKLTGRLFKLRRDVNLVSNVLD VPELFWSEASLKDLYDAVREYMEIGPRVQVLNEKLGVASDFLDAIHDHLNNSAMERIT WIVIWLIVVACLVELGEVVARLVFHAATTGPKLHTLTSASPSLSSLPSISREESLKVL ERLMVVQSQSQSR JR316_0009041 MSSNNQSADGAHENPYGYVPSRATAVIFILLFATSTLLHAFQAT KHRLWWFFWTACFCGIIELVGWSGRLWSSFNPLNGSAFQMQITCTIIAPTPLLAANFV ILGNVIKRLGPAFSRLTPRRYTIFFTSSDVISLVVQALGGGIAASSNDNAGSKLGSNI MLVGIIFQLVIILVYSAFSIEFYYRYNTNRPFEGRPTSDGILGSAQGRLTPNIKLMSY VLAFSTVLFFIRSIYRIAELADGWDGRIIQTQVYFNVLDGAMIILAIYTLNIFHPGRL LYSYEETKEAELRHINSA JR316_0009042 MNLSLWKALFRRVSMENSLLISECGMTLKDYENAATAPARFLSS FQSSNQHGIPLKVYSSHYIDTDLLRDIPVFSESVSAVYLVPGGQFMLTQHEGFTSEIH LWDLGAPGKSDRGHDFQDLLPLASLEDRSFLSSLPAHPTGNGQGIILFACKDALGEEE GECERDIFVYEIYPMLEGASFRQIATQSYNYSANEDLSYAFNHNKLVMFVDEGIVRIW DYVTSTQATWSVPMYPIISNIFLRGEIVIIQHSNLVSLYTIPELHTLDSSLSSDAQSW DPIFSSELPSIPQISPQFEVEAPDPWYNQSELPIHIDSLIYSEGEEPYNQSQSAIRYR LYVSSLVDEYLSNRSASQLHNKESDFTTIPASDGCLEGYRFCEKQMISFSSFDKGLSI QRAQMDITNVPLLLDQPRMTTAVHRCPQNEKTLVSLCSASGRFVNCHAERLLIEIVDF LPHPSSEGKPLPEYESDSD JR316_0009043 MATAVATHENVSEEQIFIYNCVLCASFIILYYDYLLTFPDEIDF YWRPKFNFALGFILFFVNRYVVTLGHIPVILFYFWNWSAVPDRFQVIIGIISIIRTWA LFQQSRRVLATLCILAIGVIVFGNAMVVEQKITETQTKSVLLSVGCILPHPDKRVMVL ASTSVIMSFYLLPSYIRGITATLTNILSSSMISRLMINLRDPSSGSSYWSRYHDQTLM STLFFGPGISGLTEISDTSTYEDTKEMDDTQYSEEPGTY JR316_0009044 MNSVKQKARHQKPYARPVSSIRSRESYDRGCNLPEPSSSDILVP GVAHENPSSSLTVSDTYQTFIASRGLHKSESPDPLITLPASYTPSYLAGEYCRLMSIY DQLQMRRRHLEIACLRKVGDPNITQQLLDIGAAIQRIIKSTRHAAKLNQELGFNLDLS AIYAKYHEQIVAPPKAPPVEQHDENFIKMPQARHFSEAWKYNPL JR316_0009045 MRAMGCFKGSLSCLSFIYFLCWHLLYVNAASINQREPRAANDSS TNTWDYIIVGSGPGGGPLAARLAQAGFSVLLVDAGDDNGDDDFVRVPALNAQASEYTP IHWQFFVSHYDDPATAQRDSKFTWKQPDGRFFVGPNPPAGSEPLGIYYPRTGTLGGCA EHNAMVMIYPAESDWNNIATITGDSSWSAANMRQFWEKLENNQYVLPGTSGHGFAGWL DTSLTSLNLVLKDTKVLSLVQGAATAMGQGLLGSLLSTLSGLAQVLITDLNNDSPTRD TTTGLYQVPISVSNGPKTRSSQRKLILDTANAVNADGSKQFKLDIELNTLVTRVIFDT NSTSGTPRAIGVEYLQGSHLYRADPNSDGANPTGSGTFFANREVILSGGTFNTPQLLK LSGIGPADELSQFDIPVVLDSPAVGTNMQDRYEVGVVGSADSGFVDLAGCTFLNTTDD PCFIQWRDNSLDHGLYASNGYALGIVQQTSVSAPTEADIIIAGVPANFHGYFPGYSNR TNADSRHWTWLVLKAHSRNNAGTVTLRSSDPRDTPQISFKSYTVGGDLDAQASFEGVQ LARKMFKSALPLDGFFTEEIPGPAIQSEADVKQWIRDEAWGHHASCSVPIGADDDITT SVLDSKFRVKGVSGLRVVDASVFPNIPSLYIVAAVYMISEKAAATIIQDAQ JR316_0009046 MKLALGAPIWLTALLLSVATSAQFTCSPTQPCEIGCCTKFGSCG LGPDSCGPENCISNCDRKSDCDPGWGAQWSNAEECPLKVCCSKFGFCGTTSDFCGNAT VTAPSCSGGSSSSKRTIGYYEAWSVTRPCDKMYPESIPIGSYTHLNFAFAFVNPTTFA LSPMSDADPALYKRFTALKNTNPGLQTWISIGGWSMNDPDQPTATTFSDLAGSSDAQS KFFASVLSFLETYGFDGVDIDWEYPVAPERSGKPDDYKNYVTFLQNLRNALHSTGHNY GLTITIPSSFWYLQHFDIVNIEKTIDWFNLMSYDLHGTWDSTNKFLGPYIAAHTNLTE IDEALSLLWRNKINPDNVVLGLGFYGRSFTLADPSCNTPGCVFTSGGDAGTCTQSVGT LSFAEIQRLVAAGAHVATDTKAAVKMVTYNNNQWVSYDDSDTFKMKIDYANSHCLGGT MVWASSTDDAKGNAAGALSKSTGRQALSLLATKQSDPITSCQLGECGKSCPAGLSPAQ RSDGKNRGNTGTNTGCSGDDMRLYCCPSNDMPTCTWRGGAPFCNGKCHDGEVEVTSST TGTGAECWTGHKVLCCLKTASDQAVSECHWSGSAPICSAPFSQAGCPDGQKALTNSNY GAGGEQPCAIGDKSFCCDQPPPYENCDWYYHGGKFLGQIPFVCTGTCPAGKSVIATDP TGCWSGYGAFCCDNPTTTNDPLVADFTKRIQAFSSDATCKVGQIQYSREIDDILSRLD KRTTLSNADMAQTLQQLTQLVSGNGQTYQQNLMESAFDTIYGASHGLFVSNISSYFGD WPNMDQLSLSESLLCMGNDAINFLADYHSSRTQVCTNSCNLVSRRDTSASDFWHRGFT SFENIDNVSSTASEKASLLSRNLGDSEHTTNTGPDGEPSWGFMVEAILNGQMTIEYEQ MILATGSGSEETILEVVWNLPSGSGAGGINSNFQDENPSDAHTNPDRYVVFHFHTDHI RQATATHGAAPGIHAVVAFHGQFRRGNNGRGRVDNQDPNRNRRARVLNCGNQNDLFWY PGDDNDNDNHLNEWAALMTRFGNYMINNGIMTLDTYTGSTRGQLVLNPPDDCGRQTFN WGGYRQSRMFQPGNPNQPGGSGSQNPNGDPGQDNDGV JR316_0009047 MFTRLAYPLAFSWILPRVHAQFNLFVDGTQAVSDISQGCTTALN ATINCDPYLQQLVSDDYYGSLNNATLQNSVCAASCGISLKSYHNTVASACANDPQPWD GVPAVWAGDVIWAAYNQTCLKDPTTGAYCVDQIASSGDTDQDILALPQTQLCSPCTLA LIKVMQSTPFSNYDSSYAQKYAQIQSICNTGPLPTGAQPPATNITALPGVASGNPASS TCLSGNHYTVQAGDDPQAIAVAHGVPTGPLKTLNGIFPDGTNLFAGQDLCLPRTCPIY LVQANDNCAAVASAHGLTFAQLVSYNPSINQDCSNLVSNTNICVGPSGAEYTPTTIPG ATSTTSGFAISTVAPPGATPFGTTPECGKFYQVNSGDNCQQISLNNSINVDLFEQINP SINSGCTNLTPGLYYCVWPLSDWNATITTTSTIATPPGPTPSGSTPNCYQWHTIVSGD TCSVLEASFGITMAQLQTWNPQLNNDCTNLLLGEAYCVNGAAPGSSITSTPASSTPTV TPTSTPTSTATGSATPPGPTQAGIASNCDKYVLQQDGIFCFDMANNAGITLDQLYAWN PALNGDCSGLWEGYAYCIGVSSTSAAHRRRHQQRAVEHAAVHY JR316_0009048 MSTAPSYYVPLEDPDLQQTLSPSSDTVPLVSQTARTAKVFRKLR LYLRTLARFRHRDKEKIAFLIGIGYLRGDGRYELTGSHKDIHLLEDLLRNDFNYTKFI ILSDRQGTPTELLPTHDNIMRELKNCMTDNSNADFFFAYSGHSFHRDDFAGVEEDGQE ECTDFPLSTERRSWKNYLNHGWTITDINHERIITDKTLREHLVNCLPKHSRLTALFDT CHSGTLLSRPHLGKFIYETFLKVILQTLSINVAITLVDGGALFRMDFEKQKNRVKQRV KTSRLLLIAAKKNHSRSKDIASRSPKKPKEAKMTKCNGFCRRVRGRRCQVISISACDD SQQSVEGPDGGTMTTAIVALLKENRTPTYAQIMEAARSAIYEAQKSQKAKMDDFINKT KCHELSCSLRGHSRCLAPHQRKREMCKVISDPKLSSLVPLHTDEILKL JR316_0009049 MKIIRSRLWPQGRRQAVEAAVLAATAVAEQAVANPAPAVKTPRK KALLIGCQEVRDQPPLSPASPGPLSPLSARFNRSDNFAVTGLKRMKTKMQEKKSMKQS LLKGPHRDVEALRKLLIEVYDYKPEDIVILIDNDLPGHKQPTQENIMYEMKKLVEGAQ EGDRFFFHFSGHSVQEDTEDISEEDRKNEFIETSDGKRIKDDILKETLVDPLPPKTSL IAVFDSCHSGSLLDLKHFRCNRVYVPWINKGTRKTATLLNSNSEFTLYILRERNDQLT LPIDLFEERQRAKISMPNAITRLKAQAWAHSSIDKVLISPTDSISIHEGTPNPGTAGH VKSKLSITTDLVSTSASKFWIDSPTVRQECSSPVAMYCTGYCRQHALHDESAVQADVI SISSAKDGQKSWEDRNGTSMTQVLVNILRKDPHPTLADLMTLVSHDIHAFYVDLHDRA REYKKAVRIANEKMRKKGKKPREGDPVEMNNFQNPQVSILTKVVLPFLHV JR316_0009050 MQDGRAPRIKNRAPAAIQITAEQLLREAQERQETAFRAPKQRVE DFEELHEYRGRKRKEFEERIRRTRGSIKEWLQYANWEASQNEFARSRSIFERALDVDP RSVQLWLSYTEMELKSRNVQHARNLFDRAVTLLPRVDQLWYKYVYLEELLQNVPGARQ VFERWMQWEPDDKAWQAYIKLEERYQELDRASSIYERWVAVRPEPRVWVKWGKFEEER NRFDKAREVFQTALEFYGDDEEQVEKAQAVFNAFAKMETRLKEFERARVIYKFALSRI PRSKSAALYAAYTKFEKQHGTRNTLENTVLGKRRIQYEEEISHDGKNYDVWFDYARLE EGAYRDLKDEGSTQEELDAAIGRVREVYERAVAQDYPRTRQIYQTAIQLVPHKQFTFA KLWLMFAKFEIRRLELPAARKILGTAIGMCPKEALFKGYIDLEVELREFDRARTLYEK YLEWDPSNSSAWIKFAELEAQLQDFARTRAIFELAVSQSPLSMPELLWKAYIDFEVEE GERQTARALYERLISLSGHVKVWISYALFEAEPIPLPRAEREEEEEEEEEEERKMVPG DVDLARQVFERGYKDLKSKQLKSERVALLEVWKTFEQNHGGPEDVKKVQDMMPIVTKK RHVDQETGQTVEDWDLVFADDERESNPTSFKFMQMAHAWKQAQAKNAAGGGSSSLLSG FTAASATKASEEDESEEEDQDQDQDVASSDEGSGDEE JR316_0009051 MPRAGPIRSPSGHTMLQVIAMLLTADNTPTYRELLMSAIDAICT AGFTSTHRLTSSDNPKG JR316_0009052 MNRVLTKWIQIFRPLLSLAVYHALRLGQKPDNCFAQTLQLVISP TFTSSNVPRSTRDIEHAFRLDQALVIDVETIKSSFAPAQQAFDKAYEQTHEERARNPN AIGFPVITILVPTESTMRQVPVGLEKLEIPWDALWEESLRRHINSGNPRL JR316_0009053 MALLARQVVASEKWRRELTKEAGRALRRTGHGVGSLHKQPQGPK WLPYLIDPLESIAMSIEVPKIKLNNGVEIPAVGLGTWQSKAGEVEKAVEYALKEVGYR HLDCAWAYGNEKEVGDGIRASGVPRSEVFITSKLWGTWHNRVEECLDQTLTNLGTDYI DLPLNPKGNHPVFPTLPSGKRDVYHEWDLKDTWKQMEELVKKGKVKSIGVSNFSEAKL ELILPTATIIPAVNQLEIHVYNPQHKLLKYLKDKGIAVEAYSPLGSTNSPLFTDDVVV SIASKHSIQPSDVLLGYLLAKDIIILPKSVTPARIAANFGPAVTAAKKLDTADIEQLD GLAAAGKQKRFITPPWPVDLGFDNWPPLPA JR316_0009054 MPSAPSQNPGRDLDATSDAIDDVNVIKGKMWNEQSEFDEAKDKS KFRDYEAACDRVKNFYKEQHEKQTVAFNIKARVDFKSRKRARMGVWEAIELLNTLIDD SDPDTSMTQIEHLLQTAEAIRRDGKPEWMQLTGLLHDLGKLLLLFGSEGQWDVVGDTF VVGCKFSDKIIYPETFKNNPDYNDAVYSTEYGIYKPHCGLDNVMLSWGHDEYLYNVVK DQSTLPAEALAMIRYHSFYPWHREGAYAHLMNEKDLQVLEAVKAFNPYDLYSKSDEPC DVEKLRPYYQGLIAKFFPPVIDW JR316_0009055 MSEQAPESTLPYPPLHQDKKFIVLSDWDGTITTHDSNDYMTDTI GFGKEKRRAGNLEILAGTDNFRDGFRKMLASVAANGHTFEECKELLRKNIKLDPGFKE FYAWCKNNDIPVIIVSSGMAPLIRAVLSNLVGQEDADEIEIIANDVTVFPDGKWEIKY RHPSSGFGHDKSQAILPYRKLPEPPTLFFFGDGVSDISAAKYADVLFVKTKLDGENDL AAYCQREGIKHVLFSDFSKALPVVQSVVEGKKTINEVVV JR316_0009056 MTVDNVSATHRKHKHQKIDWNDLRARSLQKGGFGDERVDLWPKI LNVAKPKDFADEKSRRPTESKDEVVHQDERQIGLDTDRSFVLYPVDSNTDKETLQAEL HKLLVSIFRKRPRLSYFQGYHDIVTVLFLTLPPEMQLVCAEKLSLHRLRDSMGSGLEP VLGLLRVTSKLLHLADPEFAQLLERNSPLPFYALSNLLTLFSHDIPTLPLIQHVFDYL FCRPPIAVVYLATAVILSRKKEVIRLEEEDEDGMIHSLLSSLPNLADDIDEEDIIDIR PTPWKSDESPEADTSNTFFKEENDPDQIMLNNSSPYVDSTVKVESENPMDYVQNDGNR LPDSRSHSPSPTNSHEGISDNTGNATPLEHVHDDDSLSNKRDETQPKEEDADQSTRPL SETIPPVELSPPINEPTKEENHDCDIVSTIHHKPERIYLTDLLRRADALYKEFPPSHP GLALSSIMGPQSVVFTWSESFSELPSDNTAEAMVSHPELVVYPYVEIDPHQKEEGSSD DKDEKSPGGGKKSRRKRRKLRKSPFGQMEKKTMLAGTVLVLGVAMAVYGIKARNAHPS HGLLYGIAEGRGHKDWRRVSGWVGGALAGMSEKIMNGLSSGS JR316_0009057 MEGNGGVHFFKNAQGVTVKNSHFVSAGHDYIRYEISGDYHAHNY ENEEGFKNLGKVVVPDALHTSGLTARCHPGTREKIILAIRSWIEDKKTQQDVLWIYGA TGIGKSTIMQEIAELYLSCEPKDRYFGGTFFFSRGKPGRDDDSRLFTTLAYQLCLCDP EMRKNVDRAMANNPHLSSNRPGLQLRSLIFEPLSKLSTPPSTTPFVIIDGLDECKSHE IQTRIIKTITDTLLTYDRRVRFIITSRSEAHIRDIFGDPMISPRTHQIGLDSLYNPER DIRLFLEERLNAITTLKSTIMSKVKRQWPSPSDIDYLVKKSCGQFLYASTVLKFVCAE NTHPVTQLKTVLASTHATNVYSNMDELYTQILQTCPSPETLKRIFGLLLTLHCPQPPE VYDDILGLDPETEGVAYILHGLHSVIRFPNPSDDETERRVFERRLEYDKTRGLRFHHA SFQDFLLDEKRSKTFFVDLSTAHATLVKSGFDLMTKWISGPWRTGAEEDCPTKETWGY LKHHMGLHLDNCEVDIVEDVLKDLNKFDVVFPPSRVIGDPIWDIAFDALHCIVTAFAT ILTTEEETRQQLLNPTNFHSEHSHWAQECVLNPQKAMERTDSPVLPTYIKFCAALDDF YKFILSSSNDIQQLLEKLPGITTGMGPIRFHWLRDLFSIEDNQLFQNRKFFNTWKNAF WAQHFALGGEKSGNDDVVLLLNPHFRDFLHDKSRSGPSRHPLTYLDNILRKCLESISI SHSVSSGDHPMFQLIQGLKMLSYDKTCLQEAPVLNVDLLWSATRRLLDWIQREYESLR HSDRRGLQYRIRKDLADISTHIYTQILQVDTPVTGGRIITIYEPFRIAINRDLASKTP STLSPPPSPMYPEQLMISETLLSMHGIRSSLLAFLTKPERAGKWCFTPVEYHTQSAEI CLEHCDPFVSDISKDWRTWPSHKEWRYHLVRASPSETIFARLRSIHPGPWLTEGLPTG DSGAKKRSIIAIIDWLNISSFHLPALRSQKFPETPIDITERWRQILLDFTRLDRWRKA RIGESAKEGVYPASREGSPNLSVRSGSNFPPSPSPSTSYFRDTGSSMILRS JR316_0009058 MMRPPVFPRSSVLVLGPNSIQSLVPSTIISQLESLLESHRLDDS YALADQRRKKLEESLEPDEDEAEELRYVYQRIGFQCFTETLFEDAGKHLFNGEIDPRL LISYYPDLRGSLFSEDDAMDVFAGVAEHMPMEGSVDQIIVSNLVRNYSPHLAPDTLSA PPTAELRKILGMAARDMLLVFLKKNRTRRSLLKENGVGSTGDPIDIAIDTVLVKLYAE FEKTQELYALLEAPNDVALTEVEPALQSKGQYNALCILYKQRGDDLKVIEVLSKLVDG EWSDDDIKDPLGQMVNLLTEKRDRALTQQWGLWITKRNPDMGLKLLMPRDIGKRREKP EEDIALLEEIQKADPAAGVQYLEYLVLQRWSTSRELHLKLALACVDQVLSFLKDDTVA KLWRAKAASYSSSHNQSSFLSYFASTTPESGHKRARLKNLLFLAGSSLYDPELVRQRL TEQEKILKFELAIIDGKLGRHRSALQILVHGLNDSTSAETYCTLGGDIVPPKVAQSIA DDNNLQDWTAVLFGSPSTKAVNGRGVGAVPLTRLKTVNEDLKKELLKILLEVYMDVGQ SDLAAQLLNAQAMNLDVLDVISMVPPKWPVNMMNSFLSRSFRRTLHVQQEGKIIKNIS AGQNLEVKDRTWDILREEGAVIEEEAEDEEGSDEDDGPQSFDEKKMLAEQVAMRLVPG LDPNVVKSAVQKSTSPKMGVDDLR JR316_0009059 MPLFGSKNKHNDNTALNDTTGGGRHHQANHGFNDYPSGGAGPNA MGPTHMGAGQPGFTGANDFVPAQHQQAPGHTGGFTTGNHYDQDPAAFGAGGLGPTHAA GARGAGIPATGAVNHGSNATGGAGQRLTGKVESAIGSMVGSNALKAKGLQKEQEANSI KLQGRELAEAERLEREALMRRECAVGHGAHPANSSLGAGHVGTGGGVGGHAGGGTQF JR316_0009060 MRAAITFLALPLLAAANVLPRGGGGGGYQCNTGSLKCCDHVGDV KTLSQEYPSLVSLLTATIPIDAIVGVDCSPLSAVSITSNSCSAQPACCTDNKVNGLVN VQCVPVNLNL JR316_0009061 MATLSIGEADTKDVLDSEKISDRTSVDSSQDFIDEKALAEKAEA VALQVISTRDDPDLPILTFRVALLGLGLSVFSSVLSTIYTFKPQNATVSQLFCLIIAY VLGIGMAALPSHGAWRYINPGPFNIKEHTVIVIMSSTASSVAVAMEVIAALDLFYDLR LNSAVAVFSIFSTQMLGEWSSHVLRTFLVYPTYAFYPSYISVVNLLQSLHFGGALNMK RRRFFWIVFAAIFFWEWIPQYAFPLLTAISVVCMVDNGRHDFIRNLFGAGSSNEGLGL LSFSTSWTLITQGTPLVWPLQTRGYIYIGMGLGYLFLTGGYYLNVFNGRSIPFMSTSL FGEDGASYNQSAVIDSDFKLNTDALATIGLPRYTTTYALSQLFYNLSLGSAFTYVVIW HWAELKAAFGGLKFLRRGHADVDDPHYKAEVPQWAYGLLFFASLAVGIGCSYAGPHGT VLIPAWSIILFTILSWFVAIVLGFITATTGFSISIKYAIQIIAAFLHPGKPIPVMYAN LFGNSTSFQTLYLLQDLKLGQYTKVPPRMTFFAQMAGSIIGSIFNFTMMKIIVTNNRE VLKDPIGTRIWSGWVIQQYNSASVAMGALGKELFSFGKPAGYWIIPFAMFLGIFLPLP FYVVWKLSKPNSRLARVMKYINIPVIALYMGWLPYSVNGQWWSCVVIGIFSQWWLRTR RPRWFVKYNYLMSAALDGGSQVILFILSFAVFGASGNAISFPTWWGNSDTLSVDRCD JR316_0009062 MLTFTTLLSLSALAPLLSVSGLAVKRSGCSLSNLKLSNLPPPLV APSFPPSFVGIAIGTQNYTCSSAGTYTNVGALAELFDASCVYNSPIFPTLPSIASAAW EIAPPSLPISEVISTLHGFSSPSILGQHYYVTNPITGSGITPKWDFTSQGADAGKSDA FVVAAKVNGAAAPTGSQDIDWVQLGSLTGDLAKQVYRVQTRLGQPPASCTVGSKDIQV KYAALYYGFGGSV JR316_0009063 MAVPTAGTAVVPQKSGKPIPTLFQPLRIRGVEFQNRIILSPMCQ YSAKDGFVTPWHLAHLGGIIIRGPGLSFAEGVAVLPEGRITPEDLGLWTDEHVEGLSK IVEFAHSQGQKMAIQLFHSGRKGSTSAPYLVSKGDIMASPEEGGWPDNVWGPSAIKFH PSYPQPRELTLEGIKRIIDAFVVAAKRAVIAGFDVIEIHMAHGYLLSSFASPASNKRT DEYGGSFENRIRLTIEIIDAIREVIPNDMPIFVRVSGTDWLEEVAPDEPSWRLEDTVR VATVFAEHGVDLIDVSAGGIDFRQKIRSGPQYQVFLAAAVKKALGDKILVGAVGQLHG GKDAQSVLDSGSADVIFVGREFLKNPGQVWAMAEELGVEIQTAKQIVTNLTLLMVGAF RSKRYVQLSPLCQYSAQDGLVTPWHLVHFGGIITRGPGLSFFEGTAVSPEGRGTPQDV GIWTDEQAESLSKIVEFAHGQGQKIAIQLTHAGRKASTIAPWLAFAGAPPTAPKELGG WPDNCWAPSAIQFAPGYPEPREISVEGIKEVIIAHVEAAKRAVKAGFDVIEVHSGHGY LLSSFLTPTSNKRKDQYGGSFENRIRFTLEVVDAVRAVIPEGMPLFVRISGTEWLEEE DEPSWKTEDTVKLASILIEHGVDFLDVSAGGLNSRQKVRPGPAYQAPFAAAVKTALGD KIVVGAVGNLHDGHRAEELLQSGRADVVFIGRKFQKNPGQVWTMAEELGVEAKFASQM QWGSQDM JR316_0009064 MARSKKIPELTTTPTDTNNAQTLSPTSSRTRSPPSPESERPMGP LSAALPSSTRDVVKVNNGSATELKNACDDAVKRYLSRPELFRQDHRHTDVRLALGWLS VFVAAGTALYGYKIDFEKSKPVVTLGLILYIILTVGQTLYAYFFEGNTIFVGKRKSLS KRIISERIQLASSTIPPAKSSSSLSPSNSPSYQLAITYVRSTNKGKSLLAKGRTKGAQ SYSSFFDDQGNMDQEGFERWVGELVEQAMDGKSA JR316_0009065 MPPAFSSSEPQDVDPKYASFSTTCRIPLHLMENLSVGRSRRQPQ SISLRYNPISSRHRHIQRLTVSSDSFLSVSQLLSALQSMQSLSSLHIQRPYSNSVAEI SSNTPIPHIDRKPRLQRLESLAISAPIPTCATILTCLMVPVNCALVLECHLASPGPYL DEVLNHIKGRMGFWGCEPTSGGSQCLQIDASHFQYSVEVPHQSPYMTVDRIRGHTRKI VKFQPSISLSIYWPQTLSGPERMSTITTLFSRCMASFQHSKYLPITLEIDVDNDLAIT PELHGVILEWLALVSDYDSAGIYDVKLRTSQSVFLIMNAIQNSEILPDLSCLIFIKIC FEGSRMLRRAWKLLLSSIDKRSRESESDCSVEFVLCEFSNFDAILKMTNAIVTSLSIN GKDYNDFMDESMDDNDYDSDDTIHGH JR316_0009066 MHCSYLSRDNDALDTEQEDVRADGSYTRQDPPSGLRKSPSRSVS PHASLHTLEPNAPSTYKSEFTQGFNPWGTDDPYHYPIPKKDDPWDECFKLVLKFDEEM CRGWREEIDTLLVFAGLFSAAVTAFTIESYTWLQPNPLDAQVQLLQSISSQLQSLGSN SSIGVQEFSQNQFTPGASSIRVNIFWFTSLTLALISVLLGILCKQWLREYQRYEGLSA KDAFPVRHLRYEGLLGWHVPAILSSLPLLLQAALVLFFAGLIDLLWTINDLVASIVTI IVGLAMDQAASILRHGTELSPASAQLMAFQ JR316_0009067 MSTLKLVQILDDNAPDFKYSGGQWTLSTLVQWYQQTSNYPAFVT ATQFGSFSLTFQGTSIAFIGNTPPEPSSQTALVSIDGGAPSEITYPGTPPAYIQWYQS PTLSEGIHSITVSRIDGTAVDYALIEVGQDTPLSGKTIVVDDDDPAIQYAGSWIRDTD EFDAGSLPDGFPLRNGTHRTSKVGDTVTFRFFGTSYAVYGIFSWENLGLLSATYTLDG SSTAQSYPVTKSSPQFVKGDKEAVNLQYFSQDGLPPGEHLLIINVTQSQDVLYILDYI TYTPSFSTLSTMPSLGNNTIISSGGSGISTLSALLSTSSTTSATGLPQRPAKGKTSHV GPIAGGIVGAVVVLAALAVTLLLIRRRQKKPARFGMHSDYSASVSAGQPVAPVHQAGD RQQLNGAISPFRHDTNPSPIGSAESPVGFKKKRFNSSAPISNTPPLPTSVVTSQTGTN IALSAIGSTEIDSTTPPSNRDSNPPAYDSVSTLRRSNLPP JR316_0009068 MRFIVTLFTALFTFNHLGRALQYHGADFSSLVNLEKSGIVYKDS SSAQGAKFETILHNHGVNLARIRIWTSTNDADYSLNYGLALAKRAVAAGMEIFVDLHY SDTWADPGKQAIPSSWPKDLNSLNTQIYTYTMNLVNSFSAQGTPIKFIEIGNEINSGI LWPIGQISVNGYAGLSELLHSAASGVRAASSSTKIMIHLANGWDSSSISSFYSQIFLP GKLSTSDVDVMGFSFYPFYGTGATLNNLKSSLQNIINKYGKDVMVVETDWPTSCPGVA LSEPSVPVSAAGQSTWVADIRNVLSGLSGGHGLGIVYWEPGWIGNANLGSSCADTLLV DSSGNTRSSISMFSSSM JR316_0009069 MARFRAFSSDTSSSEDEPETRKVPIESPTKSTHKAPDGSDDDDN ESEKENESGNEQASSSGGSSSEMHEDELDSSPIRKRGKSKAKDRNALVQDEDGEVRYA HEVNSRVSTHGTPSKSPPARPRQPKRGDPTIIPWAQHVGVDPQRMHVMQSALFRTPED AVALRALKSKRNQPPQRTSAKALNLGSNDNDRALNRKHSRDEEGDGLRPDSRERLSFA QQVETPTFRPSRKYARVGFTSSIAYGNEGARFDAGLAMGSSFRVGWGPAGQLVHVGSI CSPMSITHTSSNTSTITITKTLPTLISSRPDSSTLPSQPSVPALATKLLQHHLTHTTI SPDESGAPCAIPTSSTLSASTSTRSRTHAPAASPDPLNFSSFASLFPTNDTTSPAPIF RLGSALFDPIDLQLGRSKKNSGIISPSAITPDLRNRVLVLRRKNALSKWLKDVVKPGV DADLRMQTNGSNASYTPADAAFTHLTGHQINEACTTAADGGYFKLATLLSQAGGDDLF KEDILSQIDIWKREKLVPGSTATSNGGVGLVSRGIWKIYNLLGGVIHQGETDGSQSED VCTGLDWKRVFGLFLWYGTSVNASIADVVHAYENILLQISNGPSTIAKPIPKWAASLA KQGLPLPLGSARSSLFSGSSSSATDNLPEDPLYVLIKLFSNPALSLSKALNPLSFTPS GLDWGIGMCWHLYIILSRVMRVRDFSDRTDLARTQQKGQKGKSALSNGRSHVDSSDGS TRDDDDDDFQPEGHSPSADLLTSAYAFELESWGLVQEASFVLLHLEGSVGREKAIKDL LARSAPKLDDWMTRALVGSLKLPMTWVDEAKAMYEYDSGKFYSAYEFYISAECYNTAH DLALLELAPDAIIRKDWELLGRLFSPFNSAGRRDKIDGWFVKGQVLLDYVEIMTKLPK LLDEVASENEERATVPDAAQAADIDRLSKRAPKIIALLPDIFHRSRTVDPRHVATLEE MSKDLLKLIERAEPMLLSRIQEPTLNVLDSATKIDLVRGIGYARFLQSIEA JR316_0009070 MSSLLKIFIVAYTTASALAATYSQTDSHIGSDFLNAFSLEAISD PTHGRVNYVDAGTAASQNLTFTSSNTFIMRADFKKTLDPNGPGRNSVRMMSNKQYTTS VMVFNIRHMPQGCGTWPAVWTVGADWPNQGEIDILEGVNDQGPDQVTLHTGNGGCTMP SSRSQTGSIVGDDCDTADTNNAGCSVKVDDSRSYGPTFNSNGGGWYAVERTNSFIKVW FWARNAGGIPSDVSSGSTTVDTDNWGTPRAFFPSTSCSISEKFGPHNIIFDCGDWAGA VYGNSGCPSTCVGLDFVNNNPSAFTNAYFDFAWLKIYT JR316_0009071 MYFNHPFGSWGEMGNGQSASSTWNGAAPTPSIYGALPYPNDSSA FQTFYFTNFSPDILNCTVIGPQAQVYYRIVTDNQMPGYTVVKNSEGKNVSLIEWQSHP MIEVRGLLTKQYVRAWLALTADKSARTMSVRGMQYAWAPRDKSISLFAGGPRNPTLLA RITRTNGAIALDMTPDAIQLGLLDSVVAASLLLQCGRNID JR316_0009072 MALKRINKELIDLGRDPPSSCSAGPTGDNMFQWQATIMGPGDSP YAGGVFFLSITFPTDYPFKPPKVSFTTKIYHPNINANGSICLDILRDQWSPALTISKV LLSICSMLTDPNPDDPLVPDIAHLYKTDRTRYEATAREWTRKYAM JR316_0009073 MALLGAGTKNSPIAIDDSEDEVVYELSKDISSRSSPTSDKPDYY QRHEIPDSVFDDLTRHTETAQPIPRDKKRKRAGSMASQDIPVAGPSHPKQRNHPSLVN RLSQPESKKARKRRRKLERQAQEEARLRNINYDWLPSTGPTQPHPPHSSWSHEHQVYS DPSYLLGQPPIGVAVPMSEGIFPRNYLSYNSSNPYQEESFFDTYDSHSVTPPSNSLPQ TPTLPGSTTSDWVSAMAMAAESSTNAKNVDHLPLHWSPMATQISLPPVQPPPLLPPAS SYSHSASLPKSTTMPPRLAEHHALPPKPPPPQKPPQPSQKPHPPPPSTSQKPPPPPPS QKPPPPPPSQKPPPPPAQKPPPPPPQPSQKPPPPPPPPSQKPYVPPLVVPIGMQPDLD PNSKHGSFQITAATKDVGAEAKKKRKDQYIPNPARTLVMEQLPKQHRQPEFINRWSRG ACGAVPVHLFIDGPRGKALIEFATAELARKAWGSPKLGVGFAQLKPHQLKGKPREDLI KVWWYRVEGVGAGAGVGEIEEGEIEGDAAEKEPDVSVAKKETKKERKARLAREREEKR LIREAAVLKAAQERQAQQPPPPTLPPPLPPPPPPSESMIVDEPVPPSNQHFFSYVPVA STSNSRRPSTHDNDISQYQTLPLSSRYQQSVPPSYSSGTHSHGNPPVHHPVNKAAYGA SMFLRQGDDNESISSSVASSVPGNAEPGQVDDLEDYEEVDMDVDVEDVVAPPPPPPPR VLPPAPQLMHKSLPPRPMSPTHYARLTSLKPSAQNQPQFSASATPFVPRNPVQNVPPQ RQPPPQAQQAVHVAKPQHVQAPVLHQPRPQHPATILTMSTSASVSVPSISATHSPSMS ASSTSSTVPSEPKAMKNAPTEPSFTKRALIARQKELEEKIARSKLELAAASRTTSTPT STQLSQQISQSASIKSSVDQDDKQAREDKLRQLVMQSQRSKAKPVTKIAPTSDPPVSV KPSPPPAPPIQHEKQPTITTTATATTSHNISVSTQSENDFTLEDLAVSFITQTIATVK SQSASVKAAPVAASAPSVPAPSIKPSPSYSHVKSDLAAKQKRLEDHILETKNLMHRLS LCRSKEEKDVILKIMREKERIYEAEENKTVLSSASTSTSSTPTTSSFSATKRNSAPGS VINRAPATPSQPMVRWPVIQQDPGVLMISDDEDEDESDEGEDNS JR316_0009074 MSAAPPVVFHQPKHARPDADHHQHAHHHDHTRSPRARLPVIPDL RFEYSYMRSIQPYVHVERLSTGEAVPRPTDVELVDQGMLDESGFVTLDATLGMQGKET EKGFAEGEVALKPPSQTEPASGPSEIIHLQWKKIAWVTVRDQVISPLVQGAAWALASY YIRPFSLEFGSRMGTFVHQRLPTKEGLGVSWLRDWAKSIGLTSSNAAAVQRKS JR316_0009075 MADFITHPLLSYSQHPDALDQPQPTLWNIREPSQYARYPLKQEH PLSDFDLSQPATNPSLNTLYIVCDIFPGYWPIKIRRTKGVTVGDVLEEIHTALIRRIS HDEWDILSEKQRTRITGVFEDRCARAPNPDPLAI JR316_0009076 MALQTSHRSEASSTPTPTSSSGNTTDPNAQQTGFSPAGSPPLIL AFLAIGLFSAAMIVVFGWRRVQFGRAWTLGGIPPTEEIRLPRVLPKKPVLWDLWCKGR AGWTEVAGSRKPEHNIKLQWENMAPFTVSTVPLHDPAENSITTYTPPIRDVSLRPRPP FLPFRLRWPNHRSMPPPPPSPPQRHRDSMKSIESSPSTTSRSTLQVGVIIIMPSAQNP TYVRTDSDIKRHERNRHRESEEVVDYSIGIYECPWD JR316_0009077 MLGIFSLLYQNLSPASLISLILGVFTLVHVVPYLYDPFNIRRIP GPFLAKFSDIWLGWVSKNGHRSEVVHSMHEKYGPIVRIAPNHVSIAEPDALNIVYAHG NGALKSDFYDAFVSIARGIFNTRDRSEHARKRKIVSHIFAPKSVVEFEPQIREYVALL LKQWDRLYELSQKGLSGPEGEGWKGDGGRLWLDCLPWANYLAFDIVGDLAFGAPFGMI NAARDLAVVPRNQEDAMKSYGTNAAVDVVEIPAVKILNGRGEYSMSMGVLPPLWRPFV RHLPWYSKGQQDVKALAGIAIMAVAKRFASPVDRVDLLSKLQNGRDPEGNPLSREELT AECLTLLIAGSDTTSNSTCAILYYLARTRRAQLELQKELDEQLGTEDELTATGQQVKR LPYLDACINEALRLHSTSSLGLPRVAPQGGITVADQYFPPGTVLSVPSYSIHRDKKIW GDDVEEYRPERWFERNQADIQKTFNPFSYGPRACVGRNLAFLELQIIVASIMRRYDII LEHPDQKLETREGFLRKPLGCMVGLKRRDL JR316_0009078 MPSALGLALTLSAWAISSVLFATLSSAQYSATYLPSNVPAKTEQ GQAGTNQCGTASSQTSECQNAYINSVTDFCLFAPPSPGPDSVIGNTEVTNLKNFMRIH LILFALNFKRIEVAWCIQDGTGARLIPDGAIKGAHFVQTPDFVQVTGIGDLTLLNIPA GDGGGELDPHGADGNGNPIGGLVFSSAFGQLEQIHEWTNFVSSDEFCVRACKPGPLAA TFCQHIYDVMGCAWNMPANYGEGFENCQGDSGEPMGVYGTSTFHQGEPATPSAHPIPP SSSCTPFKTIGNGLFFSGTSISVPATPTPTESSSKPSSTGSKSGTTTSPSNSLSGTSP AATSSETSPAATTPTASLSASSATRIVGWEHLTFTMGLTAASTIAAVLMV JR316_0009079 MTVATHTQTTTKVEDNTGGFPSDAATSLVTLPLLETSTSMEGNG QTAQSSLDQHKSFEENVDTELVVITATFFVAQPETSLTIAAAGESSYNDATHRSVVDG VQGTPLQTSEVVSPIVLLPSNIHPGRKIRSNRRIRHSGNSTTSVPENYKSAYIEKDHD TIIVATTVTIPSPTAALTLQSGPAPLNLSAGSSLSNDSTRKLPVQHSPSNTYSSNEHG APVSVAGLLESINSIMAMSQKEIEEVLQKEFDELVPPAPLTYRLLSAPPMSSSIRANE KESSQGAGRLSSLVDAPSGSQDTNANTSQLDSIVPEPHEEALTNDSLALSGTRNSEDG IETSAVCEAAPVQPSGPTLGASSSTVADDNSILGDISTTALVHGIETESKSSGTRLSE DGSPPPSLPTEEIATVVKRECRVTESESVSNLESKLEWDVVAPYQDGPVASSVAPIAT SLVNTDVGHTVNQKMETVAEHIEPPTLAPILVQDSVSPASLRHASVEVDISSGPSADP SQTVRTTFKSENCPVKVPESFELISEEQQVNDRGFSPDPLSLILDEPLNDASAQLPPS QSPPPILQLKTIVLSDGDAQTNFHSVALGMRDSPSPSESSSNEPSPIQEASSNLSPKC SPKNESLPSTGSKKDLKDGEIPLLSIGDNQNTVKASMSMFNVPPLTTPLKEQPTKSRR KFAEVTDLDLDDLSSRFGRFSVSDAGTSKNRRRYATQDGQSHSMFGNLRYSAVPEDRY QPVPIPIQLIPEVVSTTQAQADSDVPEPVQPTRSEDDKHPEPISVHPPLSETEKQSPA PQDTSHSNAAPVAEDQPNEEAKNSLSEKDVQNTCSSSLFALSAEGRRAGRRKPDRATR YHLSMIREAQTAIERMGSVRKVSIALKEDLETQRRPPVLEKVKLRIGCSSKVGGKLLP TGVPRTNPFAPTPSATKPVAIQERKSAKAAKPTTIPPRRTHASTPRESIAPSARVFRH PDEPQQPPPVPVTPVVVCEAIVNEDINDCHIPGAYPTVMESLETSSHVFPSFFNRIGR FVQHI JR316_0009080 MSAELPLKIRVDIRDKWESPKGMIQKSIDDLEKTLGHKIVPEVQ WLQLYDALKGVYPDKAQFIPSIARVVNAFYGRLLARVDDDNNSEWTEELLEMLAKKPN SSWLLKLEISAGRCPKVNLKREFSAFTLEIPNAQLPLNAAVESMFDQDLDLLFSSEVG ISSSDAAVNDDDWAKVNVRGETTLSSHASTLVPSGIAVTPRIQKLPNIDMLDRPAQLF KSTAPYFLTVDARTIPLVIQGSHQPSLELLAEYLKKWAKTNMGDSLKRPILKVELVES AFVFGVIDGIIIEPSVPMRASVPLNPMLILAFVEGVLGYRETHTTGAHWVFKLEAPLR JR316_0009081 MPRKPAFTPKPPVEKLPLAVRKDLRDNYESKKGDYETEIADVLG FPIAINIDVNAVWAYAETLSLSSEQAGRTFTGYIEGFINGIKTFVEKYGDLGKEYFQN AVTEGQLTVTVNELGDAAPTIDADVKDGVFRILFKDSRLGYNQSWLNDSIGPAIDKAP HEGFGLFAQYSIKTSYEEEVEEVQEDIGKILNMSDVVLDPNFEDNYAILLAKKEDTEW QKNFGEVTLLYFKNLKDQLTSQGFDQDDMLQEGLAETLTSKTFKIRVVEKTKSGNTIE TVLEDGTCFIQTFPARWYYNLSNSGAGLVDML JR316_0009082 MPISIMPKASSAYRRQRFSTSEFEPSMICMASARAVVMDSRSCM SGALREMPLMLTDALLSVSVLMSSIFKFNPFKAASFLWKTRSTPVVPIPKTNAYPLFE GKPSFVARWALVILSFDVMGNMTFACLEGGQLYPNPFETIKPKKVNDSTPEPPKKPLW TRVAFAAVHVGIGGFIAAFLLSQRSSWVRTMSIVRPVSRSGTAKPAQLYVEVSGHPRG YGHPLLMKECALAPTKMDKDIMLVLAGKDGKFAFHPVGSKIGGKQMPSDGDVAKINML KMWREYGGKIEYNPN JR316_0009083 MEFTSKTSVPGPLPDDVTVPQFILDTHHLSRPERPAGVPWLMAD KSGRTYDLEEIHKRTNGLAVSLRDEYLIGQNDVDYPICVWAIHRLLGIVTPCNPSYTI AELVEAIKLSKSTLIITHFNNFEVASAAARQTGIPAQRVLVLEDQDSPLTKSRQGNLQ TVEALIHRGSLSGEKVTGRKLEKGESKKLVAFYSSSSGTTGAPKMVMKSHYAFVANII ITSAASIIGPTSPRFTPGDRCLAVLPFYHIYGLFCVLHINFFAAITVVVVPKFSFREM LHSIDRHKITTLILVPPQLLLLVKEPIVKNYDLSHIKVILSGAAPLPAEVYDQLIKLV PHAHICQGYGSTETAGGVSMPPLYPKHCRLNTSGVLAPGISARVVKPDGSLAGYDEEG ELQLRTPAVAMGYLNNEAATRETFLEDSWLRTGDLVRIDRNEEIIVVDRIKVRGFQVA PAELEGCILDHPMVTDVCVVGVPHPYSGEVPLAFVAVSPQGRKLPETVLKASIRKVAL FYFPLKPSLNMTVVLQHVEENKAPFKHLHYVEIVDSIPKTPSGKLLRRDLRVKGRNLV TESAKL JR316_0009084 MKRAILGCLRLLHTGVSYNRKRYIEWPVESTNPSSLSLADYMHA LISPAASVLNQLHDPHVLQNDTIGCTKVDLGNSIVGVTFTAREFESQSRNPPYTLFVP EDSFGPDGRTWSAGLKFALSKISESAAAVIVTNFKDIVVFFPRRGGYLPTGKDVFIKV LTSQIPATLRMLSTACLLESLPPVGFIKWPDLLDVDEWDKNCILPMGPPQNPDEPLHP DEHLIATCRRNSDFDHATLLRDRDRALQFFRWNKHMLQRKSKLVAQANSTLHGITNQR FNPDVETPPPVYPFDPSEIPLDTKDHLQMIHRESPLSPGGLDKALAQSKSFTLHIHDM IAEGAKKGFCTVYRCQLASIDGRPVPASPVLCLKLFDDRFQDLHDLIDNEDPVPLWFR GVFNAEEFADNETAAYEKLLSVQGTVIPWFYGQHQFTLPDGIVIQGLLMEYIDGYHLD SKRVSELSPAQQIQVMDWHDNQFLLYSHPTAQVECVVLIDFAVTLQTPQPDFVNFLQN CWKMMAVLLHGGPPNGGLDSDLIFQYYDDPDKWDPTKASLTDKKGRRKWVEYTGTYFL FIKLEPPPPLE JR316_0009085 MRRAILGFRPYAHRVRTLITQAIRVDQIFHQIPPAPPPSLLSSS TAFPFPPSPPTSCTHWEEGEIAHRKFFECLRSLHDGVSYNRKRRVEWPVESANPSSLS PADYMQALISPVTRIFDQLHDSDLFVRQKDLIGCTKVDLGNSIVGVTFTAQEYKEWNL SPPYILFVPDELFEPDGRTCSPGVELALSKISNAAPSVVVTNFKEITVFFTKQGGYRP TGKDVFIKVPTSQIPATLRILSTACLLDSLPPLGFIKRPDLLTLMMKRKNDSILLMGP PQNPDEPLQPDEHLFATCRRNSDFDHVTLIRDRNRALQFFRWNKHMLQRKSELVAQAN STLHGITNQRFDSDVEIPPHVYPFDPSEIPLDTKNHLQMIHRESPLSPGGLDKALAQS KSFTLHIHDMIAEGAKKGFCTVYRCQLASIDGRPVSASHVLCLKLFDDRFQDLHDSIN DKDPIPVWFNTVFNAEECADIEMWAYDKLRSVQGTVIPWFYGQHQFTLPDGTAVQGLL MEYIDGYNLDSKRASELSPAQQIQVIQSCRHAVRILDIGDISQTDWHAGQLLLYSHPT AQVECAVLIDFAMTIQTMHPDYVNLFQNCSRMMDVLLLAGPRDGGLDPDLIFEHYDDP DKWDPTTASVSDKKGRRKWIEYRGTLFPFINLTPPEPIPLFP JR316_0009086 MLSRLLATSASSARAGARLGSRYTSMRDFANTRPVQGVEITPIS PGDGVNFPKKGDRVSIHYVGTFLDGKEFDSSRRRGSPLVTKIGVGSVIKGWDEGVPQL SLGQKAILTVSPDYAYGAGGYPPIIPPNSTLKFEVELIKIN JR316_0009087 MAEQAERAFARTFLNTLATQPVAYADDYQQPPQQSLKRVPVLPI PVPPPPARKQRVEDTASSSASLSVTFKSLKPPFAVTLPVHPTDTISAVKLLIAAQPHA PPADAQRLLLKGKALADNKLLKEYTIKDGDTVNLVCKPGVNWDPTAPTPTPAPPATTE KDTTMTDTPNSKPFSFGSGSLSTPAKSSSSGGHRRIPSVVLSPSPSEGTPAQERSQKD IVLTLDMDAGVIPTSPGSKTKEIMSTFHDTVSDPGFWERLYAFLQSEFKTEADVHLAF EDFLCAAKGSLTPFEIAKIRDTVGIVGMAGT JR316_0009088 MASGFGWGGGRSRCFTYWQEIQKCYAVADHPAECKAQGADYLEC LDNRKEIKRAQTIAAEHARQLQHRAHESHKASSIASSGAIASVGIIQRDGSGGGGEGK EETGTDGEGNENGTESLTLRYPNTFSNGIVNAKCEM JR316_0009089 MSRASLWPWPSTNNWQASISAGTYAYTRAYTETRSDRREQQTDD IINMSVTIYTLPLPSSSSTSTSTSTSSPDTGDGNNGNGSGGNGDDDGGLQQRGANYFF GFLITFVVLLLVFVACGIGSRRRLMAGRARGGAGGGFAGGGAGSAAMWGLDVGGGGAI PGAFGGAPAYAPPGSWGAGRGGAGGEGDVRGAPPWVALGSDGYGGIRYKLPELYEKPF VRAYAAPPHADADAEEVGVWRYTMPLSVALCRRPPSAAKEPPDRDSDRDSHDTNTADS DLPPTTTTLLDGEVEGGNTAPVLAQLQVPVDPQAQEQGPLPMRRVSLMQRTSQSQSQD PAVRPLEMREVQTERGALDHSSAVAAAQAAQVTQEEAATDAAAAAAGAPSPRAPPRFG GHSLSSWLRPHPTLPVPVPSPSTSSPPQTQTQNSHQNQNQNQNQTHRTRRTQHTLFGI PLSPHPLHLHHRDRHRDRHRQPNAAAAMALAMAAEEEGRRMAGPPEALHVAVVIAMPD VRRSVYYCSAPSSSSASAAAAAAEEALRASEEGGSETSSSSSSGSSGGMPMTPVGVDL GVGVPVPVEVGIERVPEAAFVIGDAEVEDGDEKEKEKEAGAVTMIEYADVDVNGDVAA AAAAAVRRRREQEVLLQQQQREREREARIPEYQIGVATVPWRTEVFVRT JR316_0009090 MADAVYLHSRHLHLLFFCVSLTRLWTVLTTPFFLFSVFVFAVAP APAPAAPAPAPAPPAAGNGIGAGAGALGVGVGGGFFKGTSADQDRRFSDKEVKLLKTM KFPANFEKKVDMRKVNLTVIRPWIAKRIVELIGFEDEVVVEYAMGLLEDEQQPNPDPK KMQINLTGFLTKDTPAFMASLWTLLLDAQAEVSGVPRAFVDAKKAEMRAAREGDSRAI DERDRRMRLDEVGGGMGGGGGGGGGGGFGGRGGGRGGGFGDGFGGRGGGGGERGGRGR GRGRGGRGGWDDSRGGGPDRARDSGWGARGGGPGGGGGGAGPPRRRFSSRSPPPRRRS RSRTRSRSSRSHSRSRSRSRSRSRSRSITPRRYRSPPPRRGGGGNASSRSRSRSHSRT SSRSRSRSPPPPPPPAHQRRRGASPVPAPHAPPPAQGKGRRSASRSSPSISRSRSRSR SRAGSPPAQISGRRRQRSPSPTPPPPSARERERERRGMRDRSPVPGRRRRGGSGSGSR SRDRDRSRDMGRERERERNVGRRDRERERDRDRDRSRSRSPPRKFARRMRSASPPRRG GGGGRRRSPSYSRSRSRSRSRSRSAGPGPGVGAGRKGKAGSGPGGGGGGGGREREEPP HMSRRRRSASPGPGPGARGKARYGGGGGRSRSRSRSRSRSRSRSRSALRRKDEEDARM DVDEKPGKQRERERERRSPPVSRGGELKIKGQAEVERRKSKWSDGIGVGIGAAGASKG AGVGAGGGKGPGASASAGMGKGPGPGASLASRIGAPANAPNVGNVGNEEEDDDDDDVR TPFFCLFSWMVFVWFGY JR316_0009091 MSSLKSHLNTSFQRFDDVVPTHRTTQLAPQRWTDIRSLLLLLFC LPLVAIVLNVVRQLILPRRSTDPPVVFHWVPFIGSAIAYGNDPVKFLLDCQQKHGDIF TFVLLGRRMTVALGTKGNNFILGGKSHQLNAEDAYTHLTTPVFGKGVVYDVPNDVFMQ QKKFCKVGFSISNLRRYVGMIEDEVHAFLAHDATFGGAPASTDASATAIDDTHGTQWR TFDALRLMQQLTILAASRTLQGAEVRAGLDASYAQLYSDLDEGFTPLNFICPNLPLPS YSRRDRAHRKMSAFYVDIVQRRRRRLLDHENEHEHDMIAALMKQTYRNGRHLEDHEVA HLMIAMLMAGQHTSSSTGSWALIHIANNPQIGEALYQEQVEHFTESYTASPSDGGRRP GLRAMTYEEVKELPLLNAVIRETLRLHPPIHSIIRYVREDVVVPRTLAAPHLHGSGVK RRVDEGDKGDGDGGDAVYVVPKGDYVLASPALSQIDARIWGRSADVWDPYRWIRDDVG DVMKVGDDGGGGDGEEKIDYGFGLVSKGTNSPYQPFGGGMHRCIGEQFAYLQLGVIVA TLVRALEMRIERVPEPNYHTMITLPKSPREISFRRRRIREVD JR316_0009092 MDANSTVDSAPNVFPPPPVGLNYIAAIQPSLTFLMIGTVWGGIL LPLLVALFFFSSKTTRRRPIFILNVFSITLGLFMSIFNAIHAILTPLRPLNPDTALVF TVVITFTPWLVELILVVRLLAVYPYARTPKRTWFAIFIPLILLKIARFVNNTVYAVEY VQLVRNPGSADPISVAQRSWDSHPGTKIEWVLQVVDNTATSLLFILRLKSGLEAPGRE VATGNSRQSYASRIKALFWISVSNFVFPVILSIIQLIMLFRDPNFLKGSYIFLTNDYV EIVGVLLATVWASTSHWTDQNSSDISSKSYGGSTAPRFARHIRLNQSETFTNSTRGAG YTADDGHIEMKGMDPQSKIEIAPLESHLEFSEPSVKEKKSGIIKG JR316_0009093 MNSLTPANSSAFYFTFDHEQSKWIFAKDNWMESTFATTIVLFGI GYVFYRRIWSKSATTTIPVINSSLPWIGSALDFVRAPTNFLRECRQQYGSAYRIHATG RTILVITSPKAILTMLSHKATDFEIVDYLNLHVISGIDTARMPKIWPAILDFFKASHA LFNPAHSQPLVYAYNNIVAQNFLRITADENHSAQSLDDFVFRINHFAVYGMFMGTQFN TKPKTYNSWRAYDSGVHNIIRRLPFLSRGAIRGREDVLETVSEYVRQNWVDSDDGGYI HGASEIMSVVAQKLKDSPATEEEIARVINYLLWGTAGNIARLINWVMRYIIVYSDVYA SIQEEIRQVMAKKYLQADQLTQLDPRTLGADFPLLSSTVNEVIRLLTQTVLLRRASVD TVLLEDDGRVIPISKGDYILADTQGYHHSEDYFDDAYRFKPDRYTQEQAPSRSLAFSA GPHICSGEFLAKTTTRMFVVLCLAMYDVEVKFEKGVTSALEILPNSFLQLPLPKYEAD ITLRRRVAS JR316_0009094 MWPYSSYPESKPQEVANHTYDYIIVGGGTAGCCLAARLTENPNT SVLVIERGRIGDTWLSKVPLVSANLYAKDAFCAIFDSEPVANANARKLDTIIGEGLGG GSRVNSCVYTRGVADYNKWKSMGHSDWGYDELEPIFAKSEHTLTKSESRFRGKSGPWI NQTIYDFPFKCHTFVYQAAERLGLPHISDINSPDEPAYGIATMDITTNASGQRQSTMH AFLPQHVAASRKSRLYICSNTLVSKLDITTAPGKKPRVTGVSFHHVKNHSGQIFQAVA TKEVILCAGAIANPQILMLSGIGPKDHLTKFNISVVKDMPGVGSYLGDHCGVPTSWEV PVDDSLHVLYNKPTRVLLDLIRYITSGKGLLSVPFLQSSIFIKTSLLNEKSELIKSDK SHLDARQPSNIPDIEIMPSHHRATAKAVDPVLDKIGIFTLNTTLVQPMSRGSVRLASA NPMDRPLVELGFLSHAKDLVTLRKGLRLSLKLANQMTVEGCPLKPVASQLPDSESEES LDKYIRENIRSCLHYTSTCRMAPEEDPTSPGVVDDELRVHGVDGLRIADTSIFPDIIS THTMAVAVVVAEKCALLIQTKSM JR316_0009095 MLVPPQLLLLVKEPNVAKYDQSHVRTVLSGAAPLTVEVYRLLTK LLPQAHICQAYGSTETSGIISISPLYPKHMRPNSSGALVPGVRARIVKPDGTLAGYDE EGELHVKTPALATGYLNDEAATRATFLGDSWMRTGDLVKMDRSNEIVVVDRIKVRGFQ VAPVELEGCILDHPMVTDVCVVGVPHLYNGEVPFAFVTISHEGQQMNKAELKASIQRH IEKNKAPFKRLHYVEIIDSIPKTPSGKLLRRVLRQEARKLVVPSAKL JR316_0009096 MASSFSDAAALRLALAELDAGIKNAVKNGGIKEYIQSVKRKVKQ LFEAQKLGVFREVFEEFGTFLWNVVIEYNTTRKQNTFNLDAFLQTHRLKLQQLEMADI SKDKTAANAKVPAAANVPATAKVPAPAKVPAATKVSAAPFPTKANPDASALKGGFESS FKGAVGSAVSLKSSASKDNITHQKKPMPVKLPEPVERPAPVERPVPVERPAPVKKANP SASRDPALNTSGPATKHVKPLPKSDGLKLTNLEEMVEHLEKTVSDKKSKSKKIKSATA AANADPDLTLAEKYHVLALQAMDQPDSPMHDFQKKKQLSKRANSEDRENWHDSASEWK ESETSHHETPANGSKRKRKNSETADPKCKRCIQMDFECKERNCVWKKGGLKACFECWR DKKKCSLRDSEMGEGDVAAGKGKAKPSKNPSKHALLSKAQATKVKSAKYIESSGDEEP SRSPPKKKKKMSDTVQSKGKASEAVNNQTDDDIEFIEVPPKEKIRKVHPDDNIPREGP QTVKKTMPPKTHTQGRKISEIESDDDSDLEINKSVASHNTSVILKNRNPTPVPTFQAA AQIPQDLAGHPFIADLVKRLTAVENKQKSYEEHCADLYAQVEDIKNEVEEIEKSVGHH QVKVWNQDEQINSVERSVAHHKQLADGTSGLLNQTIVMLKSVRKGLAKLQGRMDGVVE RLDDVEGRLVDYPNLDSQSEFESGNLGSSHSSESADALDAHPTDVETEADTNDATTNP IGVETDEDADADADTDTEITPEGKINADANSDANDDADADTEITPEGKTNAPAAFPVS GTTSDNTIDTDTSSGTGVVPAAASNTGDNNFLNHSADNNFNDSVESGSNMSLESELSN TSNADKVASKTGVLPDYRSDTSPSPAAPKLDADKDL JR316_0009097 MDTTVSLAEEYKSLLLEDVFRVHDKRKKVYNHQERQRIEAFREQ YMNAGSNRGRKEVVCSILPILFNYWVETGSRIVSQTEDFAQQEAKVRASSVRKLWCRQ AIGADKLLKWIRNNWRSPKPTEAELTGHPKKRTTILWRTRKEDVYKEIATILGIDSVT AGTPGIFENRMKAMGNILARMSDTELKQLDLEGKALNSVEYTDEQKRVNADKHAFRKL DEASKRDWAEMGLMNITFVTRLTESGQLAVRVHDVISSTLGVSSTSFEDQKPAEVTQI KRLIGVYVRGLLNARNRAMDGGGNGDESGILMLDQDAQGFPKLPRDLEADKLNKRQLE TLMGLYFSQHYSLATNGRSKHPPYDHIEKKQAAFISPEFRKVKKHRKGDEMVYSKYPD EEFRLDEPKRPIPPPKKSRRRGKPTKSKPTQAATQPPLRIEEMQGGSQLISFNSIDNS QIDPQLLGQDGRADFNHPQSALSSDPTTGLTHLQDTFADKDMLQPQIPDTQQFSLETG PVSNLDMNNPSFSHLPTFIYPPPERMEVNPVENIIPQRNIPAPISTTVNVPAQQPIPR PKPRPRRKPVTVEEIAQAEENRRLLEEARNIERDILTNGTAAAETSASQVRVNSPTES VQTTGKRKKRSEVEESLILSGKRVRRVRERTS JR316_0009098 MPKSSRKSKKNTLYEDDAEAADVVTYKMHSRTTRTGRRVEELIK VPLTSAERYHIKEAQGPAASTDVDEYDPGPAPMDCNSGDEDDMYNCLRAH JR316_0009099 MHRVEQWTGTHFRKAELWEVGTYLLIPHHSGEAICPSLNARIAF LESLEEPKDWSEQARLRSLDLSQVPWRTDETRATSINEYNTPQDVNEMGAEPEPNGPS DAEFEKLLDDLLEDPSMELPSDVLDDEDEETANGDSDVGNIPQYLQYPTEATPNISSH ANHVPRVDGLNNSYVRVVHTNGLHHLAMVSCVCHSQGSDTLPLDLVASRLLPTSFYHT RTLFSAHLLDYFRLSNLELKASAYQFYSLLKRITNSMAPSSVVDLYNEFRRMSRLWRW MKKLKWAGFAGHNGKSALNVGKGELANYCPACPQPGINIDPNWREDPNKWVYKRIFVA DGNFKADHVRSEKPSRDIWLSEGGGMMPQREEYHAFLKTAIEALTGAPCENTFRAIQN SLLSSSSCDVTGVVGVACARHGCYAPNALVNLFKGEQQKNVDFAFLAALRSTGVHPDQ GTMVIYDIICQYIIHLLKRIKQHLPNGLKVDRAIGMFHVHAHKDECFFRYAPTFIPGA ACVCGEILESLWADLNSISPAARTATLAHRTEILDDHASDSNHKKALEITKYLCRRHL ESVQARETYRISFANLTKAADPDAVNLWKKQIEDAEARRLEDPKVMDIYTAKRPGQST SSNRDSEAECTSESLTPTESWIQFALLVEEKQLDIRVRARRLVNHDRLTDRVKLQKLR DALKPLLSQLSLLQANAGVVTTAVHGQSFSEQLFVDWEEDKDVLAPGSAPPEYDAIDK QLLCLPSNGTADNIYAPYELKAQISQARSLLDQLRERIAERSFQYSDIVRHAPRKGVR TRGYAAAKELKDQIFLHAQAYSHCRSCLVQLGADVSTLQEFRILTKDDVKSSTAVINP NIAGSTKFRLSWIWYSINQRLGPRWALDPNADSAADPYSIGEDADPATVLEFKRVHWL RARALYNRWLEEETLVRYEMRWTVLFFLHKSKWWLDTINGDRALTPGATAYAHRQSQM YKRLALIGDHYFKQTNVNYTSIILKSENPPTIRPFRQLSAPVMDEVPSIRPFRPFDLQ YGFREALIHILQASGQRRKQWMQRSLQKELNWVLSLIGRGYVVGLNLEIPHLIRCLAD SLGSVDKDILPINIDMAFVEILASGTREWAFDKRVGSYIYAWWNSNSAPKPEDHMGTP TIEAILSHHKAEFYKTFDPEPTTTFDDLLKAHIFNQVPDVPANLPLIHPVQVILNKVG DTCDACDAFQNLSIESIQQLSSAKNHTSSMFSTANTELDRISRRAIGFFELYEASQRA ADLNKDPAMTHSDENPASKWTWGRELTAKEVCSLGGKKKAFHSGYAESLRDGKLRSRS QHKASHSPHASDNFEPVSPLVQEKHMSDKSVIHPQYFKDGTDKGSIASLIEMAETTYK SESDSDDNVNHVEGSQTVLELLAQAEYIYSDDDDEDKNSEVNNVAACAQAPQSVSELL AQAEYISSDDNENGDDIQEGHNGGKVAGKKRQISESPTDSDSGPIRQTKNRRSDSQTV KSKDNSTPYFTKSFFARQLDEGLFENDADPNANDEKDSDDEGVSDGGEMEE JR316_0009100 MRLQSKGLLVLVGAGLIACPVAADFTSTIDPTSNRGNWKGWGVS LAWWGKIFGTRDDLADIFFTRNSVSFSGSTLPGLGLNVVRYNAGASGSNSYNGSSMAS NNILPSRKIDGYWINWGSSDPTSSSWNWSLDPNQRAMLTKAKSRGANYFELFSNSPMW WMCQNHNPAGAKGGGENIQSWNIANHAVYLATIAKYAQTNWGITFTSVEPFNEPSSNW WTDTNNQEGSFFSIATQKTVISNLRTELNNRGLQSTLVAASDENTYDIATSTWNSFDS TTRNNIGRINVHGYQDTGGRRDLLYNAAQSAGREIWNSEYGDSDATGKLLAQDLLMDF NWLHPTAWTYWQAIDVSGWGLIVGDLEGNTLSSVAKKYFVLAHFTRHIREGMRILDTG NNNVAAAYDSANQKLIIVAANFDSTQYINFDLSKFSRYPSSGTLIPRWSTHMGSSENY ATYSDTFMSGTKFWSNFDQNVVQTFEVSGVSL JR316_0009101 MCYKAIQWDVSKLNLETPNELFEPDGQTCSADLKFAFSKISDSA SSVIVTNFKDVAVFFMSKGGHIPLGRDVFMKVETSEIPATLRVLAAACLLDALPPFGY IEGPDLDAGIWNEDIILPMGPPQNPDQPLHSDEHLIATCHRNSDFDLATLVRDRGRAL QFFRWNKHMLQHKSKLVAQANSTLQGNTNQRFNPAIHVPPPLYPFDPSEIPSDTKNHL QMIYCDSPLSQGGLDDALAQSKSFTIRIQDVLAEGEKKGFCTVYRCQLTSIDGRSVSS SPLLCLKLFDDRFQDLHDLIDYEDPIPLWFGPVFFAEDRADIETTVYDKLRSVQGTVV PWFYGQHQFILPNGVAVQGLLMEYIDGYHLNSERVNELSYAQQIQVSCRHAVRVLDAG DITQMDWHDGQFLLYTHPTAQVECVVLLDFSMTHQTFEPNFINHRQNCFRMMRALLNS GPPSGGLDSELIFQYYDNPDKWDPVMALVTDRKGRQKWMEYTGSVFPYLELEEPPAQL EEDIKISWINAISHMSSSK JR316_0009102 MLQPNELFEPDGQTCSADLKFAFSKISDSASSVIVTNFKEVVVV FMSKGEHISSGKDLFMKVETSEIPATLRVLAAACLLDALPPFGYIEGPDMDAGIWNED IILPMGPPQNPDQPLHSDEHLIATCHRNSDFDLATLVRDRGRALQFFRWNKHMLQHKS KLVAQANSTLQGNTNQRFNPAIHVPPPLYPFDPSEIPSDTKNHLQMIYCDSPLSQGGL DDALAQSKSFTIRIQDVLAEGKKNGFCTVYRCHLTSIDGRSVSSSPLLCLKLFDDRFQ DLHDWIDYEDPIPLWFRSVFFAEDRADKETTVYDKLRSVQGTVIPWFYGQHQFILPNG VAVQGLLMEYIDGYHLNSERVNELSYAQQIQVVRIDIVGPSERLM JR316_0009103 MAPSLISKIETFRVPPRWLFVRVETQDGIVGWGEGTLEGHTEAI EGAFLDLQRFVGADADNIQDIWQMAYRGRFYRGGPVLMSALSGLDIALWDIKGKKLGV PIYQLLGGKVRDRVRVYGWIGGDDFEHLIEQAKRRKEQGFTAVKMNAVESVAWIDSPT VLETAVQRVKDVRALGLDVGVDFHGRLHKGMARQLAKLLEPHQPFFIEEPLLPAHPQE TADLAKLVSTPIALGERLFSRSDFRPYFESRAIDIAQPDVSHCGGISELHRIAAMAET YDVGVAPHCPLGPIALAACIQVGTAVPNCES JR316_0009104 MSGKGKAGKSGGKAAGGDSSSKSQSRSAKAGLQFPVGRVHRLLK KGNYAQRVGAGAPVYLAAVLEYLAAEILELAGNAARDNKKQRIVPRHLQLAIRNDEEL QKLLGNVVISQGGVVPHIAPELLPTKSGKSKKDEGVSQEL JR316_0009105 MAPKPASTAGKAPASTASKAPAKSSEGAKAAKKTAKAAAPADGD KKKRKKIRKETYSSYIYKVLKQVHPDTGISNKAMAILNSFVNDIFERIATEASKLAAY SKKSTISSREIQTSVRLILPGELAKHAISEGTKSVTKFSSAGAK JR316_0009106 MDSSLNRLVAHSSRQGQSNDTSVSVVANANALTMPPFKYPSWPQ PTFDRVQRTINDLPPEILSEILFLSEFHDSKDPDADAFEVAQQLSTVCRLWRHIMLDH SEIWARCFKVVDTPRKKMEMILQRVRGDRLDFELPALPLVSDPETGDVIWPSMVEFWQ TSENADLLDKAMAQSRIFLAELSMADADILQWGPISARLPLLQKISLENARYRDCLVL DHRGLYDNERHPHWHTRSLRLVGCFFPIKPTFFCFLENLCIRDLDPVTTALTPQSWLN ILAALPRLRRLSLEDAMTDSEAQSAFPDEHDDIIMDVPSVNLPTLDELTIKSRFHHIV SLITRLDIPDTCSVHISFHSLFQINTSTFGTFTSWLESRYNHDAPILGSTIMLQMSEL ACAFSNTSAQPHLDIRGEFMREFDERGAVLHDVDFTPDELLFALLAPLQAPCRHATDL RLYIVHEFDPAEHQTFWMHAFAPFAAVETLWLMSHNSFCFMGPMCEDGGLMQSYQSEQ GVWKTRFLLPNLRSLLTLDVNFADPVSGWDNVSLGTMLLNLVKKRKKARRARLTNAII KEASLLKGNTGKLPAAAEQEGLMAYAVRIIQ JR316_0009107 MSTAIERDTPILHREPYSEKREDSVEDAKLEEEKEDRYEDHDVS RPFPPDPDEWEETHQLSFRAIFVGCALGAIVGASNIYLGLKTGFTFGAQLFGAIFGFA ILKAMSKALPESGILSFLGGPFGPKENCTVQSAATAAGGLGILMVSAVPAMYTLNLLS DDPSKDIGKLIALTSCAAFFGVFFVIPLRKYFIVHQKLTFPTPAATAYTIRSLHRGKS GAIAARKKSLALLYSFIAVFVYKVVSGYAPGILFDWHIGWTLYRLGFTSMINLENYGW WIQFTPAFFGAGMLSGLNASWSFFGGTILAWGIISPSLVKNGLAFGKPISDEYPLVTY MSMSLSNPDLYAREPSPRYWLLWPGVLIMLLYSFADVVITLIPIVRNMQIPSWNPRTW LHSDPNAEDEDKTPIEDRIPVSWWATGLVLSTVMSCAILATQFHMNVGEAILALVLGF LFSFIGIQSSGYTDVNPVSTVAKVSQLIFGGISKSTGLATLPAQTLNMTAGVIAAGSA AQATDMTGDLKTGYLLRAKPKNQFIAQLCGAVVSVFLTCGLFVLFTKASPCIIHPVED GPPCTYGAPSVAAWAAVAIAVTAPKLPIPPSSGYTAIGLGIFSVVCLVVKTYFVPKKY WPYFPNWNAVGLAFVVPNLYYSIAMAVGSSFNYFWMLRNPAGYDMYMFAVSAGMLAGE GLGGVLQALLAIAGVDGGAYGTAIGCPGMEFCG JR316_0009108 MRSDPKAPMEDLNFILIDRSGALVSEWTRAFTEHIQDRALRARF TPLTLHSGALADLDAAHKQFDCVVSPANSYGRLDGGKPLSRSPTMFRSEMTALLTRFS QPTFFYPILRFDQAISDALSPTDPHLPTQLAQSLLYDRWKGYAPPGTCTLLSLADTSC TPNAHGCAYIALCPTMRVPQDATWDREVVYNTMWALLVELEKHNRQAVASGSRESSIS NVHDGISGGASDGTLTKELHSESPCTPIRTVLMTGFGTGTGKLSAARCAQQMALAVEH FADACAHPRKWSALEWDDAFSYADEVKLTHTL JR316_0009109 MPSTSPEYAPLMSENNASTVSDSDLPPTNARLSLRLHRYAKIIR VAGLILSTFIIILIIAILILLDVAPFSTWRNHTDGAVMKWYIASAITAIIILCAPLPA LLSFASDVVFLVGTIVCSVWWIDFMPLSACRGIYDWRTKKWAPPHPKCKDYQLAINII MGFVAAFGILLATSYALLLLIRVYNVFKSKVWRSKFPGSGEISWTFSLNVLTDGHERA YGFTVAAKADSKPTQGLVRL JR316_0009110 MPPPPSESAPLLNEHDTSTIPDSDLPPTNARLSSRLRRYAKIIR VAGLILSIFIVILVIAKLILLKISPISSYLRSITEQKVIGWYIASAITAIIIICTPLP SLLSFTSDFVLLVGTIVCSVRWIKHMPLRACMGRYDPPTREWLPPHPKCKDYQLAINI IMGFTATFGILLAISYALLIIIRVYNVFKFKLPGSGEIRWTVSLNVLEDGHERTYGFT VGAKADSKPTQGPVHL JR316_0009111 MSRPSESESAPLLSDHDASPADDYSRPINGDARIASRLQRYAKI LRVSGLVLSILGLVLIIAFIVLVKIAPLSNSSWRYRAEENVIGWYIASVITAIIILCA PLPALVSFANDVTFLVGFIVSSVQWIDSMPLSDCNGQYDWRTKKWTPPHPKCKDYQLI INIIMGFVAAFGILLATSYALLLVIRVYNVFRSKSWRSKLPGSGEITWTVSLNVLTDG HERTYGFSVGAKADSKPTQGPVHL JR316_0009112 MPASKSVTLNTGAEMPTLGLGTWKSGPGEVAHAVQFALQNGYTH IDTATAYDNESEVGAGILASGVPRSSFFLTTKLNNNDHKRVKDALDFSLRALKTDYLD LWLMHWPAPMVQDLSGPDRSIDWLDTWKEMVKVYKENPDKVKAIGVSNFCIDYLKRLL ADSEVVPAVNQIELHPSCTQEPLVDFCRTHNIVVTAYSPLGSNDSPLLTNPIVNKIAE RYGVSPANVLISLQANKPGVNVLSKSVTDARIKANAKVIDLTPEEVQELQDIDKTNHF RVCHPNWTGWGSLGFPDCE JR316_0009113 MPPRSLLSLGLPKDILAALVRNGYETVQDLTSATLDSLSKDLNL PQSEIEALFAQRSISLSSASSSIFAASSSSSSSSSSLSLSSSAAAAVRPIPPAPTPSL PHTQSAAALLRTAHAQRTSTRCAALDALLLLGSSSCSSSSRGGQGADGGGGGEGAERG GGLPRGHILELSGPPGSPKERLAMGLVAEVVGRGEEVIFVDCQHMTTPAVLARALKDH LPAHDAQNAIQRIQYTHIHDLAQFLLFIYQLPAILAAAPKVTLLAITSISFPFQNQNA HLSPAERSAHFERVKQAFARATAAGRVSIVLTSQLSTKMVHADGTHATFDTSGARGVM LPQLAPAYLPAGKAHRVMISLDGLLSGQMKILSNPLFPHGSNATVNMKMKADMQVVVK SFVIEEGRVV JR316_0009114 MGVKGLNTFIKTLHPTIFKQLPNRFEALRGKRIVIDATLITQRY HYARRSYEYRHIQGWFRLARDLERAGVSAVCVFDGSERSEAKAEEVQRRRDQRQLITE RWKMENARYERLCQMKGGIKLLQHLNPLERTEVFKFLDEPSFARIRDLSAQTPELPKE QDTTRKDQVTEPREQSITPIAPSTQESPEQESAPSAPLTPASEERPLSDTLPSPNVSL TDVISIFKTLHISYNAGLAKLTTISAESEVEPQTKPLPPGKEAPDILEAAEATVMTKR QSELSEAEGQLWAEIIQSRYSPTSQADAGFEQHINKLVHQSYEMSESFDRRKSAPDTK IYGESQELLQALGVPCIITTGGIEAEALASSIVLAGHADYVASEDTDVMIYEATLLKN VTQHDSPLITVSGSDMRESLDLTRSQFLDFTLLLGTDFTYRIGQLGPVNAYKLIKAHG SIERIIENISDNPKFKIPATWDTYLKQVNAARLVFQTLPPIPSPEFLKPKQKDDKLLA DILKKYGLAFFLMRDDAWDYELASATTVGGNYFGDDPSTWR JR316_0009115 MGSSTEYSDEKDLKRRDEVEIVAVSSKEVDTAAEFASGDQEELD PVEALRIRRKIDYHILPLMCILYWIQFMDKTTLGSSAILGIRQATHLTTNQYNWYPKS LPLPDSLDADTSEIRLGTIFYLSYLTFVFPQNLCLQRFPVGRWMRFLFPNSPTTAWFL TPAEKVIAVRRIKENQTGVENKHFKKEQMIEALLDPKTWLFALFSALDNVPNSLTNQR QIIVASFGFTPFQTTLLGCVDGFIEIVTIWTGVTLAAKIPNSRAYIGFIYFFPNILGV FLINFLPWEHKVGLLFAQWCTGVGTTGFVLSLSWLSSVTAGHTKRVTVNAVMLGAYCI GNSAGPFMWQAKYTPRNHVPWLVIGICYLCCMALLLIIRYILSSENKRRDREDPADDQ FQNVYIEKMGKDGEMIKVKVDKEFMDLTDIQNRDFRYVL JR316_0009116 MSVMLTKFESKSNRVKGLAFHPTQPLLAASLHNGCVQLWNYRMG VLVDRFEEHEGPVRAVAIHPSRALLCTGGDDYKIKVWDIRPQNRRCLFTLHGHLDYVR TVQFHHEMPWIISASDDQTIRIWNSTSRQCIAVLTGHSHYVMSVQFHPKEDLIVSASM DQTVRVWDISGLRKGSPNQGGPGSSNSNGPGNFETFDTFSTVKHVLEGHDRGVNFATF HPTLPLILSAGDDRVIKIWRMSETKAWEVDSCRGHFNNVSTALFHPKHELIVSCGEDK TVRVWDLGKRTAIQTFRREQDRFWVLAAHPNLNLFAAGHDSGLIVFKLERERPAFSVF QDTLYYVRDKYVRSYDFNTGADIGLLSVRKFGSPYVPPRTLSFNPAERAVILTISSDN GLYELANLPQQAQGELKDSSVDGKKGSGQSAIFVARNRFAVLNKTTQLIEVRDLSNSV VKSIKPPVQTNEIFYGGTACLILSSPTAVVLYDIQQQKTIAEINSPPVKYVVWSNDSS LVALMSKHTITIANKNFSQHSLIHETIRIKSGAWDDAGVFIYSTLNHVKYCLAQGDHG VICTLDNPVYLTRVKGKTVHCLDRSARPRTITFDPTEYRFKLALLKNNYEEMLYIIKT STLLGQSIISYLQQKGFPEANTNTRFELAIECGNLNVAMETAREINRPECWERLAQQA LKQGNHKVVEKAYQQTKNFDKLSFLYLATGSKDKLSKMQQIADARGDPMSRFHNALYA GDVEGRIAVLRDVGLHPLAYLTAKTNGLNELALEILEAAGLTEADVDDVPTFAASTLK PPPVITATADLLWPSLSSGESFFDRALVNGQLEGGVEPSYVNGDAAAAANSALDAWAR DEEVHDEIDPEEEGWELDADGDGGEFQSAIEGEEAAAEEEELGAGATPGPSEPELWVR NSPLAVDHVAAGSFDTAMQLLNRQFGVVNFSILKPLFLSTYRSSHVYLSPYASLPPLK LHVRRNPSENAPSRVLPVSVRSLATLRSELSDGFRLVSGNKLPEAQEAFRSVLQGLLL VVLSSDDEAKQWRETVTSAREYLLGVSIELERRRVLEQEPDNVTRNLELAAYFTQCQL QPPHMQIALRSAINAFAKANNQAHAARFAKRLLELKPDPKIVAQARQRIAAGDRNPRN AVEIAYDEFTPFEICAASFTPIYSGSPAVHCPYTDAAYLPKYKGTLDPLLQLTEIGAS ASGLPAAW JR316_0009117 MFKHRPLNLDLTNSRAEKPAALRETGRDAFLPCKTPTSAGFLNR LKFLPNSMTKEEFMQTLDPSHDEETRRSIAFLYSGSFYDDTPYGDHSHLAPPPLPYFS ISTSLPIIDDSNVAAEATLDEPEIVVADKKPKLVYDYARQYAIEHGVWPKVSVFNDSY IPEEMYESDTTEGSGDSGADADGEADDEEDMDEDISSYFTPVIFPAQVSQDPPATFSP SPPPELPPAPFIPSITIPSVTIPSSSYDETMDPSSEEDNDNSDDPDFQDPSYERQQQQ LHHQFSSAISNTNNVPELSSPSSSSSGPDSPFPITPKTSPKRKTTIKRARAKPYSTDK SARRKSSSGSDDAYDPTSPSPSGGIGEDVHDRSPDKPYITFRCKKTGKMMFQCRACPD IQSKALGDMKRHLEGLRHQKPSYKCDPETFEFGCGREFTRTDALKRHIKSKHPMGWAV YEWEMEEQERAMGGRGLKKARKQAV JR316_0009118 MGHDPITGLPDVTDVHKFFTENFDDADVQELLQSSATKKFEERD KSAPNLDTFDFSALPMERFNFWLITMNPGGLRNAAGEYAYDNNSANVKDHGRQSFQLH CWIKIGPEMSLDVFRSMEEYVGAPPTSKNVEKFIKSSMAYPFPLFRPSLPQCLVLSTN LSPHRAALRPFLDSLPAPFIWRIVPASIENRLKESAFEEGKETFKIYMSCAKEKKEEG NKAYAANDSVAAIACYKDAIMYLDKAFCRFTPENDTTKEQATKLMAVCYANCAAARLL PVDGIVKPENAERAIEDAEEAIHLDKFYPKGYMRLARAYQALGKHVEAAESIAKSLAR YPEMENNKGLAQIFNSLKTHG JR316_0009119 MVYPTLFQPIKVGNNVLQHRVVLAPLTRLKSTEKAHVPTVGLMK TYYSQRSSHPGSLLISEATLIAPQTGGYDHVPGIWNQEQIKAWKQITEAVHANGSYIF LQLWALGRGGEFRIREADGYETVGPSAIQKQPLSVAEIHEYIEWYAQAAKNAREAGFD GVEFHNANGYLPDQFLQDLSNQRTDEYGGSIEGRSRFGLEAIDAIVKAVGAEKVGIRL SPWKTFQSMGMTDPIPQFSHFVKSLADSHPGLAYLHVIEPDTVTRSNDFLREIWSPRP FISTGRHNRESAIERSEKSDDLIGFGRWYISNPDLPTRLMNDTPLAPYRNETFYVPVK YDSTGKGYIDFPFAHDYGQHTVTEVRQQ JR316_0009121 MGRPDLTEISRFLMSNLDDPDVQELFQHANEDLAKLDQTTPNLS EVNYASLPIEHETVWIINMQFGGMKTATGELLRDDHPAHVKERAYQSFDLHAWNCRGE GSRPDLYRYMQNYDRTPPNSKQVEEFIKLAMAHPHPIFKPALPHLLILSANLSHHKQA LKPFLDSLPAPFKWKDTPAEIEDTIRDMVYENGKELFNQYVASAKENKASGNSAYAAK NREAAVAFFEDAIKYLDRAFRRYTPATEAIKQDAMKLKVVCHANCSAARLMGGNTSQE NAEKAVDDAEDAILLDTFYVKGYMRLARAYQALGQRSDAEEAVARALRLKEMENDEGL VDLLISIQTCGKGLPAPGTELAKEWVSVTFSDDSERAERMKSVNGLWRKRCEAHMKEI QGSSY JR316_0009122 MAAVFMGHQPPVRVHRTYPRLSSAAFKRLAILILVGWAVKTALS SYSDAVFPNSPFGFRSPESEAASTGGFVVVHPKSSASSTINYGKGFKGGEQNSLVNIS GQPNNALVNMSPGIGSIIFGPLLDISSNKEYSTQLGTNDSISLGAPDIHKHFMDITQA SLADVHVVDAAFITKDIAKYLTFRTVLATLQRLNYFSVDESTMYDRLDHGVDSLSHRG DPNSWSVVVFAHPTSPFVNTRNNEPFFGQTNNVVIDISPGIDSNIFGPLLDILSNEMN GIQQFSSDATPLCAAAISKASSTLFSLTEAHIMDVSQASHADVYVVDATIPIKYPANL MQFQRIVATQECLNHFTVDESTMHDNLDHGVDSLSLRGDPKSWSVVVFAHPTSPFVYR SSAFSGEHLSVSSPALPVDVGRDEMDGSRQLSNIDWKIYMAFGLGLVALLSLLFRHLQ YTVAKPLAPAPRPTLQYIQYDSLSSFGRMQEQKLDTLFECRFSGCKCDRYIEVWYSKV TMDSVNKFLANGCQSLSEQWGQGFWAVLSSVLAILSVIVEFMECFVMLLFLVYSFYGR FEGYFIGIFKIIAVLLLLGGASLYFRQLTTVQRLFNVNGKRRKKLRLSSVLAILSVIV EFMECFVMLLFLVHSYCGRFEGYFIGIFKHLHVDVYGVDPTSPTNYPANFIQIQMIVA TQECLNHFTVDESTMHDNLDHGVDSISHRGDPNSWSIVFFVHPTSPFVYRGSAFRGEH LSVSSPALPVDVGRDETDGSRQLSNIDWKIYMTFELGLVALLSLLFRHLQCTVTNTPA PAHQPTLQYIPYDSLPSFGRMQEQKLDTLFECRFSGCKCDRYIEVWYSKVTMDSVNKF LANGYNFCQSNGDKDSGPCYLLCWLFYRLFSSSWSAWLCFFS JR316_0009123 MDPAKLAKLQAAAAANRIGGKGTVRRKIVRKTKPSAAQDDKKLQ GALKKLNVQPIPGVEEVNMFREDGNVLHFTAPKVHAAVTANTFAIYGTGHVKELTELV PGILNQLGPDSLASLRKLAESYQAIQQGQQRQQAGAAEDDDDDDVPDLVENFDVEADA KASLD JR316_0009124 MAPVFHIRQATPDDVDIILQLIIDLATYEKEPESVKATPELLHK NLFETPYAHALLAFSGTAETPAEPIGLALYFFNFSTWTGKPGLYLEDLYVKPEHRSLG VGKAFFGQLGKIAQEKWNQPSIDFYEKRLGATPMSEWMGMRLEGQGIKNLNQFL JR316_0009125 MADVSDSLINEAYLEVRDDKSDTNWLLLDYESERSDKLIVTKKG SGGLSELREALDDSKASYAYARVSYSNDKESKREKFILVVWIGPNCKVMRKAKISVHS ADVKNVLRVYSIEVAAREKDDLNEDPIVIRLRKAGGASYDGV JR316_0009126 MASWLRVSRAYQIFTRQATARYYSETPYQAARNKWQLGGVPRPR SILEDDDAVIDLSEDNEAVNGAHPNTPPVHLRKPSGKATPHEYKAHRATMRKSFPEGW APPRKLSREAMDALRQLHHVQPETFTTAVLADKFKISPEAVRRILKSKWEPTAEKRTQ LAIRERRQRQAIIDARKEKEFSETQTVSQLQRMLRKDRFIRQKGGSDPHSEQTISDTL TFQ JR316_0009127 MPYSVGLQSAAAAFLSAGFATQFTVAVIVFLVIVSVTSALQKEG ADAPKSLPGYSIFHIIPFFRKRHDFLNWGFQITGQNVFQFMLLRNTVVVVSGETARQT FFTAKGLDLTEGFKILSGAIPMVKGVTSDLQTRRIALIHKRLANVQRNGSLSSLIPYL LEDTRKIMEGWGTSGKFDPFENVYELTIRSLSCVEISNDPALVARLKVLYDTLDTGTT PATVLLPWLPTPAMIKKLWATKEIYEIVIKAINDRESSGISRNDTLQMLLDSGDEKLV VVGFIMGLLIAGARATGTTASWLMTYLGGHPEWRAKAAAEVESLLASRCYSPENSPTR ESPTSFFSSSTTTSLSSLSARLGTIPLEVWETETPVLDALIRETTRVAQPHTAMRRNL GPELYINNKIIPTGAYVIYPFSDVHLDPEIYPDPWKFDPSRKEPAHIPFSYVGWGGGK TLCLGTRLAKVELKLITAMFVLGFQHTVVDRRGEPSNPLPVPNWNDILLCRPPAGSFK LKYERTSVRL JR316_0009128 MTLAGMGAAFIVLDPEYAKPTHRGARTTVFISLGLCAIVPVTQL FLTHEFNELVSDMGVQWLLLSGALYIVGALL JR316_0009129 MGTKLNMEGIMLFEQPFVRVPYENYRKVFRTSQKNVERELSGVQ NISNELVSRASKGNVTPEDALKSIDNMIGKVENLKRKLSDLHETSGKPTQDVMRERLH HLVTVESLQATTQPEFSRWADVRLDRWLVDWCLRTGKEKSAKSIAKEKGIETLVDIEL FSDIRRIEDGLARHSCTEALAWCNENKTALRKIKSTLEFDLRMQEYIELSRARKTLEA IAYSQKHLVQWHDTHLAQIRQLSALLAFPPTTSCGPYKRLYDLSRWRTLAKAFRLEIY NLNTLSTEPLLHLALYAGLVALKLPACFDHSTKNVDCPVCDGESGLDSEPLGLGKLAG EVPYSHHANSTIVCRISGKIMDEDNMPMAFPNGHVYSREALEEMAAKHGGIVTCPRTG DSCQFSDLRKVLPLGYPSMPPVKQPVQASLDDFELGEEVAWGSLATIIDAVYKKNRKH YALKVLNKAQLVKKKVIRSAMVEKDALIALGTRSKSHPGVIRLHHCFQDSTHLYFALD LATNGDLKVLVQRLGSISLDCARYYTAQLVDAIQYLHESGVAHRDIKPENILLDSEMR IKLADFGCAYIGSDMETPRTNTFVGTAAYISPELLARSDSNPKSPDMWAIGCTLFFFL YGTSPFTAATDYLTMKRVRALDFSLPQTCDPDAADLIKALLVLDPLERLGVPPKSSSD ILRQHPFFSGGGKTSSDDTTSPRPWSVIDWNVLWTAPPPKIEVGPYRSRPKEVPSDDL WVGFESLNVDND JR316_0009130 MTQPHINSVPNISIVLAYCAVIILALPAWWYTTSIQRLSLPSSR VHQLAQSHLQLPISLCIETSDVELTNSVRKTLSTQISRELERWKGLLVNVEGKVACAG ESDKSDVYTIIPTSGPSWIQGRRLYTPLNGPSYIIQLAHTITSLLAPYSASNDPEHRV AQYSPRYRLAFSLLNEDAAAGNAILDWKIQDGLKANVNPILHRLSPLHNFTIESQVQF HAPLAFTPRQLDQAHGLTPEDLTVFVNSADWTLSSSSSNDPVLHFILFIPSVAHRPLR LLRNDGSASASTAFLVPQWGGIIIHNPKLGSFSGEELPQQDLNDVFYVFTNQLLALLG VPSLPPNVAKRTSGLSDWQLDALVRRRVLENAQGSQDTLLSIVKLVDQIENMPVGEDV KGDVEDALDALTKMYETSTTSLYQAFSHSAESFVLASRAFFNPGMLALLYFPAEHKYG VYAPLFASALIPLLVAALRELSAYRQERRTDKEAATKTIRQ JR316_0009131 MQRLPIPPPRRRTPSPPIGLARRPYDSYVPTRSDVPFRDSMSNV YRPNSYRPGDYSSANYYSRSPSPDAYGHANSSRISEPEPWDRGSGWRSVPEAHNMWPE RKIIPASPTNITGRPLRDESTRLFEPSDSWKQSHNERSSRSHPSPPSDRYFDRRNRNS MDVSPERSMRNDRSAPFISGGDRYRPVPNKRETFPPGRSDYDSYRPTYEKYDGWTPPF RREPISPVGSHNRRDSGSVHARSSDRYDSPFSSRAVSRRTSPSPRTVISPTPPPHSNP NSIPLEVDTEPWTPHLQPPVRGEVPTRAPSRSSIASTQVSDHRSSPAPVVTPPIIAAQ TSFGSETSKNRPPFVGEKILGIQAEAKPDPKGPQNAISEPSRVPPTAEAKPVPRLVSP TVQKSTASVPTIPQSNDVTTIKPSLSITHSPRQEPTTITARIVEKDAVTPANHPKPVT NGVTAPSHIASPSPPHAVEKTPPAVSVPLSPVIPEALHSPTTDFPDIEQPEPSYIHSP VLSPLISPADIHIPSPIHSPILSEPAAIEGPHSPVIQKIIPRPDEIPPITEAKSKEEA FRIVVMTRLLLDHQTREERVAPVLAANLAIANPPEAHPVATPESLLEWANNGQMRQDQ LKSFVLTRPRLVQYLQQRNSVVEDKITRLKSKYVELQESWLAHCHALNEQQKTLASEH ESQHGGRTTRRSTADAVRSDFEMEQIIASLGVDEATDPTHLSMRNVATIPDMISVTQG KVDYLFDDSSHLVENPSEYYAPHTGIHDWTDEEKQIFLDKFAAHPKQFGIIADYIPNK TAAQCVDFYYLHKKQKIDFRKVVSLFAPNKRKRRGMGRKKGNGLLVDIAKHDMEVNRG NVAAAVAAATPSVSTRAPRGRRPAVQPTEGRKSTRRSAVQFEDTPTSTPTPEPESRTR RRRGANAASSTSASAPTSAIASNVSTIPVPTPASTAAPTPRSVTPVQESPPKPATPPA APPPKPATPPPPSPPPPAASPKPIAVPVPEPPPPPPIVTEPIIQPSRHFHPPPPPPLP VEREADSRPTKRVKRTRKIKSAATVSDDLSSPTLDVDKELPPTGTEGDSFRKKDKVAA PNQWSEEDKNQFLSLLAQYGDDFKRIAASMPNKTTIQVSNYYKINAVALDLQKVAARA PKRSPTPEHHEVWKELPHYPGSGVIHHVTTMKPVSSSVLLSATPPMSSLSGDPNRSSS SSYARDPARPGSPPRSAYPAMSSAHPYSDAHRTAYPGPTATTYPYPTDHRGVYISSRP PDSTFRGTSSTSSPTLSRSPYTTTYPASTSSASTTVTTSRPGYPATTSVGPAPPSIIH SPTGMSPPKPLPVQPMQSYPAHQSDPAHRAPYLTYPQPTHTSGYWTTQPYYLDPRASG AADASGRREHPYAMYPAMSGPPASGSRPPHGYPMTTPPGQPPAPGGRTAYYYPGTGWT SSG JR316_0009132 MAKKGAASADKKPVDKADGKKGKGKAADDGDKGKGALKAATAVN VRHILCEKHSKAMEALQKIQEGQSFNKVAQEYSEDKAKAGGSLGWMVRGSMVGAFQDA AFALTPSTVDKPIISSLVKTNFGYHIIMVEGRR JR316_0009133 MSSDKITRIAIVDSNRCKPKRCALECKKSCPVVKMGKLCIEVKS TDKISFISEFLCIGCGICVKKCPFEAIAIINLPTNLESEVTHRYTANSFKLHRLPTPR PGQVLGLVGTNGIGKSTALKILAGKLKPNLGRYDDPPDWQEILKYFRGSELQNYFTKV LEDNLKALIKPQYVDHIPKAIKGSLTVSQMLDSKLERDNKQEMCDELELNHVLDRDIA HLSGGELQRFAIAMSCIQKADVYMFDEPSSYLDIKQRLKAAEVIRSLLTPDCYVVAVE HDLSVLDYLSDFICCLYGKPSMYGVVTMPSSVREGINIFLDGFIPTENLRFREESLSF KMVENAEELVVDKTRHYSYPSMTKTLGNFKLTVEAGSFTDSEIIVMLGENGTGKTTFV RLLAGDTPDEETDKQSLAVSLKPQTISPKFPGTVRMLLLKQIKNAFMHPQFQTDVLKP MNLENIMDQEVKTLSGGELQRVAIVLALGKPNVSVYLLDEPSSFLDSEQRIIASKVIK RFILHAKKTAFVIEHDFIMATYLADRVIVFEGQPARAATATPPQSLLSGMNRFLASLE ITFRRDPTNFRPRVNKRDSVKDREQKAAGNYFFLEE JR316_0009134 MSSAVDEEVNPYELLDVKTEATEQEIRTAYRQRSLKVHPDRNPN NPDAARKFHELNQAYELLLDPLRRLALDAKLRVKLARKERFKAYDNKRKNLVEELEER ERAFKKSRMDKQKEEVETWHETERIKEEGKRLREQKEQELRNRMQPPSFNESVKSDDE EEAPPAMDSLDTTIRLKYPLKTHPTLTTPSAIATLLSRFGATDETSIVLSLKSKVPEK PPKFGTALVPFKQIGDAFAAVCASGRKEMGMDGVKITWVNDKEPEILGWLRRTGKLGS GVGASGGASQGSSTTNTPPSNVATPDRDNGVKAGLPQHQTHHASASPFSSFPSSFPET FPSPELKPVSAAPGIDYESLTLMRMRQAERERLEREILEQEANE JR316_0009135 MPSEPIEAQFSYRHPVLSGEHEEEFRTLEIENLESTQLGRKLSL KHPDEVTVVASDGTPLHRPSTLHDLEEIHLKEGYKIVKFEKGKGEDPREWSKLKKWYI TLTTSILCLAVAIGSSIVTGDMTGPTKTLGIQQEITNLTVTCFVMGFGIGPLFMAPLS EVVGRRPIYCVSMFLYFIFTLPSALAKNAATLVVARMIAGLAASAPMCNVGGSIADVW AIEERGVPMAVFSGTLFIGPCVGPMVAGWIGMYAGWRWIYWVLFIFLGVSFALTLFIP ESLAPVLLRRKAEVLRKSTNDDRYRTLEELEKLPFSETLQIALVRPFLMLVQEPIVIF MSCYLSFVYSLLYLLFFAFPIAFLEIRGFSEGMTGITFISIMLGIFFAGLFLPTQEKL YAKATASGSFPEARLYPMMVGAFFMPTALFMFAFTGAYPWVHWIAVCISGFVFGFAMI LLYVSANSYIIDSYSDYAASAMAAKTFMRSEIGAMVPLFVNQMFHHMGFQWAGLLLAL IACAIAPIPFIFYKYGERIRSTSKRASQLRRGGGNITKA JR316_0009136 MAAVFMGHQPPVRVHRTYPRLSSAAFKRLAILILVGWAVKTALS SYSDAVFPNSPFGFRSPESEAASTGGFVVVHPKSSASSTINYGKGFKGGEQNSLVNIS GQPNNALVNMSPGIGSIIFGPLLDISSNKEYSTQLGTNDSISLGAPDIHKHFMDITQA SLADVHVVDAAFITKDIAKYLTFRTVLATLQRLNYFSVDESTMYDRLDHGVDSLSHRG DPNSWSVVVFAHPTSPFVNTRNNEPFFGQTNNVVIDISPGIDSNIFGPLLDILSNEMN GIQQFSSDATPLCAAAISKASSTLFSLTEAHIMDVSQASHADVYVVDATIPIKYPANL MQFQRIVATQECLNHFTVDESTMHDNLDHGVDSLSLRGDPKSWSVVVFAHPTSPFVYR SSAFSGEHLSVSSPALPVDVGRDEMDGSRQLSNIDWKIYMAFGLGLVALLSLLFRHLQ YTVAKPLAPAPRPTLQYIQYDSLSSFGRMQEQKLDTLFECRFSGCKCDRYIEVWYSKV TMDSVNKFLANGCQSLSEQWGQGFWAVLSSVLAILSVIVEFMECFVMLLFLVYSFYGR FEGYFIGIFKIIAVLLLLGGASLYFRQLTTVQRLFNVNGKRRKKLRLSSVLAILSVIV EFMECFVMLLFLVHSYCGRFEGYFIGIFKIIAVLLLLGGASQFFRQLTVVQRLFNVNA K JR316_0009137 MIVTSAEDAPRTILLSLLQRTLLPPTSNIITSIIILYFIWMFSI EASQVDVYGVNPTSPTNDPANLIQIQMTVATQKCLHYFTVDESTMHDNLGLYFIHRIN LADQTQQQIMVSIQLVTEEIPSHGVSLLCPTYSPALPVDVGRDETDGTRQLSNIDRKI YMAFGLGLISLLRLLFRHLQCTVTNPPAPAHRPTLQYIRYVSLSSFGRMQEQKLDTLF ECRFSGCKCNRYIEVSGTS JR316_0009138 MNGSKLIKVLRRYSPSLFETLPNRLGSLKGKRIVIDGTQYMINS RRMTGYGLYSHILGWYSLAKELSNNRVSAICVFDGGWEYMIQRLAPHKEILPLGQVPD YSQSKTEWLKARRDEERSTLSSYLRHCMKLLRSMGIPCIVSTRPVSAEALASSLVMSG HADYVAGDNPAALVYGAPLIQNLLPMIPDPIEVVGFPKKSIYGTLRMSKITLMDLEIL LRPGSNSFPYRVVFTPDQAYYLIRRHGTIENISNALQLDRSYLPPQSTFALRDGYMDR VRIARRLFELPPLPPYSAFQHVEPHPEVTSEFMKELDSQLDQLITPMDSYKMELS JR316_0009139 MTTARDTSSQSDISKMKTEPDGSFKRQASSFRNTIEKGGKFPPE QDRYHLYVSYACPWATRTLIVRILKGLEAIIPVTVVSPHMGAHGWPFAQVDPFPAADE DPLYKSQHVKDLYLKADPDYSGRFTVPVLWDKKQHTIVNNESSEIIRIFNTAFNEFLP AEKAALDYYPEDLRAQIDEVNEWVYPNINNGVYRSGFATTQEAYSKAVVEVFEALDKA EKLLTGKDYLIGNKLTEADIRLWVTIIRFDPVYVGHFKCNIRTIRDGYPAIHRWMQKL YWENSAFKDSTNFEHIKSHYYWSHTSINPTRIVPVGPIPNVLPL JR316_0009140 MAHNSGFYQQGQPVQQQQQQQYSPMFQQPMNIYQQLGKPQPQPH LQSHPTYTAPLQQPQQQQPIQSQGFQFNQPQYVQAPPQPQQMQAPYVLSQTPYAPSQT PYQPSQTPYIPSQTPFSSQAPYPISQTAYAPAPITYPQQQQSNTQFVAPQQQQAQPVY AQPTQPQISHIPTSSFPNQSSSAIPLNSSGSSWRVATSRPPPVALSATLAQQAQAQAQ SAQPQPQIQIPQQAPPAAQQQQTTSTPQTPGRRPLPQPAPGVNAKTPALPPPNTPKRI PTLPNANAIPSGSSKPFPIEPIQTNIPQASKTPSPTRGRPLPTPTESGNKRNTVDLGK LPHTSFGSTAGSSAFSNASTASSASGSGWPVKKVDTSPTRSVLPSLSEQKTSNNANVQ LSRTSSYAVNLASSDSSPSKTTTSFPKRRESPPRFQSAPSSESNSPTKENPPTSTWNR SNSSSSTNLSSSSAPAPPAPRAIASSTSQSSSSTKSSAADANFVASPTSATSSSSSAT APPKKFVPMWRRTIPEMPAPAWGYAAGMVSEPHPQPPPPPEPPAPAPAVAASPEKSKG KGGKLKKLFKGSSSSAPAPVPAPAPPAAAPAPRAAQYQANPSQGLNSGYPRANVSPVQ QRYPAYQQQIAQYAQPQAQPRAYTSQPQQQQYQHYRQQQQQQQEEEEEEETEEEETEE DEEEEEEEEEEETEETEETEEDESDHRYHIHTRQRSQPQAQSKGNARMRSQSRGRHAY EEEEEEQTPKRKVLRPKAPKVDPYTDISPSGKAKIKPKLAPRRMELDENPSPKTKLRP KAKALARSTLEDEEEEEVDLERTPKKKNAIHERERQILEARRLKHERSRSAFEERDIA RHRREPSEREQRPRSGSAFAGSAPTRKGKSRYEEEEEEEEDDISLRLAYDDEEEEEDD SELEWLKKQKAMKKRRAAAAREAQRSETSSPQYGIRDLKPANRRAGSGSDSRAAPASR YGNRYEEPSEEEEEQEYRQRRPVTAERQVNRNGKEREWDYEQPERRYQNVGMGNGKGT GLPQPPMMRDSTTSQDRQIRGGPRLKSAPIKFDDYDPDEHRRQTSARDNLPMQFSSMN MNGNSQENRNRSDSRGSAHSSAHSSAWPVDLPRLPRTPGSATTPGSVMNDGGGYFDIK PQPQSIPNPPTNFGNRQNQSQGDVNRNNYRERIQNHRTNLDLDDPPPQATVVRTPSPG PTGYTLSQRRELPQPQGRPQSQAFPPSAERVAQQLARRRSLYNAPSASTHQEDDNMSK PRRPQSQVYDVQNSQMHQHNHQIGRSHPPSASSAQFASAQLNHPFNVPRQQPQTPAPP PTVGIESPHPIGGREKLADIPKMEEDSNDGSDNEHHRGAPRIQVDSAPPSIPMINVNS SPMGNGGGGGVPMINIDSVDNGSPRMRNNAPQAPQIQVFEVPGISVAGPFDGPSISIS GPDDLNQHQHQHPQQQQQQSRPLPPQSRPQTGSDFGGRQSRPGAGGLICGGCNGPIIG RIVSAMGSRYHPACFKCTVCNELLEHVSSYEHDGRPYCHLDYHENFAPRCYSCKTPIV EEQFISLDDPALGKRAYHTQHFFCSECGDPFLTPSGGLPTNSQGELAVTGDGEFEGFT VYKGYPYCEACHVRLRLPKCKRCKRSIRDSDQAVEALGGKWCWACFVCASCHKPFENP SFFQRDDQPYCEHCFSIMLRNEI JR316_0009141 MTLARTWPKSSPRRQRALIASITLIVLSFLFFANNAIQTFPAVT ISLENAHDPLVLEDATPPAAADSRNASRILLVSAMFPLPKSKHSHEEYEYWLTQFLQR ITTDVYFFTTPDFAPVVRRSRPDGLTITIDTSYASPFDVSPLKGLEDDYAKMHNQDRE KKIHSPALYAVWNAKPFLLNAAVKILAGKGRVYDYAFWNDAGSFRREHRYQNWPDVRR VEQIWHKGSEITGTNANDLLFFPLTGLPATRMSRWKEDMGPIDNEVSEGSFFGGSPQA ISWWSRTYYAYHNHYLSLGKFVGKDQTLINALFLLFPSRIITVWYRDPLSAAHAGVIP FFDEGFLGACSSEWWYYQFWLADRSAREQMRDIWVSWSKWAGWEWYKERQRCRLTGVE SMQDLLERQFGKTWVSPSKAVVVANAD JR316_0009142 MSDIFRDSTVGSLINTLTNGRLFPHPEQRPDWVLPENLRPKEQR SSTDVKQKEKNAIDTPGVQTPSSRDSGEIEKGLVPEKAATPDKPVVGWYDDNDQENPM NWSLFKRCFVVALTCLLTTSVYTGSAIYTASIPDIMTTFNVGQTTATAGLSLYVIAYG IGPMFLSPLTEIPSIGRRPIYIITLIIYVALQVPTLFANNIHTLLAMRFLAGFFGSPA LASGGATIQDMFHFIKLPNAMVLWSVTALCGPLIGPIMGGYAAAANGWKWPLYELLWI TGFTLLVLIFWYPETNAETILLRRARRIRQRTGIQELTSESENRQSHLSFTNILFESL LRPFQLMTEPVILYLDLYIALGYAIFYLWFEAFPVVYVDIYHFGLGASGLPFLGLMIT ACVTGVCYLLYNKYVIEATFLRTGTIIPESRLTIALFAAPFGPIALFIFGWTARADIP WIAPTIGAALYLPGLFLIFQGAVVYMPMSYPRYAASILAGNGLFRALLGGAFPLFGRS LYTSIGVGGGCSLLAGITIAFWPGLWYLWKYGAQIRAKSKYANF JR316_0009143 MIKNKAILLLASALLVLSDVDAFTIHRRESIAHKNRLPRAIPIS ERNITSRSLEKRFDGARLTFYNAGLGACGKTNSDSEFVAAMNADQYAGGAHCFESVTI IVGSKTQSAQIVDVCTGCPFAGLDLSQGLFEFFASTSVGVLTGSWNFADAAAPPTPLP KTTEHQETPTPTTTQKTTRASSTVHISSSASSSSDVSASSVSRTATLLGSAASASATA PGSDSASDTGNLQMINLAFIQMAGLVMAGGANGGGSS JR316_0009144 MSSAQPLKVPATPSKSNEMDKDKTPAANKKQMPSKLGTIAPNDI SSPHELTAFVETLLEQLDSKFDDMSTQILDRMNQMSTRVDALEASIQDIINGDVNVPQ SPAPGAIRRTDSGLQ JR316_0009145 MQSTKHRLERGNLGSNLHAQPSGKSCTADSGALFDFNTRPTNSI SVENIPSHVNRREVVSLFNSLIGEVRSFQDIRDSPSSRLEITFFGRDSATKALCMNGY KLAGVPLAVSALTTANSVNRMNKTTMDDRRNLYVLGLPFALTKNEFSALFAQYGTVSH CVILATVDNSSRRRGFVVMSTHEEAKRAMLALTHTSIKGHSIDVSWAVVQRSQGFLDG GDRALLLDSRSHLSSPSPKLHERGNRNSSDFPDSAAESSDVDHNSVTPVSMPTPSLLV SNIPTLLFSQAQDLQPLFLPFGHIEKLEIVHVSPAGTMSVLVQYSRASVAQEAKDSLS GQLYGNHCLEVRYVKPPASSVLQSDQFLAALSDVLDKKTTMGYSSDPSSRGHLARAHS FGGTTTNQDVSTFKHAPLGGCGNFPRVQFSPMAHTRHTSLTAITPPFSNVCDDFNDPP FTSGPRCAATPGSKDVNPLALTAEFFVDSKA JR316_0009146 MTARLLADYLVQYTFSDQSRILTNSTLFDGNSTKYDWVVDKGNV INDNGDLAMLLTQTNGGTRLSSTKYVHYGTITANLKTGRWGGVVTAFITMSDIRDEID WEFPGAKTTEAQSNIFWQGVIPTTTTDGKTHTVSSDTFSNFHDYTIDWQPDTLTFSID NQVVRTIKKSDTINATTGVASFPTTPSRVQLSIWPAGIDGSPKGTVDWAGGMINWDDP DYKSAGHFYAIVHSVSVKCTGAQPVPANSNITSYVYGGNRLDVNPTILYSNRSTLLNA ASGRFGTPVVGSLGGMLMALGLGAVLSVNALLL JR316_0009147 MDAKTDTKKPKPRRLFSFDSVKSVTSSRRSSAASSDYEGPKGAR PLNPFELSNVQEKDEDDPEGTAQYARRIVEQPMTDAQQDDGSRSPPSTDPFADMQSPT KLEPVRPVPLRAMRSFNSEGQPSTPSPTRARWESLRQHVLPAHIRSMSPPPRPGSAQS SIHGNLPVRSTTPKPSRLARLGFKQVVEQARDMADDTRKLGEEIMRGCAVARYPEMQK SSKENLNHGSTVNLAGVQATGSSRMDYLRRPQSVVSLATTAAGTLGSQGPSLRFLYQI LVYHSGPEEHSVSPHLPYESQVLSTLLCPFLTPVKYPGVRLEEETITAMESFELVSRS WTPVDENACVERCLWCCKAASSLSPSVLRTRILGSLWRIIVPGDSNRILLSPQAFRSI VNGLLMLLQPIHPDIGSLQDIIFQYLSGSLGEIEDDQVEETYGVEFGIADRRHMPSVR RAIFLDALVSTIENSGGTGEWLLCNVIELTLGQLFKPPFVVEG JR316_0009148 MSREASSSSSLSLEAVGWQAKNPRLPFEIVDICQSRILPESEAL DEGTELTPQIVLETRIRVARLVLEVLCLDGDDTTTITSPKSDVFGGPRMEPTSEGTKK LVKWATNLICQWYRAGSGSPWKHVLEKTLQQTISGDWHPLVLILSALLKTIPTDLRKA IFLSIVPALNEKLVQIPPPYPFPPLSAFLTQLSKTLPPVFFRPLFACATSDKEVVVIN HLCTVQVHSRYIADYWVRDVEMLCMALLGNASGGETPGSREVARLGQLVLLVELIGRM QKIRHGKDPLANGDGRSGELLRFVTMLESRIWLMIEAKERTAVLPSSTRMLLGILFRE FRLLTRSLKPAPWLTRTLRWFEDFIADEYIGEFEEEVTTAVERIRGLYAAAQAASKQD RDRPTSLLAATASKFANNSTSGKTLDLASTFSENEKLLKSLGKGYSPKAMKLFVALSA LIAEEEYLNLGPLLWQHCLLDNVDASSTASACFLLMQCAEKTPIDLKAIIEVDLQTSD DTTRLEAVRKIGILTNWRFQIITQNFVTDRSHRPFKLARPPLPFIAADMGTSLYVYTE DSDESKESDDVPLELRQRLAELGFAEEDAGVIDPSQEWIKTPMSILPANQLDRMEVGI NDPAFGIPTSPISSPQPSPRKLGMQRDQAQGLLPVEDAAALLRRNSSTGGPLSSVKRR VVFVPQLALIFPRLATLLFDKNVAVAAATRATLMDLMRNDPSLLLRPIYECLAGDNKD MQLAISTLTKLLHVRRTLPPPFTHSVFNNLAGLLKLLARNSEIMDSLHDFSLVLPVMA GIATQVSGMSIKEIRRSKLEHFIIPSGSLWFTSSAPKGSMFPRHLKPTSDPFEPVPPT LMEITMIRVSQNIFFYSMLKRNYQDVQVVRKNMSRLVLPSLDDYGLVKNLEMNDYMPR KNPPTSNRPPNHSTVEILSLMVSRSYLLLVAQIFRSMPRHLSDRHELATLVDGLNRAL VVHGDDINIVSQVLIGYMVASTRFRRLFTSGGGYSLFMPALVKVYTEKPSHPGIRSAI EYAINRFYALHKDSFLYQAINTTGQLAMLPDIDLEWFSKGVYDLFASLSKGSSTTVDA AGIRNVNKAEEREALIIHTADEKPQTFLAAIRRGESQTSRQMSLQLPDEYESYRLSMD DFVRLFLTVIAHDLSIARAQHFLRLLRILSPHLYNASASTRTVLADGVVALGSILTRV FSKPKGGENMPKPILEEQDGLLLSPGLSSENNAKEKARTPSDSKIMRLDYLRLVLGLG SAGGQITLTVARHTLDVTRSLLKDWDTNTDALATFLGDFVKMLLYREEPTAPKAVVSF LQELSPILHAYLVSVNFTSVFETVLKLTEMSLYANDSMFGEVVVSEIVTAGLAACDVA ASENQLMTLQYRPVLISLIAEAIFLKDADVFSEIEKRPPTYQFLAGVVLPLALSMKTE PEVITDGSRTDEHREKLAIAWVRILYYAIKACQRSRRDAESVNRGLGSSFRSKMGDKN RQEAFWRSHLPTFMTALQVIKVVVVRGAADISYLPRIGIWERLSSFCSSMFAEGNADF AFKPDINSAAATPTGSPRTSAQFDLSSSGSRLFVSTSSDLSRPTSPSSFSERTRLFRR PRIVDYSLWSMLEFVCAYRSPLRMHLKVLMMEKVVNLDHKLQNQSSGTGNMSPYPTSP SSRRVSTSVFSKSRQRMSSLMVPSPESSPRLMPSPSNLMPSPSLLEIPSRRAGYQISP ISPQDNSGLPKILHLGPASPSTFPPISSPMIGAGVSGAGAIRNTRISGDGGESSSATR TTKIKSLKLIHETYRRIRGVQTFMGYDLLLPMPGLAASNSESAAASGSTEKGEEDAAL ETWTKKQALSAIVKETKNLMEEFEEGFGIDDGSAIVADADTRTSYSST JR316_0009149 MNVILDDYELIKTKGLRRPGEVKYALIDFGNAVVYPEDTVIENV VATRPLNYRLRGLPDIKGPYNPFKADIAFLGAELEYSVRHIENIVPELGLFFENLKRM MDDTQFTAPQALCHFLEIYESLTPEQLQTPVVTEAWRNGEQ JR316_0009150 MHRDPESNSYPFARVIPSNKEYFFWGSPTLWGARDRLGRDVVIK MISDVSSPSHELRALQRLNRKDLYSDPYNHTIPVLDFLTFDNLVFVVMPRWEDAFIAE FTIVMELMEVTKAFLEVLPNKIVHLDFLCQNTSMNVILDNHELYNAKDLRRLGEVKYA LIDFGNAVVYPEDTVIENVVATRPLNYWLRELPKVEGPYNPFKADIAFLGAELEYKVR HIEHIVPDLGPFFGNLKGMMDDTQFTAHQALSRFLEIYDGLTPEQLQMPVVTTAWRNG KLTYKKPWPSKQ JR316_0009151 MMMYHLPLRIRIVKLNSPWQSLFWTFRLLRWKHKFPSSYKEMQW TGNPSTELYMQTVHTWQFLAPFFASKGYRLHTKSKKFEDLIPEPLPPHPKRSVHPFGR FIPSNDINFAWYTPLLWAARDSKGRDVVIK JR316_0009152 MYLPEIRVIQLDSPIESLVWTIRHLRRGEAFPKSHEEVSIKKIT KEAYFRAVRIWEFMAPFFASRGYRLHTKDTKSDYMFPAPLPKHPETTYPFARLVHNKH DRFFWGSPTIWGARDQFGRDVVIKMISDLSCPSQELRALQRLNSKNLRSDPYNHSIPV LDFLTFDNLVFVVMPRWEDAFTAEFEIVIELMEITKAFLEMFVLLHKNKIVHLDFLCQ NTSMNVILDDYELLKTKGLRRPGEVKYALIDFGNAVVYPEDTVIKNVVATRPLNYWLR SLPEIEGPYNPFKADIAFLGSQMEYKVRHIENIIPEIGPFFDNLKGMMDDTQFTALQA LSRFLEIYESLTPEQLQTPLVTKAWKNGEHPVVSLFPQYF JR316_0009153 MDSPFEKLLWTLRHIYRKEAFPVSHREISCADVNRESYFKAVRT WEFLASFFASRGYNPHTKDPKSDYLLPVPDLKHPEDTTYPFARFIPGKYNDFFWGAPS LWAARDKLGRDVVIKMISDVSCPSQELRALQRLNSKDLRSDPLNHTIPVLDFLTFDNL VFVVMPRWNGAFEPEFEVVEELLEMTKVCLEAFVFLHRNRIVHLDFLGQNTSMNVILD DREPITQRGLRRPGEVRYALIDFGNAIVFPEDTIIENVIATRPLNYWLRDLPKIDGAY NPFKADIAFLGAELEYKVRHIENIIPELGPFFENLKSMMDDTQFTAPQALFRFLEIYN NLTPEQLQMPVVTRTWRNVDVQAPAKLVDAPMDPAYHPSNIGNVVSHLLSETFGCRFK ASDSDINAQTDFSDYVESLLSATKLPFRVTVASIILLQRLYTRLPDEVFELRKHFSPY QLFTGAYIVAAKQYTHFRLGLDICRLIMHSESEEPTFERDGVTAAVLMSNEYWAKRTS YSVQDVKKIQRQFIVALGGGVVVFPVKEVKTQEVLKQLKSLSIRRRLPSDRQHFGTSP YCGIGLKNLGHMTAEEHKVYFEFLGQRLPFIGSPIEVQVRL JR316_0009154 MDIREIQRWTRRQSLDMYTHSNHIPQPPHSAGLQYKGLRPRIDP AQVPSPIDAIERDRRVWESKTHTTLPGTHAPLCTSDFVAVDQGNSSPKFVRVSTWNMP STSKLVSDCHVPVAAIFQPFAEQSPAEEPVPVIQTGPSGPPRCARCRSYINPWCRWMS GGIRWKCNLCGHETEVTPEYFCNLDANFLRLDHMQRPELNKGTVDFEVTSSKEYWAQN PPAHIAQPFYSVETVQPGPRDPCSLTYIFAFDVSIDAISSGFLHASCVALKTILYGNP DLGIEPSYPTSSRLAITTFDSSLHFYDLASEITQMLVVADLDEVFLPKLGLFVDPMER RSAVESLIDSLPSQFAGATSSDSCLGSMIRGSLAALAGRGGHLVLFHSALPTVGAGEL PLTPPAETALYDTDKEKTLHSPRSGTWISIAEECAEHGVAVSMVLAPSKYMDTGSVCI VATRTGGDVFWHPRFVPERDGPVVQGQLRRLVSRGQGYNCMARVRTSYGLQVKAHYGA FYTSAPNELAFANMSSDAAFSVELEHTRTLSPREHAFLQCAVLYTSVEGQRRVRVINL AMDVVELAGSLFQYADLESVLSHFAKEAMSTMSQQRTLIIREELTEKCASLLLGYRTQ CAAATRSTQLIIPEAFRALPAFTLALQKTKPLKARQVSSDVRNYHVHHILSMSPRALM HYLYPRLLALHDLDDHIALPQVVTEEDGTKTEKTLMPFCMRNSYFFMEAGGIYLIGMF CA JR316_0009155 MAPKPSTEQLKQKSLTSFFAKGPAASTTPAGSTSKSSSKPVAKP KTEAVKAKEPVQERKSSSSNNALKSLPPSSPHEPKTPETRHTGFSTSGRSSVPSTRNG TSPPPSSDPIDVDMLDNTDDAKSMPSSTKSTTQSRSKRKVILDDSDEEAEDAPLASNG RRKASIRSSSPTEAIRSQTKRPRLSTVVIEDDEEEDEEDLKASLSQRLSRFKKSPVKK GNSKSRASSDDEDFIVPDDSDEDSHSIKSHKSSSSRRSSTSSRTSAASSEDEDHFDDD DLEEDEAPKKSKAKTKTKSSGKSTSSKSSAAKPSGDGVGNGTFSLLTAAEQREQEKKD DKKAAESPYDFLMDVRDKDGKRPGEEGYDPRTLLVPKKAWATFTPFEKQIIDTSTFKD QTKPLRYYSLFSERLYEDDARIGHQVFDLKLTNRVKMCMVGVPEMSFNMWASKFLAKG YKVGRVEQAETALGAEMRMAAEKSSGKTKAKGSSGQDKIVRRELNKVYTSGTLVDQEF LTDDQAGHCICVCEATTQGDEANDDVEPESERKFGVCVLDCSTSEFNLSYFEDDVCRT RMETLMRQICPKEILYKKGTLSKHTLSLLKSVLPAGCLWTSLRPVEGFKYEETLEELK KIYPTETGEDVEMDQDDPSYSILPDSVPAPIREMARETLAIEALGSMIWYLRQLNIDK DILSMKNFNIYDPMKKGMGLTLDGQTLAHLEILQNNEGSDEGSLLKLLSRCITPFGKR LFRIWLCMPLRDIGDINARLDAVQDILDHPTFEATFTDVAKGIPDLERIVSRIHAKSC RVKDFLKVLKAFERLNKGITNLADEAASFKSTTILGLLRGAPDLTPHLRNVRSLFQPA KDDDDKIDELVPRDGKDERYDEVVAEINELEKSLNADLTKFEKSLGIKLAYWHSAVGN KDIYLIEVSTSVDKKKLPNDWTKSSGTKAKNRYVVGSLQKRVRQLKEAQENRTAAIKA FKFRLFTEFDVERALWLRAIRVFAELDCLFSLAKSSAALGEPACRPAFVDGDEAVLDF EELRHPTLCMSTTLKNFIPNDVKMGGDVGKIVLLTGPNMAILKVMRMTATGVIMAQLG MLVPATQARLSAVDMIITRMGAYDNMFSNASTFKVELDECCKILRNATPKSLVILDEL GRGTSTFDGMAIASAVLHELATHTLPLSFFATHYGSLTDDHAYHPNIRRMYMSTLVDD EKHELVFLYKLIDGVAESSFGTHVANLAGVPRPVVDRADVISKDFAKQFKEKLQIKQE QHASAKIPLVAQADFAYLYKLGTGETQLPEDRIRRKEVLVRMKDIVRRYLAKS JR316_0009156 MDLLHDSQEDLEGMQVYENCKISDGQPCLSCRRYVEFEKQVVSL KMSFMQQLQKMRDQQRRLRTKINRGHDPLVRVLPSEVMASVFECYVDSQNSVEKSCQQ VYNCCAPLILGAVSQSWRRIAWSSPQLWTHISINPRGYEHWTCLEVAQECLSRSGQLP LSIEVSLYHPDLNHQIRNRQGIFRQVIDLVNRYSSRWQDLRVICRSEILPLFTGNAQG TPIIRKLLLEYPFDTYNRVEGDAKFKVEGLKPRPTHVSLSNFGFQSLDIDWLRVTNVQ FHKTKITLDQFFQLLQQAPELMDCQLSCISDSERSFRYPMNEIPIVHHALRSLEIGKY QGKELGLFFSFPELTHLTIEHITDPESRVLLNSIVPLIERSSCQLTYLRLHNVLCNRE SFIGLLRTTPSLHRLELSICKASLDVLQFLADTSVAQFSGEDACHQNSFLPNLQSIHY SDVRPVWDPAFWALIPTIPGLPSEFHNPQRRPLTKVEFACRQARWSDPKPLSMVCKDQ NVVARFIELMKLGISFHLTHANVDMLKNSIIHHGFDIHEAVE JR316_0009157 MLSDAICTTEQLRRLLDTSPSLHKLELASCDAVVEPDPMEILRF LAATADGTMSLLPNLQHLHYFTDSYTWTAELWALTPKVLGIQDIHSPNRRQLKTLSFD ISGDIGDKELGDLICKDKNVVMRLADAVRSGTEIELLHYSSRDVLKLSLAHHQLTLS JR316_0009158 MLLSTVQPSIISLFSSTSSDPLTLFSSATDQTLLADSFIHFLHD RLSSPLPESPRVLLKLPTVSDATEQEDSPDHGVQLDQTVLHIQSPTIRTTYIQCPPIL APYGDIGKHDRPGGLGIRHPWMHLQVRNLAREWSVEVGIVDHAGRSGTIRLSTFQNKP CLKIDQHSRSPPLLLLPLSFPPDSTHLLTLWSTIDIHFPSLLPYFSSPALRSMQDIDQ EDTEEDTKSHEWASSSRPNTTAPASIPSGTYSHVSYVRVYANCRLRRIWFGEGGPSQK GPWEFELYSSD JR316_0009159 MSHVFSQQPFYHNEHNSPWPLYFPPSYAFRTSPNRDPSPASDYA SDPAPSTSPTSASVPAPVPVSHPAVKIEPDDPDTRFIIELSSLYPSPSPSLPLSHPAS PYQHQHNHQQPCSSLHTLAPPTEVPLRATQASKEMREMMGVFRLNPFSMHSLSVNSNS TEGSESPVGSDGTKHTNAAWGGEAHPLEEEPLMFEFQLDITGPAQDGDAATEDTITTP SSALTERKHDLLLPGPIPIPVHAPFHLRETPDLTLTLTDHLQLRSFSPSFSLHPDDPY DDLYDDDDRDRDISLSRCDRRRRSVARGSDAGYPERGREGGRDELHEDDHEREATSAS TGISTSTTRSVHTPSCTDSPLRAHGVYEHEHDLGHERERELGHGHKHERELELEYEYE REHRYEYKLKREYEYEHESERKHERYKGPISSSSSPASPWDASASASTSHSSTASHSS VSSASAMHEQYQQQHSMFVDNQHQAFSTGSAGAGVVPMLGIEYAHAHQNAHPHAHAHA HQHQNAHHQNAHQTNVTLTPMRIQRLHTTASHPYLRKSLHHYQQTHGHGHGVSLSYAQ QQQQIQCQGQSQSPIQSQSQSQSPSPSHTQQQHNQSQSPSQEFAQHHQQQHSQHQQHS QHQQHSQHLHHHHQHSHSQQQQHQHIFSSDSNVNGGGGQSQSQSQPFRYIRRMRGESQ SESQAHTQSQSQSQEFEDGYENGYEYEEPSLGSLGLQLQLPSQLPSQVQMPLSLGLAS QSGLASQSVLPSQAGLPLRVYTPSNASNAGSASPSVSAGVGVSASVGVGAGGDGSAYS TGSTVATSTSSTTGMTGAGIGAAGGGTGAGTGSAGGGTGTGSAGTGSAATTGTAAGGG GGGGGGGGAGTSGTAETIHMGLPLTSVQQFHLHHPHQHPHPHPHQHHQHQHPHQHHPH PHQQQQQHNPHLNHTYAHTHTHPHTHQHANTQHGSVYRRWSLPDTGIGSGSGGGVGAG VGAGVGIVEGVGHGGMGLGLGLGLNLGLGLGLVGVGVGLGSGAGGGGAGGHGNGNGSG GGNVASFLSS JR316_0009162 MCRAIHLEAAQAQAPSPVQKQSPAVLAHTHAYPRDWRRRSADVG GLARALASAAVSMNGGGMGEDDELSGFGGAMGGVHGEEEWGAGVQGQGWMGGEPGEIA TEYAELLSDMYTQTMSSVNDNNVETLPPSISDSKRTKLIWALDRWHFEPHLLEEDEVI ACTILIFEALFRVEGMQEAVPVSMQQITSFIHHLRRIYRYENTYHNFEHALDVLQATQ SYLKSAGVVPPPSFLLEAPGRRWTPRKGLNDGGGTLIASLGRRELFMLYVAAIGHDVG HPGFSNHFMKNAHTPLAQLYPSGSALEHLHIQLLLRVMRAHGLASVLLDSPADGAHLR KVLVQSVLATDMGVHDAFMETLRNCVEGGKGSLCHRQIVLCQAILKNADISNPTRPFL VSKHWASLLSHEWSAQASLESSYHLTPTVQPFSASPRALAASQIFFISRYAKPLLELT EKAVPEMRMYREWCRKNLKEWEQRKRGVEKEEKEEQEREEKAREREERRRRNRGLETD ADDGSMGTGTSASPSAVSSVPSSIPSTPGMQPTAVPVSLQAAVAAVNASGGSAAGTTP TPTPLPLTHNQSYLPPPPPQLIMTPGSPRIPGFTSAFPLTLPTHHPPALALSVVSSTA YSSYPSSSRSSSSASSSVGSEKARVNGVGVEDALLTIPIPPSSPSFSVSDDASEAASV PFSPLSETSGSFATRAAGGSTPTQIPPLSVHALGGSLAVNGGYGHSKQSAAVTAQAAA RALRAASKAGSMRKRSQMNLNASAHAHAHALENLTMSMRFVGVNGGGGGGDENVNGHG LANGVIEDGKANEPLPPPLVDKFATVGRKIKAKTRTNVRAARNSWCAGTSGVGFGNVF FGFTNVGSSVDGNAHATANGSEISNAGSDEDKDSEKDVNGSGPPPSSAPPNVGVGTAG GALKPLPLKAMKLQVSP JR316_0009163 MASSGAPVLPPMPDFLSADDPFSTAGDMKFHLQNLLDSKEKQLQ QAGSLGQRVLAQQMELEERIRQLQELEADKGEDDEIDNEARERYRELAETIVAWDTEN AQLSSTFGSSKRFLNGTHSPAVPYADLPREEPERAKASAGTSAAQSRRAKNAAHRADD VEFAFEIGSGLLTEVRRLQSLLGERDKAIQDMKEEKDDLEKTVEALRTALRQQEQNAD KFKEENWNLEVTLQEIRTQLGDSQSSVQRLESEHKRLTKALSNARDAADQHKNEGERL QSVVAEMKSKHETDIAQARKHAAGLARDKSDLQQAVDTLKAEVARAGRMLPRFGSPLT PGGADTKDFLTPAGRDDDGDVFGTTGRGSTNRRNLDVSGLFPPDDLGSDFPDSPDPSP LRRPFLSSNHPTNEIEALQQRLAHAQRQINTLKGSLNREKQLRIKLEQAASASADADE AGPDDGEEYADENTAVVENKRVAKRSTTPFKVGGNRGGRGRGRGRGRGGITLIQRLGM ASSSPASDFNDDDHAERDMSESPPPPVPPIPINFDQGDEEDEVSQFFGSSGDHEQTDE VEESQDHPSRSRSPSPSPAEPSSNRTSIDGMDPAFANVLRRIPSNGSSYTRSPLRQAV LGRSSKSGVIGRRPRGGVPYREARPPSIVKAPEVLSAELGEVDASPVKSYRNSLLNDA EIPEEDGEYIRVKKVEKVEFGCQTEVVEEEKTVVLPEPPVVVDIPVVPVPPPVEHAEM GTQSDPEPEPIPPPQITTSEMSLQTEPEPVVVPPQKSEMGIQHFTPEPVPVIPTPPVL IDSGVGTDPIPEPVPVGRGLPPLVIGDSSRRSTLTQSDVSRASTSAGETTITRSFLVG RNVEEEEEEDEGEETETGADTEDDYHDARQSIGLSTPSEDFHSIRTVTDNDYSDSEDG AETEDDTESIKASHFSSIRDPSSSSLVRPTTSSSYYPQQIPKEILYESVAVSADLYEP PSPVIIEAPPPPKPEVKEMSIQTDEWKPPTPPPAAPVPVAAPTPAAPPSKPAGLFRVG SSSHQFQFIPPPPPSSNGNTFSVHGPVFTPPSPVPTPKSSVFREPSGTLVRRATSNSE RRQSIESLISSTNGGADDPASRSRVPSSSGNALLTSVDKTRPPVMSLPPPPKQPPPPN SMPPPNFIPERKVLASLNGSHHDGPPPRPSSPPPAELIQRATTPLGSILVPPGKYGST RLHGSSLPPSQANLRQPPSTSSFRSTSVAGMHTQASMPPSHTLSSYSVRERERNQRST SSLPSENSLASPRSSMSSERHLYQSVNEPSTPNKTADVTPRANNTMMATDPAIIHAIT QTMIGEFLYKYTRRTIGKGVGEKRHKRFFWVHPYTKTLYWSSADPGSSNVSESSAKSA YIDGVRSVLDPNPMPPGLHQYSVIVSTPQREMKITAPTKERHDIWLNALKYLLARPSN AGVTSPANNTLLPQPQTPENLEQGRRPPLLSPQSQRSTRSAVAGETWNTTPRGQRSRS QVSIGGSVGKRSGTPAMEYMRWNAPESPYSPDRSFVDVPGQDSDELDFELHEENMSDE GFEGLENVRACCDGRHTVGPSGKVHHHHHHHHQQPNQGTITSRKSTDNQHLDVNPHLQ DQARPSSPAWSFRSRTGSAQSHEAGGGIFGWGRGDDGKIRFGSRRSTKSSVPVQD JR316_0009164 MENDEMEFELPNTSYDAESYGTLFTDPIVAGIDKKFDHATSRLD TGVAPQVRGHNVRTEWRRQQKEYAKFLQEALEERFEQENIQKEMSVKRTAPLST JR316_0009165 MFSFAMRNALIALTTLAVAASAASSLSLEIAGPDQVQTVESLNV MATITNTGDEAIKILNDPRGPLSKLPTDTFTITDSTGAKPAFTGIKVKYVPKTAASIG AYTTLSPGESIKVSHNLGEAYNFTAPGAGAYDIQANNLFYIVKPDNSVSPIYADHASS HRAHISGKLAVTRPGAGALSKRASYTGCSSSQQSALVSAASAAQSYAASSLSYLKSHT SATTRYTTWFGTYTAARYSTVLSQYTKINSNTFSSFTFDCTCTDSGTYAYVYPDTFGH VYLCGAFWSAPTTGTDSKGGTIIHESSHFTSNGGTDDNAYGQSACKSLAKSNPDSAVD NADSHEYFSENNPSLA JR316_0009166 MSTTAKGRITGGNGERFTAMFILPGSDRAATFLGNFYSSVPSLT CDDATLTYNNPRDLTAARQFEAQLGVNNVKFTMNNGVIIEGVLNTPISPPISASGAGV WVIE JR316_0009167 MVYISTVQATFLILAAASSLAAPIATDSSIQSRGVTLEARTPNF GSFFRKVRKAATPSRIKKIAHIAELALRENEDEQIVTRRELEYLEDLVLREPSIGSFF RKVKNFFTPHNIDKASNAAKEASKLAGMLKREASEDLSARSLEAFVDQLTEREFEDIQ ELAAREPRFGSFFKKFINMKNIKKAASVASLVIREDDEPFYMFEREALEADVDNLD JR316_0009168 MASRPDQATTSTTPPPLQSAAINRGADLLVELLEQEKQQIAHLY HGALRGLELHLKKAQDQHDAQMAAAEHKQQEQGQRIQDLTASLVQAQSYNTDIAGELE ALRAEHEALKLALGQAGLVYVDGQLQLDDRAARIVDEFVKAAKIQEDAMMSSPVTKQY PLQRDNSLSEPVGPSEFFDVLSRVIDKGRKFAEHVEKYQQPQSKSNASDKQTMLSIPQ DGLKAPHPHDSSSIRMNAQAVLTTALNNRSLTTQSFSKASMKHEAS JR316_0009169 MNITLSSPRLSSGQDPDNPSLVASHIPNDIPTNHVLIKVDRFGF SANNVTYQALGEHPHFRYFDFHPAPESDDGKSSSKTHGLIPVWGFGTVVKSSHPKIKN GERVYGYLAPTRYLLLPVSPSDVNKFAFYVPRPHLPADRRPYNQILRCEADPHYIPTP DAEDLTMLYRPLFWTSYWCEDWLHSSRYKGGASTILISSASSKTAFCLAYAISKRIRK GEADPNTRVIGLTSRRNVNFTKKLGLYHEVLDYGSFTSAASLQGHRDERWIYIDVAGS DDLNKVINSHFASPYTPQLAAMVSLGMTNLSPSSAEGSSMQWEENTFDTSNNYDSNTR TSSFWPKVEKFFMPEWLDIRRRQIPILEIFSRQNEAWKALMDDCQDWVKLERVYGPAN VRDAYLKLVKEGLGPDKGLIWSLWDSDDKASPVNLAKL JR316_0009170 MSTTAQGSISATSSTRFTATFVLPGSGLQANFAGDFSSSVQTFN SANAVVTYNKESDLTGTREFSGQIGVTNIKLTLTNGVTIQGILDMPISPADSVSGSGV WDQN JR316_0009171 MNISSNIGVDYKASSLDLGVIHPSSLQGSDIKFIRLQWLDYTNM VKFRIMPVSYFQKLLASQRPGVNLAKPSLGLVGLGLAEGFPIMGEYYLTPDVRTLRRC PYEPGHASLMSWFEEKAPVELPDGSSSVAVPLCPRTTLKRIVDCAENESHVKFLVGFE SEFVLLKSTDPIEAVNIHEFSSSDSMRPGAIETTVMNEIAKSVQESGIELQLYHAEAG AGQYEVVTGPLPPLESADALVHTREIIYNTAARYGLRATFTPRLPTSAIGSAAHMHLS IHSTVRTPSPKHPLHTPKAPNVLSPLESTFLAGLLAHLPALPALTLPTAASYQRVGDG RWSGGTYVCWGTENREAPVRLTNPASPSSRRFELRFMDGTANPYLALAGIIGAGHAGV RAGLKLEVQDNPGPQSAAQMSPEERAALGIVRRMPLSWEEGRKNIQSDRELVVILGEE LVEKYLSVNKLVDSTINNPEASESERIQSLIKFY JR316_0009172 MLAITQKRCVPTLQLRASYIPTPQPCRSISNSPYGRTHVWKRRP PVLPNPVVPKFPQKVIRSDGTSFTHWTTSPKSLARLTRDTTNNPLWNTGNPNDRSLED ESNAAGRMGRFSRRFEVLGSDLSDSDWMEEMSTVMKREVLVEKEKPKPKSKK JR316_0009173 MSYPGYSPTPPPPGSVPQTPTAYPYGAYHPSAYGHPTTPGAYTY PPGAYQTGVTSYGWSYPYSYVTHPTQQAAIAAAAIVQQHQQHQQHLLQTQQQQQQQQQ QQHQAQAQTQVVQPQPQLQQPQIVRPAPAPTTHVPYSAPTPLARSTTFSAYTPSYTRE AASSFSTGGRGGRRQSNLKGLFTKELKNLMYGFGDDRNPAMDTVNVMEEILIEYITDI CQQATGPSKKSRLSIDDLRRALSRPADAKKLARMEELLFMQEDIKRARAQFDDDIQNP KAI JR316_0009174 MEEGTKTKKTVFVGGISDDTDETALYESFSTFGDILEVQIPPPA NQHQNPAPDAPKHRGFAFVTYTSTADAQDAIDNMDMNELKGRVLKVNLARPMKTAAAN LNGNRAIWESEEWLKEHIKPLAQSGGVQGRHAQPIPEESEEKAKSDDGDAMEE JR316_0009175 MEINQVWLKKWDFIEIVYLFQRYAVVLDACVLAIYRQTGSDMTD VKCDRVKWIVAAVMVLGTLSSEVILTARVWALWNRTTRLLTVLCALGVVIWVPGVVGL YRFFVTVKAPPKPIYKGFHGVYGASSQARDTIPAVGYGVENAVVAYYLSRCMFHRECN FILDVSGVVPRYW JR316_0009176 MLASRALLQMREQFQRQNASLSLNDSGEFSISGIGMGVGSVRVD SMGDGDGDVDINIDVGVGPAMPEPVCDADRCDVDKRGSMGTGTGTRMSLERRSSHRSH VSGRVE JR316_0009177 MAEPQKTAPAPQEQHPYPPPPMVSYPHQPYNGPYPPPGPPGAYM PPFFAYPPPPPDGSHPEGAPNGGPPGPYMIGLPPGVMYAYPHHPQAQAFGPPPATSAS PPALRPKRKQVKMACTNCAGACKRCDESRPCERCVKYGIADSCVDGQRKERKKGIKRG PYKRKSKGESESPSYTGDWPPGTQPPPATTSAAAIHAVAQYAPEGYYPVYYPPPGFIP HPHDGQPGPDGSPPHPMPYYIHPGTYPPFPHYPAIYPPGAPPPSSAPPHPHSQAQVQA QAQAPPPVAAPGGPNESTPPQTVNPSDTARKVDDAPPAAAAAAERNGVVETNGGGGSK KRARASRGGEPKQKKAKTGGGVNGNAAEASTSAVASTSTSTAPAVNTNTGADNEKDND AAESPVAAVIVPPPSAAIASSSSSSPLMDHDHASSASGESSSDSGSDDNDKSA JR316_0009178 MGNRASSSRGATTSEQDKARDQGLAGHTQGRRERRDNAVDMESM EYSRQQQQRQQQRERQRELPSEMMIAPGAHTGHRERQADAGAMVSMDSTQQQHQRRRQ RQLQPEMRIPGPPQGDHAIATAAMFGSAENTQVHGGTFTAHHGPQASFVVNIHNGPQT PLGSEAQRHQTGGRPRRARSPRDHPLPPIPPQEEEPIGVRHHQSVEPRIRCVQRSNQV YEQHLLQKGRGHPLWIPQPNIHLPTPYRVKGVCIGDVGIITSDGAFDFLFNICLSATD PIHSGQLPAGFVPVDPPLGVKDTRAYKEFTNGSYLASSTIQKVLTQRRQVNIDDSSMS ISSTNIDVPFSGFSFESSASEGSVLTMPEGAYKEELNNISKFRNHIAIHAESWYRFAN GPCGREIQNGDLRLVIGCDKTTYWGIATFYDVVQQKNLRLHYVARQGADGRRIPDKTY SWEHNGGAEVRVGPDREENDDILSEPGQGPLLNQCLFLRTLTVTLSEDLWHGVQRSLG VDVKVDESEPSSGSTSQTQKPSPAVSTQDTSYLRREIQGSRNHSNQSTSLAIQNADNV IIQPSTPSSKPEAKVAIVNDKDWYSILSSNVNLQSLRESQSGLLLSEIRKTNDIYVSD GVVYLEPKGQNLESLSPETVSLVNELIDGSIPLLDYLFGRSGRLQMRHKIVKELGLKI LENFVIDGDGDMGTLRKQLEGMLYESHNNFADALQNLLEEISTMEPEVELRWQTNDAS HRAFLEVHPRSYLCLDDIIRSIKVSRATSLPIQLAPLETIQDLRDRFHTYSTSFVVES QISLLLKLANSLLNRYLNLHQSDDLDQAIFYYEEAYTSWSPIQSYQQVEALLGLCSSH YWRLLLTHKVDDLIALIKLIEAQSNIDRVQLTVPKRNISNNNDTQLAADVIMIPARRP ETYYSDNESFEYLIFDDTPELESSWSYSINSSTISPDIRNLMSKELEEANRIFQLGVT RNIIEDYLVDCFRKIKEAHPKRKTLSIGEWPQGQQIQTLVNSALGRFVYPMTVMRYVS SSRHSPADRLNTVLNDKESSEKIKSLCMLILHEAPDNHEIHRILNFIAIGKNFIWSPR NMEEYLFLNPGDVRRLMLDLDPLLEIVDDETAIKFRHPSFPDMLTGSSMSYRGAESVH EEFVYLCIRHIKRFHQPGCPSIGYTAAVEYSINNISEHILNSASYDQEFRSTISEVPY VESLINGMSTIHSGTSSTKLLCAFWKALNRKFHDDAYRRQRDIWDQSLLSRLEKLSPD FAVTFCISLVATKWRVVNPLNIIRHLSAITYPKTYQDAYSLGQFLYYLRENDSQHNSW TPDDEYRQLLLNFFFDSSRSKSWYLDQSKISTVAEVLMNFMVRPWDDTKRSISLPTAS GSNPQSWQSILLAFSHLLKHIGYKKELVDTLSGPNLLFRVHIVKKQTDEVSDLVKTVI DAVEEYMIRNGTGSAGALFIHIRDDAEKTLAVFGGNKGEI JR316_0009179 MSAPSNRNVPAEGIPYFTPAQVPPAGTAEPDGAQTLPILFQPLQ IRGVRFPNRIWLSPLCQYSAQNGLLTPWHLAHLGGIFTRGPGHTMVEATAVLANGRIT PEDSGIWSDEHIAPLAKIVTFAHSQGQKIGIQLAHAGRKASTVAPWISGDPTASREVG GWPDDVWAPSAIPYQDNYPHPKALTKEGIKEVVDAFEAAAKRSLKAGFDVIEIHAAHG YLLSEFLSPMSNKRTDEYGGSWENRVRIVLEIVDRVRAMIPESMPLFLRISGTEWLET VFPDEPSWRSEDTARLAPILFEHGVDLLDVSSGGNHPKQNIISSAGYQSNLAKDVMRA IGATCAYPSGESDAAATDTSKLSRLIVGTVGTITSGTQAETLLQGGFADVAIVGRQFL RNPGTVWAWADELGVVDVRLANQIGWGFKGRGKKTHVGKEDHGVDTGKKDLKN JR316_0009180 MPPTHIAVLGGGLTGLSSAIHLARRFPTSKITLLEKQGRLGGWV RSERVDLPQIGASVLLEGGPRSLRPNGKSVLELDEVITVPKTAPPAKARFLYIPKSNG YSGPSGLQRIPSSIFSMLSSPLASVMLPAVLFEPFKRYNRPSNIRDESVDSFFTRRLG ETFARVFGSALVHGIYATDSRKLSVRAAFPSIWEAEERGRGSIVRGFLTPKKKKDLAE EETYDLGDVPEIMRGISVYSFRDGMETLTKAMERNLETTPNVGITKNAHVSSLKLLED QEVEVAHSQGTPLIASHVVSALPLQVLYNLIHDRNNTLLVPNLNKNPYSTVHVVNMVF PLPPKDIHPEGFGYLIPRPPDGYPDASNPSASGVLGTVFDSCSLYEQDFPRTENYYNN ASHTKLTMMTGGPYSKPPLPPHLSSSETDVMPPFIRNLLDQLKTQLGKELPDPVYWRI WNNEACIPTLLPGHLERMEEMRAQLGLSSTPGSFDRDSGGWKAHLAVVGAGVGGVSVG DCIEAGRQVGREWT JR316_0009181 MPSISSIFVAVLFVSTFLQVSVLGSEDTNGSADDESDANIGGRR PCGTLLERSEWRTLTDNQKSDYIKAIKCLQSKPALQPVIKEAKTRFDEFQAYHIAIAD TVHLVGQFLPWHRLFVKSYETALREECGYKGANPYWDWSQDAAEFAQSPVFDPVTGFG GDGVPGTYTLPPYGNDSKIYDPHMFVGCVQNGPFAQYPLSIGPGKLVTDHCLTRGINN TYSSKYLSAAAVALATRLPTFELFHVQLEGEPLTPDHGIHDGGHIAIGGEMSNFYSSP GDPVFYLHHSNLDRVWWNWQQALPQRLYEISGRSTTTPPFKNVTLDYTLLMGNLGETR PIRDVMDIHSEPLCYTYV JR316_0009182 MFSVVTTYLIIIRYIQILEGRIQALEECIEKLRRDANKESCTTS PDTNPSPTATQGPDAALSCLYAQDPQIQSVPFDNGVSSATLAEETEIPETGDLAHVAL AQDMTKLSMSPGSTDRFFGQASVFMFARDVYIVRESVTGDNAGLDPKQHRRLTFWDTR PWEVSFVTASEEHYVYPEEDLLLNLVELYFEKTNSMIPVLHRPTFMKSLCLRQHHWDP SFGMIVLLVCALGSKYSQDPRVNEPDDSSGLSSGWKYFSQVPIHRKVMLVKTTVYDLQ YFSLAAQYLMSTSMPQASWDVLGIGLRYALEQGAHRRKGQNQTRSAENELRKRAFWFG AIVCLDRIGSSFLGRPCAFPHETFDVEYPVECDDEYWETDDPALAFQQPAGKPCSITG FVCLIKLCEILGFVLRTLYSNKKSRLLSGFVGNDWEGRMVAALDSAMNEWKDSLPDYL HWDPDRPNSLFFHQSVNLHATYYYVQMQMHRQFLTKKNSITFTSLAMCSNAARACARV LEAGMTRGLRVLPNTMIAAFTAGVLMVLAFYGGQCAETEYISNPEEEKSIYNKCVNVL KECERRWHPAGRLRDILNEVGTLNQYFPVPAASNRKRRRHLFDAEFSAQPSISSAGTS NHPQHVTPDTSSSTSTCSEEMLPYDSDLQNLMLAEMGYVPGDFKEGVQDCADLNSDTT DNSCNIPPSDDLTLGADMFGMWLELVSASSKYGLFP JR316_0009183 MHPGENVDIDSLISAIPPFDEYRINGRFQSSGSKLPQSTFATGM ESTPSECSDESEPEDLSHIALAEDLKKLHSLATVNRYFGPASAFMFLRHVTIIRSQIT GENFTGPDPKKYRRHIYWEARSWEMSFVTSSELETCYVFPDDDLLQELVALYFEKTNT LIPVLHRPSFMKLLSVGQHRWDPSFGMIVLLVCAIGARYSRDPRVAVPDDDSGLSAGW QYFSQVPVHRKMMLFRTTIHDLQYYCLAPIYLLGTGIPHVGWILVGVGLRLAVEKGAH RRHGANRSPSAETELQKRAFWGLICLDRVGSAFFGRPLGFPDETIDVEYPIECDDEYW DAEEPFRQPEGKPSLVTAFIHFIKICEIMGNVLKTLYSEKKSKFLPSFVGKEWEEKVI TELDSSMNKWKNNLPDHLQWDPDRKDADFFHQSVNLHCMYHYVQMQIHRPALLKKSPL GFSSLTMCSIAARSCARVVEAGLRRGLRILPCTLVSTFTAGVVIILGLWGGPSLGYVG NAEEDTECLHKCANVLKGTEDRWHCSGRLCDMLNEAASMTEYKPSQRRDMSEADDISI PQIPMSNLNLDKVPQPTVKDNAYIFEDNNGSTMKGWDLQRLLLSEMGYLPGNIMEGIE EIPVSTGYADQFAHAGVASGVSGVPHISPEASIAGLWNGHSNSSQWLSQEWSAYFDSM SQS JR316_0009184 MPKCEVYNALKKSDRQPPPSNRSNDRELRAIAMYRYRNLWKAAD IEEFKRAFIYGVNCHFRAFQTGRVLHCNIVENNLIIYQHVRADRNEEENVTEYEDNAS RRKASLLMTESKSVEVNEPRVRRVLTDFDVAVLLGPDGKPTVSSICDHHITGTIPFMA RHLLRTPEERELQRSMYPIMPTYHLYRYDLESFLYILIWAATCYDLTTHKRLQMPKDS HLEEWSNIKSMKKTRQAKQLGHGLLADLEQDIRPEWNGLWKEWIQPLLTVFSNHHFPR ASIEQHCLSQQAHHNWDRISLGSEPRARRVLNEFDMAVILGPDGKPTTPSTGNHHITG TLPFMARHLLQTPEERDEDQSLYPTIPTYHLYRHDLESFFYILIWVATCYDLTAHKKL KLPKGTYLIDWSNNINLGRARIAKELGDTLMKNLRRGILPEWKGVWREWIMPLFVLFE EGLRCSEAAQKRGNIDDFITGGGRLTFDNFMRSIRGEPLNLDSKK JR316_0009185 MAPSFETHSQSSLSTSNSPSMGDHTHQKIDTANSNALNHADDVN NTLKLDLNNHILDNVSTAQFVEPASELEPGKSTTTLDSQFAQPDGLSKSYQDIVNNKS DPGLHMPFDDISRALYMMSHFNLFHTGKVILRDFDESNVIISPLGRTDKPDDGEEGKN ASDKMTST JR316_0009186 MDPSILPTDYSSYASSSSSSNMATSHSNTPTTSGNPQSPNALAM NLLQNLMQIQGLENNIVQQQLSSSIIPGAGSGPNTTQVPQTFTNNSALLLEQQIKLSQ LQQLQQLQNQIFQQQIALISGQSPSMLPSSPHVDPSRQSAGGSDQYTGLPTPGPSAEI RPQRPSMSMDFATLSPSGSVTNYIEPLPRAQIPLPASAASSSNSNSNNINEHSQHQLS PNPNMIQSQHFNPHHSSPSHVRHGSLEGTNLNPNLQRQLQALSSLHHQATQRPHHPLR QGRTPNSASPSFDFQHDQDGMSSPIYPPTSPIHHPTSPHHEQAHRNPNYEHHSQNHNA RHHHHHHLVAPHSAPERVAFQIFQGPFPGGGGGIPGLTLGPAGIPVDDGQGQGRRMSL TESPRYTQGQGPAISHINQMQILDQDISPLTSPWLGAHPTGSANANQSNASPNASSGP SSRSRNAHRTSASASMGVTSSSSSAGKAGGAGSKRTASSSGDEGGGGSGRSRKKQSPA IRPTLGLSMSAVVSPGERERGSISPFGGAKSAGGDVGNDGEKEEGKAVDKRKEGVDKE HMLSSSSSSSARSGSVSGSVSPAPDLSTPLESTANSASGPLAGSSAPNAKANTRRPYR GSLSTNSTPLMRGTPSLVMTPASAANSRAKEKSRSKSRGRSGLSAGGAGAGGVQDTPS PVDLNNNEDDSAPGGSGGRVDRSMPPPPLPASVARGNGGGGGGMELDMGVELGMGVDG MMGMNRMRNDQGGESLGMHFGEMMNSHVENHEHDLGRMDGIGMMGMGMGISGMDMNMN NLGGMEGMGMGMGLSGMGGMDMDMDMGMGIGSFGGMDMMDMGNGVEFGSHGSSQQQQS REQNQNQGSNQQMQPPPPSSQNQSQRSQQQQQQPLVPVTPASIMNLGRLGINNAAGGS GRLGSASASATVASASNSGSNAGALGSATMGKQTKTGKKEGAASAAGKVTSGAASASA TVTTRSGRVSTRRAGMGNMVSPSLKPLLPAGSMTPNMDPTLPSPMTVSAPNTPRTLPV VTGGMGAPSFAGGPPMHVRKTSHKAAEQKRRDSLKTTFDDLRKLLPPIALPTDAEAGG EAMLTSPLFNPTTPLLPGALPPRGPPKAGGEGPNKGVSKLQLLICGNEYIRLLKGRVE RRDDEIGKLRREIGRLRMVVEEKGGEGVVESGEGEGEEALDLEKDLDAVEKNAIAGGS QAKNGPTNDAVGGDDAMDEGDDEGDD JR316_0009187 MTSQKLRIAIVGGGIGGLTLAVALSHLNLDKHIQVDIYESTAKL TQVGAGITIWPRGREILKNIGVEASLAERLPGDQELPALGVPKLAFIIRKSDKKIGEV IAEVMLRGGSISIHRADVQEVLLKHISPSVKFHLAHRLNTYRRTKNGIELEFKNGESV VCDLLVGADGINSAVRQTFISEGQDWSEEERIRQAAPVWSGTYVYRDLIDSEVVRRVY PTHSALTKPMVYCGKDKHIVAYPVRQGKFVNMGIFLSYRDQEGTYHSGPTVVDSMPDE CMPHFEDWEEEARVLVKLSSKPSKWAVQTTKPLDTYAKGRVLLLGDAAHAMTVHLGNG AGQAMEDAYILANLISKATQEKLDAVKIAEVYSAVRQPFVNFVVQASRNQGLIYELNA PGLEDLKDGDDVSREDLDKLADLIRSAWDWTIESADDGLRKALAML JR316_0009188 MGSIALAIYPEERKKVALDTSKAVEIFAWGYKKGAIHMGISAAV AGFAALAAAFQTPPTGLPFSPRNYLLLLAPLHLANGVHTLLFMLPTNLRLLALREKAT KKDSSLTLSDEQEVETLLRKWKRLHYVRLTLGAVGWVATLAVYMATL JR316_0009189 MVDWMSPAEIAKDAVAFDRFMHALLGLYMWEFAISIQFDWQYIS GKKTFRWPMIFYFLNRYCLLFALIGIAIALNVTEEVNCQGLYTFNQCFGNAAIGLASI NLSLRTIAVWSRKWYIIAPLVLVILGHWSLLLHGILLKAAWVPGQGCVITQTDNKLLA ATFIYTMVFDLSVLILTAFKLFSPKNGKSKLVGLIFNDGLIYFMIAFMANLIATIFML LNLNPVMSIIANVPAAIASTIVACRVVRRLSNYTSRGAEMFPTTTQGSTIAFRSNMST HRPKAATKVNTKVSTKSEGVHVQMETFESPTDKTSYVEYDASGKMGSADSYDPESQVI SGEFKRPPY JR316_0009190 MPDWKDPAEIQKDAVAFTKLMHALLGLYAYEFFISFDFEWDFIT GKKKFKWPMVFYFANRYLLLFAMIGIAISLDTTSKLDCQTLYTFNQLAGDAAVGLASI NLSIRAMAVWSQNRYIIGFLVLLILGHWSLILQGVQLSAVWVPGVGCQITKTNNTILA AIFIYSMCFDLIVLLLITYKLFGITGNRGSEVMGRSRLTHMIFSDGLIFFIIAFLANL VATVFMLLNLNQIMSVIFNVPAAVFSTIVATRAVRRLTNFTNNGPEVFAASSTTGQRG ISVPPTQRSIVPTLTYKTGSRAGVHVQMETFTHGEVHHDDIYTKTKEGSETDIGTDIE GKGSLSQ JR316_0009191 MGFPSTICRLLVARAEGSSHDQKTTESYQKYLQSLAQPDINDFD AVIAHYHDACDFRYETDPLYLTTTLGLVIDVWRSFEKAEQTAAALQEVIRAQEETLKH CEAEENKPEGYTRLLINLGKVYIILSDTNEKERTFSLVKSSEYLERALAFTAEHPECR ASDETEASLTLGIASWKLCDIERRDIALNAAIARLSGAYQCQNEFFSDPLPAPQDLAT AVQYLHEAIDRSPPSAQIRDTSLLHLCQALLLRYELSEDQESKCHDLIRAEAVARTAM PIVSQDVHGKFKDTLIVISDWKDQAAQVDSMHVKPSPVAPGSSMLDAGRTFCGSRASR AFPCPTSQVIFTTWALSTHPSTCVQRPTKTIAFTQSIGHRVTPPNRKPRGPRKWSVSG RNLISVSPQNQLSFGSSYHAITTHSRSRRI JR316_0009192 MCGIFGYCSYLKEKSRKEVLEVLAAGLARQEYRGYDSAGLCIDS DKAGDVIYFKEVGKVAGLRKKIAASDTNMNKTFISQVSIAHTRWATHGIPSERNCHPV RSDPNSDFVVVHNGIVTNSAELRLVLQKRGYKFETETDTEAVAILTKYVYDSHSDKEL TFTGLIKAVLKELEGSFAFVFKSRHYPNEVVTARRGSPLLIGVKTDKKLKVDFVDVEF AGQDKSENIESMQTNSLLAVPHANPKVLRTQSRAFMSEDGLPQPIEFFIASDAAAIVE HTKRVLYLEDDDIAHIAEGELHIHRMRRKEDGDQTPSQIAATRTIETLELEIAAIMKG KFDTFMQKEIYEQPESVVNTMRGRVNFDANKITLGGLRAYLPIMRRCRRMVFIACGTS YHSCLATRAIFEELTEIPVSVELASDFLDRKTPIFRDDVVVFLSQSGETADTILALRY CVDRGALCVGVVNTVGSTLSRETHCGVHINAGPEVGVASTKAYTSQYVALLMIALQLS EDRISFTERRNQIIDGLHALPGQIKKVLELDGALQQLAATVAQNKSLLLMGRGYQYAT CLEGALKIKEISYMHSEGILAGELKHGPLALVDENMPVIIIMTQDSLYPKVQSAFAQI TARKAQPIVLCNEGDEGIAAGVKTIRVPKTVDCLQGLLNIIPLQILSYHLAVKNGCDV DFPRNLAKSVTVE JR316_0009193 MNYGFYRKRKQMMRGEVSLAQKRKSLIISTGQSVPNLLTTTTMA SNESETANNSLSSDLAGTDASNLFRVIDRDNVHGLNLTVPEDAKEVIKPWDEREETTK FIESNVDDQLIIHIPFTQNVRIKSVLIKLGRGEVTPRHLRIYTNHNHIVDFADTENTK PQLNISLLEGETRVVEYPLRVASFVSVHSLSLFFSDSIGGDVSRLYYLGFKGDMRSVK REANSRLEVPAPNAADAPLTERASHKASGMQTTAR JR316_0009194 MIYLPGKTDKLEEQDVADNVEIATKKIASGPATESKSVEITEPR VRGVLNDFDMAVVLGPDGKPTTPSTGDHHITGTLPFMAGDLLMTPEDRAKFQLKYPTI PTYHLYRHDLESFFYILVWAATRYDLKKGCRLRLLPGSPLIGWSNTPNVLNTVQIKAL TIPLMDRLENGILAEWKGLWVDWIQPLFLLFMEGLRFSQAAQTHGNIDDFTTGGGLLT FEKFMATIKVTPRNFDTKK JR316_0009195 MSGHTPMKIKSASSDIKINADDMRDILKSDLDDHVLNNVSVAHF VEHVWGLEPAKVSEILDMQFQLPDDLLKEYLHILSNKREPALHKPFRGISRALLKSVC DQFNLPHTVLQTYFWDGNGTTYVSRESRVKSTKTSSRNAQTKGVKTKKGSHHGMLKPD LLEVCIPVDNDNNPLNPDNEDSIKHVEVPTWGLARAPVEFKKGRKTVTPKLDTVHEGK ETGTGSGVGTEVSSLNTAGTGNSSGFTQDSGESRNKRPGSPTLSKEHSDAKKQRTTRN IPLHELQLANYALECLSAGNRHYVTGIFINGVTFRLWYYDRSGAMRTVSHDFSQPSGM ANLAASLFALSQSNMKQAGFDPYIYHLAGKLDEPIQTSTVVPLTTPLPQMENVCYKFT KGNKEHVFAIHNSISRYRGINGRGTVAADVRHGLIGKTFSHNLYVLKMSWQFPIRQHE GEIISHLRTVLPNCWHDHLPDPVFYTKITAEELAMPKYNVYNAMKDSANPPSNHSDDR NLHVIATNRYKHLWEATDIDEFKQAYIDCVECHYHAFQTGNVLHRDISENNLMIYLPG KTDKLEEQDVADNAEIATKKIASSPATESKSVEITEPRARGVLNDFDMAVILGSDGKP TTPSTGDHHITGTIPFMAGDLLMTPEQRAKFQLKYPTIPTYHLYRHDLESFFYILVWA ATRYDLKKGCRLHLLPGSPLIGWSNTPNVLNPVQIKALTIPLMEELETGILAEWKGLW ADWIEPLFLLFEEGLRCSAAARRRGNIDDFTTGGGLLTFEKFMATIKVTPRNFDTKK JR316_0009196 MTVILGSDGKPTTPSTGDHHITGTLPFMAGDLLKTPEDRATFQL TYPTIPTYHLYRHDLESFFYILVWAATCYDLKTGRRLSLPPNSPLIDWSDTAKLTRAM LMKALTIRLMDQLENDIRAEWKGLWADWIEPLFLLFEEGLRCSAAARRRGNIDDFTTG GGLLTFEKFMATIKVTPRNFDTKK JR316_0009197 MSNMCIESTHEKRTSPPMCPGTHPSPQNSVDSTVPDCDIVQNLD GISEQKDVEVGLYLPSLSPTFVDITELSTAGTESEKTLTEASEYTLQNSSATGSVENA HYASIYDLPPLDSITTTGKLSKIQKRNGMLQFASLCYCIWLAGWNDGTTGPLLPRLQE HYNVGFSVVSMIFVGGCFGFITGAALNIWLNDRLGLGKILVLGSCCQLLGYAIIAPAP PFPLLICAYFIVGFGMSFQNAQANGFVGSLDKNMTTKLGVMHGSYGLGALTSPFASTY FSRFPDHRWAYQFIFSAVFATINVIAVILVFRFRRQEEVLLDAGQKSPTNSECNPQRH GNTYRQIFTLKCVPLLAAFAVIYIGVEVTFGGWSVTFVIHERGGGPSSGYISSGFFGG LTLGRVGLMWLNKMVGEHRVIILYSLIAIGLDLTVWLVPSIYENAVAVSLIGLVLGPM FPVFVSHMTHILPPHLLTACIGIITGIGVAGSAALPFITGVLASKFGIGALQPLMISM MVVMVLLWICVPTSVRRMESV JR316_0009198 MLAAQDERFKNGRVASYHTPKRQVLGNRAGHTAPAWKANAASVS GHGINGGPARAKSVTETGSRIFISMLPVDVGEKEVEELFRITVGPLKESFLVYNSQGR SKGMAVVAFQRPGDAMVARAKYDGKFVDGRRPIRIEILTDGSHLTQAAAKPSPPSLID RLSPPSPVQQAQLNGTAASHPKTTASIPSGPRPTKKANPPIAQAASAKAIPIPPRKLK TKKGPKRLKKRVVTVDDLDKEMEDYRAAAPGFEE JR316_0009199 MVAPLYTTASGLLFHAGKILVITIGLPARGKTHISRALERYLRW MGVKTQVVSLGDYRRKTLGGAQKLPPDYFTHGEKSPETDALRKKVQNGCEKLIWDYFE SGGQVVIYDANNGTRAARHALAEKFDKAGIHVVLLESLCDNKEIIETNIRNVKISSPD YKGWDPDLAVQDYYTRIRGHEEYYETVEERTWPYIKIINVGEKIILNDIQGYLQSRIV FFLMNIHNRFRTIYFARSGQSLIEHSYKADSDLSPAGWEYAERLKDFVLERRAKSLEQ RGIDPSERKLVIWTSTRRRAHHTAWPFVASTSSSSQLSQSSIPPHIPVDTIETLKESP ELLSTSPDSILPSEPLSRGPPMPTTAPVKKSPPLPSQIKIVEKPQMLEINPGIWDGLS PEQAKKYYPEDWDRFTKDPYSYRAPRAESYHDLSVRIEPILIELEREQEDLLIIGHAS VIRCLLAYLIGLPASEIPAIEIARGDLLEVVPASYGVHSHAFHFWDGPGRRGEGDHDI GKDANNFYENYAESTKGKRKVAVEEAHGHELAAGAQNGGVDVDKTPVVEKKAFVPDTP TINVVRLDLGA JR316_0009200 MGILEKLEVPHAPGLTYSQMFLSNNDLVPVPPEERKWKARNFVS FWIADSFNVNTWMIVSSMVQLKLSWWQAWLCVWLGYGIVAPFIVLNARPGAIFHVTFP VVARTSFGLYGSLWCTFNRGVMACIWYGVQASIGGDCVKVMLRAMWPSVNDIPNHLPA SSGTTTRDFMCFFLFWLISLPAIWFPIHQIRHLFTLKSIVTPIAGITFFIWCIVKAKG VGPIISRPSEIHGSELGWAMVVSLMSCISNMATLVTNAPDFASRATTPSAALWPQFFS VPISFSVVSFLGIIVSSSSENIYGEAIWSPIDLLGKFLDDGPSSATRFGVWFISFAFI IAQLGTNISANSISAGCDLTSLLPRFINIRRGGYIAAIVGLCMLPWNLLKSSSSFTSY LSAYSVFLSSIAGVMITEYYVIRRGHYNVADLYDAHKGGWYKYTYGINFRAYAAYIAG ILINVVGFAGATGRTVPLAATRIYQMSFFTGFGVSALIYIILNKIFPVPGVYTKFEEI DVSGMVYDEHSPEHDSRQNDSEHDTASASEIDSKDSKSKI JR316_0009201 MSLRSFTVFQDDAPSKDTQPKVSRPTAMTTRSATRNILSAKSAT AAGESAPLDKENYNPLTGERAGPSTAAKKRKTAVLSAKPLPPVKSKKEQEGHSEPEKK KRKPSASGVTKPTVSSASKTKVKKDVKGSGSLKKSSNNRTGSSKVSPLPKLAEVEEAE KEQSTQADIDSRCYDLTVKPLADVSQAYEESSIFDGFANAVAGGSEEKVKFDKVKFGT AKASSVEPEIRDYFQPSQALFCPPAASRLRAFSEQPSAPRTFSTPERKQIYAAFTFCS PTSLTSKASRSGTISPSEGL JR316_0009202 MSSKAAPAVTSPLHPPVGTLIDGGSLELVEVLGVGGYGVVYRAV DTTRQPGGQKSYAVKCLVASGHQTPRQRQIHIREIALHQLASAHPGVVTLHRVVEQGT HTYIIMDYATDHDLFTQILHKCRYLGDDALIKEVFLQLLDAVEYCHNLGIYHRDLKPE NILCFDDGLRIAITDFGLATTDKLSDEFRTGSVYHMSPECQGGEFAPTGNYSPMFNDI WSLGIILLNLATGRNPWKSATPSDPTFQAYLRDPMGFLPTVLPISPEVNEILVRMLDV DWRERSTLREVRYAIEEINNFYSDGVVFEGSMARCPWESGMDIDSASSGTNPEDIGPQ SPTAQAFPEEVDPQLGSHWSKDSTSDIVFATQSLAQESSYGIPWTNYSSCGATWAYES PVSSDSEPDHFRMDVFERSSTPSSVQTAETSLPPTPNHHDTSFGQKATKPELRSTLLI NTNIPRPRIYDASASMTSYSTGTSIMQTAIEYDPYSSMFFINSPISPGKVLVMPDSAI TAVGEDKDMTSPTVWSASSATQTSSLSSYSSSSTSSSVADEDLRFARSRTPSPEPDVH WTSFPAQVQSLQAQQCQLSPSVSTQITDVLPHSSRPNHSFLFAFNKHPHAHPTASAAA TVNTTFASGTPTSSASGHKSSTFSRLAIKLFPRSPSPSTPSSTSDSTVSRGRAATEAS HSPFARRQTPSPTPHAAAWGHSAVAAGNKAGSAFQQEHDGRHTADKEVQHGGGSSPQH HHLRSTRHWYLPGRFRTSAEVN JR316_0009203 MLSLRLILIGLVVGLLPVAVTAAPEPLAPKNQTIQYNNPLIYYH GRWDASQGTWWPGSGFKVNVLNLKSLTLNLGPLTPYPYASIGVSVGDTDFIPVNASAG ANTITIPPAVLKQPLSRPTTVRINAAGWETYRLQFDSITINSDALVVPYIPSKTVFEF IGDSFTSGYLMPNGADQSWAFLVGEKYKAEHRIIAQAGAALTDIYSYGNVHGMSFQFF KTEDTSYYYTTDHNYTTDWNFARDHPKPSHVVIHIGANDNAQGITNDQFVQVYNDFLV KIRALYSSQPLFLFTPWGWPTSDGNVYYYYDGQYQRVLDARNALGDNNVFLVNTTGWI TWEDVFPDSLHPTPAGQAKVANNFISWLENWGFSPN JR316_0009204 MSSTKALFQPLQIGDVTVKNRIHMAALTRNRAKDTYPSDLIKEH YVQRASAGLIVTEAILVTRLGTEWPHAPGLWEDKHVEGWKSIVDAVHEAGSIIYAQLW HVGRVAHPDMEQQKLAGEPVYGPSAIGARGGKFRLLPGQPGYVTPTAIDDPWKIVALF KRAAVNAKKAGFDGVELHGANGYIIHQFLDSTSNQRTDEWGGSIENRARLALEIIKAT QEVFGRNVAIKLSPAGGYNDMGMPLQETLDTYSYVITEADKLGVSYFALVRYALFFDV EYDGVRRATKHDVLASYSPYIKNAKVIVNSGVTPEEGEELVAAGKADAISIGFNYITH PDLVERVQHGKPLDNIPDMPHMQTNKDSGDWRTGYNDYPTAVY JR316_0009205 MNRLLLLRPISRAAARTNGAVAPARRDLARAFSTTSCQNIRATP PANKRKKGMTEVDAEFFGGPFEAAPGQSKQQQHSKQQPKQQQPKSEAKPQQLRPSVVH SARPSKQTTDFKSKEVVQAEQVESTSALPPQLDEQLFADLLSNEPINIEEYYASWFSL ETRQALEQLRIDRYAFVCSILETDLCSSLINAFDPQTEEEFEKRFLAFEISEKNDDTP LLPLLEFFLRGGLQLLPPKLNAMRPEECIQLVKQSVVEEAFNTQQKGPWQLKSETVQN YISSPVEYPDLMTPDSILDPREVYDFPASKETPFQNQVIVRNHRSVFHEYNEGEEELL GEEESGPPIEEDEGGDSVINALPVSASYYQNLYHSILMRRPVVQQTGKGKIRRVAYMV LVGDGKGLVGYGEGKHSNAAVALKAARIAAVKNMDWVERFEQRTIWTEMRTKLGATQL ILRPRPVGFGLRCNPFLHQILRAAGLKDISAKVWGSRNKLNVIKAAFRMLHAGHAPTG MGDGVGGKGKKLSKGSGVRGKAEIERARGRKLISLRH JR316_0009206 MSGRTRTKSASSSILKSDLDDHILDNVSVAHFVEHVWGLGPAKV SKILDTQFQLPEDLLKEYLHILNNSTKQEFTLHKPFRGISRALLKSVCDHFDLPHTVL QTYFWDGNGTTYVSHESRVKFKKTSSSNAQAMVVKIKKGSHHGMLKPDLLEVCIPVDN DNNPLNPDNEDSITNAEIPTWALARAPVKFKKDRKTVTPKLDTVHEGKETGTGSGVGT EVSSLLNTDGMGNSSGFTQESGKSRNKRPGSPTLSKELRDAKKQRTMATRNISLDELK LANYALECLYAGNRHYVTGIFINGVTFRLWYYDHSGPMRTVSHDFSQPSGTANLAAVL FALSQSNMKQAGFNPYIYCLAGKLDVPIQTSTVIPLTKPWPKMKDLCYKFTEGNKEHV FAIHRYISRYRGINGRGTVAAQVRHALIGEMFSPNLYVLKMSWQFPNRKHEGEIISHL RKVLPDWHDHLPDPLFYTKITAEELAMPKYNVYNAMKDSATPPSNHIDDRDLHVIATN RYKHLWEASDIEEFKQAFIDCHYHAFQTGNVLHRDISENNLMIYLPGKTDKLEEQDVA DNAEIATKKIASGPATESKSVEITEPRVRGVLNDFDMAVVLGPDGKPTTPSTGDHHIT GTLPFMAGDLLMTPEDRAKFQLKYPTVPTYHLYRHDLESLRLLPGSPLIGWSNTPNVL NTVQIKALTIPLMEVLETGTLAEWKGLWADWIEPLFLLFEEGLRCSAAARRRGNIDDF TTGGGLLTFEKFMATIKVTPRNFDTKK JR316_0009207 MSSIINQVSETKDPREQLAIIEAEVARVSATLVGLMNESISLKR TVNDQYSPFLLHLPVEIISEIFSFCLPSFDPKNDMPSTGDPPFTPFVFGAVCASWRRI AWSTPMLWSKLTFRLISPLNIHTQVNLLEEWLARSGELPLSIRLMAADDFSWTGVSSE GVIQAIRKYAHRWHDLIIHLPSSCYRYLPSTEEARGFPVLNSFILKPPGGQGDRVHRI TIPLSPNLRYLSLSCLYLRSIVFHFEVLTHVELESFYIDEILEMLRQTTALHTLTAKR ILSGDDRHDPPEEPIILSNLEEVCIVNDKGTDIQLLFDKISTPKLNTLSYTAEGLQPV PAHDIVSLIKRSGCQLETLDILHAPIREEPLQILLAALSSLKSLKMAMPTLTSIRHAP LTDAVLQTLNPDYAQANKISCSLPELQSLSYAGAQGFTWEALLTVLESRCPLPPPKDP SQLAPVISSHKPMSSIKDVMLVLNFASPDEHSSTPSPCPIMLESLAHRGVSISIRSDV RPGLHALVEEA JR316_0009208 MQAAANTAQNYLGPLDTTVHPEYKHAEDGSTMRALAWFGNKDVR MVDAPIPAITEPDDVIVKVTGTTICGSDLHLYHGEIMTMQKGDILGHEFMGIVDKVGP NVTHLRPGQRVVASFQIACGKCEYCKKRLSSFCDRTNNSSLQNYMYGQRDAGFFGYSH FTGGFPGGQAEFVRVPLGEVNLLPIPDNVPDEKALFLSDILPTSYHAVVDTGVHDGDV VGIWGLGPIGMYAAKWAQLKGASRVIGIDKVPERLAFAKEKLGIEVIDFSKFSDIPKR IYELVPRGLDVALDCGTFHEPKTMMHKVQKLMMLETDSPETINEMIVSVRKLGRCGII AAYAGFANGVNIGALMEKGVRLIGNGQAPVHLYWKEILHDYIMTGEFDPTFVITHRVP LEDMSKLYSAFDTRQSGVEKVFVETKFSSKPSVGCPSVSRVSEWSSIN JR316_0009209 MAIGVAMSNLKLDNVFQVDIYESTAKLTQVGAGITIWPRGWEIL KNMGLEASLVERLSPDQEVPTGVPKIGFVYRKSDQKVGVPITTILVPGGSISYHRADL QDILLKHISPLIQFHLSHRLKRYQRNDKGIIELEFTNGEKAVCDLLIGADGINSAVRR TFISEGKDWSEDEKTRNARPVFSGTYVYRNLIDSDLIRRDNPNHQALKLPVVYCGKNK HIVAYPVSQGRLINSVLFVSDISKQGTYLDGPAVVENTQDDFVSPFVGWEEDAQILVN RTSKPSKWAIQTSKPLDAFASGGVFLIGDAAHAMPPHLGNGAGQAIEDAYFLAMMLAK ELTSKEKISIEKITRVYNAVRRPFGNFVVEATIRQGLRYEFNAPGYEDIRDGETVSEE RLEALGKIIAKGYDWTWQSMKNDLERALSMMKNDSLERAMM JR316_0009210 MTDYIHSAIGVLQQWLEHTQLTVTNVGLALLALFVTSRVVKYRK GLRAVSYMPGFRIPFHPLSLPAILLPKASWNPNYYSPWTWRYSFYKPFPNDTISVVPY LVGSPTFYTTNVDVARQVSGGGHKCSFYKTPESSAAFLLWGMNIVAADKETWRKHRRI VGPAFNNSLYQMVWTETLNTYNDMVEAEGWKGKKEIDVPVIQTLTFKLALLIIGKCGF GFSFDWSSPPRSEDGSLSIQEALRIVADSHMIATFLPKWIQNLPTKKFKEVREAHGKL LGFMKQQVAERRAEVRSNSSEGRHDAFTMLVKANEDEGKLKLSDDELIGNVFVMLFAG HETTAHTLAATLGYLAYHQNLQDEVYDQIASVLGRDREPTIDDYPSLNKVLAAFYEGV RLFPAGHIMIRQAYEDTVLNIPNPVGEEGVTTFPVPKGTNVIVDMIGVQYNPRYFDNP GEYRPSRWYGISNESESFSAFSIGTRACLGRKFATLESVCFLALLLRDYRVEPALSNG ETKDEWRDRVLDGKIILTLGVNNVPVKLIRRV JR316_0009211 MADRTPTSFEARQNCINTLLEIDKSPVRSTSSNVSMRSNSSLPI PLPDTKSRKMALHHLAATGMEPRHFALVPAHRDTLKGTAEAVETARAAGRGTSSCAAR IN JR316_0009212 MTSAPQHPVEVPPFQLQRLIPDVIEPYTFQSAAGKIQLRCAQAL GSEIYAGCSNGELLRQVVPGEKPIDEIVLVPSLSRALVLSDHQIHFYTIPSLDPYPIK PIRNVVTFAVDDIHLKRQLPPLSAQGLQLPMEPVDFCVVKRNGIAMFTMKDRVFYTKE IPLQQGGITLAKRTGRTLCIADKTHYSMLDLEALSVFQVLPVSQAFEPTPFVVKPSIT VISQNEFLILSWTGASTLGLFVTGDGDPVRGTLEWPSHPEAICLDYPYITSLLPNNTI EIHSVDTQAIVQVVGAPEPSVSSSPSSPNQPGMHRRSSSTSSKGGGVDLQKRLSLISS ISGYLVPSTQRSDKMRTVPVKLLRT JR316_0009213 MPRWLSSIVDFVQWFGVEPSDKHFLQAKSLHAEYTESGQKDFGT LNLSLANFELALCYRRRGEDGLAHPDLEATLSTYASVLWKRYEITKSLFDLYRVIELD EEAKFYGRRRSTLPPGRLTTPILFRSNITSSQQFQKAVQNYEKLTLETDLRKQRCGFL KLGIAFLAWYERNDAGTPSGERIKRLDKALKYFHQALDLGGNEILPNASEAMDADQKD AILRIAVAYYVRYKDRKDPKNLDAAIDYNRKARSALQVDDKNYAYCSFDLAEQLFTLY QRVSGSKEETRTTIRSRSSLDTEKVNGAKCLEEAREVLEDVLTKRESLEEDLVANCDD LLKVVQRHIDGLSVK JR316_0009214 MAGCWSSFLDFFRGDPSRKQFKRANAFYSQYKASDKKDLKALNN SIANYELALNNRRKTKDGLPHPDLGITLITYASVLWERYTITHSQSDVLKVIELDEEA YALWESDPASRPPDYPVLLLDLGNAYCNQYKVNPALHDMLGKAIIMFDKLKEVGTETD KQTGLIKLGDALYTWCYDDLAQSQDEKEEKLDRSIAILEKAIEGGRIGRKEGASDSSI PYETARQGLLTLAMAYNLRFQNRKLRADLDEAIKYNKLVLKHMRNDDTNTPYSLFDLA EKLFVKYEYEQGRRATGRSTDGAWLSIDLKADKGVNELRGAEGALTQVLQWREIDDAK HAELKSESTKLLDTVRTHITNLSRTASRASSSTNLNASDNGAEHV JR316_0009215 MPSFWSASLDLFRKDPSYRHFDNAMKCINLYNEKHDVEDLNTSI SHFQISLRNRGKTKEKHARYSLDKILTHYSDALWTRYQLDVSKFAADMDKVIQLDEEI CRIWGSQSDQPAISAPLAKKRLALANLHAARCYRAMRSFERSKQQADKDFAKASYGKA IGQIRTVLWEMDTVPPEVRWIARVMRGVVVTTWWDHQDLEGVENTQDAERTLQEAINN IADALDIGAPLTIDAVEQAKFKATPETCMRTLGTAHYVCYQISERLSDLDDAIRWNRQ LLSRIGPIHEEYAYCKFDLAQQLFEKYQHERRTRKNGTGHYLEANTATPGSQALYDAE VVAKALMDELPKMHDTAKYREIQVNLDKLLRTMDAHSAYSASNKGSSLRTPSPAPSAP SSGHASMSCAGA JR316_0009216 MSGRTRIKSASILKLDRDVHILDNVSVAQFVKHDIIELQARGVL NDFDITIILDPDGKPTTPSTGDHHITGTLPFMASDLLMTLEDRATFQLIYPTTPMYHF YRHDLESFFYILVWAATCYDLKTGHRLPLPAKSPLIGWSDTTDLPKAALMKSFTITLM ALLKKGIRAEWKCLWADWIEPLFLLFEEGLRCSGAAQRRGNIDDFITGGGLLTFDNFM DTIKVSRRNLDPKK JR316_0009218 MSGKVSGKSKSGKAAGGDAASKSQSRSAKAGLQFPVGRVHRLLK KGNYAQRVGAGAPVYLAAVLEYLAAEILELAGNAARDNKKHRIVPRHLQLAIRNDEEL GKLLGDVVISQGGVVPHIAPELLPTKTGKGKKESQEA JR316_0009219 MAPKPASTAGKAPASTASKAPAKSTEGAKAAKKTSKAAAPADGD KKKRKKIRKETYSSYIYKVLKQVHPDTGISNKAMAILNSFVNDIFERIATEASKLAAY SKKSTISSREIQTSVRLILPGELAKHAISEGTKSVTKFSSAGAK JR316_0009220 MSNDETTPLLNKMQSDAVENLKGDIRTYPPEHEGGYRTLVLLFD GTGDTDDGDISNVIALRNMLHPRNDPKKQLVYYQTGIGTYNPHFPGLKVKVPLVSDVS RTLDSAIAWSLDYHVVEAYQWLIDNYQENDKICLFGFSRGAYTARAQVDSVSAMINKV GLLPQARKDKAWDAYKSFTKEGPDTWTDSKAFRKENESRGVIIEFVGVWDTVNSVGTV SARKLPFTASNGSVRTFRHAVALDEHRSRFRSNMWNPPKTDPKKQPWPLVTDVDQVWF AGAHCDVGGGSVPNGTRPNLAHIALRWMVRECFKTKNGMTFDPAEIRSIGINPDMLYP KVVERPAALEPTPDLKPSTDTIKKPGVIQSAGSWLKSWFVTPPEPEKPDYSVYETYSE EKLDLIDALAPIYDQLIVNKAKWWLLENTWLKGYSPQEKRDVWRRNLGRGRTILPPVD AELTDPVKAKAKHQVPLDPRWAKLRVHRTVRTRMACAGDDKNPPYIPKALMNGETTLD KLDPELIQWVD JR316_0009221 MSNDETTPLLNKMKSDSADNLRGNIRTYPPASEDGHRTLVLLFD GTGDTDNDNDISNVIALKNMLHPLEDHKKQLVYYQTGIGTYDAYIPCLTIKIPFIGEL SRLLDSAIAWSLSYHVVGGVNFRGLVYLRTADGILGVEAYQWLIDHYQENDKVCLFGF SRGAYTARAVSAMINKVGLLPRALKDKAKDAYNSFARQGCGKWKDSEAFRKENACRDV IMEFVGVWDTVNSVGIISARKLPYTASNSSVRTFRHAVSLDEHRARFRSNMWNPPSTD PKKQPWPLVTDVDQVWFAGAHCDVGGGSVPNGTRPNLAHIPLRWMVRECFKAKTGITF DPAEISAIGINPDALYPEVLERPAALEPTPDLKPSTVTVKKPGVAQHAGSWLKSWFST PRAPETQDYRVYTEEQLDLMDALAPIYDQLIIHKMKWWLLEHIWLKGYSPQEKRDVWR RNLGRGRTILPPVDADLTDPVKAKAKHQVPLDPRWAKLRVHRTVRTRMACVGDDKHPP YIPKALMNGETTLDKLEPALIQWVD JR316_0009222 MNSDETSPLLNKLNGEKKGDILTYPPAHKVQGRALILLFDGTGD SEDQDISNVVALKRMLHPIDDPKKQMIFYQTGIGTYHPDFPGLRDKKIPVISTASRTV DSAVAWSLGSHVQEAYKWIMDKYEDGDRICMFGFSRGAYTARAVGGMINKIGLLPKSR EDQVERAYESYKQKGKDYKKEWQAFREINGSRDVPIEFLGVWDTVSSVGVVYGKTLPF ADRNGSVKTFRHAVALDEHRARFRQDMWRLPKFSEHNKKPWSWSTDADQVWFAGAHCD VGGGSVINGTRPNLAHIALRWMIREIFKKEAGILFDPAELRAIGLDPDALYPVVKTRP PALEPTSDMTLSSSNTIKQPNAIVRFGSWVKSFFVTPPKEEKPDYSIYGSYSEEQLDL VDALAPIFDQLALKTAKWALLEIVPMKIRGYTPNLGKGRVIPPPFDPRAPGSTDDMKK KAEKDPRWTKVRVHRTVKTRMSCKTADGKERYVPRATVNGEIGLDKLNPDLIEWVD JR316_0009223 MIHKVGLLPLSHIDKVWDAYGSWVHSTAFRKDTGSRTVIIEFVG VWDTVNSVGLIGVRKLYHTASNGSLRTFRHAVALDEHRARFRSNMWSPPSTYPKKRPQ HFVVTDVDQVRFSGCHCDVGGGSVPNGTRPNLAHIALRWMVRECFKAKTGLRFDPAEI MAIGIDPDSLNPVVKPRPPPAALSNSHRISTELILQPSYISKAASWLISWFKSGQISG TPDYSIYNTYGEEELDLVDALAPVYDQLVINRRLWWFLEHIWLRRYSVTNKKMVWNRN LERGRMIPVPHDSSLTDPSAAASLGQVAIHPGWSKIRVHRTVTTRMESSGDIDGRQYI PRALLKNTRTLNNVDPSLIE JR316_0009224 MTSTADSRSRSSTPPEIEAGTIQHGDSQQTKRRRLRGACDKSKC DSARMPGNREQKCSKRKKASLQLQQHSYRHTRYIQNLEDKVRKLEGYIEKLHPGKNVY RVIALSEAQEGSNTSIINRSEVPKPVRSLYGITDPNFTLQQTIASSVPSRCVSLAVDG GEMSEEEDLDQIGLSDHLAKLSTNAVEERFFGQSRFATFDIKSLAWKKSKVPNINESV VTLTGTSDRFDVEYPIECDDEYWETDDPEQAFRQPEGKPCTITAFVCFIKLVEILGFA LRTLYTNKKSKIISGFIGSDWEGRMVAELDSAMNKWKESLPDHCAYTSPLWISYLSAQ RLVVKWEPERQDLLFFHQSVDLHVTYNYVQIQIHRPYLTRPCCLTFSSLAMCTTAARS TAHILEAATTRGIRIFPMTITGAFTSGLVILVGLWGGQSIGYVGDPERDMQTLAKCLN VLKECEKRWIWAGRLRDIINETGALNEYYPTKGDKRSYEASEAASSNQIPATVPSAID GLINTAFTSLTEIDENPGMMATPEFDWDRLLLSEMGYLPDFPRDNTETLNPATSHRAY NSQGPTPSGIEVPHSQITPGNSIHSSWDNLPSSSFRVEEWDAYLRSMGPS JR316_0009225 MTLDDVRRLRVAVFGAGMGGLTCALSLAHEGFLYIDVYETAPNL GFVGAGIQLAPNMARILDKLGVWKKIESEAVLVKSTSIRQGTTDEELGFVEFDSVKDK YGYAHMVGHRASLAGSLYEGCKAQSAITFHFSTAVSEVNFGGDDRKPSFLATPLVGPA VRVEADIILAADGIKSLTRAAMLKELGSTDHVVDSGQAAYRIMLTREQMKDDPELLEL IDADRVTRWIGEKRLLIAYPIDNKRIYNISTAQPDTHFSAAPSAQYTTRGSKTRMLEV FSDFCPKVHKLLSLVPQDEVCEWKLRIHARLPTWVHHSVALVGDACHPTLPHLAQGAA QAIEDGITLAVALSKLPNTDPESVHQALKIYEKVRKTRAETLVDLATANGKLLHLGEG KAREERDALFSKLLRDGKGTVPDKWADKEIQSKIYGFDCILEARRACVELGCCDLSSI VNIQQLHQMPAEDSSVHLEQGTSSDAQKAKRRRLKGSCDSCRSKKSDSSERPGNVCTN CILSGIACTHDLPRQQKVTEVKQAATAHIMILEARIKNFIVKVNKLHPGEDIEQIINA PVREISRSPSISSGVEPSSSTFSSVDLRYPSSPPMDIMTAATSPTAEDEPAASDDDDD LAHVALAEDLKKLSTNATEDRFFGEASTFMLAKHVTDARNMITGQPNAGLDPRKYRRL IYWELRPWEMTYVTSSERPYVFPENDLLNTLVSLYFEKSNTIIPILHRPTFERSLSMG QHHWDPSFGMTVLLVCAIASRYSSDPRVSVANDPSGMSAGWHYFCQVPVHRKKMLYTA STYDLQYYGLASLYLSGTSMPHNSWTVIAIGLRHAFEKGAHRRKGNKQPSVEEELQKR AFWALICLDNLSSSFVGRSGSTPHDAYDVEYPVECDDEFWETEDPDQAFRQPPGRPSY MTAYVHFIKLCEILGFVLRTLYTTKKSRMISGFVGPDWEGKMVAELDSSMNKWKEALP EHLLWNPDRQDITFFHQSANLYTSYYYVQMQIHRPYLTKKSELTLPSLAMCNSAARAC SHVLEASIARGARILPHAIIVAYTAGMVITLCMWGGQPMGYLGDNKEGTESLRKCLDY LYHCEKKWHCAGRLSDMLREASDINLYVQPTVNKRRRLSFDTELIFQPAPDSAEPVEV TATGLSSAGSTVIGNRPVPPSTMGSPLQSLMLTDMGFFPGTSISDNNPNLLNTTNFNI HLPQSEPTASIGIPGQSISADPPASDLFAGVWSDTTVPFTSLEQWDTYFAYMGQS JR316_0009226 MTVATVEESGWFNKLCKGDTSSKKFESAFNSTHSKPLTITTTMA SHKVKIAARLRPRLDGELDDDSIKVVHQSNNTGGSSSSSGGSSFISVANPRDPTQVFK FPFSSCYDQDSTQEEIFENDVEPLIDVVYSGVTVTIFAYGVTSSGKTHTMQGTRNEPG VIPRVVRAMFEKKASFHQYQTSLSVSYMEIYKDEVYDLLVTRENAPKLPVRENDSGMV FVANLTKMEISGVEEFDNIYNTATKHRSVGATNLNRASSRSHAVLTIEASMVDPVANT TLTGKINLVDLAGSENNKLTGNDPSRMAESSAINKSLSVLGQVVHALNQGASRIPYRN SKLTRILQDALGGSSVGLLICNLAPGIKFRQDTLNTLNFAVRTKNVENRPVVNERDNR PVPKPHFAAVSIQPPASKPAPAIVQAISTAGSGSTAGAKRARPSLVPMSRSSRISSIG GGHGYQSFGLAGAAAAGRRQTGMFEKIREEDHSYDRSGSVGIGMTEKEIDERVCYHFS AILAGRQADHLLPPQISKAVEAAVEAEVTRRLAERERQRAEAEQNEKEAARREGQVSR TGTPTKETSLPSGVLTPLLKRHKDLDEELKSRLHELEKKYERGTKETQLADVLSPVSK KKTGRAYVALARAHSEKGDLQVALDLYRKAETYVPDNIKLKERIIEIEWAVRNNTAYV PSPKPPRKQKSKKRSKSALSREALPAMDAMDVDNGTKGKGKDGFVGKLGEFGMDFTNT ESPNKSKRTYDDATESENMQTPMKKQKRTALHGTPSRNIAAGEDVDPFALQRKDRRHV IS JR316_0009227 MFWCSVVFSVLVLLSFSFFHCIIVIVTPLFLLQSPVIMLFFIYV FIAFCVAFNFSIYSATRGEGVILISESDDFGQQPGNRQTMSRPV JR316_0009228 MPRCLPCCCGLHTSSTPQVYVPTHVMHGRDNGGGGGGGGGDAGD AGDGGNGGFIPFSIPLTELERRARNHAILCVVGFLILLPIGALVARYSRTLRYKWFWA HWIIQFLIAGPVIFTGWALGYKTTNELETPHFTDPHQKVGLALLILYVVQMALGAIVH FFKLPSIFRGHRPPHSYLHVLVGLAIFILAQWQVHYGLFTEWLLTGGLHQVPESAKHA WLALVIVFWVLYGLGMALLPRQFKQESQARKVRKEDPNSTNTSA JR316_0009229 MVFRLPTQLESLVPPPYDQRPQPPPWRGSIIVSGLRSSDRGSSQ EIFVTAVETDGENRAQQWPQTFFVRILHDQPVLNEFQTWVKACMPPMPLCTFMPNRLR ETNLHTVNQANFRSLSRALFENNTIAIASWEPNTFPGAGMVIYPAQNSSAVLVGALFF EMPFPHFIAGVHSPIMPISPHAMQHAPRQPHYQQRISTAIPYGSSPHHRPSLSPHHSD HNSPIEPIAAHRQDPNFRYIMPRSNHPAYNVGQPSSSSEAPAWSTIKDEDENNYAAYS AHQHPPYS JR316_0009230 MVYSRSKKIGKVSYAELKKTAQANKDKIGPQWSHWVGKAISKLE SEGILESASPSGTVALTSNGKQLISRARRSTFPHEKDDINANEEGMIWKQIISGVSKR TRASSVDEYPGSTSATPRKKSSRKRAKISDPASKTSVSKMTKAELKAELDWLREQAQV HYLLRGVSPLTDLDNEEQVENAQLREELKEREEEIEQMRRELSAARAARYRALNDDRE NEPDISFSPLIPDATSEVNHVTSPRAPATALSRVYPKGLTRTQSGSCISNISKQPTPA PSSPDDDLPIYDDNMLGLRQSTESTLNQNAVQWPSVVPSGSGNHLGGDRLMDSASPAT PLSRVDKRSNEHQGDISTLTTEIESLRKQLEVKTDSLSNRDAEVKALSERIASLDSVL LNQEGSIASLREANARLSAEVADKQDLWKQAKDTVVKTAEQLKAAQSSLEVLRNENTS VADRFVLLELSNEELHRQKDALRDSVDRLKKQELNLKAANERLQNRLNGVMAELTLEK ELGDEKLTEIARLNAKHSTDFHVVRQKNEDLEKLVSIYRTEIEEKGLSIESLGNELKQ KNLHIEDLSTKLQIMGRSAEDLSARFSGAQSSIASLSQELEDAKRDALSFETRLEATV SELKTELAGRQETVAKLSEKEKEIELERESTNQLRGYVEKLKRDIATKEHGVQQLVGE VEAAREQITSLEGYLADSARTLEAERGQMSTKLSNLESSVTSARIDVARTSESLADAN RQLIVLNADLTRKDTKLDTLGATLNEERQEKSRLSADLDTLTRRNNDLQDKLRQYEAC KSLDQTTIIDLRNTLAKIRDSQMKLFGEIEEKIISAQPSPSSYQAT JR316_0009231 MALVQSSGPPFVPPPADLTLPEVFLDRKLKHSTTTQEYPQIPTL IDAESGRTVFLSELRNRTDCLARSFAKQFGLVSLLAANHTDYPVCIWAAHRLGAIVAP TSPGLTTGYQFEVTKPSIIIADAENVSIAAQAAKRHNISRSRIIVLGGDPTGEATAYE SVNVLINHGRHLPNIHTFRLEPGAGSSKVAFLCFSSGTTGKPKAVSISHQTAICNVLQ LATFDGLNMNLPGVEPRYRPGDVCTGGIVHEDIYGLAMNLHFILYCQMTLVITRKFDF LKFLEGIKKYRITHLMIVPPQVILLCKHPAALKADLSGIRYCMVAAAPLTIELTQHLI KKLPNACLGQGYGMTEMCGAVSMWPLSQRIGTVGSGGQLISGTTAKVVKSDGSIAAIG ESGELWVKGNQITLGYYKNEASTREAFQNGWYKTGDEVKIDSNGDLFIIDRIKEMIKV KGFQVAPAELEGHLIDHPSIAEVGVIGVPDEYAGELPLAFIVLRQQAAEEIKNNPAAA SSLKADIYKFVKNAKSRYKWLDGGIEFVDSIPKSPSGKILRRILRDSIVNKTRTPHVK PKL JR316_0009232 MFSISFWAVTLGLVAFNVNNPVNAINNGVARLPSHVECLPGTRS LLNTNALGTDANNEPSAYSQCNIDENLLLTTAKLMKSLGLQAAGYNFVNIDDCYAEKN RTASGDIQEGKLCIEQPFSVMFCPTDFKVTIRQSSLQEWDGQAQSTDPCSWIYSDSGW FTCAGYPGSFMNEVRDATTFAKWGFDYLKYDNCAIPYDDIIKQGIVGKYQRMADALAQ VSKTFNRPFVFSLCEWGWTTGDIAPQWNSLASIINFNSFITQATDFYGRNDLDMLQLG NGGLTFEESKSHFTAWALMKSPLLIGTNLSAITPDILEILTNREILAINQDPVEGTSI SPFRWGINPDWTSNSTHPAQYWSGPSENGTVFMLLNTLDTPSTMFFNLTESPFIRAGR QYSVRDLWSHTNNGTAVRNFTATDVPPHGVVALLLKDAGDEPAGLFPACSVWWQCTDK NGTHVGG JR316_0009233 MEIWLGNMSRPSLELPAIEPSQNVQAAKKLETQLNALDIQLLKK AQELSEHRTEDDEDLDPEDAMIKDPAIVAMDVAAQIAYLRKLKFQYLEQNAKDKYVKS IVSDIDDAPIITVEQNNELSAINEEKKAKLKVAKQKLEETQKNIRLLAPLVEQDYHQV KQATDRAALLSQKIIDARTRLMLLRHTHPHPRLTIPLADQKLADQVVEMQTLSDQVQS VKQKAKADKARVKAGALVVENLRIEASEAQKAVKNAQLDEEDSRIVPLYEWFTASLSL QRSIHNLEDLHSETENELRLTYKISSSPPHHITITLIFQSDTKKLAAAEVSGLDELGI EVGDVVDAHIQVNDVHGLVAAILAHARAAAGT JR316_0009234 MQNPKDSALYAPLVQHDAQSSSDALDADTEQLTLSALIIWDVLR AEMNEYMHSNRANGYRDDDSRNGRPDASSAKKFSQRRLIISLAPFTVIMALGFIILAG LLGVWVTRTKPNENVVPHTPLEQIALRNNSDLGYDHMNIDDCYSEKKRSPEGDIVANK ERFPSGMNALTDQIHSLGLDIKLFQDWGFDLLKYDNCAVPFDEIIKEGMVGKFTRMSE AIIRLSKSSGKPPFLFSLCQWGRNQPWIWARKLGQSWRVNPEWKSLASILNENSFIAT ATDFYGRNDMDILFFFVPRRGNGDLTYEEQKSHFTAWALMKSPLLILATVTEQTLSIL KNTEIIAINQDPVVGTSITPFRWGINPDWTFNATHPAQYWSGDSQNGTVFMLLNTLDH PADMTFRLTESPWIRAGRQYSVRDLWTHTQNGTAVRNFTAHNVPPHGVVALLLKDDGD EPAGTLPECAMLDWCTDQNGTRVDGRD JR316_0009235 MSSSSSVYNPTGVRTSTAQDPPPPVHPTFSIADPLDAIRRQFDS LQTDLARVRREKEEMEAKLNAQIAELNTIRKSLFDLEEEHTRIRQQYEEELHRLRSET VAIQSAAAAPPPHQSTPAPGFSGRPRGPGVSEASPRLTSTSQHETYYQLDKRPMSRGL PPPGERTPMEQHTKLSRARSSERDQPDSDQRESKRRKARRENQLDMYPPPMGPQSYHS SSSAPSGVKPQSSSYNDSIGYYRFPGQEGQPGPSTSALPPINPNPPNPVFNSLNPDTL PPEFKRFGSDWFALYNPKVRKTLDVNLVHTFSHDTVLADETARPEKDLYIRSVRFSPD GKYLATGAEDCIVRVWDIAKRLVLHRLEGHTQEIYSLDFSPDGRYIVSGSGDRTMRIW NITNNTSQTITISDTDTLSNDAGVTSVAISPDGSLVATGTLDSPVRVWDVATGTLLER LRGHGNSVYSVAFSADGKGILSASLDKTLKYWDISHLVAAFANRVKPDPQSGISIPAH PPSACTINYVGHKDYVLAVCVSSDNRWVVSGSKDRTVHFWDLKSATLQLTLQGHKNSV ISLNMNPLGGMFATGSGDNTARIWTYSTTPS JR316_0009236 MLLLSLFQFLLCLSSWAWGVYSSDTQERLVKDFFDKNGASSNPS GSTHTNNWAVLVCSSRYWFNYRHMANALGMYRTVKRLGIPDSNIILMLADDASCNSRN KFPGSVYANPGRQLDLYGDNIEVDYHGYEVTVENFIRVLTGRMDASVPRSKRLLSDER SNIFVYMTGHGGNEFLKFQDNEEISAFDVADAFEQMYQKKRYNEIFFMIDTCQANTMY SKLYSPNILAAGSSQLGENSYSHANDNDIGVAVIDAFTHYTLEFMEKINKTSQLSMQE LFDSFDVTKINSHPGVRSDLFNRPLEHTRITDFFGGVAQVEVMSPHDVAPLISVNETQ GTVQDSPKPAASASPRPQSQLQNFNLTPARDWKTARAWGSVALIGVLVGWIALKQ JR316_0009237 MNGQYFTTYQWAYLTAISGLVSYDYDSPSDSEAVPSTSSNPQNT NDKTSIASLKSSSEGNRRLPKSQVIIKRPAISHKTQHLRTHNSDDLISNDPSKKAGPS TEGSPMDISSSSSRQPSTRPGPSAEPQDELARIRALLRPKPIPGVENWGIPAEPTEKC EPALQTQFTRFSSLKTDPKNPKHYNDSLMSNRSFRNPHLYTQLVDWVDVDERTTNFPK DIWDPNDVKPEWFASQIADAQKERSEKQAAAQAPGKRSHIEFSSSKDKAPPPKKSRFQ PYGSSGVSGVASTRERQKTRWG JR316_0009238 MSINDSLKVTTGEAPFDVPAAGGKQCKTWYKVYGDLNAGKRPLV TLHGGPGVNHEYLTPLSDITASHSIPVVFYDQIGNGLSTHLPEKMGDEAFWTVQLFID ELNNLLQHLGIADDFDLLGHSWGGIFAASYAITQPKGLKHLIIASGPADMPATVISQE KLRSQLPQDVQDTLTKHEEAGTTESDEYKTASDVFNARYVCRMDPMPQELVNGFAWIA KDPTVYMTMNGPSEFKIQGSLKNYSVVDQIHKINVPTLLTNGRYDEVTEELVAPFFDI LPKVKWVVFADSSHLAHFEERERYMATVTSFLTN JR316_0009239 MSYRSPFAVVFLIHIALEIPVAIQGIWSPANLPFIQLNNTAVVL LKLYASLVLGTCIASLLAFNLPDFLPGKRALAIGLTVYHTVCSTVLYQAPRFIPHSFG ALAESLNITPEVVWGTAHGIVGLAMIGWWQATVQLTQMARAASAQQG JR316_0009240 MPCGFPFHWDRYDNPRISYKSYEFREQFCVADQSSRIQQATFVY TSPDPYARGGKRMMTWRIYLPARESELYRDAPKKVSVAHVEVDEMVMETSLGIDLTTN PRIMLEALALSLELGMLVTIEVASSRTLKLYPARRNIHYGPGEILFVTTDCSGRSEVA CVFD JR316_0009241 MVKITGFETHDVRFPTSLTGDGTDAMNTDCDYSSAYVALFTDSE LVGYGMTFTIGRGNDIVCAAIKEVASRLVGKDTEELFSDMGKTWDFMMADPQLRWIGP EKGVIHIASGAVNNAVWDMYARSRRKPLWKLVVDFTPEELVRSAAFRYISDAITKEEA LAMLKEKEAGKKEREAKVLELGYPAYVTSAGWLGYSDEKVARLTKEAVSGGFNHFKMK VGADQADDIRRGKLIRSIIDDPQYLPAGSQPRDPNGEDLRGKNAGPTGAVLMIDANQV WDVQQAIDYVKGLEEIKPWFIEEPTAPDDILGHAAIRKALKPHGIGVATGEHAHNRMV FKQLLQADAIDVVQIDSCRLAGVSEVISVLLMAAKFGVPVCPHAGGVGLCEYVIHLSL IDYICISGTMERNVLEFVDHLHEHFVTPCSINARGRYNVPVNPDEGYSIEMYKSSIAE YEWPNGTYWQGRRAEKAD JR316_0009242 MVLDSPSGREDSTEHFTTTNISTWQCRVLPHFSSDGKYLATGSN WHAQISDVATQEKVCILKHGLENQLGDNYVRGVRFSPDGQYLATASEDKTINDIASKR IRATYVAHQSEVALDYSADGCILASASRDATVCIWNCDSAESKVFRDPDNSDAQSGYT CVAISPNGSWVSARSLDGTVRKWDIMNGQLVLSLCGHQDSVYNLTYQDNGNALVSASL DKTLKRWDISWLNTASTVVPSLPGSIPCVKTLTGHDYVLSSAKSKDDR JR316_0009243 MRFGIFFQIVAVCTFASSALAAPMPVNDKPGHPASPPPANAKPP AHNPAPAPAPGAHAPAPAPAAGGASGAKFEAGDIVRIKPVHILNGDGANVQGGAPNKP RPAVVVIKPDAAGLMGVAPIGHALPGASHTDDPSKYGIPNSANGDPNHLISTGVPAQI HVSNVQPVAGRYGLPDKLAPEHIQSLRGKIEENMDSMIAAKKQKVEAEKAEKEKAKTP APPAHPAVGLYDDLPPLKNAAAAAKPAGGLYDDLPPPAAKPAAAKPATNNAAANTHPA PAPAPAPGAGTGSSAPNSKKRPLERRALYRRLNPITGERLSRRLHARSRRDW JR316_0009244 MSAQIYNLAAPRTTHIITAPSPAPRTPHPRLAPSAHPHVQRRAP ILILILLLLLVPRSTVQCRTPSCLLPPNSRLLICTTLPLSPCSDGVGVGVGVTVLVLT YRCAGMSAAVGRWGRAEEGGKRGVDGRAGVGGCVCKRGQEGVRRRRMDGWMHRTLQPT GPAGPGGPPPPNQSPASNPNSNPNANPNANPNAPNTNANNPSAGPGGPPPHPMTPQQQ GMGVPMMVDRDRERDRERERERERDVRDVRDVRDVREREMREREMRDAREMRDMRDVR EMRDARDMRDMRDVRDVRDVRDVRDMGRGDMRDMRDVRDVRDPRDVRDPRDVRDMRDM IARDMRDLGRDLGREREREREMGRDGREREMVVREREREREREREREREREQLVRERE REMGVGRAERDRERDREREMVIGRGDREREMGPGDVGVGRQLELINRGRDMGGVGVGV GMGGPAGAGAGGGAVPLSLVGGGGGGGINIGAPGGGVNVVGPAGRGGAVVGAGVGVGP GDREREREREREQLARERDMMVRERDVQREREREREREREREQRAPVGGGPGGPPPPG VGVGVPVLGVGGVGVGVPVGGIAPGGVIGGVVPGHHPGLSVGVGMGPGGVGVAPGAGP ANAVGPGAGVGVVGVGAPLPPQQQQQQQQQQQPQPPGVGVGVGGMPRSRLDEPLDAIR AEFELLTQEMVSLRAQRDEYESKIASQVNELNIIRQSLYDLESQHGKIRAHFEEEVAR ARAEGRAAAAAAAAAAANANANASGSGGQQPPGGGGGGGAGGGGGGAQQGGIASLASM NGNGIPGQQLGPGGPPPQGPGAGGAGGGGGGGPVVGPGGQATMGPGGMLSGSNAPVGM YGEPYYGGGGAGRERDIAHREMREREMREREMREREMRERERMVGLERERERGMSLSE RDRERDRDVRMGAPPGERERERERERERERERERDNRMMVDRDRAPDPRDTKRPKIEG ARLKDHFSPGHTPKLPPPPSAGASSSSASAAGGGVGLMHPGAPPPQGAGPGQYPGMDN SLGLIPTNPSSAAAAGGVGAGGAGSLSFPDDLDIHNVPADMKKEGSDWFAVFNPSPKV KRVLDVQLVHTLMHESVVCCVRFSADGKYLATGCNRTAQIYDTKTGMKTCVLIDDAAG KSGDLYIRSVCFSPDGKYLATGAEDKQIRIWDIAKKRIRNVFDGHQQEIYSLDFSHDG RLIVSGSGDKTARIWDMHDGSSKVLTINDPDSLNNDAGVTSVAISPDGRWVAAGSLDT VVRIWDVASGVLVERLRGHRDSVYSVAFTPDGKGLVSGSLDKTLKYWDVSGLGKGVGG GGAGGRKESPSPRKDEKPVIGTPGGGGSGANAAGTSSSSASGSGSASTSTAVVPANNA GTSTSTSTSTSNTSNPSTSTSTSTSASACTMNFTGHKDYVLSVAVSHDGQWVVSGSKD RGVQFWDAHTAVVQCMLQGHKNSVISIDLSPAGNWLATGSGDWQARIWSYSTIA JR316_0009245 MSDEATISQEARSASRVKKKRLRGACDACRIRKSDSAESPLNIC SSCIANNVRCRHEMPRYQKLIDRHEEAIDYINNLQDRIQKLENYIQKIHPGQDIDRII NEPDGLLPANASYASTSSGTYGSISLKYPSNVDPHTNTVTYSTPENNDDQLGQDELDE ISLSKDLKNLSTSDPADVRFFGQASSVMLAKHVTDVKKEITGDSEIVLSSKYRRPIYW NLCSWELPYINDLETVYIYPEYDLLMELITIYFEKVNNLIPLLHQPTFMESVKSGQHH WDSSFGMVVLLVCAHGAKYSKDPRVFMPDDSIGLSSGWKYFIQVPLHRKQLLYKSTVY DLQYYAARPYQLASMFLVGTSMPYACWNFAVIGLRYAYEKGIHRRQGQGNAPTVESEL LKRAFWVLVCIDCCSSSFVGRPCTMHEAHDVEYPIECDDEYWETGDPKTAFKQPQGKP CSITAFNCFIKLCEILGFALRTLYANKKSKALLGFIGPDWEGKMVAELDSSINKWSED LPEHLQWDPHRKDTVVFNQTSIAYTAGMVITFSLLAASRSTGQTGGSKEDIENLQKCM NYLQQIENRDSLREAGDIPEHGSQHINNASSSNDPSTVQSDPTHISQDMTEMSTAMFN ELLGSTNISGSNHALNSWDLQRILLVEMGYLPNESAPITTSITSSLGPENPQLQNSAG IFQSNDGFNAFTQTVNGALSPLSDATNLIK JR316_0009246 MDRFAQTSHTTVLMELTPVQKVSRNQSTSSSMKAKSKVNSEVVD TASGSQLRRVSIEETLTNEERKSVNTRPATGPSAGTKSREQKFTGRIQFLSLCFTLFL AGWNDGTTGPLLLRIQEVYHVNFTVVSLIFVLACTGFLAGALANIHLTEKIGFGKAVA LGSVFQIVAYSIQCSAPPFPLFVIAYAINGVGVALQDAQANGFVATLSNNPESKMGIL HAAYGLGAFAAPLVATQFAHLPRWSFHFLVSLGLSVLNTICLVAIFRFKPQNECLLEA GEVVPEKSAVQEEQTRTQNTFGLVMRNRSVHLIAFFILVYVGVEVTIGGWIVTFIIDE RNGGPSAGYISSGFFGGLTVGRVLLLWVNKKVGERRVLFIYGALCFALEFVIWFVPSL IGNAVAISIVGVLLGPMYPIVMNQASRILPRWILTGSIGWIAGFGQAGSALFPFITGA VAERHGIKSLQPLLISMMGLMLGLWALVPNAPARLD JR316_0009247 MPIVPWLHSPTVPPHWRIQGLESISVSLPEGNYKADRIELQVPV SQMDIDTSHGTGSQTASRPPTPMTINPKGDSTGKEFTLTPEPTVIVGEKTPGNDVSAF ASPSMSADGYGDKEVARSLTREQKNIALGQFLSLCLTLFLAGWNDGTTGPLLPRIQEV YKDAQANGFVATLTQNPESKMGILHAAYGLGAFASPLVATQFAQLHRWSFHFLVSLGL AITNTAILIMIFKLKRQDDCLKEAGEIIPETTAEEKEQNKSTFKTVMRTQAVHLLAFF ILVYVGVEVTIGGWIVTFIINVRGGGPSSGYISSGFFGGKVILLWVNKTVGERRVMYL YSAICLGLEFVIWFVPSLIGNAVAVAIVGVFLGPMYPIVMNQTSRILPRHILTGSIGW IAGFGQAGSALFPFVTGAIAENHGIKSLQPLLVAMIVFMMSLWALVPRSPLRQD JR316_0009248 MVKFVSALIFAALVAAPALASNSWDDLNSRDLDAVAQSESIFGR ELTETGAVYAREVDELFARFAEELDARGINYETVDLTERSKIGDRIKGFFKKVWHGIK KVAKVILRREDGEEVFTRELGIDEVEARELDELFERYMEDIEQRSPGFMHFMKSGMSH VKHLAHPASDPSTQNPPTVDGRDLTDDSFYLASRDFDDILEERELDLELDLSAREFVD EEDMFARGLSLDDELEDMLAREFEFDELD JR316_0009249 MVKVTSATLLVAAALVAGPVSVLAAGSGWEEFELESRSISPSDS SALSPFARETEELFGRIAEELDLREFEDGDMQGLVERSKIGHFFHKLWHGIKKVASVA HGGCSRCVSTSVIRREDASEHEILLARGAAELDNLFERTIAGIDARSPGFARFMKSGM THVEAHPHAVHHAAHVASSLAQAAFNNRRDYEDLELDFVERDNLAFDEEIYGREYQAE EDLYGRAFEEEFERDFDELDELD JR316_0009250 MSFKKVQVSDDGVELGYIDSGPVNDSSDYTTIIVIHGLCFSSTI FKRVQAMAASKNIRFIAVSRRNYPGSTAFTPAELEVMVNGTDEQRDTWMKNRGHEYAI LIDKLIEKYQLPPVASDRKSGGVVLYGWSLGSGEANATIAHADTLPASVRDRLQKYLR ALILHEGPPLVFGLPMPEKNWAPFQIESVPPELKFPMFAQWVTGYFDHGNALATRELN DLSYILPSSFKPGTIYNLTMEEYTSAVCTGQKEASSEIPYMFGFQSQLHSAYQKALFD PQIKTLFPSLVKAYLSGEKAPAFGIAAMWAIQDEAEKRGALKSMRFEMVEGINHFPHW EEPERALDIYLKLASPL JR316_0009251 MVKFTSVFFVAAVVVNAVPTLARQVFFSFRDNRRSLESDDIVLS RDVIEAVYGRELTDVELQERAPFFPLIFAALRIGAQVGARVGSRVASKVGRKAAHKAA EHHQQNHNNNHHHKRSLETSDIWNDLLEREEFFDEAFERRDINELD JR316_0009252 MSPTYGKVVVSDSGVELAYTDSGALETKSTPYTTAIAIHGMFFA APVFEKVQACAAGKGVRIIALNRRNYSGSTPYSPEEFNILVNGSDEEKHSWLRERGHE IARFIVALIKAKNLPKLSEDGKTGGIVLIGWSVGAGEANAVIAHADTLPSEMRSVLAS YLRGLILHEAAPLIYGLPMPEKNWAPFAVESIPPEQRFPYFNQWVTAYFDHDDLSKKD LNTLEYVLPSSSRPGSIFSMSKAEQQGMIGSNEESAGDAPYIMGFTPQLNAVFRKVLF DPSTKALFPKMKISFLAGEKSAAFGIAGVWAAQEEATKEGIVNSINFNIAPGLNHFAH WDAPEATVDLYLQLTDTALLRPIYARPAKTQRRFTRQLSKFFMLRSTVTAQN JR316_0009253 MLSLANLGSLPVSALNTCTHYLSLNNVLTLRPESYLPSGHWIED PPAHPKAELRYLSVRTESTANTNATWSVMLTHADKIKVIKWRCWEDTQIVNGMSFPGN IDLGRLNALKKFSVRMSFGKVGRDLLGFVQALESITRPRNKDKLSDGIQEPDEEHSIS FAKLVFIAFQV JR316_0009254 MATDCTCQRGCSYVSPLFLTVSLLKLSNSPALADPKAQAVLAQR ANAIAHSVLTKHTLISVRAKAVRTHAVAPPATNRAHVLN JR316_0009255 MSDSSHPLGVAAALATEAPPVRTALNLFSLLGKVAIVTGGHRGI GLEIALALVEVGAIVYCLDLSNEPNEEWLKVQKFASELGDLVSEGKIKKGRLEYMPCD VTNQKEMWTLVDKIASQEGRLDICFANAGIAGFTGILEYPEEDFQKVLDVNVNGAFYT AQAAAREMVKRQIAGSIILTSSICGSVALPRVKTVAYNISKASVLQMGRSMACELGEN NIRVNTISPGYIFTATDELRGAALFLASDASTFCTGSNLTVDGGHCAW JR316_0009256 MANTSSASAAPSGVAFALANGSPVRSAMSIFNLLGRVAIVTGGH RGIGLEIALALTEAGAVVYCLDLAPQPDQDWLKVKNFAAGLPNLVAQGEVQKGRLEYM SCDVTKQKETWDLVEMIADKEGRIDICFANAGMLSGAEALDYPAADFQKILDVNINGV LYTAQAAGRQMVKRDMAGSIILTGSISASVANKGMHWTAYNTSKAAVVQMARSLACEL GSKKIRVNTISPGYIYTDMTKAFLDDKPQLLKEWSSHNPLGRLGSPDELRGVALFLAS DASTFCTGSDLIIDGGHCAW JR316_0009257 MFATVARLSKASRAPLTPKRGNKDFYKGTRQAFLPGGRRTGAPG KHVIGGKAKYRLVDEKVRVYVAPPLEEIENSVLKPYVALGTRLNENQEMAIFSKFRTT GGLSPRHFLRVAREHTYAEMNPSAPIQPLKTQPLWMKAQRKLEMMPETPPTIEEVNAL VSATDRQPGARFKTRGLRELEEVVPEKPW JR316_0009258 MGKKNSSSGPVAGSSNRGHRHPRGSGRPAGRGFTGHKGRGRDYA GAGSVDIPGVSDRPESAVDDVEDGSEEESGDDEEELDVKIEVPVAMWDFDHCDPRRCS GKKLSRLGLIKELRVGSRFRGIVVSPKGQQIINPSDREIVLSGGLAVVECSWARLDDV PFNKIASPHERLLPYLIATNPTNYGKPWRLNCVEALAAAFYITGFDTYAEKLLSSFGW GGSFYDVNRSFFEKYRKCTSSDDIQTTQDKIIEELEKSWNESRKNKEGARGLESEDLL VANPNHGIVLSDDSGGEEETVEDFNDRIGHSPPTGQDNRRA JR316_0009259 MVRLATTVVAATLLVVPALAATQQFERDVSDDVIVTREDLIDIL GRDVVTDLEERDPFGFGAIFKLVKTGVKLGKEAHKAHNHVQNAQNNRNNNRNNRHHRR ALDEELSARQFEEEFDLASRELLDDLEERAPFGLGFAAKLVKNGIRAGKAAHRGYEHY QQYRREFDEANLLEREYYDDLD JR316_0009260 MKLVRFLMKLNNETVTIELKNGSVVHGTITGVDMQMNTYLKTVK MTTRNRDPVSLDSLSIRGNNIRYFILPDALPLDTLLVDDAPKPKGRKKEDIRGRGRGR GGDRGRGRGGGGRGGRGRGRGF JR316_0009261 MSSIQEEKDSINHSPIHIEKQSNTRSNSLPNLPSKLWDIKDTFK YAPPKPEGDAWALLLDPLIKKDRVQCDAWKDEVQNLLIFAGLFSAVVTTFIAESYKNL QADPNDTIVGLLSQIASQTDRSLNTTTVKWEPANSFVPTSSSIRVNVFWFISLVLSLA TVVIGIVSLQWLREHQAYESDLSSREKYALYNMRADGIKKWHVDKIFTSLPLLLQSAL VLFLGGIIDFLHAIGYWAVTIPVAVVISFILLFLIATTLLPCLQVLSLYVYFPRRNGT VPKFVAPPTPSTPIKRPTPAFFVNKIFSPLLELMKYYLREENGLRNAKLGEQKIPECF KIQGGYYSLSLESPDALEFSLQFGEVALLMLRRLETYGNIKETTLSASSIQQHQLADF MSLIGVLSSQRKFILGRMQKDSRQPATEPDIFTSILSFLEEHLTSKVLEMMSAPASSD QHHHPSLLFYLAALYCESVMIDDLRQCKSLLAALCIYKELTIDKGLSDPAIEAALSAR RVSFWLDIGEPFSEGWWDHFMGQVQKASAELGVDDPESTGQYGFA JR316_0009262 MSVNTTSTADPSKATDIRTLTISGIQNVSGLLPLLGTEQCEEHV TSTLDRGFLYAAGAPMSMFGSLGIIKAGFIAFWISIDKSPLHGPRLLKNAGFSSKGVL GKLAYAMDTNDSICVAENDIRSILQYYPSIEVRVNILCWRWIRWNLLLSFCTVLLGSL GLLSFVDIIKSDIARRPFSQNWMYPVLRVYGSVVVSIVIQLVIQLRILVVVHDRIRFH AMNIWFQRNGRLPPHTWNPESRAAESLSGTRHDILADYTGNRSKTANQPSVGVSSSDN ASLNSNTVPDSAASLEEVYWKGLEETVGATWSFSSFDKDLLVTSKRTVLSKIINRVSV PTLLLGACRILLLGGICAAGIGYVGCFGLIQSKASNLNDTRGPGIWLAVEAALCVVRL AVWASNPLFDDPPPPIAIQKKEDRAKVTYEIGWTLGDVTVDSMHAVIIGINKTEFVGE VNELSFAESDAESVADFLKNSFAVPNHQVKLLLHASSRDIEDALQDLATDRNIGLGAS IVIYLACHTKFSKAGEGEEDSLVFVTTDFTASRPESGLDYKRLLQLIQNISDNKGNNI TVILDTCHAGRFGRHSEHDTTRSQTPPFPTRRPKPTEPVNENENQTQLADTSKEINHT SRKLSRAMPIRREMEKTTIKYLIGYPSHVLMAASSESQPALEMHRHPSDPKSQHEGGL FTGALLHHLGKMTSAQAKHTTYRTLIDDIRRDLENRNIQMQTPIVSGIYQNRLLFNGL LANKRTYDDASPEEGSIIFITAGSATAENDPDSPGKAEA JR316_0009263 MRYYEQRYPEVDELVMVQVRQIAEMGAYVKLLEYDNTEGMILLS ELSRRRIRSVQKLIRVGRNEVVVVLRVDKEKGYIDLSKRRVNAEDIVKCEERYLKSKT VASILRHVASKIPSLYGSSSDAASPTADAPPPTPASAEKEKESKRSARKARQAAQEED GGVAPAEHDAGGPGGNEDEKLEQLYDQVAWPLGKIYGHPYDAFKLALTEPNTVFAHLS PPLSPSTLQILLATIARRLTPQPIKLRADIELTCYTPAGIDAIKRALRKGEKQSTDAV PIKAKLVAPPLYVLSTNATDKYAAVERLERAIESIQGSIEAQGGSLIVKMKPKAVSET EEHDLAQLMAKAGQENAEVSGDEDDEE JR316_0009264 MPADHDDRDYDRDRDRDRDRDRDTDRTDRASKQKPAAVSKSKDL SHVPCKFFKVGGCTAGSSCPFSHSAVEPGGQKETCTWFVKGNCKFGHKCALAHVLPGQ SMAMDRKNKKAAQHAAAAAAASGTTTTTSAGSGSHGSDKGAKSGSGGGRVKRDSSTAS GGGNRLSLLAGGATAPTRDVNPASGSSSSGRPPMSMALKASISPSAPAPPLKDTDFFA PLEEMEGISSKAQEKEDKDKKASGATTTPDEDSATSDDQKQATTAAGDTSAASVPLPP SAPRPSTTTQAAAVDFGPIGSPPNYRAGQPISPSRASNTNNFSPGTSPRAYGQHLNGI TISSSPSTNANANTNSNNNLGFLSSSPFSAPGTQSVFLTTGSSSYAGGGMGGMGIAAS LGSGLAMMGGGAGRGRRWADADGMDMDGVGSPGAAPKSWGDLLSKSVNALRTQHGNGY GARSGYDISVEYEGDDGAGFGGRRRGKVKDDEAVEDEDLEDFLPSSLNDLLTPEERSR RMSRSNSGQTSGGVSYLTSALANATNQSIAENAGANSGQAGGVNIANNSASNGGAALG HRYSRSVPAPSLLGDIKSIWADSSSALPSSPPATNNNQTHRGTPSISRFDSYLSASLA QSSQASSSQQHYGMDDGGLSMSIGSAGTASSLGMMSPSNASAAFLPGLQQNYLNAKAA KLQAQQQAQLGYGLGGIGRQLRGASNPLFSSTGNGSASGNGAGNGNGGANSNSLASNY MGLGLPASASAGGATFQHTHGGTQTTYRTTPSPFDLTQGLHAQNQQQQTSPYGQQLPQ QRLGASTATNNSSSTSSPFYPSKQSSTSNSTSTQYPTFSAFGSALDDQSHLGHSSHLT QQQQHQQQLMLGGGAAPHLLSPSARALQQHAPGQSLPQGLAAGYSRIHALPPLKNVVG SPESGAGAGGYGGDWAAGSVGGTNTNANAGSTAATATTPTGTGAGLETMFSRLSYSAA TRGSGTGSPSAGNANANPSASTTSANPNAPPGLSRNVSGGRYPPASASAVQGQGPLSP LGGPVMSRDDDDLFDMDK JR316_0009265 MQTVADAGSGSRALATCTVRGSINHDEYFHVAHIVRPGETLSST FHESRVGGKGANQAVAVALAAGGGESSGSNPAKVKFYGTIGHDGAWIRERMREVGVGV EGILVSETELTGRAIIQVDERGENSIILFPGANYSTLHEDAFRAQSLTTAGTGGYFPH STHLLLQNEIPLEATLSAIHSAKVSSQPPRQSQLGGQRDTIVIINPSPLPARDTISAF PWEKVDWLIVNEDEARELFEAFGGGVGRGGGDGKVEAARELVHALGGMEAFRNTSVVC TLGAKGVLARQCGVEKGMEIVYVPAAKLRGGVPKDTTGAGDCFAGYFVCELMGMADAH DDSADKEGTDFKLTQMELEKLLKVAVQAAGMCCEKSGTIDSYPTRREVEERMKDGV JR316_0009266 MSAGFRITPAIWDKIHHFASFPQTGVSLQQMVLFGQNPSQGTLL KASQFLAEELPVRLAHRVKELDELPHNLSAMPSIKKVKNWYAQSFEELITFPPIVLPP SIRQALMITRPDEIHLPESKPNLFNDPYSNPYQSHVNGNGNGNGFNKLKLRVPMERRY YANTNNITWPPEVQDYNKRFTKLLEHIKSRHDPTVTTVAQGVLEWKRSQNARHIGLDM QAWLDRFYLSRIGIRFLIGQHVALNTQQAHADYVGIICTKANVHDIVQEAIENARFVC EEHYAMFKGPPVQLICPKDLTFPYVPGHLSHICFELLKNSLRAVVERYGVDQEDNFPP IKVVVVEGKEDITIKISDEGGGIPRSAIPLIWTYMYTTMDSQGIDGDFQTNDFKAPMA GFGYGLPLSRLYARYFGGDLRLISMDGFGTDVYIHLNRLSSSREPLP JR316_0009267 MGRAHAAPPLAKLVRWHKPSTRSMTGEAFYDARRSAPENLTNHI TYQRDPHSTAKRRQGDRFINGGRAQLPQPAHDNSGE JR316_0009268 MDIPDDRLDNFITGTNYQPLHVIGEGAYGIVCSAVHIPTQRKVA IKRISPFDHSMFCLRTLREIKLLRHFRHENIIAILDILKSPSIHDFKEVYLVQELMET DLHRVIRTQELSDDHIQYFTYQTLRALKALHSADVLHRDLKPSNLLLNSNCDLKICDF GLARSARPPPDADDTSTFMTEYVATRWYRAPEVMLTFKEYTRAIDIWSVGCVLAEMLS GRPLFPGRDYHHQLSLILETLGTPTIDDFYAINSERSREYIRALPFRRRKNFAQMFPG ANPLAIDLMEKCLAFSPKKRLDVSEALKHPYLLPYHDVEDEPTAEPLDPSFFDFDIGE PLSKEQLKVLIYEEITRTDDTRPPLPLSSPS JR316_0009269 MALLFVIGETGPNVSVDEFNDWYDNEHAPKRLTVPGFDTARRYK AVDAQTPTWLAIYDLASPSVPFDAPYKSLVPSDKDKSIIPRLEFFTRSVWELISEQPS SALTAAPAHLLIVTCLATGQKESDELNKWYETEHIPDILAVGCTRARRYKLVESVDLT KKTNPSPDEVHNYLAVYDFPNGDYINNPAFGASVRTPWALKALAPPVQMALRRFELHK DIQNPEGGHFVLTRVQLLPIDFLSVKKDCVPMYYQCMLENKARLASHESLLMCSRKIK NGFKIKGTDGL JR316_0009271 MKGGTAGKWAYEKTKVIDNAQSQEGFWADFVYEFREVFADPDPS NTAKHKMHMLKQGRQTADEYVASFRALISDTGYNDAALVDQFKAGLNENLRNAVYYVP DMPKTLDG JR316_0009272 MYLNSNQLVQAVWMEIMAQPTPERNHGKEAIDRGTQEALEVEEM DLDTRENLGEEDLQGVIDSMEEATAVGSGSGREGSGGGAGRESGGKDSDGNQKLFDQD RQPSTIPQFDNKLKIG JR316_0009273 MSANILLQSEGDYQAGSIEPACSHSNVSRIDAVQTPPAETFQDA SESAVIRDRDVTDSEADDEFGLEFDLTLEDLFVLDELEREALKKNDINANQSTNSTPT SPLLTTADNQPSTSTDAAHVSVMGERDLEDQNMPQITLAQLREVASHSQRQPFFTPYA TYEDSDTDDDAEVSDCEDEAGKPRQEPAKTSPKEKHTAWFKRPQHMPKWLYDFFVSVV QPLIYSKHGRKRGIPAMFSDNTRAYAPPSFWINPPEPCILLSKYRFDLPTLWRPRIYV WIPHDFVKTLCCPNCGTPLEKNGASRPRRIIDIEDNFYIVTWKYYCRDGCKSAFRGWN TAIVNSLPAYLRLAFPAVLSRRSGVSTRLLRQLRVCNQHKMGPSGYRSMLLENHTFKF SQIQNQYLEAVFEMVRGQQHATSIGQETLHAFVPPKADSFGNFTDPDKYAGFVPSERY LASMMNKAIERDESDANQHTACLAPDQIAIDDSHKVNKHIAKVDGVPVFTALFTCMDS KYIQGQALTLTKSHEERSGPLQQIAKSVKRYGHDNPSVMYSDDPVKDKPLLYSAFPEL FEDLMPTAAAHGLTALDLPDDIKVSWLASWDVTESTLAALLSSLDSDSERYLCVSLDA EWNLSRKIGVSVIQIAPHSLPNVIYVIPVHKFGNKLPPSLLRLLISNQVFKIGSGIKG DITRLKKQFPILGSQLTFNLIDLKEYCVERGLIARKASGSLEALCEGILKQYLPKEQR LRRCEDWELKSLSSELLHYAARDVFASRILFEKAMECAPIARPQFDSPAGTPVALLSQ EGSDPIAYGVISPNQPTTLGNIRVKTPNRNRLVLDIHTVISPSAAVMLHLPSLGHNKK GKTKSGALTLEQIRASSLDPHMSTFKIVAPLLLMEFDFRASPSELMSSASRPNIEASK KHTNLEDNLLSGSSIEEDSFLDDTASLANDLGEETEVSPQDTSDLVALDMLEAYSKIE NCEDAQRVQSATQHSIVNTLQKLINSPPDAKSEYTRVKKDIFHAFHMIPISVNHGARP SFLRAMRDHLMRWDPKIRVTVDEACQKHFNLTFEQMLLRNPRFIAERTPRYVPSPSIL VPALKLVFETYGNALDVKTGLPLFSADAQQKANAVIELAREGYLSDIEGVVLYERAGI DKYGLQKYKCLRGTNNVEGGPHGDIYRKFGALHEWINGDLYEKTTEEFGVCKFPDSLR IRLGMEPYSAEAEIQYRLNSSDNWLRKRQGLALPVLPPTTLEARKYFFLKIRDFAALA NDAGQSRINFEAFAQEWNRTANGKERVYITTEVLAAYSKTWEKMTNIRASQELIQDKL EVLKVTANVFAAEKQPFPIYLSGTSVSTQPRHGVIEIPDSESLQSNQVPSSLSVNLSI SRPPLPSPPTQNISNSSIDPRLLSLSATNVEVPVRTLSSTSSDLQYHNEDNVNNDRPT KRRRIVPDSKRKRSLRKCRRCLKTTYRVQSASAQPNVEV JR316_0009274 MYNVLFIQKPPWRIVRTAPSTISKKGDDVIGTPLHSAWLPIVHL PEPGTRPRVMAYDLGFTGHLASATDLTSLAAEIGLQRASFVNPGPTHFPRIVGNRASV IDLVFVPPNQLLTSQAFRHIETGLRCIDAELPLETPDQIDAVAQAVVDILSSAWDSCS REVTIVRRSKKWWNNSCAVALQLWRATGTPEDWKTFCKACKDAKRKFFNTRISEISEV NKRA JR316_0009275 MSLDDLTVHAKTRGMLAEADTALFTIKKIMLPMNPSSRPGYQLL DRFRDRVHFDNWSLDLGNPESEPKRRTHLNNLMIQLQMGWEGQYYAGTDSSLPLTGRH QAIASVVLFRGHDKVSRSRWAAGRVLAPDAELMAICYAVVLALSNEDCKQFYVFTNSM ALARWAVDPSIHSGQGHSVTICQTLERWFEDKPLSQITFVYISSRWKWGVHNVAHVYA TELQVPLDRNLMTSYDSLRWEAVICRSDLWNNLF JR316_0009276 MHLAILELHWGSHHVLSIAEFDWLPYKIPSLDHTSFSGVDKNNN PIPTKHFIMSPNMPEIPDIRRNSHDVTIREDGRYGYANFTLCP JR316_0009277 MLFATIALQCAPQTYQMTLLTITAFQRHFLEAITCYDFLTKFKD MKINVLVEPEVDASIMGCITASVDIAIEMYYSSMPVWLVQRPEEISMKTTIIGTLGFS PIPGIILQHMAGANPVFSGDASAVHNCACQALKIGNICLGHSSFMAHPGEFANPSGSY YTPLYSQPLGPTSNTPASNDCPLISATPVTSTTSVQ JR316_0009278 MVLLYNAEDTYFLSKQNTELAQWDTVRMLFEFALKHVGEDKESG VIWGEYIKFLQSGGAIMTLDQQQKTNCLRKVLHRTVQIPLDNVESL JR316_0009279 MHAQTVLRQIINYTGPLFANEKDSLFLPSLPRFDPLDRALVGKW KAYIKWEESNPLKLDNKDKSRLISRIQGVYQKAVIRMRFMAYTWTNSIGKNNKALLIL KAGLDANPSSFLLNFAYAEALEINKDHAEVHATYEKFLGILRANLDRLKKTSKPDATA SAIISEPRSNVPLVSLQELQEDKMPKTTELEKHRTEYGLAWIMYMCFGMRAEDVKAFQ TIFGKARRDLWLSWEIYEAAVLTEYHCSDDKGVASRIFEKGMESFGNEIDFVLRYLGF LISINDKNNARALFERVITTFEPNRARPLWEQWAWYEYQYGDLEAALKLEKRMAVVPS NQMIGPTAYLPEH JR316_0009280 MADPPLPYDGFMTMSLEDRFALLFKVQQVRFDANKKVDDQLSAI ESKLERLTASLPKPPATPTPSTRPPHSQSICSTTTKAALEKIVATLSIVDKQAGHVIG RAGTGLCQIHNILHAKISVSPVVTSGLRAVTIRGTTREVGDALSAIGKRIARHCIRNP RSKKPKQPPAPTAAPPTLVVEPPSPTPTSSSTPTTRTSCSGTASPHLPTPTAVDTCSS PSLSLAPGSPMEVDALRALLQYSDGYSRPGPVQPREGIQTARRGGGPPCVFGANRPR JR316_0009281 MARNKAGYKEALIAFSNIPENRWESILNQPQPVYLRQHKGPSKY SKTSKLSTQRWKERNSAPFHQSNPLDHTSEGFDIMDWSRKVLKNPDPTQLLETEYSLE QTRPMESRVNVGRGEASDAEILEANSSPLEDGVNYLNESAF JR316_0009282 MALDVPTGKEHVSLEPWNAVLTTPELRQEWDPAAEKAHLIELFN RSSQISKTNYTLGWPANPCDSVTISRAFYDSTTLIDISTSLSRPPDEPA JR316_0009283 MQYNALSQMNDASFCINGIFYSFVALKELPFHPPWLYSTPPSRV VNVQTASDTAAKTLDPKVIPPKPFAPLASTSSANDMFILDSHASLNDYRENNDNYYLE QLAEQIEDKEDFEKFSDVMEDSEVLDDETQSYNNWSYEDEDQDGGYNLNNNFNTLH JR316_0009284 MELTSSGATGKAAWISSGLKLEQAQLELRSHVRKLGTHPSTAQQ LDLVNKCRSMRTRVEAFSRTALTFLGEEALESIQEVNTPVLNNKVSNDEIANIGNVNI IRADPERQPLPFLSALLDDYFQDLEEGMAHQLKGLQKLKLHIRQGHAEDCLEAVRSAL IQLSWQYKYQVRMADLVYTGTRAWDGVKLLNASWKLHKKIYNANWIAMIRIAGHSEED IMQIRREFPTTMSLPCIFNPPTKDEHYLLSYDERRALAKLHVHLCGAWIQTGYRLLLS SASSVPLDTLARWLSLLEREACWSAEEDNNILKSTKPWGYWWEPGHKANEDWKVSDIT IESQVREFWNKIVLPRYAEELKLSKKGGPSESAAQTHSAAPAAAQHSERPTPGANYPN QPSADALHPI JR316_0009285 MERSVQTSIGAEGYIGNRIQSAAKNNYWSGTSLAANEYSRSGNH DAANEYYRSGTRDAAKVTDTHDIGPIPANNDKESFTSQLFADPEIPILFELDDGDNED INLYGELDTSFHDQPHPRAEDNDGIPFKVIIHTSGVHYLPIWTCTCQSAVLPLDLQYL EMGLFATSFQNIRTLFTLDMLEDFRVTNLECKTLGYQYYQKLRRITSSSFPKKVLNRY WELRRLSRQYRNLILHKIHGQGHSKQALKAYMELKYPQQGSKMHPNQESFSSITSLET GQTRNNSSSRPSEPNEVPKRNDTTSRLEVDPGDSDPNNMSPEPPSRNKPDKRGSLTLF CPACPQPGVNLSDNWVLEANSYVADGNFKANHLNQKNKGDDVWLSVGEGFMTNPGPYK EHIKEAISLAPHYKWVSVLLPRPNMLPPQLSMLPINNFSRNQLVTTTMHKKQRIELAL ENELEE JR316_0009286 MDEQGVQFGLVIDHDGLRLNVTVDGHNGHTKIGVNVDTDNKIEI VFSVDKPATGRVHTNPVASTNGQIREGQEAGQPVVAVENRIKASRTIEARDVEIRNGE GDDQDIEME JR316_0009287 MNLLLDEDVKRAYAEGEAVELAARTMNVPKVGSKMDMQNRLKLA RVDSKTRQFDIKTARKALFLGKKVNSKVVNGLLQQTSAFPTRNTFSKALFEYGFNFYR MFTVDFMHEVELGVWKALFSYLLRILYTSSNQNAIATLNKRYRQVSPFGLTTIRRFAR NASDMKKLAAWDFEDLLQCSIPVFEGLLPEPAHNKIIQNLLFEMATWHALAKLRLHTD TTLDKLGNFQYATRKLPSETAARGRRQAAKAKKAASAGLPVPPTQSNEPKTRTFNMQT YKLHSLPDYVNSIRQFGTTNGISTQMGKSEHKRAKLFYKRVKKGDHIRGIAKHIYQER VVHRTNRVEMRKLLREDRELLEPTPPDLHYHISSDVRQKLEILLWMSQNQNDPATRDQ AEVAPENSANSDDNEDNSNQHTNSEEEEEEESDEDKDRGNLDELGFTEY JR316_0009288 MADIFNEEYEKIKSEPCTGLHRHLEPFVVGIRIFSDSIHLTSFG DASIWPILMYIFNQSKYTRRKPKEFAAHHIAYIPKLTDTFQDWHQQQFGKAATSEMLT HMRRKVNTGVWGLLINL JR316_0009289 MDHHHHHALPLPFPLPHHRQHAQQERQQHPHQQQQHHPHQQQQQ HPEIDLDIDLVPDYGKSDLPQHEHERGVPNPTDMDLELDGVGAGVEYGMSHHSRHGHG QPGATSTTTTTSHGTGGLHGYGYGYGYGYGQGIQQGIHVQKSMDEEQSRVELQQQELQ HQHHPQHPQQHPPHHHHHHPQQHPSHPPHPHPHTHPHPQSHQPTQPQPQPQPLRLQLP QYLYTSAPTLVPGGGAEVVPLTSTEWFVNSGFSGGFSFVDGFVGAGEGEGSSLAVGSS LGLGEGERLTEGAGLAEGAGLAEGAGGMGQAMGLDDKADRHPRGLALGLGGASTAHDE GGAVGGGGEGEGFSGQQQQQASSSLVSATPLISSAEVEHGSILGLSMGKGKSAAAAAV VANSSSSPFDFADDDPAADDQQHQHPAHSAFIAPAPDFSLQIPPLLNPSLLPLPLPLP LPSPANLSLLSPTPTAPYGLPVYSVSGYDILSILSRVHSRPNATVHLGPVDLTCSFVV VDPRRFDAPIVYCSPTFCALTGYSEREVVGRNCRFLQAPPPPRAPAVVRGAKREWTSG EAVERMRKAVGKGEDVQVCVVNYKKGGEAFMNLVTVITVFDDGGVVKLEGQGQGKERE PLWHVGFQVDLTEQPTAILEKLRDGTYTTHYEPPPPPPPPPLPLSHPLSSAGLDVSGN GARKAGGALGSGVAPAVGGAGAGQGQGQGQGRQVHMSRHLKRLLDKPGFIKPALLAAV DGSSSVAAAVASTMQSAPAGVGASRSRSRSYSMAVDDTTTTTQTQPTQPNNSQSQSQP NNSHSHSHPLHLLLLAQTPDFIHVVSLKGSFLYVSPAVKRVLGYDARELVGRGVLDVA YEADVVPVVRELKESSAVGVAAGAGGGFGGGGLGGNGGGGGGEGDVHLSSASALSSAS ASAASAAHTHAHSMPTSSSHTHPSPRAVDLLFRALTKMGRYVWLECRGRLHVEPGKGR KAIVLSGRARERMLLRWEDVGGAGGVARGVWVGGRGGGAGGGAGGGGGVGAGEKGGGG EEGDMEGRKGMEMDKETDVEGEEQSEDSTKGNAHTLHPTHSHPHPEKQQRYAHQEIWG LLSGSSACTAAFLTVGMGLADVLGWSGEEVVGRGVGEFVVDLEGGDLDSAAGADTGVH GGDAGGGDAGVCAEPGGGSGVRGGDSGCAGGGTDARKQCRAMQEIGEIVGGMRTYQRA LRRVLDREAFVAARDRARARKGRGMGAGGARKGGAGAGGAGAGVTGKRKRDDTSAVQH TLKEKANANAKAHAHANAQAQAHAQAKEKAKEKAKAHAQARANLPGISARWRKVRCAM RAKGGRVVDVWFVIYRADVEDEDEEGEESSTSSERGESVYEHKEKGGDEVEQEDNQED TDEAEAEEKDDDDDDEDGGEAQEEEEEYDEERGCNITPAALVYQIRIAGAQTIGCAPG DVPSERALWDGSAAVRWSASGSPAGAGSSRTTSSGSANGSMSGSGSTSGSVSGATPVP SRLFAMSAASMNSSSSSSSSSSSVSAPMFSSFPSSDTQSQTRPQGHPPASTSTASTTT SSSSISSSSSSSSTTSSPAAAASTSTAAAAPAPPPSTDMFAELAPARGTSWQYELQQL RFANARLEEEVCVLQGKVGALGLGLGMGMGLDRGRGSEKSKGKGNGNGNGNGNGVDTL SAGVSRMESGMGREEGGARMDLDLGFDMDLGMDMDMGLDMGMGLGLGMGLGGYSDMAR YHHPHQQNQSTSQTPQTHTQIQTQTQPKQQQDLFSRGELVVSAHHRHQSQRQQPQGQA HLHQYNHLQQQRQLQQQQREQHQQKEQHQQKEQHQQKERGAYMKNVPFPPSAQNGTVN VVHGSLYDGVLPLPLSHSNSHSHSSSHSNLHSISHSISHSNSRLHSSTSSNSNSEGGA GAGVNGNGNGNGTLHHPKPLYSLPVPLSLSLPIPVQQRERERERDREREREREKERER ERDRDREREREREREREMERERERDRGAERERERDGLSSALGYPAPYATPRRAYTPAS LSHSHPQSYSYSYQHQQEEGYPLSSSARPRTHTQPQQPTQTQTQTQTWGTGAMPPLHV PVSMPPPSVMYQHPSSSSTSASAPVLSSSSSSPSSSLLARRRARSMREREHERGAGDK LDIDMMHTDSDRDAHADLDMDLDTDLDREADRRREGDRAGEGAGAGAGSGAHMDVQAM YAAAAAVAAGSGGGGGGGGGGGGGGRGTGMGVSLKRPWSAIGP JR316_0009290 MSQEQKTPDEEAYRPVHLLSDKSTRLKRDIFLWEASGCMKGGLN HRITPVSVHTLNRWAKMIDEKARKFGLVPLKVLKTPG JR316_0009291 MLHSYFTVNGSRIFTPPVYGQTFLADKEAIQGQNPTLKPELVKQ FAHLLPWLNIPNEVEKAVAERDQGYCIMSGACGQDKVAVTWIIPPAFLPIVSAVPGTG FKHYADICIPSNALTMHRDLVDDFWDNAFGVDVEPTMKPTYPSESLKHRTTIDLLYFG TSDKPESYWKASLHHSIEVKAVAGQTIYFFCLLTHFIGGDIKDQYTKDDIREWRDRME DEDGSF JR316_0009292 MDAAKSPSTPAGPAARNSTSTAKSPSIPIGPAARNSISTGPPEI WIQISEEPAGSDFVFPPDLTIHYHSSSEPAIPVDLFALAQQSGLVSNDEDRFARDYLS GDSFVRDGHASVLVGPTNWNNGYGTQGLFQSPQLGSMLGEFGAAYPYSHSITLNAFSS AMSDPYDMRVASQTTISMPQAAARFQRGTSHGTTTQPMFSQQERELKAKIFTFDSEEI PEIGILPLVGADGHNPAQNQRALSEGQAENSRKRKWEDEPLQSGSGSRAPKLSKKAEE ECTEEKKAAKTRLSD JR316_0009293 MSGPDETRRVSSQATIGLPQAAAQFQRGSNHSTTAQPMLSHQER ESRTEIFTFDLEEIPETGILPPARAHGRDPAQNQRKSNESQDRVSRKRNQGDEPLQSG SGSSIADSHGKLEVSNYDTFTYAITIPEDTPPTQPSNIDSAISRASGVKRAFHGIRQG RSARKKTAKQDSLGRTVLNSPGRDSALIYCCGLRNERRSRYILRGLSIF JR316_0009294 MAYPSALQPHYNLSHSVHLLFAHWHTSDHQSSSHSLSGQILEYL FPFRICLLIAYASGLYVERSLLWCSRLVPPYNSTKLLPSSSQK JR316_0009295 MQAHTHTTVKKPSTEVYHSILVAYLQSAGVRLEQVVVGVSLRRY DHTVQCWTTGAIPCNLTYVQHLQFLKFDLLHFCLLFCAVVSYRFGWDMDKHYVERALK T JR316_0009296 MDRACSQSKNLGLLGINSQAPNDTISGSLTTSLQPPNTSDFSES VFPHNAILPYEELTLPLDSMLPLAGDTFYPERNDTEPGELSQAYDVPSQNLQSGPTND ESSIPHEISGNDWSNGDSTTLGTMHLQDDIRLPGSFDWVERGMHPAGWNDAYDVPCQN LSRNFRSIIEESALQLHTNNSRSEWSDTGGTSLGTTHPHLNPSPFVDHNMQFEQPPIV TAPQRNPPSHRRLSHNMMSQAALSAPASEKNQNILTFEPEVVPIMGIHPMESQGYDTI YPQSQSSSVGKPRKRKRGDEPLPSGSGSRSPKASKKAKEEQMKPEIQKKGVPVHAAHI GGELVAKDWRQWIKTEDKPLWRMQTAFGAFNYSNLYM JR316_0009297 MSNSGVSQSVPTASEYTSQYHRTEQSVYEDSRNVQQNPKQDFFE SSGWNEVEVDDHGYQETYLDNLELPLVTPSDANPSAGTRAQAVLQIHALNSQIMPFSH TIKPSTFTQSSLYGSTCHGTVPVAREDVLNSVGKTLHPAEHTPGHSHSGDDLFHNLRL DMFGNTPFPSQPIPQAPQDSFQHSDTPSALLPRDYVELAKELPALNQVIEKWTDPLLE VYVATGTINPALLALPGKTPHLQVPPHRREKVRDLGRYTPYGSLKRHSREYVPSESVN RSSSSAERDHNAMPPKDKHPPRTRFRPFIETEAYPVNDEFQHTESNEAKGSGFNSVDQ RLTSGRSGPEDARSHDATPRASRGRNSSKKMGSSSESAKKRGRTARDGSATQPHELDT FDNELTMEAQSQRTPGAASAPRRPRAVVARLDFVTGEVMSQGKRTDKTKGNSSLNATA TYTKE JR316_0009298 MSNSNHTLTPTHRSGTYTKKYRISPARTTAYTTTLFSADMSFAD VSGWAADEVVRRGVGIGELVMGMRV JR316_0009299 MHPSYSAHLYPFLTISPVEDYRTSSISASHNTMDKYTAGSSQIN DMTLTATDFMASMGFYISDFDLNRNPEDILSTGPVFDSDGLDSLLSSTKFPDSGESLC EHPPSTSLLASNVDQVSPLVMHPTMEASFPPYVEPSPFEPSRYSLQTTHGVASTPATH PTQNTAIIANIPREALDTSPLPYETSGRRRVPEMRMSERDSYQSGAVDSDVYAPVAPH HLPLYTPYSCASTGEPELPQNTRFKRPSHKYDSSGHSTVERVTHVGRRRIPVRRNIGT SQRYPEL JR316_0009300 MILQYPSRTDPIIPLDLFPSPQEPLSLSDEGLTLTGRYGTGNFF SGTETGDELNTFEPSVDDNSFEDLHSKPRFSEFSIAGYDDNGYASRTNNTMDPDNAYC ISYAPKPMEANVSHPPQTLLFGSNFAEPIVDDHRSNSYTSSIVGGTGLEHTSPVYPPF SPRYSTRNIAILEYNSEYEQQKHTLLPVHLEGTHSRRRHDRSLSVDGIHYEERGKPIT FKPGEIPINGILPNVTPRSSPTHTRKPMRMDAGTTANGRTNRGVARGCNTRSTKSQKT PGKNRTASKEVCVCQKRGVDGKREPVKTYTGPTKSPGQGHRSDLANNSAKKCELCHRE EVLLEERWRSNSTFIGE JR316_0009301 MLTELECESERALQELYPMFSITFDFADAATLAEVTSALQLTST GLEGFSLENGGTTIDPNIWFIPDNLFQQMFGSTLPLNWQEYVPITPNIHDPPPPVPRP SNSHGEQRLSDSEGANSSFADIEAYRGTYHAEHEGASGSSSVVYPDTKHFGCDIPVGH FPTDQQLVFPEVLNGSLNQHMDIFHNDYGAHQIYPEPRMTSTGDPTIPPFQEASNQTF LGGYPNPRVSVHPYSGDTEEGKTERNDNIFDYAEHHKHSASGMSPVEQNDGTSASTAV RSNMQRPQIGMSPSGEGDAGSLYQTQTARHPKGSTRFQIRLARGTFDAHHLPALDAAA RNGAVFERDDGELQSVGRKTRLIDGRRQRQAARTRLDTVTGKPMSQGLRPDCEGYKPK TRRNNSPNIPLGHYETSRPLYGAGVGDGLNTLEHIEDDNASDTSDYKPKQTMHPVLRD GIQGSGMYDPDLKPNATYFEERQRKQKTFKLGEIPIHGNFLNAMLEYSPTYA JR316_0009302 MKFTSISSLVLAAVSSALAVQAAPPIAQSADALSIIFYDDINFV GNTYSPINAQPDTCIDLPSPWRNRAESMSVGSGYTCTFYSFTGCQGAGKVQAGRVATL PTTGNPVLYQNIESFECTSF JR316_0009303 MKFSVSLSSILFAAVFFALSAQAASSISSPNEALFITFYDDFNF VGNTYSPAKPVPNTCITLPSTWRNRAES JR316_0009304 MNFDEWDMGLLDQTWLRSHVACVGQQGAAGVVIFDEKSIYENIA MALHDHPNGLPSRRQVEGACRAALLHEFVRDLPQGYDTLLGGGAGIGVSGGQKQRLAI ARALLRNPAVLILGDLQTRPLPPSTLWRHNKTTIVITHDLSQITAQDFVYVLKDGRVV EQGYRSDLEEVKADYGSDQGEFKKLMDAQRETGGFLPEKDDEEKPVPALDLQEEADEN KDKDTPQYLKHQSLTLRPMTFGAWMFYVLDDLIGTKPAIAASATVHPSNETQESLENQ PRRGCGGTRIQFAEEDDGEEYQDVESEEPLPPFWALMRIAYRSISRKLLLFFGLVVCV MNGAMTPIFSYLLSRLLYVVSIGAQDLNAINTYGGLVLGAAGMEGFLLGVKYFVMETV GISWATSLRKRTCRKIMSQDRAWFDLSKHSPARLVQILIKDGDDARDLIAVVWGQMVV VVAMLSVGLVWALVAGWQLTLAGMAIAPIFAGVMAIQTKLVGRCEVRNKRAREEVARG YYDVSNDYSHPDSVLSTKQTVDYNAM JR316_0009305 MAYSVVSMALTRAQALLIVVGNPTVLSLDPLWRSFLNYIHSCGG WRGKEIDWDPKEPVFSDGLYNATRKSQAEAELEDTIAKLCAMVIQKHEDDGFEIDDED DKDQDAAAFERPILREAE JR316_0009306 MEEPRPRSPTSRYPTPPPADAPLGPAARYPYLPMSPSHLGDGGG PAIDESIAAVPSHITGATITYSCRPGGPYLFDLLGTLPLAEYGVLSWEIIDREDKIWE SDDVKEEHKVMHALWARWIFVQANRNKFIANYVKGVKAFIDTYWRMIHKAAGWSALRF FLFVLLANNFLSSHDVAQVLLYYQNLTGMDHWAT JR316_0009307 MPLASTLNKIRPTEHRNGKVLRSQKALQHTNSTQGPASQLRELL KLDNNDPNHIRDIYNDIKRIGEKYLKIELCPAVQAEKLIFVRKEVCTYFMFQVYSPLI ACFQQLVEKYPNTFNKQDSEIRLCLAEPAHETLQKLSGLRRGTTVDRKPILQETVSFS SSSKNPTNSNGYRDYIVPTRFSNEPGPSTETDTAGGIPSSPQTLWTTPSRRSNNASRS SIGSMPCTPSSSHGGDAIYHFLDSCLPSMAHLWEDFDAYGLNDEQMLLAVSSWTPEHI NSFLHRFANKCRKPVSEMDIEMLQVHFLSYFKGG JR316_0009308 MAPREPTRAQLSSKLLYQQNTPIFLLKLQNRMNGIPDADDLETY NEGDDDEFEYTGEGRAPIPRRPRPAIPERPADDPGSADEDDEFADEKPQVVVLKAGKY LTEFEAENIRRAEKGLPPLLSPEEKAAAEKAALEEKSGKEASSSSSKSASQGLSFSSS SKPGTAGAKSNKRKAIGQLDELKAELKSKEKTPKTSSSKKAKKQSKTLLSFWDDT JR316_0009309 MEHTTHTFVAPQHLSPEDDMSCQSKLHMGQQAYQHGTHITYTAR RTSKYQVGLREQPTSEEKRTGWHTASIKAYSAGKSHHSH JR316_0009310 MGITQEMINHRRLVQELYDRRVLHNILGVEPQPLVIRDSDDEVD DERKKEPKSYLVESAWENGDGELQKIIYIPGVGGTPLTSEMDLESPYLDTLYSVMNIS GNYTL JR316_0009311 MLTPTQIEKALTAIDTNKELECPELADTLKGARWIHQSIDPFMN VKSVIQEGLEFLANHITDGMTRQQILDLQPKLWSFYEMQDLMPDLMDIIKKCEDNSAL IGKVIDLVSSAAAHSRSDDLFKAHKLIPELIPFDLRVPLDLQAYKLACARVNRGYKHP AYAALLIPRVKFAELADLNAREILVRCESLEADTKNGDALDWPACLYDPDIPFDIDDE VQGLFRPPLGPQFVQRMLVGEANRLGGRASKGQIHKLVIAISGKTWPEAKSILKADRL YASILTLLSGLSDDDTWAKETVAWWQENIILPSEPVDHDKKSSPLEGSARDGRLRDPV ERRKAQMAAAAQAPAPARAPARAPAPAPPRAPTPEPARVPTPAPASPQARAPSSHPAP DNGSAPALAKAFAAVSLAEPVPQQVSRRRMALNAIRIPSESPPDLPSEDSSSLSSENE RSPSPPAPIKIKLPAKRKAAPRRPGPKRKDAPPSDPFETGDEALPTPNADAVPAPNSD ATAADGEEPPAKKARTQAKGTRQPIKRKAKKF JR316_0009312 MPPTTPSFDWSQGSVFLQDGIIYYSPNCNRPVRIKAPERNHPHP FPERAEPDPTSVKHPVWWTDTFGWMSFIPLNPSFISDPFDTFTWQPELDVTPSYNTPP GPTLYQLEIHTIKHWRFKEQCLLEAAHKMKLWYHVPASQPPPPSIFKYDEPYTSKEEA YRQIKLARDWFAVWMGFFAYFAACAKYDKYCAGKMVREQGELLPRWYTRLLEEVPMLQ RSWLDGLLTSPACVFSPDTQRAGIVIPWYEYDNRRPEIQFFLDQQIPVFFPWCAIAEQ AIINNPTLRYLEPPANLVRDALEKFLNRFPSVPLAGLILRSYFRFHDGPLHSTKHILR MEHSTSLVTKYMYEKFASQTDKVKAAMEENQVEATVAELREIVSRAMDMDLAEAERAI ANLPTHDWMDKGDYHRRGELYDHVSIFLEKRERNQRFIIATETEDAKIKRLQREEALP GYNTSVYRWKSVTTPGGKELYMRVRLLRSKHERLFAKVPPSQRTYNAVSNEWDIFDEV DLPRKYLQFVDPPPRKDGYIYDYPIQAARINPQSIIPDNDVPRVESVPVIPEPCVDSS SGGATSPHEPQYETFPMDTEEFQEGPSQPPVHRPEPGPSTMDTDTDEASKIHDYDWDT ADLISNLRYSYGFVASVVPKKEDKTPEGWKHACQHFGFRKDGAEQFVSNTDRQLICQF HDGLMGSTDRPLPQDIHDLHPQNYLSLQVLGNLSLIHRPIPNLFVFAHHDIRAPQEES DRMSADWSIGVETPEAALYVLRVFQSHPGHTVVSVAHRLLSKGVQFRTLVGRKNVVQV HQPYKEVTFYRKVSYKFTNDDYESSMLACWQILDQQRGRAALLMGGIVGRIAKEYLST ESVLQGPSVELLRNGRGYVANPEAELLAYCDDGLTEHDIAIIIGSYSLMTDFKNQVGV KSWFPPPAVWNEIDRNGIGWLEWTERNEYWYQTRLELIRNGKAQPLTLQDWKSLLKNK PVRVLRESVRARSAAFVHEHIPVTRNPRR JR316_0009313 MSKVLKGFQENFSRQNQNSNVASGSSHQATLNNEPGPMDVDVHH EYLDVDMVPPPMPPAPPPKSPTPPPHPPTPPPPIERPRGLPPRVIRLPQRFRDEVPPE PPLIIPPVVEEEPPQPQEPPSVESLYRTPMNGYGIFREYTYGPPSITPDEHFTLSSVS DSPNIAKDPADSLRKASEGTPMALPSDWSLDSEPKDDKSLLFKNRSTQLIMSWFYNGH GTKSYADTDKLIHQVVLDPDFDPKDFDSSFSTAREAARLDEISTQKNSTDPTLSEVCR PEAGWIKGSFSIPVPCDGFIFDSEEEAPQFVVENVMYRKPLEVIKQAFAECTSETYTT IPYREFWRPSPDEPPERLFSESYVADIFNEEYEKIKSEPRTGPHRHLEPFVVGIGIFS DSTHLTSFGDASIWPILMYILNQSKYTRGKPKEFAAHHIAYIPKLTDTFQDWHQRQFG KAATSEMLTHMRREVNTGVWGLLLDEDVKRAYAEGEAVELADRVCRAMYLRFIFSSND YPEKMLQSCCKCRGTCLCPRCLIQTMNVPKVGSKMDMRNRLKLARVDSETRQFDIETA RKALFLGKKVNSKAVNGLLQQTSAFPTRNAFSKALFEYGFNFYRMFTVDFMHEVELGV WKALFSHLLRILYTSSNQNAIATLNKRYRQVSPFGLTTIRRFARNASDMKKLAARDFE DLLQCSIPVFEGLLPEPAHNKIIQNLLFEMATWHALAKLRLHTDTTLDELGNSCTRLC DLLRQFQKEVCSQYATRELPSETAARGRRQAAKAKKAASAGLPVPPTQPNEPKTRTFN MQTYKLHSLPDYVDSIRQFGTTDGTSTQMGESEHKRAKLFYKRVKKGDHIRGIAKHIY RERVVHRTNRVEIRKLLREDRELLEPTPPDLHYHISSDVRRKLDILPWMSQNQNDPAT RDFMLRLKTHLYACLSGVNEFSDSIGTHERLQITILGDRIYEHQVLRINYTSYDMRRI QDTLKPNSSRCDIMVLASNKGADQQVHPYWYARIIGIYHANVVISTPDDYYRASKHKV DFLHVRWLGVCEDCHYGWKYRRLPQLAFGDINDSASFGFVDPSLVLRATHLIPRFILG KIPTLGPSVAYRSKENNEGEEWERYYVNFFVDRDMVMLYRGGGVGHASTRAATDSLRQ DRRADDIASRKKRREAHEAPDPDLEPDGASDQEQDAQDQAEVAPENSADSDDNEDDSD QHTDSEEEEEEESDEDEDRGDLDELGFAEY JR316_0009314 MAARASFQLPPPPPPPPPPPNPPQRASESPQLSNGITRVNGRRQ MDEQGVQFGLAIDCDGLRLNVAVDGRNGHAKIGVNVDTDNKVEIVVSVEEPATGRVDT EPVVSTHGEIREREEQVVAVENRIEDSGTVEDSDVEMRDGEGDDQDVEMME JR316_0009315 MDTKLEGYPVDEDPSIIIKAPRKLLMLPARDMVAETSLKFCYNF RKGGFRSLHSVGSHHSRSRDKMAHSSGSQFYFEDRDIPESAYPA JR316_0009316 MHIKQEGIKPVSGSNDLPDAKTEGDYNNNEFILADDYVDTLTTE DLRLLHEAEERALRNAPPITQTSSPQRTGNYAAYVVFCGRSTGVFRTWAATKAQVSGW PGGCQKGYNSLKEAQDAWVYSLANGTGRESGTLGPNINRSPARPYSPPKRSTTITPDA VAFDPSVVIEGLTQLSLNEGKSFTTSSPKTNSRADAPTPKNKRSPHREDVSFSTLDVW WVVYKGSKPGVYHSLRDALSASGTHPKRLLGKAASEDMANQEFVNASMSGLVECL JR316_0009317 MVATRQSMSIGFVHTRGTLDHYVAVLRQKPEMANTIDILDISLL DLTKPLPILKVKSLLAFAANITTLSIDITGISSRQARLLIKDIKLDSLIAFSTSTLPH DAAALFFVNHPRIVSLSIGICTEHSGSCDLLHVPRLQLLEEIRGPAACSVNIVSSQTR TIAVSHGTANECIALFAGLRDTNAVVFTLDIPFVHKDVYILIQGLQALPTVSALRLRE AETMNSTSYKWLHNQLWNTAFRRMHNLKQFELRTSTALIANPGAMEEERWLIGSWKTQ LQANGRKVEDQYGNGILFELKPPVPVKNRGEKSCYFS JR316_0009318 MIARIPVHAHAMQTFKSIPPFYALGSLRADKGTLLALTELPTDN GNHLMSQHEIGLSQVPHRPPEDIDILPPVRRTPPDAAAKPPRPAPYRRELTPAIARTS LLVPRPAGEMGSPRRGGFSVEQELGWEKKDFNALKTLVNEHVANVLDATKPFSEQPAD RLDDIKHLVQYLDKLISWSVATKKSPDHAEISSFDQL JR316_0009319 MSRQRFLVSLRDQKGPITSLAFSPSGKYLASGGISQLTIWDLEK RRVLETSPQSYYERSEISTICWVTRTKEGFDILSYGNAKGFLVFLIHRPSQDRFDVLH AARVALGGEITCIAVKAESSNVEISRIALGTRDKCIMVFTFNPTTKELVPIHSITYGD GQGTIPKAMAFDNNTRADLYVFGLYDGGLYRYDGKDMSEISKHQLGSQIGNAVIDIER KICVIDNVRNGFSVYQVDLGSFVRDLTTRDAKHTFPKQVALGNDSGLVIGGSDHGLIY IFERDTGKCLKAFKHGRMRGVETIASHDNNNGTITIASASSSVSVNDQQALHIWQWNT NKTDYRGWSLKEVFEFFWKLTLIFLLAYGIRLLHISVSSGKELMYPSLRQNDGNTGEG HAQGTVKPSFVANTGGTADRAYMKDGDEYSEKLDDSEYESCQPQRTRHTRAERSVRDR NEQLEEDRNEKGNGHRFKEGQRSRKAASRVWNEEQDTDDANEDDDIELPEGRRQAIVN KDKAVGKSDNEETRNTNIPRKHMKKKSKKLEHPIRDEDFYESKDRRSGVAENIEKYTK DLMIRED JR316_0009320 MPNSAINVSQTPKRGKIVNMAPEPLKIESSLISRLNADIVGEIF TVYVDQWIKDNRQETTPTQPAIYVLCKVNQEWRRLARRTPRLWRCIIIWYSTRTWNTC EALLSDWIQCAASSPLQVYVFSTEAPDTELHPLLRETLMLNASKWSSFICLGPTPFWG TPVKEYWSFPQLKSIAISLDDDTYCNFSDAPLLKELVVRNLQKNVSVHWSQLTLVDIA VEKLEYAILPLKELKVVQDLRLTVEQWLLEDQLTVVTPKLHPHLRKLSLHMPKQTSVI IFNALSTPALTDVSLYLQSDPNKSDEDWLRSFLALISRPGRLQSLTSLKLGRLGNITE AKFVSLLAQIPSIINIEILNPGFVISDTIVDAMNPQNGIQGRCMLPNATHVMFKNVKI QFDGFLLLNMLKQRKKCTEHPNDSNAVLLNKIPVITSFKKIEFWYNNPEWIRSDSFED PNLVMLFFYEVFKLKNSNKILLKFCCLHDDPFED JR316_0009321 MPTSNEENGDKNYKAFRSIDGKYYKIQTSDVVAFAPGLARLAEE PIPGTLAESRTTIVEEYGFVLDVVFSFMKRERHPNLEQITNIEMLIDVANAVFKYEVF SGMNTCLARMRALMPHYPLFVFCFAADHKQTKLLDEAATYLCSSEYSFGSLAVELQKV WAEKFQPIVEFISKFPLCVEKECHGVERDLEAENPIKICNGCKADLYGWICVLDQMAD IGKMKESLRLAGRKDLKLPCFTFISSVPAQLTALIAAKCLDIIDNELPTFDFVRREIA MLVDSD JR316_0009322 MKDETSLLREKLRVCEEKLSRTTMVLQERNAELIGTRTFLTATD VYSSAEIIQMVNALNADIFQLAAVVADLFENEAVVATEEERARNLDAVLGHLNAVFQV IGAELSLQLTGTYKHLRQDPFALQLAIQAFITHTCMQKTQVFSENQGGQFMVLYKKIK SFEQRTVATRWRAIASAHIPDPDLSADTEAATMGIKSILYLCLWSSSESQIRVIESKA AAILKLSYKLKKAMHEGIITGDMETFIIPPGGHFNDSMENGYVVDKECDTAEKANRVL CTVGMGLKKVVMPVSKSGEVEMALLVKPVVVLNGVF JR316_0009323 MSEHFRVIEDMEDEDMMEDGPPDDLYFHPEPGRESLSGDEQWVP GSRKPYQPDPLFYDALSERSSNTPLRERSEEPDLLDTPRPNRILGHGEEPNQLMPLSP TKRMKRTRSTLPGPDFEDRVEAASVEVSPTDSSGQLETLPTVQSLVEASAGPSSDDPM PHRDQLVDPRISHNKRRRKPLKVPQETKIIISNEKLRKQVRTLQHVVQTTEAGEVLKV RQEKAILQLGLQKATTLISSQADRTADVSSERDDFEVKYNTAEVGPISLFAIHIFILH APQKSEREAVESELTAVCNNVQNLLAETGSKDQIIFDLNATVTSKTEEISKLNEMVQA KDKDLSDLRAALDSKDVELRKLKSDMDSAEAHKKQSEVVISDLRKVEEQLQEANRQIQ LLKDTMEANIAYKNELDQMRYNLESDRQIFQEDLLTHLDVLEKHRISEERLESLTEEA KKAIETSKEEISKAKTMEEKASAAMLKADRNLKVASQDLEQARKLRSTSEEMKTTTET LKAEVLTEKASVELLKRSAKDSLEQAKRLKEDASTEMKKANRLREHYTNSIKNLDRLI EEASNEVENAHNNCSGFQRASQEASAQIAELKAKLKIAEIKLQAMAVPVDASKGKDKA PTTTAPTNVASVNTGQDKEMQSSNIPTTAVDHVNSGKGKEKASTSTATVVDDHDSSIP NRTDGRKADHDTQRMVEEQSQIRQSWLSKFKSIKPSVIFGRANAQAGSSGTQPGDEDE DDPMARWNAKISQDDNGENVNRLNSTHRVNQKGTLKGKAKHSTIAPDFPVGSGKELQI EENEDQEMLDTLPAEGGGDDGDGDGDGDDENDQEEEGEEEDKEIEEEVNEDEEERAVT NILTRTGSASTLGGQYSRDASRSFVMGGKNGSKREKIDLEKDNKEVRKQYFALVRSVV NDKFGVERDPEFVNHIPPSPGDIMQFETTKRPEDGPKIADLRIDMKGEISSDWNKELV SILVTFATNRKVSQFPDLPHRPQDYLAQMFFQTLERARTFWRDNQPQATDLGKIETSA KREARVEAKRKKEEKRNRKNSRCSIKYSERLFTVKRMIAESEKRDDTAMFNLWSRLLS LLEALEEDGMSSEDSEADDDGDTVYHVRQMPYRRSVDKHMAIIDAETKRYKRTIATQG SNKSRRIRGEDIFSLRRPFVGKPRPLYAPPWLKQQTRTTINSLKIPEKHPFKFFEIKV PDPSA JR316_0009324 MSDSIRDAQSSRAAAEMFLLTLADEGPNVNRQLSPLWASRDEFQ RTLEQPGLQGTLEDIVMHDHENLVPTFDGAPTLKSSHHSSGNPTPALSANNKKSKYER SQYTSRAITILDDISKSVEACSANLQRDAQLPDALEHARKRIAQLHRKLDKVKRKTPS ILEEKERIRRRLLDLNAQLNEMQKERTTSGNNSPLLYDSSHHFEPLVDNQDPPAQIAT FIGVVSAVMIGAGRRMAEFILKTMPIMLRSAFEISSIEIDMQQQHILDQIPSTLNTAL SKFNLSSKTVTYAVCPACHCTYPPAFPLDPNKPEYPSVCNNFPNLGSDLCGIPLLESD ADDGGNPVPIKTFVSHKTVYL JR316_0009325 MPIIPNNLAPPPMPVIPPTLDPPPMPVIPDTLDPPPMPVIRDDA APPLMAAHVSYTSVVDKIIMDSRPAISSERKQGCYSPPPEACHLLLQNPYFRTYGRFD PMGGYPGSPPTQSDIEFKNVTDQTAYFNPPFLYNYTEQQLRATYDQIIDSSVGNSSYD GKVTTFKNLRLHQLQSEILTYNALEEIDAGLAGIESSLEKHLYTGRDE JR316_0009326 MASSSSTTATFAQRLADWEKTFTECYRNGESAFNAQLEQLYRDL VPLCQEHVRDAANFRLVDYVASPVVYSYKTSQGKDGKQVARFEVDWANLHHQVANFKA YQQGQEAQRKRREEEEQEKRREKEEQEEEERQRVEERRKREVRRKREEKKKREEEEER QREEEEERQREEERRKREEEKQKVEERRKEERRKREQERKTREQERQKAEERRKREQE QEQETDEERNKEETEKRRAEKGKGKAVEPPVEDGPVTDAHKDKGKRKAADPVESIQLA PADYRGPRTRKGEIIPHITASNMPGHPAYREKLERLAKSKQGKFKSKAIIGSHTDEDA DADVDEDDEGDDQEAPPTTPTRKMLTRSAKKDANQDNIPPIRKARSRSEKARQVPEGM VDMVERCTGCTKFKVPCHVKGETGTEPLVPIKHQSCESCKSRKIHCSFYPGRFYPGRN TVAGQFNLSTPLGSYGEVLKLEEGEDVPAKGKAGEGSFPEDVGELLVQLFERQGRLME RMDGLSASMTAINARIATFAETNLAVEKRMKTVEDSFQELKAEWTTAKEQVAGNTSLS VTMFNNIKQAIQDVQYVVGVLLEQDEQRNPAPKQAAEPSKTEVEQESGPSRTREPTSA PQSPSPPPPPAPLPSPPPPPAAPILPSPPPPPPAPVLPSPPPPPPAPVFPAVSAPPTE LFLPGSTPEAPSPPPAGRPSLPPVPPVLSLSPPPPLPAPRPRSSTSKAAPLSKGAPSS KAAPSSSSKAGPSSKAKPLSKAKPSSKAGPSGNGHSSELSDPSDSDEVEIVEEDVEIV ASTLPASNIATKTRAGRKRKAETTLAEASRSPKKPKAQKK JR316_0009327 MPTNASPDADPLPSTANATTHNGPRNGQTAEGDFPPEESNIWTT KEMRVLKKHVQPYKDTSKSSKADYIQNTVIPELQATWDHRYSRRARKEDKQLHKEWKV KKHRIFNWFRNHASNRIGVKLEGLNSRITFQTVFREEKSAEIDSEVALLSGNAARGSK DWMKFYQQGRKQVEARLTQEERDRFMEILEEWNKKGVSKSMKAKTAARQGRKILRQME KLKWQRMGMRSITFEGHYDIEGKIKYSMTQTSDLALDDVRIPSFGQLFPSELAAFRRA FVQYLVHISEIEKGVANPALPDASFHEKSLKFSSNGFPIVPSPIYGSTGREVAYAQKS IIRIYMNKVYALAKDRPGSSVPWDALERRSAEMIDPEYWPSSIPVTDPSRLRLESTSA ILKLWRDRQAQGDIPFKFSKVFGNGYDIMEPLYPSNLFDGLEAHPIPPPPAAITKRRL RQKAIRLQTQSSSDSESSNLSEFDNGRGSPASAMPRTTARFEVTPETDETPTDAPSRS SEPPSLPSRSSPTIVNPSSSPTPEVEEAPPKPARKIMPRKRTKPYVGTAEMDGPDEES SVPPPVKPKPTRRIQPRKRTKPYSDPLDTVEEDGVQTGTTQDTDNTRAGRDVTCDAFV ETVTSPDTDETRARRNVTSDALALQEASLLAVAGKRQRKKTLKA JR316_0009328 MGFKKVPDRGSDEEFPNVREASWSSGAKKRKRGRPRIHKLPAES SSTNLPQTTTYSFQNHEHNILEEEIPHYPGDLTDQQVLDNLRQLDDDRTGGKSQNDYL REWLPKREVYLGTMIGGEAPDPDLNGQCQQCQGMSGVWRCCQCFGSRILCSECLRRNH QFTPFHRVEKWTGLYFRPGALWEVGVKLYLGHNGKRCPYPTDPSHLGWDGGNNSSGSH GHGGNNSPVFEDEHGLDLDPLPASNDQESFTAQLLADPEVPHLVELDDGDDEDDDLFE EVDTTYLDQPRPKAADNNGIPFKAIVHTSGVHYLPVRTCTCRSVRLPSIDLQYLEMGL FAASFENVQTVFTVEVLEDFRMDNLECKTSAYQYYQKLRRLTSPAFPKKVLNRYRELR RLSREYRDLVLRRQYGEGHTREAVVPYYRHCHDSSPERMGINVSDPIDGGSDSPSQEV GMSTDPSLGHGGLDGPPVPQMTRTEDHPEVEDRRGKLALFCPACPQPGINLPDTWIDD ADRQVLVILQGYVADGNFKADHLNQKNEGDDVWLSVGEGYMTAPGPYKEHIKEAISLA PRYKREQTCHNYHAQKAENRVSPGKRVRGIGAHACARHGCFCPSSVVDFDKGEKQMHM DWSLTQARETTNTQGITKHLEIYDINCQYCVNLARRLSESTKMHWPPSVKMIFAIGLF HVHGHKTECLYNYASTYVPGVGIIDGEILEPLWSVLNDTSRSTRSATTAHRAEVLDDH MGDSNWKKTINMAATIAAKFKRAREQSGITDRFYRGITDQQDSGLINTWEDEISKAEA DREQGVADAVGKVMASKVKTAAGRQEIELHLSNMELTSNGATGKAAWISSGLKLEQAQ LELRDHVRKLGKHPSTAQKLDLVNKRRSMRTRVEAFCRSAMTFMGEDVLEDIQGDIAP ILDYEVSDNDDPDLGNVNITRADPERQPLPFPSAVKQDFFDGLDAGTNLILKGLRKLE LQIRHGHAEDCLEAVRSALIQLSWQYKYQVRTADSVYMGTRAWDGVKLLNASWKLHRR LYNTNRQKMIYLSAGVRDEDNIRKQYPILQVHDCKHSNAVSDPNIRGGSSDRLSWIWR SRQGLDNDNQLYVNEFFRLNWLRARAQRNRWQEELALTKKEMEWTVRFYVYMAKTWRA RHDFVPDRANAQKQIAMWNDLGRAADKVFRQINPEYPLTSSLNILVVSHLVEFMHLPR LFKPPVEDEHHLLTYDERRALAKVHVHICGARIRAGYRLFIANGDSVSSAILLRVLGY CYVNGFQVDIPFFISEILNQSVHAVLNRTPHHRAVLFESLNQSWSAWEDDEILNQTKS WGYWWRDGFAEGDEWQVAFVTVESQAREFWNKVVLPEYQQEVLRLNNQRKEAKEGASS STSGPPRNQENNPKGKGKAAERTSVPTSGKHGSALTGKHGSAFSPPTGKPNPPTRVAN TRDETSPIAISDHRPPYCPRCGQPILTGVMRELAHLRRNVADKMKNAHKAVTTSSAAL GRYSVLEKMWIDSKELPFSNGKGLSAKYKFQHPVHPSPDSWGAITAQARSFEVSKLKV ASFYINDIFYSFIAVKELPFHPLWYHSPPSNTANIHLPTDTLPTPGSVSPPSNAHTSN AFLFNARSRPVFPGEEDDIDSTSDTTESSTPATFLEHLAQDFEEEADEESSAGDTTEE SDASGNSEELSEDVSETPWDEES JR316_0009329 MDYAFELTQSLSNYMKAQIASRLWHAELPNQIRENFSAETMFEC NLAVEVILQAFENQEYTTWDAEEYLTHLSARCTGQNFTVEARLKNKFSPVHSALQYQT LPGTVVDSAGNILVWYLPGILSETRVESVWNSLRDIETMIHKAVPLATSWRVNDSYFR HEPGWVQPGNINFSPAWFQQGHETSNPLEVSLDLCNPIGQEFIRDTTTSSALLGAILS IIHPEQYRAGMKFLQRLAAEPELVHKAEILKQILTIWSSPFGVMTVISNRDTPYHRDN GSCYSWYDFLMPLGKGEHGRLELPGLGLRYKYDPMTLVAITGRLLQHGAVCDGDRAVI VYYMRRTVFEELGVQEAGWSTTYDLFANLPATNAFDFEI JR316_0009330 MVTRTRNKSKAIDIHNHNPPRIDKMKKVDPEIRQFLDMEAQVDE GVDSEEDESARGKASFIAIYVLVSIYADDALQMCSLMTVRLWRIV JR316_0009331 MSEHFRVIEDMEDEDMMEDGPPDDLYFHPEPGRESLSGDEQWVP GSRKPYQPDALLYDPRSEYSSNTPQGQAEEFESHGTSQPKRLLTPALAIPLSATKQKK RAQTTLPKPHSDEAASTDVSLTDRSGRLETLPTVQSLVEAAAGPSSDDPHRDQLIDFG VPHNKRRRRHLKVPQEAKIVISNEKLRKQVRTLQHLVHTTEAGEVLKVRQEKAVLQSG LHEAQTLISSQEDRTADVSSERDDLEVKLNTSERQLETVESELTEARSSFMMLLQNNV RNLLAETESKDHIISNLNTAITLKKAVNLKDKEIYDLNTAVVMKVTDLSRLHTNIALK DAEISKLRATINKKDNAIFNLRAMIHSAKSDLKYLDMLKSDLRDTESKLAEANRLIKL LSDTKEAHTSYRQELDTLRSDLESDRKSLQHKMQEHLEAVQTHEITRHHLNLLTKTAE DTVDHGKSRLFKANSILADASKTLQKAHELHTVAFERLKDASELRDSALAMKAFYGTY DNSLGDYKATETIIRSTEGSSKGESHADNLTFGSKVENVSVDFPVGNGKGLQIEEDED QESAVNSKIANTDATVGSALRASLSGPRSKEEKKDSMDGYASEKENKELQDEYLALVR SIMKDKFGIERDTDFIDHIPPNPSDIALFMSTKSPDDGPNAADLRIDMKGDTSSNWNE ALISILVTCAANSKVSQLPDVPDWSKDYLSQNFYQEIERARTIWGDNQSKVKARVNLK REKEAKRNRRNSRRSNKYSKRLFTVKRMIAESEKRDDTAIFNLWSRLLSLLEALEEDG MSSEDSEADDDGDTVYHVRQMPYRRSVDKHMAIIDAETKRYKRTIATQGSNKSRRIRG EDIFSLCRPFVGKPRPLYAPPWLKQQTQTTINSLKIPEKHPFKFFEIKVPDPSA JR316_0009332 MSLRLLQMTHQEPYRWFRHAPHPLNLSNLTSTPAPFLRSGQQGE RLYIAIMPVSVATVRALLDNSFTPSATNILEALDHIWSQRDIEIMEAMRSVRQFLDME AQVADDGELSDEEQFTSAERDLEDAFINDGAEIGGDDHRGSLAFHSIEDGVEEDEFDR LLARLEAQATGPRRPRLDRRLEEEDSMTKLQETIARLPLDTDYPLWRVGCRIGSEDAA VISLLQSAREIHHIRSAFTRGSIRGSIYVEEIMDSALVNLLLSTPGILRNHLGIKREI VDRSHQHELLTMRDVKKDFDAGSWVLIQKGIYKGDVGLVSATFSWGAQVLLIPRLNLQ SAKSRKRKSSVLVPPAKLFEPEEARKLISTPIICNADGSYTLGLLKFDHGLLEKEFDF ASIANLVMDIPYSHFSMFRSSNHPDIMRARMPRPREWCLQLEEEVLFRTPGIADRPAK WEPAVLKMLGTYDVEAEQSTIKGGHEISRTVRGTWLDIRKSPKIGQFVRVVSGPYLDY TGWVVGVHEDHALITRSSADGLISIVETRAEIPSAPINGKEQRHVPTEDDDLQKDSTE TDVGTDAAMSIDSQMTLGNMVVHSEEIAQTLDQSGVTSELEETLITERSLQAEEGEVS GAVVNIATVSNQNNYGADNDKFETVEHFSVYVNLLDTAFSEPLSPLISELNPIEKRPS KHPWCGLEVIIQKYRHARKGETGRIKDVLHHIDNAELQLVIQLTRFNPFAPFQTIVVD YDDVVEMSSFNELVLFLDPGPNFFRPKPKSSLKHIREVLPDVPQTIASGSDTPMYSQE SMTLAWDPSSRTPDPAIHSTSLALSDPSESVSSMTETSHSLNPPTCNHVLLNPKLVGI SLNVIVDGGQYNKKAVVATTAWEVNNLVLKCKKYSSWTVVDPTWVTPKYANPIHDNGL LVVIKGEHCGKFVRRIHHESLSDNPTVLVAVVTVSKGCVDVLTGERFTLSTDFLCSVP ESKKDRDLNSNVMTQLKERYKKKTL JR316_0009333 MLSLILFFLLSKVDQVLRNVKAALDLYPKDRKSSYLNYYPNTEI PAMPEHLEVIEEVADEDMLEENELPEELYYAPISRRESFSGDEQWVPGVREPYQPDPL LYDTESERSSHTPPRAQSNEFDSFETPRPRRILAPGQEPSQPTPFSPTRKKRTRGTSP EGDSGDESEASSMEVSPTDSSGDQLSTLPTVQSLVAAAAGPSSDGPTPHQDQLIDFGV PHNKRRRRHLKVPQEAKIVISNEKLRKQVRTLQHLVHTTEAGEVLKVRQEKAVLQSGL QKATTLISSQADRTADVSSERDDFEVKYNTAESELEDARLELAAAQNDINTLSADVEL KDKVISDLNVSLKSKTEEISKLNEMVQARDKDLFDKDVELRKLKSDMISVEAKKTSDF DIRNLKEELQEANRQIQLLIKTKETQIAYKNEVDELRKTLEVDRELFAEDLLMHTKEL ELVEVAKNRALSETEEAKKAIADSEARISKAKIMEENATEATTRANESLKAASQDLQE ARKLCSAAEEAKIATETLKAQILSEKSSVEALKRSAEELQKKASEEMKKANQLRVHYT SCMASLDNLIAKERNNHDNCSGFQRATQEASAQIAELKAKLNDAEIKLKSMTASVNAN NGPMVVDSINTSKGKEKAPTDAPMVVDSINTSKGKEKAPTDAPMVVDSINTSKGKEKA PTDAPMVVVRQIFPSRLLPQSDTLRKDRETDIPSRADVQTQIRQNFLSKYKSIKPLVR LGHSSASSGPSVTRPVNEDEDDPKEGGNRQGLQNISKNKASQSTIPANFPVRSGKGLH IYDIEDEEMSDTQRAKGDDDDANGDDENNQEGEEDEEDEEDEDDEEDEEERAVTSILT RTGSASAPKGRYSSNVSFMREAKKGTRGGKGALEKDDKEVRKQYLALVRSVVKRKFGI ERDADFVNHIPPSPGDIIRFETTKSPEDGPQIADLRIDMKGEISSKWNEELVSILVTF ATNRKVSQFPDLPHRPQDYLAQMFLQKLERARTFWRNHQPKATELGTIETDAERKARV EAKRKKDEKRNRRNSRRASKYTDRLFTVQRMIEESEKRGDTAMFNLWSRLLSLLEALE EDGMSSEDSEANDGDTVYHVRQMSYRRNVDRHMELIDTETKRYRRNVSTQGSNKSRRI RGEDLPSLRKPFIGKPRSLYGPAWIKQQTQTTINRLKIPEKHPFKFFEIKVPDPSA JR316_0009334 MLFGKSLTYTIARIPVHAHAMQTFKSIPPFYALGSLRADKGTLL ALTELPTDNGNHLMSQHEIGLSQVPHRPPEDIDILPPVRRTPPDAAAKPPRPAPYRRE LTPAIARTSLLVPRPAGEMGSPRRGGFSVEQELGWEKKDFNALKTLVNEHVANVLDAT KPFSEQPADRLDDIKHLVQYLDKLISWSVATKKSPDHAEISSFDQL JR316_0009335 MTWPYWSTGDQAQWQKTELRRAHMRKKELSEAKRIKTKFMIFGP LPESFPHLLVYDEPIEPVASFKHVGYTFNSTKKNIFIDHYVAKAIAAHNGITSFFILD SVFATIWPKLDLQLSMARGLGPMCMRAPLFTKTGVLSIEYRRIILALKFLAYLLEAPK ERFVHAAWLDFTALTRLLCSTHTLAVEKLCSYWPTQLLKPNGFFTNDRESSDLIRQLR DQELTLPAFAAYVYHTLEESDQVDVLRPANVWFMYD JR316_0009336 MSTNAFKPIPPFYAPDSPCANETSLIARTALPVQPSTYLISQQE IGFTTPPTSMTNLSSAQQSFKQPFSTGLIPKPDGEVGRPRRGGYNLEKQLGWNKRDYM ELKV JR316_0009337 MALQPDLEAPLLSFLAFTTEEFISMLFGKSLTYTIARIPVHAHA MQTFKSIPPFYALGSLRADKGTLLALTELPTDNGNHLMSQHEIGLSQVPHRPPEDIDI LPPVRRTPPDAAAKPPRPAPYRRELTPAIARTSLLVPRPAGEMGSPRRGGFSVEQELG WEKKDFNALKTLVNEHVANVLDATKPFSEQPADRLDDIKHLLRLENDVYVYDDMKENG HLTLAPNPGILEEHDDRSVYFVYHRSSSKSTTTRSVRDIMTDYKQGRHLALIRQQTDD KYIHSSDSDSEDSGAS JR316_0009338 MSRQRFLVSLRDQKGPITSLAFSPSGKYLASGGISQLTIWDLEK RRVLETSPQSYYERSEISTICWVTRTKEGFDILSYGNAKGFLVFLIHRPSQDRFDVLH AARVALGGEITCIAVKAESSNVEISRIALGTRDKCIMVFTFNPTTKELVPIHSITYGD GQGTIPKAMAFDNNTRADLYVFGLYDGGLYRYDGKDMSEISKHQLGSQIGNAVIDIER KICVIDNVRNGFSVYQVDSGSFVRDLTTRDAKHTFPKQVALGNDSGLVIGGSDHGLIY IFERDTGKCLKAFKHGRTRGVETIASHDNNDGTITIASASSSVSVNDQQALHIWQWNT NKTDYRGWSLKEVFEFFWKLTLIFLLAYGIRLLHISVSSGKELMYPSLRQNDGNTGEG HAQGTVKPSFVANTGGTADRAYMKDGDEYSEKLDDSEYESCQPQRTRHTRAERSVRDR NEQLEEDRNEKGNGHRFKEGQRSRKAASRVWNEEQDTDDANEDDDIELPEGRRQAIVN KDKAVGKSDNEETRNTNIPRKHMKKKSKKLEHPIRDEDFYESKDRRSGVAENIEKYTK DLMIRED JR316_0009339 MPNSAINVSQTPKRGKIVNMAPEPLKIESSLISRLNADIVGEIF TVYVDQWIKDNRQGTTPTQPAIYVLCKVNQEWRRLARRTPRLWRCIIIWYSTRTWNTC EALLSDWIQCAASSPLQVYVFSTEAPDTELHPFLRETLMLNASKWSSFICLGPTPFWG TPVKEYWSFPQLKSIAISLDDDTYCNFSDAPLLKELVVRNLQKNVSVHWSQLTLVDIA VEKLEYAILPLKELKVVQDLRLTVEQWLLEDQLTVVTPKLHPHLRKLSLHMPKQTSVI IFNALSTPALTDVSLYLQSDPNKSDEDWLRSFLALISRPGRLQSLTSLKLGRLGNITE AKFVSLLAQIPSIINIEILNPGFVISDTIVDAMNPQNGIQGRCMLPNATHVMFKNVKI QFDGFLLLNMLKQRKKCTEHPNDSNAVLLNKIPVITSFKKIEFWYNNPEWIRSDSFED PNLVMLFFYEVFKLKNSNKILLKFCCLHDDPFED JR316_0009340 MPTSNEENGDKNYKAFRSIDGKYYKIQTSDVVAFAPGLARLAEE PIPGTLAESRTTIVEEYGFVLDVVFSFMKRERHPNLEQITNIEMLIDVANAVFKYEVF SGMNTCLARMRALMPHYPLFVFCFAADHKQTKLLDEAATYLCSSEYSFGSLAVRVSKD LLLPLVELQKVWSEKFQPIVEFISKFPLCVEKECHGVERDLEAENPIKICNGCKADLY GWICVLDQMADIGKMKESLRLAGRKDLKLPCFTFISSVPAQLTALIAAKCLDIIDNEL PTFDFVRREIAMLVDSD JR316_0009341 MQPFTSLPYRISSLPAVASLLLIISKLLYKKEEQDSSPETISPL VNGNPNPPSIDIQAVMTPPVVTDPAGDPEVQSSLGTLTLQVDAPINGPISIADESTSV NRPNVENPLQSYLGEFLLRVTQLSNESIHPEPSNHQRLESPLAAPSTDVPNDGIETSS GNNASTETPPDYRGEDAERAYVGGNTSDDGDEDDERAFFSANASEDGEGERAFVNQNM SEEPREDMMLGVYFNITYNAKSLICSAQVIEDAYASTSNESEDGLHASRTARDALESS LRIIFHAVDSSKNRFIEALERLPDRAGQDLNPLIHSALADEKILKSMLSVIRQHKISL PIYMNRCGLVDVFDSDLSPTFRRTQHPRASTDRIPFYPCRIPEMRGLPSHYLFPLSPT TRREQGSPALVTTYAMFARNFDVFTGGAFTKLTSWDNIIVAGGSILACATTHSANITE RDLRNLFLMSSTFEHANIDVFLYDMSEAEAKSRILQLQCEIDSALTSNSICVRRKHSI MVYSSWPQKPIKIAFRVYNSPAEILTSIDVDCAAILYDGISVYVTPRSLAAIVRQCNM IDLQRWSPEYEFRLAKYGARSYEVYIPQMERRKFVYEDKGLALLKTLEMEFMIPSRFE RMNRIAWTGRSQPFLFPDSSPTSQFAVRVKETITAETILADVQDFFATGDDKRGSSVA PSYNVRRLNGTMSRPILPRLPGAIEPRGERPSSKRKSLHQRAGSVDNDVLLRFRTDDP GRFFVIRDDKENEAPDFDTWLMSAYERAC JR316_0009342 MVTRTRNKSKAIDIHNHNPPRIDKMNKVDPEIRQFLDMEAQVDE GVDLEEDESARGRFVEEGLGGLVYINIK JR316_0009343 MSEHFRVIEDMEDEDMVEDGPPDDLYFHPEPGRESLSGDEQWVP GSRKPYQPDPLFYDALSERSSNTPLRERSEEPDLLDTPRPNRILGHGEEPNQPMPLSP TKRMKRTRSTSPGPDSEDGSVEAASMEVSPTDSSGQLETLPTVQSLVEAAAGPSSDDP MSHRDQLVDLRISRNKRRHRHLKVPQEAKIVISNEKLRKQVRTLQHLVHTTEAGEVLK VRQEKAVLQLGLHEAQTLISSQEDRTADVSSERDDLEKQLETVESELTEARNNVRNLL ADTESKDHIISNLNAAVTSKTKEISKLNEMVQARDKDLSGLLAAFESKDVELRKLKSD MVSAEAHKKQSDVIISDLRKEMKTTTETLKAEVLTQKASVELLKRSAEDSLEQAKRLK EDASTEMKKANRLREHYTNSMKNLDRLIEEASNEVENAHNNCSGFQRASQEASAQIAE LKAKLKIAEIKLQAMAVPVDASKGKDKAPTTTAPTNVASVNTGQDKEMQSSNIPTTAV DHVNSGKGKEKASTSTATVVDDHDSSIPNRTDGRKADHDTQRMVQVQMKIRQGWLSKY KSIKPSMSFGRGNAQAGLSGTQTGEDEDDPMEGWNGEILQHAYDDNDTLSVNKKGQKG KTSQSIISADFSIHRGKGLQIVESEDEVMSHMQSANGDDADVDGDDDIDQEEEDEEED NEEGDEDNEDEDGGNEDEGERAQTDILTRTGSASTLGGRYSRDASLSFVMKGKNGTKR EKIALEKDNKKVRKQYLALIRSVVKDKFGVERDPDFVNHIPPSPGDIMRFETTMRPED GPKIADLRIDMKGEISSDWNEELVSILVTFATNRKVSQFPDLPHRPQDYLAQMFFQKL ERVRTFWRNNQPRATDLGTIETNAEREARVEAKRKKDEKRSRKNSRRANKYFDRLFTV RRKIEESEKRGDTAMFILWSRLLSLLEALEEDGMSSEDSEADDGEAVYHVRQMPYRRN VDKHMAIIDAETKRYRGAVTTQGSNKLRRIRGEDIFSLRRPFVGKPRPLYAPPWLKQQ TRTTINRLKIPEKHPFKFFEIKVPDPSA JR316_0009344 MPNPRHLACKDLGLPRCHLRLRAVTIRGTTRKVGDALSAISKRI ARCRIRNPRSKKPKQPPAPTATPPTLVVEPPSPTPTSSSTPTTQTSRSGMAFPHSPTP TAINTRSSLSLSLAPGLPMEVDALRAPQQHSDGYSRLGPIQPREGIQTARRGGGPPRV FGANRPRCHMSSTLVREVVTLVSMYI JR316_0009345 MDENGVQFGLAINRDSLRLNIAVNGHNRHTNIGVNIDTDNKIEI VLSVNEPATRQVNTKPITLTNGQIQEWEGTGQPVVVVANCIETLRTVKGSNIEMHDGE GDDQDIEME JR316_0009346 MASYDWRMRHAGLIWRRDWQDCFHRQLFAVLIPALKDPEPQVYV HTVLALINFCKGVERDTFLLYLEPIVEQLLKLLNPSSDQTQVRWYVQEQAITTLAMVA DASEVTCGKVHPLFGKKLCYQYSLTKEVT JR316_0009347 MQGLMPDLMDIIKKCKNNSALIGKVIDLVSSAAAHLQSNNLFKA HELIPELIPYDLRVLVDLQAYKLACPRVNQGYKHPAYAALLIPRVKSTKFTYLNAHKI LQQDPRSVLTILGSPNEANRLGERASKGQIHKVYELTIRLICYYCMVLVIAISGKTWP EAKSILKANHLYTSILTLLLGLSDDDTWAKETVAWWQENIILPSEPVDPDKKSSPLEG SARNGCLRDPVEWCKAQMATAAHAPAPARAPAPKPACAPTPTPASAQARAPSSAPAPY NVSAPALSKAFAAVLLAEPVPKQVSRCQMILNTIGIPSKSHPDLPSKDSSSLSSKNKR SPSPSAPIMIKISAKRKAVPHHSGPKRKDAPPSDPFKTGDEALSTPNSDATATNGEEP PAKKAKKGAQTKEKRQSIKCKARKF JR316_0009348 MSSQWSIRFLKTKYPRRSSPNDVVDAIRRWIGEVFEEINTSAQR REGAAPNVMSSGTLVITSALHLSNKTHRDGAHHIVHWTVRVFDSEGFYVGGTHVFRQQ AATFLDRDLESRRSRWRNQKLEDVPFEGKETILIIGDTHDSVQVDELSE JR316_0009349 MGITQERLVQELYDRRVLHNILGVEPQPLVIRDSDDEVDDERKK EPKSYLVESAWENGDGELQKIIYIPGVGGTPLTSEMDLESPYLDTLYSVMNISGNYTL JR316_0009350 MAPREPTRAQLSSKLLYQQNTPIFLLKLQNRMNGIPDADDLETY NEGDDDEFEYTGEGRAPIPRRPRPAIPERPADDPGSADEDDEFADEKPQVVVLKAGKY LTEFEAENIRRAEKGLPPLLSPEEKAAAEKAASEEKSGKEASSSSSKSASQGLSFSSS SKPGTAGAKSNKRKAIGQLDELKAELKSKEKTPKTSSSKKAKKQSKTLLSFWDDT JR316_0009351 MPLASTLNKIRPTEHRNGKVLRSQKALQHTNSTQGPASQLRELL KLDNNDPNHIRDIYNDIKRIGEKYLKIELCPTVQAEKLIFVRKEVYSPLIACFQQLVE KYPNTFNKQDSEIRLCLAEPAHETLQKLSGLRRGTTVDRKPILQETVSFSSSSKNPTN SNGYRDYIVPTRFSNEPGPSTETNTAGGIPSSPQTLWTTPSRRSNNASRSSIGSMPCT PSSSHGGDAIYHFLDSCLPSMAHLWEDFDAYGLNDEQMLLAVSSWTPEHINSFLHRFA NKCRKPVSEMDIEMLQVHFLSYFKGG JR316_0009352 MEEPRPRSPTSRYPTPPPADAPLGPAARYPYLPMSPSHLGDGGG PAIDESIAAVPSHITGATITYSCRPGGPYLFDLLGTLPLAEYGVLSWEIIDREDKIWE SDDVKEEHKVMHALWARWIFVQANRNKFIANYVKGVKAFIDTYWRMIHKAAGWSALRF FLFVLLANNFLSSHDVAQVLLYYQNLTGMDHWAT JR316_0009353 MPVSVSTFPSVYCGKIFERGVALTRAQALLIVVGNPTVLSLDPL WRSFLNYIHSCGGWRGKEINWDPKEPVFSDGLYNATRKSQAEAELEDTIAKLCAMVIQ KHEDDGFEIDDEDDKDQDAAAFERPILREAE JR316_0009354 MSGIELLRYIFSGGLALYNVTFAYPSRPTVPVLSDISLFLPAND TTFIVGSSGSGKSTVTHLLLKIYEPQQGLMNFDEWDMGLLDQTWLRSHVACVGQQGAA GVVIFDEKSIYENIAMALHDHPNGLPSRRQVEGACRAALLHEFVRDLPQGYDTLLGGG AGIGVSGGQKQRLAIARALLRNPAVLILGDLQTRPLPPSTLWRHNKTTIVITHDLSQI TAQDFVYVLKDGRVVEQGYRSDLEEVKADYGSDQGEFKKLMDAQRETGGFLPEKDDEE KPVPALDLQEEADENKDKDTPQYLKHQSLTLRPMTFGAWMFYVLDDLIGTKPAIAASA TVHPSNETQESLENQPRRGLPTSPDSAHTVNTRRYSLPPTPTSATFTASTYRMSTATA ATYKEDDGEEYQDVESEEPLPPFWALMRIAYRSISRKLLLFFGLVVCVMNGAMTPIFS YLLSRLLYVVSIGAQDLNAINTYGGLVLGAAGMEGFLLGVKYFVMETVGISWATSLRK RTCGKIMSQDRAWFDLSKHSPARLVQILIKDGDDARDLIAVVWGQMVVVVAMLSVGLV WALVAGWQLTLAGMAIAPIFAGVMAIQTKLVGRCEVRNKRAREEVARGYYDVSNDYSH PDSVLSTKQTVDYNAM JR316_0009355 MKFTVSISSLVFAAVSSAVAVQAASPIARSANALSIIFYDDINF VGNTYSPTNAQPDTCIDLPSSWRNRAESMSVGSGYTCTFYGFTGCQGAGRVQAGRVAT LPTTGNPVLYQNIESFECTSF JR316_0009356 MKFTTRIPALLVVALSSSLVAQALPPVSRSANALSIIFFDDLNF LGNSYSPARPIPDTCITLSSDWRNRAKSLIIGSGYSCSFHIFTTCQGTGQALSGDVGE LSSTSSPPLYQNIESFECTKQG JR316_0009357 MKFTVNLTALLFAALSSAMAAKAAPPVARAPDAMSIIFFDDTFL TGDSYSPANSGPDVCITLASNWRNRAESLVIASGYSCAFHAFTNCQGTARTLSGTINQ LPQTGNPVLYQNIESFECTKLG JR316_0009358 MFPPEYPPPVLLTTFFGVAVVRPSHISTGASAEFITFSEYARSS RLVRSLSLEDMSPKYLALGPEDSERIPEFSPEEPSSRQRPSGDPDWEDYELNHQKYPR QYLDHVDNPLGPRIENGAMAIRSDVEISSYTFWTRGSSYGDSMHPRKFPGRTQNMKYA KTRGRTETPVYLKAKEHRKMAMLKKRSVSPRQSEMQFGRKIPQVCEHAGSPARHSQME KESSQRAPLTDEERSRINAYQLSLGLKNIPLNFLPLSGSS JR316_0009359 MHPSSYSYSYPSSIISSVEGHSTIIHSTSNNTLDNQAAGSSQIF EELISKDFMESLGFDTSGLDLNGNIKKILSTAPEFDPDLLDSLFAFINFPDPSGSFEN GHSEPNPELSMTTYPTVGGSQTSHDTPPAHAVACPSATPSAYASNARVRNGTTGNGTS LYTTTGKAKTALATKSHRHSPYQSSRRRLAPRMHVEERTRGENSIYNDMHGMDMQRTQ DNPPYFRPSTGEPEEQQNLIFQQPNNDDNSSGINTVERVNQGGSHATAVRSFSSVGTS SQNLQNCDDATESRSRDPRKGSSRTPKGRNRDQVKRDQRSTRSMDPLPVSGDGNGDAP IPGEVKKKGKQGKRYDRLLFHNQAPKPQENVGHGEYLQQRALTDQILTLDHRKHPIDD ASAIVQSKETRFKVQVGHAMSRIQLVLCEDIQFSKIYSRWGNRPEPAKIAEKFIIMNQ SEQNRS JR316_0009360 MASLIPSVLEVVASIDVTAPSVNEKSTSQSNNVGSNGSTSPIQT FFSSSSSDFIFPANMSFDYPSSNDPIVPLDLFPFHQESPSRSDKNMILPDGFGAFYGT GNEPNTVEFSVNSNSSQNLPSQPAFTEFPIARDHSDNPYAPSISSSMKLDSTHYRYAP EPMEVSVFDNPPQNLLSGFTPRKVKQRGKANLRLPTSSQKGVAADVILCVCQQRWVDG KRGPVETYTGPPKTPGRGYRSDLASRSVELWRQSMAASDAI JR316_0009361 MSNSSVSESSPTVDKNTSEYQRPEQTVYEDTGNVQKNPTLDLFE SSGWNEVRVDGDDYQLFETYLNFTEIPSNTSNNTDAVSGTPPQEVPQSQGLHSQSSSF FHHNHPSSAAESYSPGHIQPWDNSSQNLSLEVSVYSPNLPEHIPQAPQDALQNVYRPY GFMSLNRSADSGSMQEVTVFSRATQDAADNVPEIHATGTINPALLALPARGRHLPGSP RYEIDPVLISHPAYESVKRRFVESVPRSGDQERNDTTQPYEQFHPTRFQHPSGETETQ TYSIFQHMEYSEANGSGYNPVEQSTSRRDGSKRARSRSTTPIRETGGSTSNETGSSSA RTRKRRRTARDGNNAQTPVSAKFEDESTIAVRPQRRGRAADNVPLRPRTVVQRLDFVT GKIMSQGKRTDQTEEKISSVKVSR JR316_0009362 MFGWNIDGLSSERAPTGLEFILDLFKITIALSSLWKNRDCSHSQ SSQILVPGFLSYLSRYASGLYVERSLFWCSRLVPPCNFTKPLPSPLQKQS JR316_0009363 MELLLPNTSINGFPAHGPPKRASAKKPSSPSQALQSAPGSSPTG VSPPNPKYLSEIREILGLQSAKPDGHFAKTFLFSAPYLPAKPAAHADSSPQIRSAPVK GLKSAKSRYAPRGASRGLSVLDSE JR316_0009364 MQRVVSTSIYIVVTNTYSLKESSPNRKGGEPVNAPPPPPPPPPP SPGSEVNDDPTPLSVFKLWLFLLLASIPMGIAAIIYRLRLKARKAKNKGRREPIKLLK NACPVYAFPTSQCPVGGPDTFTKKIIPPPKEVLTIKPQVEEVALGPSPEPTVFIETGS PVEEEAQYFASKLSETVSSTLKKRFESSTSRMPYRSPTNIAFRILIDAVYRILLIFYI LLIENFLFTLCTILVMSVMSFLHKNGLAFGCGTKVEKKQTFVPASFLDGKDEDEDKNI NEGAVDLVSTLSTSTEVAGIERDTFYNTSFEANLPFQPDKYSEPSLELSRLDFNEHSF VRRQLRLWGFTVLDGVVVEGFEEESTAFIEEVNDLLELGGLMQDNRSGISDGPTLTAD ILEGPTETTLVGSLEDIVAEEVTQPIAPLAPLAPLASQEDLWTDNNTTSSIDILTPVE EVSSTLEQANGEVKVEARSNADIEAVVDEDSEDILAGLDRVVEEHPVTVVPRNEEEDE QDILAGLDPINNEVGVPLREEEQHGQVVAALDEGIREVIEEEPTVGTLVVYTPLRIPM IEASEVGEPDGSVGVPEGSLPSNACTTMVVWRPLQDPTHHQAGVSVSQIELRDGGRVR RRKRCRPKKKIQPPAEPEPTQDDSQAQPQPTVDDPTVDDSQAQPQPTVHDSQPQSTED YSQAQPLPTEDV JR316_0009365 MPTFRNAPRKYPESSHQANGKGSNQASGENSSQANDFMAFLDFG ISDNYPSVNPEDMLSTGPVFDPDLLDSLHSSLYIPDLSRNLHNERSKSAHFPTLSANS GLNMATYPTMGRSHTSHDTPTVACASVEHPVYVYASSAGGAPTFLSSQGGENAWTLPI LLTASKIRSDPNEIDGIDVEMESVPDARREEDIDTPDNHATGSGQDIKVLTSAEFLQS LGFDISSLDLNGNMEVILLSIAPNSRKLLYRALRSSISASIECESGATYDEVSDDGNY PDVTRYSLPSLVQGAQGKAPVGCLKVAPAIKIRRMQRYFLHT JR316_0009366 MKFTVNLIALLFGALSSTMVAQAVPTVVRPTPLKQDPDEMSITF YEYTSFRGTSYSPIYSGPDFCIDLPLGRQNRPESLEISSGYSCAFYAFIECIGPEQSF SGDVANLPRIGVPPRYRRIASFECTKLG JR316_0009367 MPPLPWGTPEQIEFLSAKVPEFQASQRTKTTPNFWTKIYQEFFV LWPTPEAEVRPMTVPKKKKKKKNAPVVLPQTKTELSHAEWVKLRKNQIVNWFNNRGAG TQHRRGPTIVIGGNNAPRLLSETNLYSKKYYDERIRPRVIEALRTNPEGHRIAIINKC TGEAWNDESDEVKAEIRAELAKLKELKGQIPDMPEVMSPEECAINLLTLPETIQAFID EMSSRTGWVFTVIAGGPEPADQGKIRTVAVHNAQEDRDRQTLNSSKAKPEENQVASTS KVETGDAGQENVLSETTPPVTTQPEIQSNALPIAVNQVPDPAALVPTSSTHAHMGSVA QLSGLPIAINQVPFHPTYTAASVPISSTNAHMGSAAQLSGPIPNTITAAHTPPEAAQQ TAMASMTAAPAVAIGFAQAPVMTQSTVQPAQVQPTQSVASPSPPCYPATNGATHATIE AQNFLPPANPLPQQAKVAPNPVAAFLPYGAAISMTDGVGGQDIDQLTAAVGQDMMRLQ AGDANRGDGLTLNFSEEEWDRIDLALQQYAADPNMMGTALALNTNNTVTLPGLHGQML QSQDMMAGQANAPMLLDPNHSISAPHTIVPAQTSAPVLSATNSAGVLSNHAVNDPIIP ESGMHAPLTAAPDKSENLDASATRSRNRKAAASKDAPQTTAWLLAASEYLFKDIEVEK WQECVRAWESFERMEIAEMDTSSLRLPAKGRPTALGKWLSSSRKYTAIPAIRQDEFQK SWMTWWNSIQPAWRQTKTPNSLPLSFETAKAKDSMASLRKGGPNGLLTVMVGLKWWYS AQASDGLWELAVSDLLNTFNTFQKAKLNNKRKAAEETGKEKRTKKAKA JR316_0009368 MAQQSTPPPTLPNSTVPPSTAPASRIMSTRVGDVINLLLALNNA GLEVVRTPDGVDHASGLENLAMGELILILQIMGIHVKGNRATAAPPPPSDSRDPLVQE IEQMVISSARRQAINLFFRLTNGPLTVPPVILEELSSTVAPPPSSVEPQATVTDPALL PPKSQSASDTVMPKGFVCMSCNTYNPIRPSEKPVYVVFCGTDVGVFDHWKDAQSLVSG ISHACHCRYNSREEGERAFQAALTAGKVRILGNPIAAPGAIVSSLSPNAPNGTSGSSS EASSSSD JR316_0009369 MGRQRKYFSEAEKLAANRQKSKKYYEKCKDSINRRRRRKYARIQ QKRIETARKAQAVEQEPVSKSKPPAATWLDEVLRVYRRFNTFIKSDPIAHTEAICLQF ISNQDMHELSESVDKIEHFLGPISRYKNHIYMCSGVGPEWNKTVALAKLLEKTQSWLQ EIELTAMEDLEFVERNYNAKSFEFQKVSQ JR316_0009370 MAKVKQRRRRTDNFKGPSAVTIEPGIRPVTLDTKSKQDKFLADA GPYYRQAKSKGEKEEFLHEISQLWFRIWPEDPLNTADIDFARHRQKNIMKKIRTRLLL LGAFGIVEGDTLWRDFIAAKMHELHPDKLPSV JR316_0009371 MKRRVNRKKKVYYDEYISPDERDAKTASFGDIHTEYHGRTHHTI RMPLPQGIQPMLPTPPPLGSVESPTPRLDWVLSEESPYRIFDGDLELEEMAECELEAL GLKNYLKPNLKTGDKVQADPEEEVPQKKRKTQSTHPIHFWTPHIDTYVEEFLRLEGIG GQANLQKCSEIGCISDLEAGAFRCKDCWSGSLLCLACVLRSHSVNPFHRIEASGVGYD DTELLTNLQVWTGHYFRRTSLKKLGMRVQLGHDDCPIPMVAFNDEFVVIARTGIHEIA LDYCGCPSAPSKPIQLLRARLFPSTVGDPKTAATFDVLEHFQLLSFNSKVSGYEYYST LSRLTDNTGTKAPPDRYPVFLRIIREWRHVRLLKRMGRGHSETGVNGTKEGECAVLCP ACPHPGINLPDNWKERPESEQWLYSLFIAIDANFRLKRMNVSTDERDPGLNHGYAYMV ESCKFKNYLANYDGQVADEKSSCNNHDAIKSANSRGGHGTAASGLGTAECSRHDMKRP VAVGDLQKGERYVNMDYFFLSTIAATLLLRLVVSYDIACQWWINLMKRCQLYPKNVLS DPSNLSIVYLVPKFHLAAHIQKCQTSFSFNYTPGVGRTDGEAPERGWATANGIASSTK EMGPGSRNDTLDDHFGDYNWRKIITIVETFLRKAKEAIQERQEQVEAFIEFDAALPEE STSEWTQMCLTWEKDSSQPNPYVIPKNSSVKESDVRLQLAREDSDALKRGEMTTLHEE VTPSVLISQGLQLEESQARLALDISKLGAHSTNIQQTKILERSNSLKRRIDAWINIQH LYMPAVAALRARENLQAETPVAVQDIKLYLPSYNTETIRFSSHILLKCESQYRYAQAE DCLNNLRAFLLLRSHMLNSKKRHSRGQRMQTRSLTLLAAVEEKIKFATARYNVAYQAL DLLSTPTVYYTWRDILRPLLDTDVRGLSSMDDSGSEGRKKLSWIWKVHGMGEDAEKCT QAALRIEWCKARARAHRWQEECVLLAEEMRRVIAFFAWQAAQWDSRAQDIIDSTDVPN RDAQAINSGKIAYAKKQADIRRDIGDRCAKQWDGVTEKLTTMSEGRNAYKMVECH JR316_0009372 MKFSVNLIALLFGALSSTMVAQAAPPVARAPDVFSIIFFDEPSL GGASYFPEYSGPDLCITLPPKWINRAESLVISNGYSCAFYA JR316_0009373 MDVKRLAAPPHPAISVHQHARYHYQHRLRWFNDTAIQLPPYLDV RRLTTICIYMLSYIFFLLATLSTPDSSAAESAQMICVEGSSSKAEDQHGSWVTWRIYL RTRAMGEAAWTVRAEDTAAKTREKMLMVNFMLMVSYLAIIKRQSSTVMKTTFQSLR JR316_0009374 MLDEDIVLIKRESDTIQPLGTQTEGTDELESVQDGGTKAWISMV GVWIVLFVTFGQLKSTFSYTYSFGVYQDFYTRIFLSHHSTSKIAWIGSFQLMMPFVFG VVSGKLFDAGYFHVLEITGSALFTFSLFMLSLVKPQTYAHVFLCQGLGVGLGLGLTFV PAVSLTVHHFRRRMVLVTGIVMSGSSIGAVMFPIMLNLQIKSVGFPKAVRNSAYIVCG LLVLGNCLMRTAYKKSADKVPQLNILGFFKDLPYILASIGAMGTMFGFYFPLIYLQLY AVTHGINLTLSFYSLAILNGASTLGRLVGNYLAHVHGPFNVIIPCTLLIGASIFSIFG IHDSKSLVIVSIFYGIMSGAWLSLAVTCLASLSRHPNEVGARTGLGLAIVSFGTLGSA PVQGALLGPEFDWKKPIIFSATLTTVTSIVFLVTRYILVRERGTEKV JR316_0009375 MLFFTLVLLFSTYLPDSLFRAVSATDVNIVFDIDNSVVAPDGFS RAGVIVNGIFPGSLIQANKDDVLHIAVNDMLTDPLMRRSLSIHWHGLFQMRTASEDGP AMVNQCPVAPNHSYTYDIPLNGQAGTFWYHSHLSSQYVDGLRGPLVIYDPEDPHLSLY DVDDASTVITLADWYHNPAPGMEEIFLQGNDEPIPDSGLINGVGRYNGGPQVVRARIN VIAGKRYRFRVINISAYAAFTFSIEGHDLTIIEVDGISHVPHTVGGFDIYVAQRYSVV LNANKPVANYWIRAPMTLQHSSDNENLDTRNVFAVLHYIGAPDAEPTTQADQGAQNLL QEYQLAALINPGAPGGSAPADRSIDLDFSMEVKNGRLMWEINGISYLPPDLPTMLNII ANGFSSPNNFTTTEHTFVIDRNEVIELVIHGSPNVHSSGYLDSFAQRNLMKILSLHGH AFDVVQSMQGPANYVNPPRRDVVGVGGSTVIIRFQSDNPGPWFFHCRKILLKGKLIVT HRKPTTHIILDIDWHLEAGLAVVFAERPNDQRVGPQSEIIKQTWLDLCPIYKALPADQ Q JR316_0009376 MSSNTFDFADAATPAQGTSAIQLTSTYLEGSSSESGGTMIDPSI WFIPDNLFQQIFGSTLPLNWEEYVPITPNTHHPPPPPVPLPSNSHGEQRLSDSEGANS SLDDIEAYRGTYHAEHEGASGSNSVEYPDTDHFGCDIPVGQFPTDKPLVFPEVLNGSL NQNMDIFHHDYDAHQIYPEPRITSTGDPTIPPFQEVSNQTVLGGYPNTRLSVHPYSGH TEEGKTEINHSIFDHVDCQKHSASGISPVEQNDGTSAATAVRRGMVDAHDLPPLHAEA RKERDGVVFEREAGELQRVGRKTTTIDGRR JR316_0009377 MILQYPSRTDPIIPLDLFPSPQEPQSLSDEGLTLTGRYGTGNSF SGTETGDELNTFEPSVDDNSFEDLHSEPRFSEFSIAGYDNNDASRTNNTMDLDNAYCI SYAPKPMEANVFHPPQTLISGTNFAEPIIDEHRGNRYTSGIGGSTGLERTSPMSQYGQ QKQDLYPVASDVTHLRRRHHHELTLDSTNDEERRINQRTLELEDIPTLANHKLTGP JR316_0009378 MSSNTFDFADAATPAQGTPALQLTPTGLEGLSGVCKGSSLENGG TTIDPNIWFIPDNLFQQNSGSNLGWNWEGYFPQTPNVYRPPSPFPFPPNSRGEEHVFS SKKATNSLVDIEASRGTHTAEHGGASGSNGVLYSDANVFGCDVRLGHFPTNKTTVSPE ELQRSLNQRMDDLHHGYSANQIYPNPWMTSPGYPTIPPFQEALNQIFLGGYPDPHLSL QLYSEQPEEGKTDNKNTFDHEDRQEGQASGTSPVEQSTVAGGEVAKLA JR316_0009379 MKFSTVFSTLAMAASALSGVAAQTTSRCWTSATPVAANYGPLAD LRTDAGIFCNEYAAGPGISSAGRLIFGFQSAGFFGNFASNSSCLATFNQLVTDCYGTN PSRPATLGGVRTDPGGAELVIAFGDGTKL JR316_0009380 MAASALSGVAAQTTSRCWTSATPVAANYGPLADLRTDAGIFCNE YAAGPGISSAGRLIFGFQSAGFFGNFASNSSCLATFNQLVTDCYGTNPSRPATLGGVR TDPGGAELVIAFGDGTKL JR316_0009381 MKGIQKEGVSVLLVRMSCLHTRRCCSCGLILCSMNAPYYACPHC TEPLITASSSSPSTSRSISRDALVVQIEAELESTLAAEQTAREREIEQARIEAGAFPM LRTGSGSGTASPSPAANRMTAASAPPSRQSQPQSQTHKVMSLTSKPGNARGRVVVSSY TTVTTPVPSRPSSRNANMDDLEEGMAPRVPAPVPLSKEVVPPPTKERPWLNYVHGPVT YKPPLRVDDERGTVAGTSLSKRRRGKAKVKDGDGGSAAKGWSVITLFTFFLIMSHCSF ADE JR316_0009383 MPEEIERAVAERDKGHCVITGACGEHEVAITWLIPPAMIDALKD ASQDPRKFNNYSEACVVSNAITLRKDLIEALNDNAFGVDVEDNYRIVLFYDLGDTGKF LQGLERPFFHREDRQGPDDIFLIAHFLHCVKVHVIGGDVSDEYSLRDIEVWEDRLDDE DGAEWDDPLGAEVYEVHRLADLMYT JR316_0009384 MLSKTTVDWRKVKTHSKLAQQISFSELSGLNQEITPISSKILHD WGRFVDEDCLDFEIYPVTVEKGRGLFNCYRTRSGDNIPADSTDIIPPGDYDFDIPSSP FSNPFKIVSGVPSFKERKYDAEQPNARWMVRVEPEYMPLFELNDIIINSVMRRDHGQC MITGVTNAEVVTAAWLVPPPFVEVMKQLEYESEYKDPNQLYIPGNAITLQKDLADAFF ENAIGIDVNDNYRVVLFRDIGPIGEPFKGATNIRPYFKQPMNRDRFKGPDDIFLRAHF LHCLYVNLYGGDITRQYTREVVDRQMQILRLDGERRPLPHNHPLWKKELSRVIYQYYY DSPYKSDDDAADEDSWDSSDEPIDEMDDVSNIDEYGDDVNA JR316_0009385 MSIALPSTPASVGSKHTQEPAMPEDENQLPNEDQYQPQHVLSKV SKTLGKDTFFWAPTSQRLIGGLNHNVTPVNSKILHTWATLLDTTNDRRVRFEIYPVQI TKVPIGLFYYERYRSGENVPEMSTEPLPPGDYDFQVFANTKIDPIAPTSNILSFKQIK YQSTLPKEKSWLLRPVAPEMMYMFDVPDDIQKEVIERDGGRCLISGTAGDDEITVVWA IPPPLPFAMRYVDGGGVYKSTTELYKSDNAFTLRKDLADAFLDGAFGVDVDDDYRIVV LKNFGPAAQPLIGPNIQAYFHHPGVKAQFKVGPKDIFLRAHFVNCLYINFQGGDIVTQ YPTHIVEEREKLLGFYPGESRVSRRSRLWGDELSQLIYEHYYQRPFGPDSDNSDTEFE YESDLDEELVIGCSGNYEDEGDLGNTNVRDSK JR316_0009386 MAPFAVASTATLASVSQQQQEQQPPPPGLQEATKNSTLAWQRDL ESLFHHAKDRFPDVVWELVGSEEEDSRMLEEVWGHKAIVYARAPPSFQNRYFTFRPNG GALSPAPYSSSPSYPIGDSALSLGLESSINLALERRSPSPSASTSLAHHNNHSSYTTT QTPGSNTTLLRLTTNINPSLFSDELEYLYTGKGFGEAFEFLFDTADSRETPRPAPGTL SADGLSPDDPESLRIDKLRKDLVFMWRSRLYSDVRIALTGNFGGSHSAQGENTTAIFS SHRFILVSRSPYFHTALIGWPLAKAVASGGGEPPTLTLPSPPFTPASLHFTLGFIYTG TLIFSHRSYDLATALSLLQSAMYLSLPTLHDEVQARIVQEMCHGLFHAFIPFAAYEAL TQGRWGTGGCRCRQCARRAPRVLEFAVREDVRNTCLERGARRALVGMFGEGWCTAEFG NMMPPKLRESLLRGLAKRTTPENAFPLLFAAEHALRKIGSVIEPWADVVREMLLAGRK GVDEVLARESERCFEAEEWMEIMQSGGVRFEDGERVEWAMAAVLRGVKEPYAAALYQT LVSSILLRPHPTDVTAPMLPVTSHVHVQVEQTRVELLRWIGKHWLEVRMEKGFDVLEG WALKEISDHIEVPIDDLLSPPSYTSNKGLSPSPSSPSLSGSMTGSPSNLKRAGAHAKA GQRHGHHGHHHLRPISNHPHTSKVDAESDAASSMRVSVLSRSVAGSVSGSGSRAGAGG GVGSSSRGSVASSVRSGRERDREREEERGSMLSPSRSNASVRSSAASTHSVASFASAS TISAPRQGGGSGTVTRAKRAGAGAGTGNGEKMSPAKRIASEVRAAHAGAGVGVRRTPE KDKERERERERPDSKLTPSIVEPDGVGADSDIYLTDEYAENDGDGGEGEGDEQSVLEG EDDRERESVLDQEEEDGGEGEEDEDEDDTVSFRTGTEMEQENEEGQEQEHEHEQEQEQ DDTQSLASASASDVPSVYHTPRASTAPTARAQAPASSAATRSAAGVKTVISKPRSLAP SVQSSAQRSVSGSVRKTVVSGSTSSVRTSQYTSAASASRPSSRGSSYSVTSPRTPRVS TTRASPTTATFGGAGKPTSRPVSRVSTRSVSGGNGNAGAGKGKGANRPVSTASTATAT ETDTGSASTYRTAPASGSGSGSTAGLAVRTRRTSATSTASVRTAGGGGGRSATGSPVQ MRARRVSGASVSSVASNASSVRAGAGAPGATRRTRSGAAAGAGAGGTPTKRQAQAQGV LDPSRLSPAVAVAVGVGRAKSAGAQIQTASSGPGSGSVDAKDKEKEKVMVKKLSVGAA GVGATAAARRLAHQKSGESMRRPGVGGKVKVKDKDKELELENREKEKDMVKDNVPSSP APSVPPALPDKEKEKEKEKGAGESENGDVESVIPQPTTTTTTTEDAPLSSLPENTSST ITIKPRAKVHSDDGSVSSSSSGGTGTGTGSGNEHKKTSSSASSSSVATLRRKGSSDTI RTVKSSGLSSVVSGAPSSEKDREKEKDVDVDEGRPRSKEMQLQAKGLPTSPPLPPLPL SVDSPRPKASPLLPSSMPVSSSLTHPPSTSSLSHPPSSSSPSPSPHAHAHAHSQPQPQ PQPHSPSPLHPTKKSSTLTNTTNTTASLNKPLSSIDALLASDVPTGATLEIGIPCIIS SKRKRFKAYARYIGEVVGEKGEWVGVEVPVPAGGVGGSGDGWGDGSGFGIPGSGFSTH SGHGHGHGHGHGQGSGVLDKTGVVDDRQWNDGSWGGIRYFEIGGMLSGSEFDSGNPTW YSGGGTDDRAARRRRLDASSGSAMTAWGTASTGTGALRGDRDPKMQGLLKREGDQLSI ASERMKRVRSVSPAVSEMSGSGAESRGLFVRPQQVLYVVDAVGADL JR316_0009387 MQDTSASHIENQAQNEYQPEHTLSRKSIENKRNIFFWSSTTQHL IGGLNHDVTPINSRIVHSWASLLDYKGSRTARFEIYPVTVKKFSAGIFNTLRTRSGAN IPEFSTEILPPGDYTFQVFSERVVDPIEPVRDIDSFKTRKLDGENAAEGALNKLTSDQ IRTFDVPGKIQEFVMERDHGRCVITGASQVDSVTVVWIIPPSFVDAIQYLKENDVIYD EPEQITNQSNAITLHEDLKDAFFNNDFSVDVDDDYRVILFRDFGPAAQSLKGANIQAY FRRGDLKDQFSGPHDVFLRAHFVHCLFVNFLGGDIFFRYPWNVVEERIVDLGLDEEGR RISRSHDLWKDDLSRILYERLYERPFGSHEDNSDTDPDSDQISETDEDDQSDDNEKEY EGEERGPNSEDVSQSDAE JR316_0009388 MIFSPANGLFNPFKSKYNVPEDIAKSVLKREQGRCIITGCADPD ALTVAWLIPPLFVKHLYHVRGNREYTRYSEITKPGNAITLRKDLEDAFLDGAFGIDVD EDYRLVMFRDIGPAGHTLKGLNVKAYFRSPDVEAQRTGPEDIFLRAQLVNCIYVNFHG GDINAQWTPGMIREKEDELGLSPRSDFRRPRKNKRWEDELAQIVHELHYGRPFGDHDD NSDTEYDSEVYSDSERGGGGRNEGDSSSNESNLDK JR316_0009389 MLIELLSYLLSSQKHTCGNRRQGLQCEKALKKASRTQMVQDFPL MKILLALSTFIAFPFAASVTLADVQADINTIIAVATTYDQDIIALSADTPSVITILDV HTDAEVLISSLNQLTADLKSLPLPIDDDDVTSLLNNITSYVSPITDALSEIILKKSAF ASSDVAGVPAIILRDLIGLNASNSNLETTILATFPEMDCHTTAVDSAFANAIAAYTN JR316_0009390 MLSKTTVDWRKVQPYSKFAQQISFCELLGLNQEITPISSKILHD WGRFVDEDCLDFEIYPVTVEKCRGMFDYYRTRSGDNIPADSTDIIPPGDYDFDIPSSP FSNPFKIVCGIPSFKEKKYDAEQPNSRSMLRVKPQYMPLFELNDAIIEAVMHRDNVQC MVTGVANADVVTVAWLVPPPFVKVMQQLEDESEYKDPNQLYIPGNAITLQKDLADAFF ENAIGIDVNENYRVVLFRDIGPIGEPFKGATNIRPYFKQPMNRDRFKGSDDIFLRGHF LHCLYVNFYGGEITRQYTQEVVERQMKILRLIGNRRPLPHNHPLWKKELSREIYEYYY NSPYKSDDDAVDEDPWDSSDESIDEMDDVSNIDEYGDDVNR JR316_0009391 MSNQTAQPLPLAVDSPKVKVVPLLPSSGPLPSSLTHPPSTSSLS HPPSSSSPSPSTHAHSPPPLHPTKKSSTLSNTTASINKPLSSIDSLLASDVPTGATLE IGIPCIISSKRKRFKAYARYIGEVVGEKGEWVGVEVPLPAGGVGGSGDGWGDGSGSGM AGSGFSTHSGHGHGSAVLDKTGVVDDRQWNDGSWGGIRYFEIGGMLSGSEFDSGNPTW YGGGGGTDDRAARRRRLDGSSGSAMAAWGTSSTGALRGDRDPKMQGLLKREGDQLSIA SERMKRVRSVSPAVSEMSGSGAESRGLFVRPQQVLYVVDAVGADL JR316_0009392 MSIALPSTPASVGSKHTQEPAMPEAENQLPNEDQYKPKHVLSKV SKTLGKDTFFWAPTSHRLIGGLNHNVTPITSKILHTWASLLDTSNDRRVKFEIYPVKI TSVPIGLFYYERYRSGENIPEMSTEPLPPGDYDFQVFANKKIDPIAPTSNILSFKQIK YQSTLPKEKSWLLRPVAPEMMYMVGFYIHTIEVPDDIQKEVLERDNGRCLISGTAGDD AITVVWAVPPPLPFAMRYVDGGGVYKSTTELYKSDNAFTLLKDLADAFLDGAFGVDVD DDYRIVVLKNFGPAAQPLIGPNIQAYFHHPSLKAQFKIGPKDIFLRAHFVNCLYINFQ GGDIVTQYPKHIVEEREKLLGFYPGESRRL JR316_0009393 MSDSEKNKPESGKHDEENADARVSITPSEVEAQYQPQHILSKVS KDLGKDIFFWTPKSMHLMGGLNHNVTPINSRILHLWATLLDFRKERRVKFEVFPVTVK RIPTGIFHHERYRSGENIPEMSTDTLPPGDYDFHVFGEKKIHPFYPTFNIPSFKRVKY LTTLPKEEAVLIYTVRPEEVFMFDVPDDVQKHVLQRDHGQCVVTGVSGDDVVSVVWAV PPPLIYAMKYVEGGRTYSAMGELYKSINAITLRKDLADAFLDGAFGIDVDDDYRVVVL RNFGPEAQSLIGTNIQAYFRQPGAKDRFEGPMDIFLRAHFANCLFVNFQGGDIVDQYP MHVVGERCNRLGLSLGESRISRRSKLWNDELSQILYEHCYGRPFGPHPDNSDSEFQYE SDLDEEGWQ JR316_0009394 MHPLRLFVGFETTQGISKMFKPQPKFGMGFTIALYPIAINEAGG YPGHAFQPALRFSGLSVNFMFAERSSIAVPKIYSFLVSELLQLINGLLERDIITKHSR LSDTSIPTNGLGYTADLEDSLEYLVIPPYSELPFGPDTVHYYLPRRVTNWPDSLSLEV YPDIWACHPEYYRQYSGVGDVAVSQNQGQSHITIHERNFNNTPSGSRLGDFEQQPSVT TQERQNMIFHTIEHGHPREDTECSGNRPVERNEEYIRPTTAQSARGSSETRPRTRVEQ GLGDGRGSSRGAESAPMHSQGDDGAQIQRHSDGSSNVGPDPHSKGRIDAFGRSGLGFA VTLWAIRRKFDEVI JR316_0009395 MKFSLSIAVCLAAMASAASVGTTTASTMKAIMGDFSKLGQQFAK IANDVNAFPQTGMAGVQDIHNDAAVIHDLFSSVNDNLDALPRPVSDEHVLKVFSTFNS FTPDIMDYLNGITEKSADFKALGTASSTISIDLQASVKSCAQFGQTIMTMIPPAMSDT ASATFNEVDVARQNAIASLA JR316_0009396 MDHSSATSSPSTPLHTTLWLPPLDFEDSVEDSRDYLLIPPYSEL PFGPDTLHYYLPRIPTDWPSDMFSLDGYTQNRADTDSGAETWNVTGAPLFPATSEGSS EHADHNTLSDVLPGQPQPSAVQTERQNNINKFGQGASQNTGRSGTSPVERNVEHSSPT TARSTRASKAKRKHTQRQGTSGERGLDTPETHARSDGGIQKKRRPARKAAAAPPPPRK GRIDSFGRVVIPGGRYDMKEYDPSTIQ JR316_0009397 MKFSLSISVCLAAVASAASIGSTTESTMRAIMADFSKLGQQFVK IANDVNAFPQTGMAGVEDIHNDAAGIHEFFLSINDNLDSLPRPVSNENVIKVFSTYKS FTPNIFSYLNGITDKAADFKALGSASTTISLDLLGSIAPCVHFGGTVMAMLPDSIFRQ PAMNDTASTMFNDIDNAKQNAINALA JR316_0009398 MSRFLIVERLTALGDMKEVHQSYALGSMSFQENATDSVDGINHV PRTVDGFDIHAGQRYSVILNANKPVKNYWIRAPMELQHDSDNDNLDPENVYAVLHYEG ASASEPTTKAKGSVDNLLKEHELVPLENPGAPGGNSPASRTIDLSFTRSTVNGELQWT VNGIKYHPPTVPTLLNIIANGFTSENDFATSEHTYVINKNDIVDLVIHGSAN JR316_0009399 MSQISSPLEGNLPTHEEVIAHAAPEYSNEKAYYGDDKEVEIAKI DSMSIGEVFSDGPRLIDLGEDGKERPIETDADYSMRLISLDDDPSLPIWTFRMWFLSL GLSCFGAVLGQIFYFRPQAVQVSQLFLQIISYVMGKAMEEIIPGPGNKSRFRTKNNTF WRFMNPGPFNIKEHIAITIMATTASSSALAISIFAAQDLYYNVRPNAAVGIFTLIGSQ LIGYGTAGVMRSFLVYPTFAVYPQLMPTVQLFDALHRGQEAIMQRKRLRFFWIIFVAI FVWEWFPEYIAPTLTGISIFCLANQKNAWFTRIFGGAAGNEGLGVFSICFDWAYVGAG GGSIGSLFTPLSTQLSLYGGTAVCIIAFCACYARNTWNTQNFPFLTQLLYYENGTEYD QLSILNDDFTLNPDKLAAQGLPWYAASQLLYKVSRTMYIGAAITHFFLWHSKTIYKII SEYRTSECPDPHYQKMKIYKEISNWWYFAIFVATTGIALGTTYAAKSGLPWWALFVAL IFAWMFVPIIGTLNATVGYAPSIENMVQMLGGALVPGKPVANMYFTMYGYNPVVQSLN LLRDLKLGQYCKLPPRVTFTVQIIGTIIGGLLNFVIMKTVVSAQRDVLRSVQGTNVWS GQQVQSYNSAAIAWGALGKPLYATGTRYGFVPYMLLVGLGFPIPFWLLHRKYPKVGFN LVFTPVLVAELGILSVGINSSVFTSFLLAVFSQYYLRKYRATWFRKYNFLLSAALDGG TSIMVFVYTFAVGGGSGKVIPFPNWALNPKGNPDYCKRLT JR316_0009400 MKIFPILSAFIGFAFASTLAQVNADVSSLSAFATTYDNDIIALP ASSPSPSSLMVRTLHALFQPEFG JR316_0009401 MSLDPSWRPQEDAATILNERTWLAGIILSAVAYGIVFTLFMMSF VQLIRTTNKRNLASKLPLIIYISLIFILGTLIIGSGSKMTQLSFIDYRNIPGGPATFE EVEFSIPVDEVANVAYVLANWFADGMVVYRCMVIYRGCRFSPYLVMGIPAIAYLGSVT TGILWLTQISATSPWVAGSINFTAPYFWLSLALNMTMTIAICARLLVFRRRMVKVLGG RHGSHYTSIAAMLVESAAIYSVFSLCFLVPFALNHPIQNTFIQMLGEVQIIAPLLITY RVAYGKAWTDKTTKQLLSGNTKEAESVSMKFIKPLSTVDASNHSNTLNESKPEGFVLE GSDISQMQSGRWHDKSSV JR316_0009402 MLIGSTTHYRINSSDSEEEYRSLLPSGGHLVHIGKEKYTVTLFH QLKCLDVIRQEYLNDASQPISSLTRHCMNYLRQSLLCNLNTGLENAKNSAATASRTYN TLCFDWTQVYSEAEQNFKAHSKHVIWEIVYFRPRYNVG JR316_0009403 MMSETTGFVEFVVSNKVFQTWYKVVGDLSSSRRRPLVVLHGGPG LTHDYMLPHRKLSEEGIPVVFFDQLGSGKSSHYREAPIDFWKPELFADQLDGLVQHLK ISDNFDLLGHSWGGFLAAYYAANRPHSGYRSLILANAPASIHLLEKGLNLHLDQFPKE FADMMRRHESENTTNSPEYSKGISQFVSKHLCSLSPWPQELRDSFAANGKDPTVSNSM MGPYQFKITGTLRPYSTIDQLGNIKVSTLVIHSPLDEVHQIAIQPFLKHIQRCELQEL RNSTHLPMFEEPDRYFATLSSFLSKNA JR316_0009404 MDASYLTSPTSIPIIRKGQYNNLKRPSPFIGLEKLRRPSPPEPR TLLNYPEVIAQIDAQYPKKVFDDDPKRYMSHTGYVSPEDRRVAISPSISTIVQFRAID FGMEQCELKLMIPANTTASAGGSFLVSIHRLDQRQPIDTKSLSFSSSPRKLTTVANVK ITPGVPVTWKETFHCAWDDVLTFEIECSDEVGFESDSCSMEWWQNKEDDDPTHGMSSM AFNFLPPW JR316_0009405 MSEEHTGKVDFKVGSDTFQTWYKIYGDLKSSAKRPLVILHGGPG MTHHYMLPHKSLYFKAGIPVVFYDQLGNGESSHCKGVSPDFWTPELFMDELDNLLKAL KINDDFDLLGQSWGGMLAGHYAAARSPPGLKRLIIANSPASMALTQEGTAKLLDKFPP EFVAMVRKHEAEGTCESPEYQAATMQFYQKHICTLNPWPEELNASFGAVAQNPTVYST MVGPSEFNVIGSLKTWSIVDILHKITNQTLLISSPEDEIQECAVLPFFTQIPKVKWVE LQNSTHLAMFEEPERYIGVILNFLENTSA JR316_0009406 MRFNALYLPALVAIQSLITAASPLESGQGCAVLTPGQYTISLAE TGWKLRPFHRSHSPPAITNLEEEPVGLSGVWSITPAPQSAYYITNAADNAPVLTLVFG GVLQPYTSWGESPVAFAIQCAGGGTYIIKNPVADEVWTAEPGAWVKIFGANGDISQRF VFTAV JR316_0009407 MRFNTFYLSALVAMQSLITGASPLESRQGCAVLTPGQYTIASAG TGWKLRPYHHSHAPPAINTLEEEPTGQLGVWSITSAPQSAYYITNVGENAPVLTLQYG GAPQPYTSWGASPVAFAIQCAGNGKYVIKNPVADEVWTAEPGAWVKILGANGDISQRF VFTAV JR316_0009408 MTLCLGLLVSASPIRLNQRHDATGYKRRQWYVIHGLYTASQPEL YGTKIVLSHGAMGRRPTAHVRQMAARDFKCGRSEWTIQRRFNDVIQRRFWLSEAWEDA EAHLNL JR316_0009409 MSTTTPIKVGFVGLSTTGWASAVLGPALLQDSLKNTYDLVAVST SSEESARASAEKYSKEVGHPIEAYFGSTEQIAADPNVDLVAISVKAPYHKALVLPVIQ AKKDIFIEWPAGASLEETEEIAEAARVHGVRTIVGLQTRHQAAIQKVRELLSSGIIGT VRSTNITNLIPREGHLWIPFSKEKDLYLVEHKNGATQLHIPILHLLDTVSYLLGDFSS ITATSTIAYPTGTVVDNDGKPTPRTYAAQNPDHFSITGILPGGVLANLFWRSGYALGK GRRMYMWEIEGDEGVIRIESSSPFPSVVEPEVYLNGTQVDLDGPTGAVHTIGAAWKDF AEHGSHHATIEDAVKNHKLIDAIEKSAREGKTIHLSL JR316_0009410 MSASQISQLASLISDSVATLERLTLESQTSIPDLNSFGFDPSSE AFRSAPGVAEAVKVAAAACMQLAAVLLPPTDSLYKLALGEHHSFAVRTCLEANVTEIL REAGPEGLHVNEIAAKCGLDPSKLGRIMRYLVIHHIYREVKPYVFTNNRISGTLDTGK PSKEIFSDPESKYESTGFPALISHHLDLDHKCSAIAWDVLKDPVLGHSNEITDTIFSK GLNTDTTYWKFFQQPDNLFRHRRFGYAMKGMGAIQSPDLVFKAFDWNSLKPDSTVVDV GGGIGVTISPLAERYPDLNIVIQDLPIVVEEGKKFWSQKYPHALSSNRVKLQAQDFFD VQPVKNASVFFLKHILHNWPKPYMAKILRRLREAATPETTLIVIDNVLPYACRRSSDS ETLSDNGGTYYKEAPEPLLPNYGAVSNSPYTLDLTMMFYFNAQEHTVLGLQSLLESTG WRLIKFHSVDPKNDFLQSVEAVPL JR316_0009411 MSQAHNHHTTHGHNEIEHLHHQQHHRDEDGHHHHYSVPARKLVF TKGILDILVSLFLLFFPSFFLDGPLTSAVYNLTGIPAASWGQDHAGVASLSALVMGCG FAGITAGQTASDDGYRVVAALNGAFAIAGFIICLLSPHKYGSVFLLFMSIQDIIWYAA IVHAGSFGPLDSLGLSSRGLCVLEERLSRDVLRRKAEFDARHGHGHHEKHGLEG JR316_0009412 MEPSGYVSRDVKYYRGSVASAPAVFNVDPFASPRRFSKQIVVED RGRQSKYMLPNTSTESLPSIYSEKSKEVPKEVQPAGKVDAAPSMGPTFPEGGLVGWGT ALGAFIIQFCGFGYSTSFGVFQDFYVREYLTKESSSSIAWIGSINAFLVISGGLLAGR VYDRGYFYALLWGGSAIISFSLFMLSLAQKGEYYQIFLSQGLGVGLGVGMIYVPSVAI LSHYFKQRRSLVMTVVATGSSLGAVVHPIMLNNLLPKIGFAKATRANAGMISGLLLLA CLIMKTRLPPPATTPDLKKSLVKFSKDKAYIFSTLGFFFFIIGFFYPIFYLQLDSITH HLSPNFAFYSLVVMNGCSCIGRIFAGFSGGLFGIGNLVVFCTACCSILIFFMIGISEV SSVVLFAVVYGFFSGAYIALMAPMIANLADDVSEIGLRMGISFTVAGKYHDNLFAFFR NFYPSSSGFGGLIGAPIQGALLTSSFIWWRASVFSGIVALLGCIMYIAMVVMIRRKKA AQDMLPVHNVVLNQQMENKMMKESQA JR316_0009413 MHAQSREPPSFQWAHLWQPAIVNPVNLKSYTIPLFNLWDPYARA FHLSWLGFFVAFLSWFAFPPLIPDAIKSDLHLSAAEVANSNVIALTSTFVIRVIVGPL VDRYGPRKVMAYLLILGAIPSGLAGTAHDAGTLYILRFFIGILGATFVPCQAWTSAFF DKNCVGTANALVGGWAGKWSERHNTPATQLALRQGYQTQYTLHHDESATEKNILGGDS EKAIVSITDPATDSDASDGVVVQSTVDIAVNETLTAAVALKLLVSPLTWLPALGYLTT FGIELAIDSSMSGVLFTLFSKRRPGFTQTTAGYYTSIFGLLNIVTRPLGGYLGDVIYR YYGTRGKKVWTVICGLIMGASLLAGGFYLQNHRTANDAQLSVLMGIFSVAAIFSEIGN GANFSLVPHCNPFNNGFMSGLVGSFGNLGGIVFALVFRFVPQVGKAFWIMGVLSIAIN VLILPIPVPKL JR316_0009414 MIDDLRTNFRVVTMPITLVCAGNRRKEQNVVQQSLGFSWGAAGL STALFTGVYLADVLRYVHPVRGAKHVIFEGVDDLPNGPYGTSQLLSWASDVRQGMMIA WAMNGLALEPDHGYPLRLVVPGQIGGRSVKWLSRIELSATESQHHLHFHDNKVLPMPL GPDQARKEKDWWYDSRYIIRDLNVNSAIARPNHDEVLDITVASHPTYTVKGYAYAGGG RRVTRVELSLDNGSSWELALIQYPEDAYRNVSFTADPIYGDFDMMDSDTCFCWCFWSF EVSVERFLTSDAMMVRAMDESLALQPRDMYWNATVAGAQPGGWIQRLKDAGLDPTKPD FSSPSNSSSSPDAVKINPPDQIRMTNPSTTRKISQSELESDEAKREAWFVVRGEVYIG SKFFKDHPGGAPSIELVAGEDATEDFMAIHSSDAQRQLADFHIGTMIETSLESGPSSM PSQNFYINENDDATKPFLQTKKWKSVKLVEIRPVSRNTKIFRFALRDENQELGLAFGQ HLYVRLRRKVAKPPDGQEIFGEMVQRAYTPLFERNDRGYVDLLVKIYHPTPEFPEGGR ITLGFNELTVGDSIELKGPIGNFIWKGRGRAFFNNEDIRIAEIGLVCAGSGVTPILQI MRAILNDPQRSKDDKNSLTKVWVLDVNRDFEDILCKKEIDRLVRENPGIAHVHYSLTG KEVPDGWEHSIGRITADMLVKHLPRPGQDKVVCLCGPHSMEQTVKEST JR316_0009415 MRLTTSGIRYEEVVMLWEAHHCHKVKESGTLNAGLLDQQFAMKW VQQHISKFGGDANKVTIWGESSGAGSVLQHIVANGGRTRPPLFRAAITSSTYLPSQYR FDDQIPETLFSETLAKTNCSSAIDSLECLRKVDVDTLQNANTEIYTSGFFGTFAFVPV VDGRFITNRPTVLLKNGRLNGKTHLSVTNTFEGTRFVNQTTANTVEVPTYVTQLFPQL TPQQAKMVADQYAPLGTPIFQVNAIMGESIFICPTYMLLRAFDQRGFKGEFAIPPGSH GLDVSFYFNNGVLPSAFPNQQFVTAFAESFQNFVVSLDPNVKSDRADITSLWKQWGGS NEMLFNLTETGTPDIRSIQTSHDLLKRCDFWESMTSATSQ JR316_0009416 MKFSFSIVVCLAAVGSASSIASTTATTMRAIMGDFSKLGQQYAK IVDDVNAFPQSGMAGVQVIHDDLTIIDTLFQNVNDNLDALPRPVSNENSRKIFSTYNS LTPNILDYLNGITDKAADFKSLDSASAIISSDLIGANGACVHFGETLMAIIPPVMTDA ANIMLNGVDVARDNAIAALA JR316_0009417 MKLINAFSILASASVAMSATVTGFAGADCTGAIVATGSGGSGVC LTLGASSVKSISYSGVPHSIQFFVSGGGHDNCTNGSQLTLGAGSGCGTAPAGFNWESV AIS JR316_0009418 MTTETIIVHPHNPPATSASRTLCRLLVRNEIVRVQKLLETFPEL INIRHPLGWAPIHTAVLCCDTTLLKFILNLPRVDIAVQDESSFSSSSSAAYQLCRTQE LCPTIGGTESTQGATALHFACMRGDKDVLNLVLQRGGASAYNALDHSQRTPLDYFDLD TVDLEALLAYQSAEKQWKKDWRTLVAKDVFVFCSSIRLGDYDYCKELIECYPDLAIKM YSEIKDSVSSKLRSIFKPSSVEVSTIVPDYPLHGPGSSALHYACLEARMDIAELLLRN GATWTEKDDYNITPQMYANLHGEIIAQKFKSLCDEEDRLRKQRIEEESRKMELGENIR ESEVLQGQKAEMDGPATQEEDALQWAVDFAEQLALEVGKRKADEVDVDKMEKGEPRIS KAEKRQMKALEKQKEKDKKMEKREQKKKEDERRRRNVLTSSQLAVEIERIIGADIIGQ KGPISSVASAIRLRENGWVDRDRPLVMLFLGSSGIGKTEVAKRVAMYLHGIKAEKEDE KVDDEPRSPISEDDDGDEQQKASLTDIEKSGTFVRIDMSEYQHDYTVSNLTGSPKGYV GYDEGGVLTGKLKANPRAIVLLDEIEKAHPNVLTVFLQLFDDGRITDPKLGTIFCPNA VFIMTSNLGSEEIRLAAPKLNSLIANTIDVNKHEKYHKGVTQFTKELYPLLKRSLKRD EFLGRINQTVVFLPFTDVELGHIAKVELKKWQKRALDQHDIHVTWSPSVIERLIQGYD VNYGARGTYREGYLSFFYLQHIVNEEPISSHVRMIVNAAGDMELETVAKADVPSA JR316_0009419 MCSLSISQNNTLHRSYRITNDYYTTMKFTTAAFTTLACASAAMS ATITGFAGADCTGSQVASGSGGSNTCLTLGSASVRSISYSGVPSSIEFYISGGGHDSC THGSQLTRGAGSGCATAPTGVNWESVLIH JR316_0009420 MKFTRATLFLISSTFVSTVASTTLIAFNGAACTGIAIGSVSGSA GMCLTFNLTTVKSISYTGLNAGVIQFFVADGHHDWCTNGPQLVLPPASGCATAPQNSN WWGAAIQ JR316_0009421 MSATITGFSGADCTGTQGESFNVIVGECFSLGGQSTKSFQYTDV PTQSQYYASGGQHDSCTGTPSSIMPGGTGCATAPNGLNWESVSVF JR316_0009422 METSARWKGKARATEFDFLYSRAYFAESRSSNAREAEGDYGRQR HGVRGYDEGMGRKRRAMRTGRFGREDIGIGMSKRDGVNAEGSGGSGGIVLPLDMVGSG VTDVAYTLPVKFGSTDNGRPQQQFSLQVDTGSSDMWIASTSCSTSSCKLTDGRLYDPL QTQARATGVDFSIPYLSGSASGPVYWDSVTIGGYTIDNQALAAASDVDSEPLSSKFSG ILGLALPLNSIIAASIPPVTSNAPDGAAWASNLFSITPTSSAPSARFLSLALERPGSD RVPSVLGIGRHPQALVPDPSKVQYAQLVAEASGTLFWKVGVRAITVYVDGQRKEVDVG RGGAGGAFPSAVVDSGVPLILATSAIANAVYGALGVEPASDGKYYIPCTTPLNLTFTL DTRSELPIHPLDLTTLADPSSASTYSPSTSTCVGLIQSADAFLGPGSTSGIGDMILGV PFLRNVYTVMAYVAPGKDGSFPVGSGSSNTGGDVKPRLGLLGLTDPTIALEEFHTVRV LNQPLSSSPSSSSPSSGGGSGSGTGSDHNTKTVSSPKKLSTGAIVGLAFLAFFVLCVG AVGVRYLLNMRTRAARRKERERELAELGMPGSLGGMDKSGYGGYGMSMDQRAAYDIVR GRGRGFDGTGVGDVTMVAGRGVGEDGVLLRERESERTLLGKDASEKGKGEEGGEFGVR GGKDFVDIDDVHGEPDDTLVARGDVHSKPTNHDDDDDFYASPSPPASPDIPTFRTPSA AAVGMPLLSHQHQHQYTDSDSTPISFPTNTTHRPLDSVDIPLLSHRTQDSQDSESSGD GGSGVDKDGDAQSRKSGRRGRAMNYSMPRPMVERDVRADAGGDAVRGFGVE JR316_0009423 MKRMRRDGQVPNSTSTHWENTSPPIFDLPHDVLRTIFSLNAQHF VDLEQSENGFSVMESPNSDDPLLTTRRASQVCRQWRDLILSSPTLWEKALDLNSLAAG KPEWTTEVLKRTGNAPLTVVGQMINRQKFPVSFLETIVLCHWCRIKALIIIRFHSFRT NGNAHFDKVIRNLLTRPAPNLQNCIIIDTNDNYARVVGDQTDPLFGGQAPKLQSMTLL NDSCFDGSKLSNLRRFYLLWTQLYPVDVILTALAGMPLLEELELEMDPDEGLTKQRTV RSSVIELRYLRKIALYNSFKWLGHLVQWVVPKHGCTLFHYVTDSCDIDTDLTTVEVIE FGREAYTTFLGRYLDATELTELSYTMECGNEDESPCLEIKARRKKMIHPELRVNEDGD EYPLFFTYVKKTFPTIHYLGPILSFFRKALSSCDLSNIKTLTFSMSLENAIDRSHGYK ELIAREDNAIIEFFNMLTGVTELVVYGCPK JR316_0009424 MKFTAVLASILASASVAMSATIVGFAGADCTGARVTTNNVNSRV CLSLGSGSVKSISYSGVGSSIQFYVSGGAHDSCTNGSQLTRGAGSGCATAPAGFNWQS VAVF JR316_0009425 MSAPPVPPRPYELSSNSSSHIQNPGPPPPLPPLPPEILRQAEKY DTPSQYEYESPPHFERPMIAPRPHRVDKSIPVNMARTLDEQLSQSQPSTNEINYNPGF MVLSRPPQQISQSQQGQQHATWVGSPQPVSDTDLHASIASLSLQSSVPLTTTSNSNMA TSIIPPPPPPTIQGAQTYYAPGPSSPPRLAAQPSTSTSTSTSSSTTSQPSLTAPLPSL VHLAGALPTILATSPAHPPHLTIAWVRDIFFLLHRAQGAPTDPPVGPLSSSHSSRVDP ELARLAEEAVGVVLSLASSWSPPPSSQGKKVDMPPHVAEAIAYRAHLAATGLFPARVP HNPRVAFRDYEAAARGGYGAAWFRLGRDYENFGDEKRARECFERGAKMGVESCVYRLG MAHLLGQLALPASPATALPLLHRAALLSSLHTPQPAYVYALLLLSEFTLLPAPLPPSL LSSLVSLPPSSGPLIPVGSSPTLEARKHLERAAYLHFPAAQYKLGHAYEFAEAPFGFD PVLSVQYYSLASESGAEGMEEADMALSKWFLCGSGGAGLAHGSDTVGGFEKDESLALL FAEKAARKGLPSAEFAMGYYAEVGVGQVRDVNKAIGWYELAKSHGNPDAPGRLLALTS SSTPHTLTRAEHAQITEQKLIRRRTMAARRAEEEPVSPPWEGKVFPSMASAGVGGEQQ FGQQQQQLPGGIQQGQGQKRPDGRAVVDLIRKNSMAHAENSQSQGGVGTISSIPVGGR LPPSHSSSLSASGSYSQGYPGTQPQGYGGRDASPARGRYDSSVGMGVNSQHGRMASPG RRTQSPGAARRAQSPARLPIGGGSVPANAPSTNSGSPGRPAQAQGLGGQAGQGQAQAG QTQMQSKLERMRLNNLPNSNSNTGYAGGFDDLRSATGTSAGIGNSPYPPFSASASNSN PNLGRYTGGSGSGRNTPSHSHGQPQSQSSPQSQAQQSRPLAQGQSQKPQATHRPSAST INSVGDGNGGGKRPQTFAEMGIHGAKVEDKDCRIM JR316_0009426 MSQPSLIELVKVENYALSSILNASMLYNFLMGIYTIVYAGTLYV YLSKRSSSTGRWIVLTCISALYVFSVVNFILHWTFLSRVFIAHGDTKTSIFLASLVNP AWFDITDELCQGLPLVISDALLTWRCYHAWGQSVKIAAVLSLLCIAELALGIAYVVFQ STSASARAPHVGVNIETALAFISLLATSSATFLIGYRIRIVSDTLGGPVRQGRSSRAI RAKAYTRIVVTIVESSAVYAVALFMFALTTVVPVFRDAESPMSQAGLYIDAVLLIVAG LAPTVMVLRLALASANSNNAASSNTLSNVVGVEFYVSSHGGNTVGTGIGARRENSWPS PVEGCQIDYSPNDLEK JR316_0009427 MGIYTIVYGGTLYVCLTKTTPNTSNRVVLPTITILYLFALVYFI LVWYILNWTYVLHGDTKASIFVASYAPPGWFNIADELLQGLPIVIADALLIWRCYHVW GKSWKAISFPSFFLVSELILGISDVILESQVPLYSMAGSSTLARKIKTALAFTSVITT SSSTFLIGYKIRTVSNGIGQSSTRYIRIFTIILESSAIYSVVLLMFALTFLPVFDSDN LESPLSQAGIYVASLLNIISVCEMRISDLLDAEDPYLGTATDHYGPVDCAIHFKQQDF DGYKNQRVYNEL JR316_0009428 MASVKNKRRRVGSYYHDIIPSADGFELIQASEVAVRNVGGAERA TRRVDVSPVRAARSWDQITDWSPPDDDEFALNPTGELHDTEVEADIVTEDPLPKRTRS AVSCYLEEILRWAGRGDFWHTTECPDCKIRSAHPPRPAEYRCQECFTPDLHPFHRIEY CNCSRAIPHHLQLLRRGLYPASQITIKTCASFELLTLLHKLALTTKASTYDFYRCLEK MTTNTGMNTPPSRYRALFQMVLQWRHLQMLKWAGRGHDPSGAAGTADGELAIKCPSCP HPEINLPDDWESAPDDRKFLYMLIVCMDANFRLKNQLVSNYSQDPGLGIGMAYMLPRH RYEQYVASRATDKDISTCVGFQALAKANTKFSVGLRYTGLGMTVCGRSEMIMTVGNMH KGERYANMDYIFAWFLRLVAVRLVLVSYDIACQWFINLLRRMQSDWPQHIQPPANITL IPVIPKLHEPMHNQTNHQMYSLNFIPGVGQTDGECPERVWAPHNSLANATKTQGPGSR QDTLDDHFGFWNWQKYTSMGTTLLRRYRDAIAQRNIQTEGHEGLSESIKKANPNLIER WEKMCAEWESDVFPKKKKNPYEMKDTIVNLTWLGIGITEAHVKKLLADSEAAFLASGG TMPHRTTPSVFVSMGLDLEETQHRLRRLAKNTGEDSTIRQAGNLTEQRNALTTRIRAW EQLLPIYMPGIIQYKADHPIHEASTHAEDLQLWLPSTIPEPHRSEISAHNLPNIERQL REAQLTDSLNAIRQILKVKARMIAFKNKNIRGQRGGTRSTAVIDRVHERARFAADKYR KGREAHLALAGPGDWEKTFRKLDDKDIRSYQDPDRLRPRVGRRGTLEDEQVAAGENAP TEEGDLFLFNEERTLHQGSGQTRRTLSWIWTVVTPTSSSAAEMIGADGTSAAPDEDRA DILRVEWSKSRARMQRAREEVLLLKEEMRRRQRIKAISTGKKDVLEAISAYALSQAAL QDSLADHFSTLWRTSLQVPTAAEGTSTSDGTTANSRGPIVDEEDGDDDGDDDTIVDDV TVVGDEEEDEAVKVYRESIYPVPKLVYDGLAGMVNIE JR316_0009429 MVALQALFDLPTRLLNAFILQEASQDDNLDDEEVELPTPALKTS KATDYNDIVVDITEEQPGDDHRKARRNLAAKNRRKKRRAEAKQGPLAYHNPREHPRHK SGSKAQYVDFNTGDIPVVQTGYTALDRGLGSRRVYKLAELVGEKSKFKFTLVKAQSYA HLLLSLELELKNMNLRASIPIIDSENHIIGVIANHPKDESWAELQKQAADALERNRSG CHVPPKERTHRRGKFTTLRAGVSHGGGQRAPGNLKNQKGNAKILEELNSLEPFKRFAG FASSVMHTWFKDLYNYYASTLDKLHTEYPLLQRIFPQSIFSAVSYNLGPCTACFPHKD FANLAFGMCAITALGDFDHTKGGHLILWELKLVIEFPAGCTILIPSALLTHSNVPVSK HERRYSFAQYTAGGIFRWVENGFKSREEYLSTLSKAQLEEDVEKNTNRWKFGLSLLSD VLKNNHMNEGVL JR316_0009430 MARRAASKAFKHINRPLYLRRRQAYYEAYRDVEKRKSAERSRRR KNLPEDELAAARQKHREAQARYRAKNRTLLNAKAKIYYRRRKLKAEKAQRGEESEEEL DDEAEWIRLSAMAEEISEEEWLRRHGRPL JR316_0009431 MDPPPPSPSSSREPPMGGSRDQPPANDPTSLPDSSQPSTLSSTN SSVSQQAPAPASSAQQAPPAPSVVPTAPTHMDSATSVSAASQTSMPATTDLSALPFYG VNFSSSRVPRPMELVSPAMILLVITVGYIVGIFDREDQVDMNILFLVPGYAVRQFFSW AEAIAYYTERFNAGDVRIVQPVRPPVPTVSSLAPAPSSSAAIPPSSDPPRRTAPTASA AHSATSASNKAPESLASTRPACSNASRSLESSRSAVASSSAKVLDPTARFGTEPNPIP IGFGSPLTARAIRLSRQYVSPTRSSTSRIRARGSLGSPVRPSKRLRIIKSRKHTTEGK APSWDDSDDEAPVIKSRQNKGKAPVRNNDNDVFGTGAPPSYSAAVASSSSNPPPLQSD APSTSSAPSDAPVSATPSSPSAAPTSSGWGQVPSRPATPYPPYSEDDFYSGRWSFTRE DQALFDRLGEVIRHQWATAATPADWPTLSDEAHERRRNLLRAIGQHMVALYPRRPEAS SSSSTGANGHGTENTGAGSSSQSQPADTESSVIMDTSGDGKVLGNGLDRSGEPGSGTQ JR316_0009432 MATTIIQRTPVDMPVPKSSAAPKFTGSYIDVKNFLDHCDRIFDQ YNVTLDDDKVRYMVQCCNQESREIIEGLPSHHAKQWERLKTDMLKIFDHARTTQKFTL STLRAYAFQHSNLSMRSLDDFREYQKQYIHIAGWLLNNNKISKTEYNQYFWLGINESL RPALESKIMVFNPHIDLSSPFSIEDVTKAVEIIFKRDRFDVGIFDNPSARPFTSLIPP KDSYPERSSVFDEIKKYLQEMFPNIETRDARERPYNPPEETKRIFQDLDKEEKQAHKD DKVENLIKQMSKLTIHDSSYAIYYLRAIKLEPALANMLIAPAIMNPSAQPAQPVPIAS QSAPPAPRAPRQSASEIICYGCHQQGHGINNCPTLIDLTNRKLISRDSSNRVVFPDGS RIIRQNGESIAQAVLRQQQPPPPPPQVATTSIAISEAYYGQMFKNYRAMVAEEEEDDI GTWDGEDEFEFTLAGPGN JR316_0009433 MPNPGIFKGARKEFLLTQKGIYADAVKNGHVAETLMTIQRRYFK RFPVEMPLDQEPTSEALAAVNDDAPDEDIVEPDRDLLSEDEYNAQMAAFKSRQTVLVV RKGQLRRWFAYQYARENGSKMNANITLLAGLLQRIYNPGQESQRPRLKAPVNVWRKSQ REAIDKAYEDEVARAKEQGETRAKANKAADRDRIARSMFQALPIGEQAYWKKVAQEEH DAAMAKFKAESSGAPPSTDPRERQRSIQSLPQILQPILDAICAATGWKATLIAGGPEP ARGGHLSVIR JR316_0009434 MATTIIQRTPVDMPVPKSSAAPKFTGSYIDVKNFLDHCDRIFDQ YNVTLDDDKVRYMVQCCNQESREIIEGLPSHHAKQWERLKTDMLKIFDHARTTQKFTL STLRAYAFQHSNLSMRSLDDFREYQKQYIHIAGWLLNNNKISKTEYNQYFWLGINESL RPALESKIMVFNPHIDLSSPFSIEDVTKAVEIIFKRDRFDVGIFDNPSARPFTSLIPP KDSYPERSSVFDEIKKYLQEMFPNIETRDARERPYNPPEETKRIFQDLDKEEKQAHKD DKVENLIKQMSKLTIHDSSYAIYYLRAIKLEPALANMLIAPAIMNPSAQPAQPVPIAS QSAPPAPRAPRQSASEIICYGCHQQGHGINNCPTLIDLTNRKLISRDSSNRVVFPDGS RIIRQNGESIAQAVLRQQQPPPPPPQVATTSIAVSEAYYGQMFKNYRAMVAEEEEDDI GTWDGEDEFEFTLAGPGNRIPTEKRTRAARKQVMDAVVPPEPAYLKGKRAEMSKAKDS SQIPSILKRPANSGLPNNVPSSTSIQPVPIPSINQPSAEMNPSIPVKQAQPNVPIRAN PTEHPETAQRHEVFDPADDDQIMEDVTPSLERGKSVTKPRAAPQKRVSDISQTVDTMA ILRRCLNQPVNATFGELLGVSKDLRTLLINSIKGKTLTVDEFKASLANGNLKLSDKEV LDIIRSAEKDVPVQYMHETNSVESLRAHEPLLRITLMCNGHELNALIDSGSTQNILSE QAWKKIVKLPMDSRNTIVMVDIHGGKSHMLGFVGNVQLDIGTVRTRAHCYVSDKVQFD ILLGRPWTRDNYVDILERPEGTFIAFYDVKDPTREQKFLVTPDTQHDRRYFTDTIYDK TPHTMLAHNDLSLANPDAEEGEIEEDLESGELLDDLRYPSPTPSMIELSILTRQQSLN RDESANPNEDLSTSQDAPSFSDSNCEHDSTQDSSHGLYASDYDSMYQDDSGTSQFNAT AHYGHISTPPYDSTHEAPQIEQPPSPSVNPSIKSHTSDNNNPHEEEPADDDPEMEQLS SPEVEAIQFSSDNTHLTAMGMLNPHLRFEDWILYDATYSSPTCVVSDRTGTAFVHYVY PQRDSHINLTTTPTILHFSRTGISSQSNHSASVSAYPMGQRHSASWTHFIPQSIRSQN ASSIPQPHSRNSALPETPLSIMIHQETRVSSTVTANVDEHHRGPKALVHPLRVAHDSP KEPLNEDEEELRIAQNGKEVDHNSSLLPFPITSTMHESRASNMDDGNEWYYQYGEESP FCIDNTEEHPLDANTLSPIAEFLEKHAQPPKGMLYGISISNIHHHHASNTPRTPTESG RASSYPQRAKNQAYGQDKKDDHSITSDGSRPTEISDRSKHLCKIMVT JR316_0009435 MNFGQAERVGYKKHIIPIFGSFLHRCYSKEDCQSRSLPEEEGLL PMADLQFDEANATVHTLADGNQSSEASGTGAIVTEDVASTSPLRLAAADDRADHNPFP VDLASDDEGNGGADEPHSRGPSPALSLPVSRPPSPPQQPPSAAQVSSEQPSSALNTRV ESPSSQVAASSAAKGVTKAKKGRKKAKPTVSKVDRPKHKAAAATADSTSAGGAPPPKK KTKHSWHYEDEFGRLVDKEGRRIDAKGNIIPPERLDAFGNEIVTGVEGSVPM JR316_0009436 MKLALSVAVYLAAVASASTIASTTATTMKAIMGDFSKLGQQYAK VVDDVNAFPQTGTEGVQALPRPVSDKNARKIFSTYNDLTPNILEYLNGITDKAADFKA LGSASSNTIFLDLMGAGAPCIHFGESLMAMIPPVMTDEANTMLNGVDAARQDAINALS JR316_0009437 MDTPKHNINHRDIIIANSANTTPCQVHTQSSTEETFNQKWLIKT VPGKSDIYTFQNIRTGSYLDLRDGSKANGALVVGWELLPGTQWESVQQWKIIPNGNYT KIQNVASGTYLDLLNGSSAPGTQVHGWASNTTETQDWFLRRVSRTDVELRAILAKDIH NAPNFQGFTQDGLYLVLPQSVRDAIYVKSGLKTMKGRGQLFDSDDYAIVLKAEVAKWG IATLLADDFGILWGLIFGTTGNRSLAYNFYLNENLDNIVFFDPYTGEEKVDMGYKTYM AVY JR316_0009438 MALLVQAVSVAIPAAIGNLPLTSLQAYSASVLVPIAGMAPTIMV ARVNLAASATDLYPHTHKSPGTNLRFQSQATDTAHGSVCQVFDGIKVTGELESKQSVV IGP JR316_0009439 MAFFANQIYTIANRKQDNGNNMFLALFEANSANATPCQVHNQSN TEEAFNQQWLVKTVPGKCDIYTFQNIRTGTYLDLRDGSKNNGALVVGWQLLPGTEWES AQQWRIIPNGNYTKIQNVASGTYLDLLNGSSAPDTQVHGWEWSATETQDWSLRRVSRT DVELRGIIAKDTHNAPDFQGFSQDGLYLVLPQSVRDAIYVSSRLKTMKGRGQLFDSDD YAIVLKAEVAKWGIANLLADDFGLLWGLMFSRNDDGALAYNFYLNEDLDTVVFFDPYT GEEKADMGYKAYMALY JR316_0009440 MTVAAELPMEIINNIMEIAIDTLDPQSVSSITLLSHQFRVMVNK ERFFHLNFDSDTSWNELHGLATLFEQAAGIAIRGIQTFIASIYIRIEDDCDYDEIQPH ITIFKHLFRHDAILHTPIRKLSLYITNVAGLLSVDPALDYSLRSLLSESHVNFLELCQ SWEIPYDLLHRSKIEHLSLLCVGGLSKHFVTRNLNPVNLKSLTISLYDGSQFKITDLM TLLGALESSCFSYMTSLTISTSFVHFARKLLELTQCLETLTIKCAEELYQNIRLLDVV DHHLHGPDYGLVESGALLQEAVAWDERLSSLVRGKAKHPVVHINIHIHRRFMETQYHS PIWKDCWRQHFLNAFSTCRTSMKHFFLSIDTTSKAYD JR316_0009441 MFKSFTLASLALAALYWVSPVVGHGYVTVPPSRQARCHNGEVTR CGGVEYEPQSVEALAGSFACNGDGHRFHELNDNSLFENLFFTVPEGTESLPFTWVLPA PHRTLVWEYFVITQENALLLSDPGHGAVPPQSFTHLVPLNGIKGRQTVLARWTIADTP NAFYACVDLLIEGSETATAVAGAVATPVPIAMPYGFHNSLGHENSANRSESATAETSR AGSYKAIQNLLTSFVISID JR316_0009442 MRSSHRNNVHKLLKKAPHFKKYVVWIVGSWDNILDGYLQGKYRV HCCRLEDYENDMGEEKATSNVQAYMAPQIE JR316_0009443 MYSFSSYDFLNSGLSSDSTRISSESKPSSYFGSDSPTGSSSTGS QQTPFLSRHHDVPVEPTFVESSSYPTLDLGDVNKWDAGFDSYPPFSNSSTQGSSISGY QQASTSSFEPFLQPTTESLPNYPPQSFEDINSLFRLSPDYFPQTTSEFVPTPDQINIL PDSTIESPSTAFGLSSTSMNDPSNICTPSSVGLFAPATHPLTIHQASSSRCQSQPLQN FAQYPSVGVADGNPSYPSTAPTSVAPDNVQGNRYIDILHGGIFLIDSDPRAQVAKPFT RVGTEDKKRKQQRLPTLESVEQLVSYHQLGSHATLPGDSTDSFHSYIGGKETIHHSGS IRTKSNCEESSGGNPVEPLAKFRHSDGGMGIRHSAPQNGDRQVAAASRYTRKRRLSTA ESNEGIFTSAVKRPRAGSVAVAHTAAPRPMLRPAPPRCLADGFSQGRLVIEKRERHRK KDGGLTFQVMRPT JR316_0009444 MTMSTSTELPIDIINFIMVIAVGALDAQSVSSIALLSHHFRIVA NKQRFSDLTFYGRPIRNKIHVFATLIEESAGYESMRGIHTFITSINLDIPNTCDADKL QPYTTIFKHLFRHDAVLCTPIRAMSLSMYNVAKLLSMDPALDISLRSLLRESHINFLE LFQSFCVPCDLLQGSKLEHISLLGVSILSKYPVIEKLEPVYLKSLSVTWYSHPQFEII NLMELFGAHEAGHFSNLTSLTISTSSGDFANDLLKLSPRLETLTLNFSEGLNEGRHIP PGTSRVHLIGYSLPSHLENLSIYMRFRDHVESTLNGPDDGLLDADILLKEAVSWDEHL HSLLCGKEDHLHLVPQVTVRLVRQFEEKTYKSSIWEDCWRRRFTDAFSRCQTSVVNFF LYIDTVSIAYDSD JR316_0009445 MPRTTTNAASASTRTPPFNTSSASSSSCHQINEEPVMASSSLYQ SQAFDDMSCFSSAPEGMNIIDPANQLSMPGAGIISTRPSVSRSSSTHRFTSTRTDAPP HASSSRSRPQSLTSHEPRQFVDQNNVRHLNEPFTSSTSAAPTSGKPDRYVNIIHGGIW ATDPEPPAQAAHALTKVHTEDDKRRQQFLPTLENIEQLVSYYQHGSHANIPRSATDSF HSNIGETETNYQTRSIKMTLNREEGSGGNPVESLAKIRHSNGGMTNHCSETQDGDRQV ATTSRYPRKRRLSMEKSNEGKTPSAAKRPRRASPLVRGSRTSTSAQAEVLQGQEGSVS RVAATLTAAPRPQLQPAQPRCLAEGFSQGRLVIEKRERHRKKDGRLTFQVMRPT JR316_0009446 MPVLLPTELIDHIIHQAVHTGQSHPKGTSSIALLSHRYRTVSHR ERFSSVAFMDWPTDYNKGKFIFRILGLAKLIAESIQYPRMRGVHTFITSFSLIAWPYR DILNDTLQISQSLITILDNLFRDPSSLSSTPYRTLTLRTPRGWPNDKALAASLRSMIK TSYINALYVNDRSGLPCDIILGSNIEHLTIEHGFYLDRKLNTLTVSYLRKIDKETPPI TPIGFFQHEDPPPLLEKFTLKITLFNSFPPPFGSPEDDLKSAIQEKHEISQWDKHLSS LKPLCNLHIITADVLIRRLVFFPGEIQCYPNWKDTWCPYFSDAFVKCNRVYKAVHVTV RADTILHAKVKNPEHYYRAFCKSR JR316_0009447 MDFSNSAGNSNSVTDSTESHSRSSVYAVIGGQQPGVHRARPYIE SGKVVETIWPVGFVFSNETDADTVSYIHTVIRNGIGFDRPVEDTVEWILNNADILRIS NKPELNGPYYPLVSGGNSSLIYRNYIDVKSIIVGRSYASWRRLENLHEALAWMLLRGD RNKTAMFIWTPKQEGNRWVSPPREIDPEPLMPTYTSSRQGRQFNLDPDLHGGRIAVAP STTPTALRFEYGRNIDNTRTITTYTSNNIIPNTGTVTSSPPPSTPSRGLRANHRLPTT PSSPSSPTQRQRLGTTTSSPLMNFASLNISQTPDDSTSTGTSATPTMIWSHIRNLSGI VGTYFDPPNSDDSEEQFYPSFNADADWYFKCHGYSASSLRLIMTILDSQKRNRSNVAI KLSKYGMPRLEAEYIASLICTTTTTTSTR JR316_0009448 MSSNQDIINLGAQVSPVSLPNSKKPPFPQLPAFPEKDLYDLDLS LVQRPISGLSPTENKVLSMRANYPSRKTLTSHVASENIRDRGQRVKEEDRAITPVGDR GSAVVDFIKALSTNGENQHSGRYFGQYSSDLRNKQDSLFRLFYLFRPDPRKDDIRQLI GRLTGDETDMLTLSDPQTGLIKLAPFTVPMEIIEQGCKYILTGDQTGLGIVAKVLLGT SNVNNAAHPVGSPSSQTPYIPDPLRTIPSNDLGLPLHNLSISANARRPNDTIPSNDNI GSQRIPGHQYSPDPSLGLQQSPRPTVVPVDDPFHSLRHASLQPDIGSHMSVRSALRGT PSVPETITGPSSRAHAQAETTSPRSQPLGFMTSPVAEVVSNDLVYQGPARHQPESISQ VMGQQHYMSSGEPESISQAPAVQRRSMQPVSISQVLSQPYNTLSVEPQSILPAPVVGH QMPLAESVPVVGQLQYMSQSAAVPQSISQAPQSISHAQAPQSILQAPQSISQAPQLIS QAPQSISQAPHSISHAQPPQSISQAPASPSTWIHNTGMSSLTSNPQPISASPSVGHNQ TSVTPVSHDGPQAPVPSSSTSTANVQSLDPIHPTSVAVLSNAPNQTPMEPSEPVTLLK QEIATFKEAGVTHTFRWFQTLSSTKISQPLLPAQHLPAKLGDVYFHSTTPEQSLLTSQ CWFFGNGDKWHDVTEMYGKFITPGISHPTFLGRRLNATASDSTPNWIKEQTWETYKRE HAKKEKKKLTG JR316_0009449 MWMGMISYQIATVIVKQQGVEGYNNLSIPCWQDVLLKNCCDTAW LESFLESEIFRYHGTQRVGLFVDIEGSQYSDIRRQPPVEWFSNYSVPVWYIWKPEYNA DKRYQHLAPLPHQLQEAAASVIPSEPQLSPDFQLQEDIHDAEPIAREVVLSENHPIDD SSWQLFFTQREQRNKRTAATETSTERELREKRAEMPPQSAKVFVWEENESGVLVREPV GPKERANVLTRFSEEQIRYDPFSNEYDCSEHFGPIITGFFEADYIEGGEEPDLDVPEN ELQTVSATLLNSCEPPSSLTISSLASEGDTDWIPRNCLLKDLLVEDNAAAEILDVLRI HFGYTPPLILESSSAPHHVLSVAMQRNFLKIIGINGRSCPAGVFQRPAIVAAVGFMRR LCLACREPTIIAEDEWDLNPMHRNSLATSSRISCIQRVQKTSHERERPSKSPQGPSPK HRSDDHLYMFNFGVQGAMDWKLAVTTAADAAIVCRLPENYNQAEIALFLLQRGIPFHT LKPWPAHISVDCHDRKAHRIIDFQHVIGIPSRPPKYVFDTTDYALYVERASQLLRDTK IGRAALMQGGYVWRIAVPIVSFDAVLQGPVLEPSGEYLLVRTQDGDSYFDNELDAWTI DTLSGLYHCYTGESDQIAKKSWYPLANTQEHSGHDHGRWTAISEEIYNLFTSRNSFAY ISEAMDNAPQVQHQPKSANKWRDATRGIGDLRRAKLQLEQASKDMISKVQRVF JR316_0009450 MDTDDDVYFTAESLVDAIISLPETGYTDALNNLLESVLRSEHRL RRALASFEEPQEIDSRLLDPYAGLIDIFAVPEIVRKARPRPWNEALFEVAIDGFVKEQ FAHKHLFRLPRDLQRSPGVLYTVPSYDHFLRQWNVFTNNAISTISDWSNILVAGGAVL ASLQPIPVTEHYGHQEFLPPTRRVISQYFETAYKEADVDIFLYGMNAEQDNLARQCVF IRRANTITIWTSGDTRQIQIILRLYRSPAEILAGFDIDAACCAFDGSHVILSARALVA LMTQKNTVDMSRRSPSYEVRLQKYATRGFEVYLPSLDREKINYAKVYNKTHVVFGKGL ERLLVYEYLRYDPLFFPYLRTQRRGRRRRRAAWFRLNLSEFDFVPMGEDSNYDRVWGR LPSHWDVDQVKAHILRMERAMNSSWKLRKYRRTAHRHFSAFSDDVLDLFDRCCMLEET GGCPEPVTERDIQLVEEEIKSLAQRLAFPTSSSFITENPGQQLLTGSFRPVTVDDWEE GAYVSDGQNPSVDERETDYSFRWMRYLKNLVQF JR316_0009451 MENSRVTRRSTALLRLPDRLPNQDHGTNSGTPPDHRQDYDAPMS NLMNHNNLFPTDHPPGWPNTPPTSRLERRLGIRRFVVEDIEGYHYPLALASKYYLGRT RRCRAYADRLNHNKAPEVHTSVFSMPIRTKPQRTIISVDGDAYRYLIVAYYNKRVIAN PNLLQIFPSAPWRGELLLFSLGKVRPFLTRPVGPKILHQQVIRT JR316_0009452 MSGDGKQLAIAYGLDVALVNNPFERTLVSLAVPRHASTLYLMDY PIPRGLYFLDNDHILVVFFGQCGIIAFSRHTNRPAWSIPISSNSLVASSALSPSGERL AIKTVNHTIEWYSISHQKRLSTTVISRRNDIANSLVDMTFLDEDTVIVGHDSGCVILA SYGMEDPTGIFNTDDFECMPIQALTCGVPKGTERPLILAVARRMLPDTLDFAYNSVIH VGEIDTPAQLPYYQPTMDSDKDRSGAESKKFESLLYSNLPLATIVGVAGMAIFFGVRL LSNPTDTGPTIVTPSFATNTLYSTVTETAHVSAHAENITWFVTQVETSHFTVTTTAHS TSTETAYSTETVQSTVTETITSENKVTDILTRFVPASTTATSTSTITSTAVVQCDTGV SCICPLSGTIEAVATPRGA JR316_0009453 MIISQQTWMLHKAFYWSEALQNIRIPSTGKHKIATAPAGEEDLS HIIDLGMPIPMKVIPKDLPHPYAMVAVTFKAMLFSKGFPNPATLKPCLYMERQKEPDN RVHSMLAGIQEHLDRVNQRLDVVTSMMTTVHAAGLSSTAANSSQAHPTPGNSSSSPTN KRSRRRSSSRLEFMASVRELIDLKLGGPKMFDPRRMVSADELEDYLIKWDEGDNDYQR VPCCDINNFRIAFNCTPNSPWNKSASWVFAKYFMTTVISPSYTIHDIQKYFIVRCASL LKAYNRHLQSPQAAAALAKQMRHTARKNQKYSNRLETCKSHPYLRHHVGMVEQLGPGG MSSDESDYEPTPATAHLIPPNIKEVYHLVTLRPVWRAPEVSRWLSNIDSFRIILRSRS PDTRGNYPYVRFRHDMIVGESKPVKGLPVNTYDLGWIATRVSAEYDAGFTNEAYVFVH DPRLLE JR316_0009454 MQPVKKSSHTGGTESEVAPQASSSSTHDARPWPKILSFAGPTPR DLLDIYYARSSNHTVDVGKVAPSVESTRLIRSLSQHHDNLHLTNSGSVMKEDHLVLQS LATGTIDLASLIRRLPHSQEKRSQGVMRNQAAGVSSDRHRPYKIIMNMQTFSVVHPER HHSRKSILPSTENNTTGKAPGYNIPVEHMPLSAPSPNEDGIKDEGTKGPRGTAHNR JR316_0009456 MLDIPKALDVLTSMIEPFLIECETSEIGFKAMGIAVIVINTTVQ AGINHGSTGLLLNNMNSAVFFISLGTTSMATLLIGYRIYPVVNNTSRSRTRYKQIITI IVESSALYSLCLLFEALSTEISALGDIDSPLGEGLAPTAMVLRLALVNASSTIDDSTM AHVSDMDFGEAQQGRAANGSGDTPNQERKSSARVEGGENDHVLVTEKILGQRRGED JR316_0009457 MTVLTTITALYWLSFLDFILQWYFLNWAFIMNGNIKESIFFSSL DSPLWVSELLRIIETVIFVISDGLLVWRCYHVWGESKRIISIPLLFFFAECAMVITLT VVTAIWPPPQSRSRALLHNRIDSAFFLMCLVTTFIATFLIGYRIYPVSKQNTGSRTRY THIIAIIIESSAAYLLALLLQVLSETIPEFTNLQSPLAESTYYIDSVSYVVSALAPTA MVLRLALSNTDDTVKDSTLAHISDMDFDDVQQDKAAVSGADYTNPDCGLSARVAGGEN DQDLGMDQIEEQPRKDD JR316_0009458 MPPATQTGSAVQQTPPNPPNAAPREDISSGNSDNSNSDTDPDHN EEVVIRKRKKALKVTFRTRTSRKKLKASAVLEPFMHHAAWHIRNGAIYTNWEHVIVTG LKAQQGKFGSLTQEEFAEKHKRALATYEELRAAVPSFDNDMKVIGKDPDYLDRLCKTM ITAAGTARSNDISSLKKDALTYAALCLPNSRLEPPVNPNDSKKTSRGFKHPQLGALLV PADCFKQYQTDPDYRRKLMLNKVTIKAKAMPHLIYPYDKYDPDHVLEGMFMAPALVAV FQHIFMSPSSALKTPGATRTGLGKARKHHMKSVTIPSIAYACTHYRYAISGCMDWRQN DHHFNYEEFYMEVVKMLEWARENDPEWWTDFITWWNAQVFPVEDDSVTESSSSDEEAT TSTFKKMKAQVKASRVDKANAANPPPPPPSQYQRESLSPSPPPMHAPSPALFIQQGVG IPGRQPLPQYAAGPMDSNIDPRLQMSGPHAAGAQPPLGPSFYPAGAPFFYDGSNTIAS ASTPALGLSRNHPTPRALHATPYAQHATHSPEWQLANTQDIESYMADRTMRRGSKMQS GRDVGTRHPSVGPQMNVFPDITNW JR316_0009459 MGSASTFTSLFTTVTATFLIAYRIYPVSRTSGRSRTRYMHIITT IVESSMVYSLCLLLQTLAGTIPAFSDFESIWSEVTEYIGLTLNITSGLAPTVMVLRLA LVDTSDTVESSTVAHISGINFEKSNQNGDVLSGIDVTSPKGESSARDEGGEANLPLVT DQIIEQRREGN JR316_0009460 MSSPSFLANYEPLDVIGNGSFGIIRKVRRKSDGVLFARKELNFE RMTERDRKQIVAEVNILKDLHHDHIVRYHDRYVDRDAGILYILMEYCGGGDLSAVIKQ AAKQNRPIPEDTIWHYFLQILHALHHCHHPNGHTRSSSGSGSSLDAEGASRRVQILHR DLKPDNVFLDENNTVKLGDFGLSKALAQASFASTYVGTPYYMSPELMQEKAYDSKSDI WSLGCLIYELCALKPPFHEAKTHSELSIFIRNGRIPPLPRGYSQALTSVIKSMLNLNA SAMSTSSHHPAMRPSAAQLLQHERLELVNKVSEAEKMLATVKAHRAAVTAKERDVLAR EHRLAAVLTAKDHEIAQLQHAVSQLQSQLTSTTAQAQGAYQYTRHDLEHAAKQAVGRR EEELRVLVMKREEEVAAAIAKREEEIMDAVRARESEIDRACVAREEAVRREVDERVRW VVERERVLREEERRIEGVRREVEEARRRAEAGVGKGRKDKNPLEEVMNVLAQVTPSQP RRRKLDPQPTPRANGNKTTTSSSHQHSASNSSTSSSSTSSSSSNDATASANTAAALET PTASRPFAIYSADFMPVSAMKGVVLTSTGETLATPSPAELVSLFARSPKVGLDFGKIF SRDEGGGSGGGSSSSGQQGQGQSQSQIPQPQLKQTTTCQSQQSQQQQRVTMQYDDEEL DSPPPSPSARKEREREREKRDMNGMSSAPPPPTRIRRPSIRTSARSAPARTSGFPPST ASSSSSSSDSSSGNSHSNSSHTATHGNGNAATSNANTNTNTNTNTNGKQKQKQKQNPK PLPHPHLRPSRSGSNLAAARAAAHAAAAAGRVPLPPAPVYDLADEDNLPSPFIKRTMG RTQSYPVVGSNGSGSGSASGSGSASNQHTTSASAAAAAAESSSKTKRRGSSGLLLRAV AAANSAGRTRSAGAGAGLGSPLMDEEGAEGGVSFPPAVPEPGQGMGEGARPSLASARK ASEEARKALLRS JR316_0009461 MSKILDHPNVAFYGRYIDDCFAIVYAESEALALNLIKETIKFDG CVIEWAVSLSGCQFLDAFIFKESGKLHWKPFVKTGNNRERVPWVSHHPLDVKRGVYIG ELSRLAVLCSTKEIYIGAIRDLNALYLMRGYPENLVMSWCKKNIQERWEKRFALRVAE HDESILVWNWFSAAELGKTVTEYWSAWYEHAEKGLYSADSSRPLIKPDPNYVHDLDDV RPELFTQILVDGETEFVPDLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDED IAEKGGVVPETQNVNETYHSALVEAAEQISIESDNEIILHRRSISQEREHPEFGRISK SYNR JR316_0009462 MTQETAQPAPSQNTAEDAHPKPTQRSKTRLPPVRVVGGRKEKLT ALIYNIRDHVQIRLQQTKRLALSLSPLLLNPSSLPIFTITLPIPILTNTNTSIGIPTI PNQNPDNISHIPLRLLSHPLLLNPPLTRAGPATPSPRTQLPIHTRHTPPFPIRQKRPD EVPHRRRHTVQQQPPHFLRPPQCRIRICIRGCTAGGG JR316_0009463 MQRRPPLWGGISLGRQNALIDELATVVIKKIAECRSVDQIIDCV FFDYRAALREFLLNLASWCDKRETVRASLERLELAVSAGSTPNRLKVKAPEFQLTKEF ADAGSAEALRVSTTFSTARDVFQKAINDGAIQAKKDELAFWEDKCALASCYEAAAVIV KTTYEDRKSSYKLPVFSTDNKGVRRIAEWVTSPQKKAECSALQTILPAIFSHIKQIVK LRHRALAIKIEKKRSTAATADVEMADATKPGPSIQSLIDKGLNARLKKLNLGYTSSGQ SSSKAPQPQAKKTGPSKPKSSSTPSQRKPQTKASNKVDNKKKGKGRAPVKNNDPKGKG KARA JR316_0009464 MTQETAQPAPSQNTAEDAHPKPTQRSKTRLPPVRVVGGRKEKLT ALIYNIRDHVQIRLQQTKRLALSLSPLLLNPSSLPIFTITLPIPILTNTNTSIGIPTI PNQNPDNISHIPLRLLSHPLLLNPPLTRAGPATPSPRTQLPIHTRHTPPFPIRQKRPD EVPHRRRHTVQQQPPHFLRPPQCRIRICIRGCTAGGG JR316_0009465 MNGSQWVEWTPANEAWYRERVEDIRTRQAQPLTRVQWKSILRGT PPSRKLLAAASQRAQAFVNGHVPVVPTYRVRPGLTARPRCIKDKTAKWQRQEASRGSA AAATGGDGGTTGGDGGMTGGEGRRQWQRRPGVAAQQHAAECVSWWAASGRDGGTTRGD VVTTGGDGGTIGGDGGTTGGDGGTTGGDGGTTGVDSGMTGGEAGGSGSGGPVWRRNNT QQSACRGGRRLDATAGRRAVTS JR316_0009466 MTQETAQPAPSQNTAEDAHPKPTQRSKTRLPPVRVVGGRKEKLT ALIYNIRDHVQIRLQQTKRLALSLSPLLLNPSSLPIFTITLPIPILTNTNTSIGIPTI PNQNPDNISHIPLRLLSHPLLLNPPLTRAGPATPSPRTQLPIHTRHTPPFPIRQKRPD EVPHRRRHTVQQQPPHFLRPPQCRIRICIRGCTAGGG JR316_0009467 MSRTFLLRIATEESIKTKGLVEPYTPRSVRPSAYKFGVDDFEAY RLQCENIIKHQQHGRAALLRGGLVGRIASEFLSVDDGLAGPSKEIIQNRQGFIVPAGD TTWCYCDDQLTENELSIICGTYTLYTATKGQITVKSWFPPPNLWQVPSSMNGSQWVEW TPANEAWYRERVEDIRTRQAQPLTRVQWKSILRGTPPSRKLLAAASQRAQAFVNGHVP VVPTYRVRPGL JR316_0009468 MTQETAQPAPSQNTAEDAHPKPTQRSKTRLPPVRVVGGRKEKLT ALIYNIRDHVQIRLQQTKRLALSLSPLLLNPSSLPIFTITLPIPILTNTNTSIGIPTI PNQNPDNISHIPLRLLSHPLLLNPPLTRAGPATPSPRTQLPIHTRHTPPFPIRQKRPD EVPHRRRHTVQQQPPHFLRPPQCRIRICIRGCTAGGG JR316_0009469 MSKNKSVGSTFDRLPCATSTRDTLFIRNLSNLTINIDRFVIVDN KGVRRIAEWVVSPQKKAECSALQTILPAIFSHIKQIVKLRHRTLAIKIEKKRSTAATA DVEMADATKPGPSIQSLIDKGLNARLKKLNLGYTSSGQSSSKAPQPQAKKTGPSKPKS SSTPSQRKPQTKASNKVDNKKKGKGRAPVKNNDPKGKGKARA JR316_0009470 MNKPFDPDYGVSSKKKEKPPVLPQYMELGLSMGRRYVQRTIASI PEEALTEMRKHAFSPKRDKIHKNLGLAVSERDWILRNELNLLEDERNYEELEFEVAQE VMKSKMIQMQTLARTVEDEHLFLFELGLSRFFLSNVPEDGSSFKYPQFHGIPKIHKKP TGFRPIIPCHSVVFNPAAKFVSKELKPIIKSTPSIIHGTKDLFTRLSQLRIDPKRQWY FVTGDVVAFYPNIPLDLCIEIVCSMYEEWLLNASEENTALAHINPNSLENNLVKLGIF KRAIEIGNTQLITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKILDHPNVAF YGRYIDDCFAIVYAESETLALNLIKETIKFDGCVIEWAVSLSGCQFLDAFIFKESGKL HWKPFVKTGNNRERIPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAIRDLNALY LMRGYPENLVMSWCKKNIQERWEKRFALRVAEHDESILVLKTRFDQVWNWFSAAELGK TITEYWSAWYKHAEKGLYSADSSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVP DLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQNVNETY HSALVEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0009471 MSSQYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSVDQVIDCVFFDYRAALREFLLNLASWCDKRETVKASLERLESA VSAGNTPNRLKVKAPEFQLTKEFADAGSAEALRVSTTFSTARDAFQKAINDGAIQAKK DELTFWDDKCALNSCYEAAALIVKATYDDRKSSYKLPVFSTDNKGVRRIAEWVVSPQK KAECSALQTILPAIFSHIKQIVNMRHRALAIKIEKKRTTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQAKKAGPSKPKSSSTPSQRKPQTKASN KVDNKKKGKGRAPVKNDPKGKGKARA JR316_0009472 MELGLSMGRRYVQRTIASIPEEALTEMRKHAFSPKRDKIHKNLG LAVSERDWILRNELNLLEDERNYEELEFEVAQEVMKSKMIQMQTLARTVEDEHLFLFE LGLSRFFLSNVPEDGSSFKYPQFHGIPKIHKKPTGFRPIIPCHSVVFNPAAKFVSKEL KPIIKSTPSIIHGTKDLFTRLSQLRIDPKRQWYFVTGDVVAFYPNIPLDLCIEIVCSM YEEWLLNASEENTALAHINPNSLENNLVKLGIFKRAIEIGNTQLITQHGSRYFRQKNG LAMGVADSPDLANLFGAHFEIKSKILDHPNVAFYGRYIDDCFAIVYAESETLALNLIK ETIKFDGCVIEWAVSLSGCQFLDAFIFKESGKLHWKPFVKTGNNRERIPWVSHHPLDV KRGVYIGELSRLAVLCSTKEIYIGAIRDLNALYLMRGYPENLVMSWCKKNIQERWEKR FALRVAEHDESILVLKTRFDQVWNWFSAAELGKTITEYWSAWYKHAEKGLYSADSSRP LIKPDPNYVHDLDDVRPELFTQILVDGETEFVPDLRKIGLLGSRWIVSRKRNTNLFDL ANVWKKTVFRKLDEDIAEKGGVVPETQNVNETYHSALVEAAEQISIESDNEIILHRRS ISQEREHPEFGRISKSYNR JR316_0009473 MSSQYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSVDQVIDCVFFDYRAALREFLLNLASWCDKRETVKASLERLESA VSAGNTPNRLKVKAPEFQLTKEFADAGSAEALRVSTTFSTARDAFQKAINDGAIQAKK DELTFWDDKCALNSCYEAAALIVKATYDDRKSSYKLPVFSTDNKGVRRIAEWVVSPQK KAECSALQTILPAIFSHIKQIVNMRHRALAIKIEKKRTTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQAKKAGPSKPKSSSTPSQRKPQTKASN KVDNKKKGKGRAPVKNDPKGKGKARA JR316_0009474 MSSQYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSVDQVIDCVFFDYRAALREFLLNLASWCDKRETVKASLERLESA VSAGNTPNRLKVKAPEFQLTKEFADAGSAEALRVSTTFSSARDAFQKAINDGAVQAKK DELAFWDDKCALNSCYEAAALIVKATYDDRKSSYKLPVFSTDNKGVRRIAEWVVSPQK KAECSALQTILPAIFSHIKQIVNMRHRALAIKIEKKRTTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQARKAGPSKPKSSSTPSQRKPQTKASN KVDNKKKGKGRAPVKNNDPKGKGKARA JR316_0009475 MSWCKKNIQERWEKRFALRVAEHDESILVWNWFSAAELGKTVTE YWSAWYEHAEKGLYSADSSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVPDLRK IGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQNVNETYHSAL VEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0009476 MSSTYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSIDQIVDCVFFDYRAALREFLLNLASWCDKRETVKASLERLELA VSAGNTPNRLRVKAPEFQLTKEFADAGSAEALRVSSTFSTARDVFQKAINDGAIQAKK DELAFWDDKCALNNCYEAAALIVKTTYDDRKSSYKLPVFSTDNKGVRRITDWVVSPQK KAECSALQTILPAIFSHIKQIVNLRHRALAIKIEKKRSTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQAKKAGPSKFKPSSTPSQKKPQTKASN KVDNKKKGKGRAPVKNDPKGKGKARA JR316_0009477 MELGLSMGRRYVQRTIASIPEEALTEMRKHAFSPKRDKIHKNLG LAVSERDWILRNELNLLEDERNYEELEFEVAQEVMKSKMIQMQTLARTVEDEHLFLFE LGLSRFFLSNVPEDGSSFKYPQFHGIPKIHKKPTGFRPIIPCHSVVFNPAAKFVSKEL KPIIKSTPSIIHGTKDLFTRLSQLRIDSKRQWYFVTGDVVAFYPNIPLDLCIEIVCSM YEEWLLNASEENTALAHINPNSLENNLVKLGIFKRAIEIGNTQLITQHGSRYFRQKNG LAMGVADSPDLANLFGAHFEIKSKILDHPNVAFYGRYIDDCFAIVYAESEALALNLIK ETIKFDGCVIEWAVSSSGCQFLDAFIFKESGKLHWKPFVKTGNNRERVPWVSHHPLDV KRGVYIGELSRLAVLCSTKEIYIGAIRDLNALYLMRGYPENLVISWCKKNIQERWEKR FALRVAEHDESILVLKTRFDQVWNWFSAAELGKTVTEYWSAWYEHAEKGLYSADSSRP LIKPDPNYVHDLDDVRPELFTQILVDGETEFVPDLRKIGLLGSRWIVSRKRNTNLFDL ANVWKKTVFRKLDEDIAEKGGVVPETQNVNETYHSALVEAAEQISIESDNEIILHRRS ISQEREHPEFGRISKSYNR JR316_0009478 MTDKNLGLAVSERDWILRNELNLLEDERNYEELEFEVAQEVMKS KMIQMQTLARTVEDEHLFLFELGLSRFFLSNVPEDGSSFKYPQFHGIPKIHKKPTGFR PIIPCHSVVFNPAAKFVSKELKPIIKSTPSIIHGTKDLFTRLSQLRIDPKRQWYFVTG DVVAFYPNIPLDLCIEIVCSMYEEWLLNASEENTALAHINPNSLENNLVKLGIFKRAI EIGNTQLITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKILDHPNVAFYGRY IDDCFAIVYAESEALALNLIKETIMFDGCVIEWAVSSSGCQFLDAFIFKESGKLHWKP FVKTGNNRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAIRDLNALYLMRG YPENLVMSWCKKNIQERWEKRFALRIAEHDESILVLKTRFDQVWNWFSAAELGKTVTE YWSAWYEHAEKGLYSVDSSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVPDLRK IGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQNVNETYHSAL VEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0009479 MVDRPSTTKGGKSRVTNASRHSRKRRLSTADRDRGKSASTAKRP RTGSLQDPVQTKEGEGRVAATLKPAMRPQVQPARPRCLADGFSEGRLVIEKRERHRKK EGGVTFQVMRPTQGP JR316_0009480 MCDTWTKGRNVIIEQAYGGPKITKVDGVTVAKSITLKDKFENLG ARLIQDVALKTNEIAGDGTTTATVLARAIYSEGVKNVAAGCNSMDLRRGSQAAVDRVV SFLSAHAKTIMTTAEIAQKVGKEGVITVKEGKTIEDEIEITEGMRFDRGYISPYFITN TKSQRVEFKKPFILLSEKSSRQRTLTRLRSRICHQSPAHSRRAPPDALPNRLLTPQVF TFFIFIIV JR316_0009481 MKQTQCSDTSRSQDGLRIFHSQDSKPTEEQIEQWCQEMERYVNF DDESEHEATEQKVGDYQESRLPGDSWFASGTLSGGENTSGGPAALQPFPLLRRSPAVG RRRRTAAGSTAAKRVSGGTGRLSREEEDSLPSQEDTENVIRDILNIPRDVAIEDAWPV DVTPWVRYKEIDTLMLVLCSSESNRATVQEIINFLVKRYPVLANTRTSNSGWCGTLRG YLTHLPQFRRIERDGKKGDYWVLDVTKIHGHRLSAKTPSDVADWCPDLATYTPKLLQL AGYKMYPTYSNIGFTHTMDMFGGAFISQSLPALVDLQSEKVFQE JR316_0009482 MHSLNFSDLQDYIQHIPYNTNPVALCEQSNTNGDPAPLEAANTP LTGSSSIYHQPSRLRLDPEVLASIKRLIEEVEEEMGVNYLDLVKTPEASGAGIYNSWI STSQTIPLVHSTSHSIQQSTPEEIEDSYDPRNGFEPPAATAAIPTQISTFPELLNSRN TASLSRRRGVETSISMSMPVMGQAGPSRWHQEERAYGEPIQPSIDRSVWLEHDMKGTS SYPEYTELPGSSTHNTLRDTIDVSSYHRNNGTNRSLELDMVPVQNTQNTNGDEMSTPP PPHVWGSADAAQYQGMFYDHAQPWFQPGEGSNTHHPSTSFSSEREKKETIKTGSIKDG REELRSRLTNEPVERQDIDEAQTNDGGCGECPAEGHGQKIGRDEIASQKRRREDSDSE IESAGGPRQPRKSKVNESPDSIPGNISTAVQGSRGKPRNLNPRAPAMMRSYAHALTPL TMPEAKEGGFIALVTAGTKEASKAFGHHQMPVDMDSMTMVTGFPDATKKKGLRGRKKL KAS JR316_0009483 MSSFTMELPVVSTAVLAPAPSESVAYLERVLRVPFLKAAFGGAI GYSSVDYAYNPIDVIPRLDQGVLSFELQEARAQTQWNTLKHLCTIITCAANDHNPFEL SQANNIPLTVPAGKFESIQMDPLLLPQFLIQTKVNPLLRRFASREEVAHEVQIVNDTI KAYIEWIAMAMQTSLAQGVDSHWNWGAYFGLTWGAFMEYVSGIHDVRSVKASIPPPSV IFDIGSIERTPYLVNNDHDVGEQETCTELRLHPQVSTAAKKNQFLEGRVLAAIRSTGP LEGFDENEVFIIRAVGKDLLGIEL JR316_0009484 MPSSALPPSNALFPALYLYPLNDTWAPKRVALTNMHTKIGWQPS SKTTPGERNGFFDSKVLSRQHAEVWEEGGKIYIKDVKSSNGTFINGERLSSEGHESEP FELKSNDIVELGIDVVGEDNKTIIHHKVAARRRAALLPTTTAYTSSRALLRHRKVYGG VARACDVWHEFIGDVRRGFRVEEGSHEEAMGERHHTCDDEDEGGEGHEDDDEEEEDDD DDARSVSTIIPHKLESVEEEDEEAVARAERGEDGDGDLDHEARDAQHGVEDKDHSVSE IVDSSSLDQEHEHEQSHEHGSEDEAEAEKWKQEDLKVGKPRSSGASGSEDKEDRESGD KKAMPAQKLKGRKGVGLVDDPMRMLATPEPYVVFKLSESTVSSLDGDRDQDKSLLSGK TRTHPLADSSDVDADVLSRSFDEDSIAGKYKQSSGDGLEGGGIECARASPFSSSSTYA RGAFLIVFWVFVSCVLGVLGVFVGLAISVYSWLVSGVVWISSSSVARWLSTVDLDSLA AALPDARVHAWATQALQHLNKGKGKDGAGNSNINVQTAVDVVLLSVAAAAVFWKIKPE JR316_0009485 MPKIAERNIKVSEDFESQKQLHRPMLASVEQHVSYYRSGRHDNL PGNLTSISHSNTGEMRTSSTRSMQMDLNCEGSSGVDPVEPFARLVHRDGGMVDRPSTT KGGKRRESASTAKRPRTGPLQDPAQTNEGEGRVAATLTAAVRPQLRPERPRCLSDGFS QGRLVIDKRERHRKKEGGVTFQVMRPTQGP JR316_0009486 MEWIDNPAPEKQALWLYGPAGAGKSAIGHSIAMMLQERSTDRRY GSSFFFAKGAPGRGDGNKLFSTIAHELAINFPDYRTILDTVMQENPTLPTKLINIQLQ NLIIRPLTKVRNWPAHHPVVIIDGLDECSGEKRMQVAILSTIANAIIQHCIPLRFLII SRPEYWIADVFETGCFSSIVKRVSLRDDLEADAGIKTYLRSEFNRIYEENIEIMHSVH RPWPEDHLIDRFVRSASGQFVYASTVVKFIGDSLHCDPLEQLRILIRPGPHDALAFSE LDQLYASILSSYPRWDALKRVLGAILCTPYSNSESVMEFIFNVSPPELRQILRSMRSL ICTTESKCSPLLQRLIPTFGTPRYDTPWLSFHHLSFEEFIKDSSRSGKFLVNEWSTSI HAFCVIIRHLIELLHGNPDIDRIINRLVELPSSDMDIIIQELKYLHEALEGVLSEADR KIPRSKHTLWLLSTFQRCILRDQSWRESTPAQGLKPLLDLLQSLQRPVMLALTISAQQ VLEATSLDGPILGYLVRQSWRRDTSSFDILDIGAEMHITNDAIVSELQDMHGIVNIFC YKDRGHVEIILDVFEKEIDKVSRTYLPPALVAEWETPGLVRVIELLNQLFNGTEATLD SPLNEVLPKLPQYLPQFANASLGWLHRQLTGTNRHVLEEHDSMLIVKFEAAAKTTFSA LISLYIEHSSYFLTAKDSGRQYNHHIMGISPTLNGKYILSENIRAGGGTSELWLTWIR LFHSVLEINQLLGTRHPVLPIVALDWYPHLATFLLNVLVSKHYGSLDQGGRVYWMDFR DKHFLSLLQCMPYAIPTQENLTKIRLLHYIWHKLDRKYRWRPPSGFCDLTMQFLGKYN HKYFCGMEYDRLKSWLVKLKATESHKILDVPLDYPFHYEEYTTDEEG JR316_0009487 MQNKENFNVDTGTQLNSTMVQCFLSGVYMVIYGGTLYFYLSKKQ QSKAHRAVLAAITALYAFSLINLVVQWTGSNSTVVYSSDTRTHLSQASLNIPQRIRLM IGTTLAVSILISDVLLIWRCYSIWGDSLKVAMIPLVLLAIEIVIAIASTVIVCLHPHI TDAPADSKIDYVAAAGLLFSLCTNLYTTSLIGYRIYTTTRDISTSHNRGRYMRIFFVL VETSAMYIFVLVVVAICQFVPQVSEQTYPLVGLNAEILNKDVTSGPDSNSYGSTPGAY WNTYFLLMSVDIYY JR316_0009488 MGLPTSSTTTTSTTTTTTASDDPLSTLLLPPPNETPLERSTRLE AEAAARRVSEMIDEELKVERAERRRRERGVVRVLLLGQSESDFRMKYARADWDAERAS WRAVIQLNVIRSIITIVEALQAEMDGEPEGEGDLQHPVSPAGSSSAGGGGEASGSGVG GGTGREGGKALSTLLTGKHQVLKMRLGPLRRVETDLKRRLGAGSDEDMGLPLPSPAPA AAAAATGDSAATNVLGGTSLGPLSLETEPQGLARPLGAASAQREFGVTRLQEALQRGQ RLVRKGSAQSVRRQGRVGSGRATPVGEDGEGEGEMVDDATEILASCLEDMKALWTDDV VRAVLRKRRIRIEDTAGFFLDDLDRIAQRDYSPSDDDVVRARLRTLGVQEYRIRLDDG PTSIFAGGIGGDAGKEWILYDVGGSRTVRHAWLPYFDNVQAIIFLAPVSCFDERLTED ARVNRLEDSFLLWRTVCSSKLLASTTMILFLNKCDLLKRKLKAGVQVRKYLPSYGERA NDVNTVVKSRVRATHRVLSA JR316_0009489 MANSPSHSEHNHSSNLPVEIIEKIIQNACTTYWCHPPTLSSIAL TSHVLRVYANNARFSALFVIQGSYVPVEKVVKSIRRLVDVIKSGNTVSSGMPGILNFT TSLSLEFVGSHKDIMSGLSDGGLAYLFRSLFRQPIPWKPSQEYGLRMAVYRETTTIPV GDVWEDGFRNYVGIDWRCVSPDLVDAFVDLVKNSRLNSLLLECMRNVPRSIFEGSGIA ILILSEVTVEAGLPAASVDNVKFPTLASPLQLLSLGSLSYSDISLNLYSDAPPPSYSD ISLNLHSDAPHGGLLPSLLELSVAPSRCTDLTELRMALTNAPSLQMLDVQLYAPDGVG NLRRLELILQGGIIHRMGATPILIEYKSMRLERLGAHLSLPSFNGLEVINVQLHVCQG FANPSSIQDELTAQITDKLQSLKKRLVVNDPVSSS JR316_0009490 MDSKASTQKALKSLAIVIKAGRKTSSLPSIGHFVRELELLIIGD RAYYHYREGALRPRAHIPKCWGADADSVLAYILRNLFQTGSSANVLRMMSPGPSRLTL RLNVDDPYSPVGQRDRFSWRLFSPEIQKALNNLVQSSQLNSVHLDSVKDIPKSFVGKR EVKDVNIVNSSIEQELDIVNKNTESLFLESLNVGPIVTSRDLGLPASLPTFNIPTAQL YLPNLTRLMICHIISRHIEFHSLASTIATLNDILHHAPLLKVLSIEIKKENEGNLEHL AISQANTTQT JR316_0009491 MIHPQQESEMIRNIAIRKWSTRKFVIQQYLFSPKEPHVPFYKPF SGIPSNITLRIRDYTQRRNVVYLEVWLIRLNSVCEQHLDTSESINVFLVFWPFSVTDI AEDTCKRWFTMQITAVCALMALMDGILMLRVYALHKKNRRVGVLLGALFSAQTVVQAV CGHLASNVPYDGFCDTLEAHSAVLYFWSYFRVGDTLISGRVDCDEAGLNAPESSRSED RDSGWGVNYDYNRLNYPMDHNQPGFQSSRSLRLAIIHNSLDVNGNGTGHRLDPEDLTE LTSDIYTYPLELQ JR316_0009492 MDVSPQDEAALQAVTFRRWSMLASLAMVLYEYVITFSEEMKHIW RYEFFTLFPDAYDEMKQFRAPLRPVRLIYIFSRYVAIIVGSVNVFLIFGPLSSTNIPR HRCRQWFTFQLSAACLIMGSLDAILMLRVDVSYSRICDINFIHPSVIYYGSSVLITHI SLVALTVWKYDLIKMNIPIVRIVTRDGAWITVLVCSMFSTLVPWALIHKVQKAHILFG WPISMISIGCCRMIMNMQKLDVVSTELSNDAENLTELTSELLTIQIELKG JR316_0009493 MASSGGLSRRRVGGGGASSSSTMYNDVDDDNGTNGMSRRGSSMS NGQGNGASAPVQHAGSAFEGGSKIAFDPRDLQQDASEEARIGGKMPRLTIMEEVLLLG IKDKQGYLSFWNDNISYALRGCILIELALRRRIALFKDPNRRRVPIAERIVEVIDDRQ TGETILDEALKMMKAQQEIEKLSINSWIDLMSGETWNVMKIGFQLKQVRERLAKGLVD KGVLRTEKRNFLLFDMATHPVADVRTKESIINRVVSLLTSTTSAIPPSALDKEGVQCR VLRAVCLVCTAYTASVLDNAFGRLGYEEREAAFQRCDEILGEFVCWPYGSAGGLGPGA GQHMTPATAAGRRRQASRLASGGGLEVGGREVVIGLVQEVRKEAVGGDEDTGFELIAG VLEVLSKLDSLL JR316_0009494 MYIPTRPTLPIELLDDIVSTAWGVVDAQSISRIALTSHVFHSLV NKCRFGHLILHRTGASHDVEHTGRKMTRLADLVRSGRAHERLPNLCSFVTTFEVELIG FRDLIMPTLEDGNFAYILDNLFRANDPPDDYVQSSSIYSLSLYVYRKRRQPADSDLPR YDDSEGDAYEGLAWESLDPVLKRALENILRFSRLNRLCLNVMRRLPRDFLRHSGIKHL FLRRCSISYSPLRPYNDSDYSGDGDCILQLESLDIDGSVSCVDIEQIVACHVTTLSVK SPMSILPVLTKLAMDITCHEEFRDFNEILEQAPSLRELSVGLKMTQGILFA JR316_0009495 MKFGIYGASTSTWSSGPFPARNLPREHAKGGFSFKLRKSRTIGA FLVFILWGQVALDITIVPRVVNVRYDAICDTRETSIAVLYFRYDLMKLRAPIVRVVTR DGAWIMFIVCGVFAGITPVAVRNQVSKAHMILGWPITILSVASCRMIMNMQTLDLSST TTTDVEHDSVTLTELDTLQIELQDM JR316_0009496 MTYNHVCDTTYTHPSFLLFAISVGVTHLTMALLTAARQDLRKLG VPVVRVVTRDGAWSLVIVCTLFAAIVPYSYSLHVEKAHVVFGWPITILSICTVRYTDT DPERTRSRNTDTTIIISEIHTLELEMQEYIYFSHADTVVNSTTN JR316_0009497 MMTSARDNTTQNLPVEILESIFDEVSIASVFSSIALASRTLRSI ANNRRFRSLVLNRGVGHDIHFTSKKIKTLANLIRDAENFSKMRSIVDFTTSLSLSMIG YYDEVTPVINSGSLAFIFQHIFRATPSECSLSLRIYRWARTDDEYFDDISEYPKYNGL SWRSINSDLRTSLRSLIQTSKLTRLTLHTMNHVPIDLLKSANIKHLHLLRAKLDLGRR PTPITHPILLESLQMDPILSVEEIDSLTYPYRLGEDRSTLGGYLPLVKLTVAISYRGA LDLLNRLLQYTLALRSLTVELRMTSGMLLNSFARTLSPESISIGEMRRLIDNHCTINW SNLSHLESVSLQARDSGIARDLTRTLPMCGIPSSLSTISFTIIYHPYSLPSVADVITF LEQSRINGLDGYLTLSAFNAVPSIHIAIRVEWAQPSSGSDIDILEKFVRGQIPLLLKQ KPASKVHIEYTTSF JR316_0009498 MPRALEPNNTTQTGNFCHNQHNARNDVKTPSSTPSSPQSRCRML QAKSNGKNRAGWAGWGICESDEPLVVLLKAGPSAGRIAVVTEIIDHNRAMIDGPTTDV PRQSYPFKHLTLTPLALTKLPRAAGSGVVKKQLEKEATVEKWLNSTWAKKRAAVEKRR TLNDFGRFSVMLAKKQRTDVVRKALVKARKA JR316_0009499 MPMHLPTRKIGEDNVSAIGFGLAGLSGFYGPKADDEERFKILDT ALEMGCTNWDTAAFYGDNEELVGKWFKRTGKRDKIFLATKFGITPDGPNGKAEHVRTA IEDNLKRLGIDTIDLYYVHRVDQTTPIEITVRAMAELVKEGKVRYLGLSEVSATTLRR AHAIHPISAVQIEYSPFFLDMEDETIGLLKACRELGIAVVAYSPLGRGVLTGAIKSND DFDEGDWRKHIPKYSNANFANILKLAAGLEEIGKKYNATAGQIALAWILAQGEDIIPI PGTKKIKYLQENIEAVHIRLSADDVSSVRALATTLAPTIVGDRSRTMHWLFADTPELP V JR316_0009500 MAAFRTVIIVTSIILYKGLLGTASPAVANEFQNALDASCKTMAK AYNAANPDVFGWSNAQKLCVVQSGQNSSQPMIREESAAQGCSGGYLSCVGRECSQYDP SNYQDGESGAFAGCLISCAIRCSI JR316_0009501 MFANFTKLFVTLATLAVAASASPAKRQEDLADCTFLLKADGPID QTDLTAIAIEFNYVLGRSLAVSTGTPVNGGAAEIITASYDNVFNVHKTLSAEGKTSAE TAAVVEGWVGETKLGLSANWLVQAAECA JR316_0009502 MSLHPECSENSVGVGSSLPVEIGDRIVREACEFLDDRDLASIAL VSHMFRIRANEKRFASLVIHRDSGEDIHHTAERVKLLADIIECQKELTLLKNIVDFAT SFTLRMISMDDKRALGDESMSVVDNTRLAYIFRNLFRASNHPPSAFFTLSLNYGRMRW SAMSSEMQAAFHDLLSQSSITRLELHSVEDIPRDWLCGTKIKDLHIRRVRVRYDRDSM PVDGTIQLKSLDCDDFVDPASLARMTGWNFSSSSRSAKNQLTSVTRLHIYTENINTLG KVGGILDNTPSLESLAFTLKIPLEKYSNMPNRILEIDLRQLPRLKEVSFICGIAITFR TEVSLVQPNLIAIRNVVGLTFRIPSRPIAYRVYNDCQCDAPMRDTKTTEAFDGINFCV WNIDGELSMTNDYFGNLSHGAKENIEYNRENPVVMDKMEEVRLYLAWSV JR316_0009503 MVHLLPGEVGLPEDAKYLTDLGLTDPASEVNALRRRDVEGHEGK EYSQINTPLGPLPDVIRMFGKPALEEFVQGTYGVLGHRFFPYVDEKGGVHPENSWGAA RNNISYGISGAETDVVPNYTDDDVYVFHDRTGGRWTAQMVLAEYMTHEPRTELVMRAH DLERGRFGVILQNTGEFVMNIAKLAELCHQLDAHSKAQLWDLKIRVILDCRDSSAPQT IRQVMRLAKEDRDFFYIQLLNFCIKDFADLKKQVDDLGVKGQWWNELAYIISPNLDGL SVIAGVKHEELRVGLHLESNKTWVKDLADNLRTVALHAPRNGAAEDLENGTGPFKIAT LADKDLHIFHADAISKALQLYWREIRPNQPTMSPSPAPTITRNNEHYASTWTDPMRIV KMDPQNRPRDYYSLQSADAARHCIDWNADYVLTDNIPNTCYQLAMHAAKFNQAHLYRN RIQF JR316_0009504 MLSLPTLISLALVSVGHLSSFVQGASFAVQVGANGALEYSPSSI TATDGDEVVFTFNPKNHTVTQTSFASPCAPLEGGFDTGFVPISSGTTTKTFTIPTGTG NSPLWFSCSQSTHCQQGMVFAINPPAAPASGVDHTFPAFKANAQGLAGINTSPNAGTG VPSPTPGTLGSHDPSTASGSVFSAASVNDGATGSATIADVPTTTQPLPTGAAGAATFS ASDSGSGSAGANPTSSAKNSAAKGRGGAVKESAMLVGAVFFIFVGFL JR316_0009505 MYYLSFPNDPLRNKVLVYTIFAFEVLQTIIVTISAYHVFATGYG NFAVYNAVDLAWLDVPVISGIVAFIAEGFYAYRISLLSQSYYVAGVIVVLATVQLAGS IAAAVVLKNADMFSRLLGVDYSITAAIWNGGSALCDAIIAICMTYYLSKRGSESMKTT NVIVRRVIRLVIETGTVTAAIAIINLILSVLPSKPAYYQIPSVLLAKVYSNSMMAVFN SRIIAYGKEHSEVNDNISGATSAMGRGVGPFRANPGISVHRQVMHEGETFELRGALAM GTHSGRGDSRDADDEYSLGTSK JR316_0009506 MSSNGTVVNIPDNIVVKTGPRILGFLFHWGLFGALCVQVYLYHL AFPRDPKRNKILVWTVFALEFTQTMMITNSAFTVFGSGYGQFADFNKVDLAWFEVPII TGIVAFIAEAFYAYRISVLAQSYWVAGVILLLATVQLAGALAAGIILKRAVLFSHLLG RSYSISAGIWNGGSAACDVIIAVVMTYYLLKRGSGTMKETNALLKRVITLVIETGSIT AAVAILDLVLVVLPSQPSYYLTPSETLAKFYSNSMMVVLNSRMRIGIEPGYEGGSNST TARIRTGVTTAATHTMDTDAYELGDGIVVAREQVLFPNGKESSIGQKGWSAHVVYEIH LSEKKEVKRNDDALRSRVRVFTFSILRNLRLIVAYSVHDFQQQTKHYALDRDEHVSKA TNSLDETMFPARLTRAALADRQRQVEELQVGLAKLRKENEKSLSQFNTRMMQSANSVA ERDRLRTLRETLASRRRTLSAAKLQTSSSRPSNLHSTTALPQTFPPSRESEALLSISA TIARARSGLVQELVEVFNVVEVGGRPPIGGKAGTKGEWTIGDLILPVPGDIRRYPPDH INAVLTHTIHFLSLLTFYLGIKLPFEIIWTGGKLGVGQPWIGASKGGEYGGWARWYTK HPLHLTSSSVPTPPPSSIIPKQVSHSDSATHSSPFTLTASVLASDPPESSLQSSFMTA LSMLLYDVCYLAFTQNVDVPLSQAGDILSNLWMVCCSADLGKKSHESYPTLPPPTPPS FPLDFAQLLQATTANPSSRPRTRHPASRTGVGGVKPKERILDTPKEDEEDGWDLVDDD V JR316_0009507 MPSPSSSIKSHPRPKVVAAKAEGLKSQPVVASRPVRARKSQING PLQSIDTSLSAHPRTDPINALTILLKLLTSLPTRIGGCQFKLTPAEHALSLHLVSILD PFVYHGVRALSPNINLTGTADNSTIVSSPGLGLIQQPTEIIDAILAHVDSRKDLISIG LGCKRLHDIVFPRHFEYRVIRCKVSSIGVWNHLITHSSLARNVRKLEIIDERTPVPAF NAAHPGLHRPSSMLIPRTMMRSSPTSTTLGGGTDLESTDDELHMHTKQERYLSAALLR MTGLKEFKWSCNHSPISIARVWPALMMRAEHLNSVEICDNLVFGPRNGHWAVVDDDSE EDSSGNEEEEDSGRLSTLIKHRPTLEAMESVVFRSTPHSYGASKLPELTRISTMLYQC SNLKNIEIGYITPRSASGSTQAHALIANNTTAPQRARPLADDFLVNSVIDRQPKFAHL THMTLTNLRCTSPVAPSAFLAAHTMLEVLHLDLVIQTSGNGTNPLQLPPGSLPHLREI KASRDVINSILQCPTVDIKHRPLEVIKGFKLSGHSASSSSVSVDAAFLQNLRTAAGSG IRRVEMLGWHDIDEVKRLVACVPGVQHLDVGRRIGGSGERNGGVVEKNMLEWTDLMTT LPELVSMHGVRFFYEVSSSGSGSAGGGGNANIPGVSGASVSPHHVYDPADSTPNSNGP THLPASIPPLPQINPNISMMERSRLRKNDETASLLAWKCRKLRRVDHWEPSVNSTGDR KVVVLLRDGNTVGDGENKVRWEVRKVKA JR316_0009508 MSSASHLSIITTFSDWSHAERVEVDDPEASAAWIAIQKAHLEDP QQKRLSSSTKTPRGWYEPHVSRYPPPPPSLHINAPPRAEKVPSYFGPLITSSEKLNII DHNSWRTSGNDESEEELPPRNDPLTPRNMGNSVPNPYDGINSFSAKALQVDRDSPSDS SFSIGTRGDAEYPPSASTSSDCGNGNSPVKMSRRSGLLLSAVSAPAVDYMNEKGTEYK YKTNDFVEVFIAITDVNERRTSKWIMGTVNRSDILPGWTTNGERVYDIKYRIKYCHPS DPSTEVWGIFSEVEIRRLVPRLSNTPLPKYGTLLFIRSRIFDPIQGKIQSTWFPSVCN EYGVFGLVGDYSNALVPPDSRFRPCTQEALEDMRRDGSKILFPWSNFEAFSQAVRRDK PFYITTPIFYPNASPHIGHLYTLVTGDVFARYQRQKGRDVRFLAGTDEHGLKIQKAAR AHFNGQSGREKEFCDALSQRFRDLAESASISNTCFMRTSSEEHRRTVEHVWRSLCEKG FIYKSRYEGWYSITDECFYTDSQITYPTPSTPISIETGAAVEWASEENYMFKLSALRD ALLQHYTSRPGSVYPEQYYDDVLGMLGDGQLLADISISRPRSRLEWGVQVPDDPEQTV YVWFDALLIYLTGAGYPWVTAQSRGGWPADIQVIGKDILRFHAIYLPAILLALSSSGT VVHLPKTLLTHAHWTSSQKKMSKSLGNVADPQEAMKKWGVDVVRFYMMRVGGRWRSDA DWSAEQVDKHFREIKDQLGNYFMRVASPVLFKRSQGGEGPIHESIQQAFLEDMPDGVS AETDSGDWNAILLRHTLALNSKFEKNMDALEAGNALAEIMAVLKVANKVLNEIQPWAA ETHPQLVHTTRVVGLETLRVVAHCLGPFMPSVAARLQETLGTVEYHEGIDREEAMRVF WMRWEKKPVKAFPLF JR316_0009509 MDTLQRLRQYRPGQEPPDRHPFQTRNHSEGPSNSSSRCLSPPPS LASNIPTLSDSNSPSTPNNNQDPFLRTSGARPDRHSCSPSASVSRPGLNVLAGPADSA DECGESDISPTGPKATRSPSPQSSVHSDVQHPQQSLPCSPVPGPIKTSTSSRKARNKS FLMKTRSKGILWKKFKPALVLENSGSVARDHLASERTFLAYVRTSLALASTGVALVQL FTIADLTSWSAYSSTPAGRRIQRFARPLGVTCIVFALIVLGIAVYRYFKIQHALPEQK FPVARISIAFISFVLGALVVVIFGALLSERV JR316_0009510 MSYGNTQDYMVNACSLVNFIISYSKIFPREPLLLRKALYSRSYT LGQFVPTYPEHRSYLICFAVEEYITVLGRKILLLQLSKRRKPLPPGPKGSFFSGVKDK LPSTEPWKTYAAWSSEFASPVIAFRVYNRTIVVLNDHVSVKTLLDQRANLYSDRPLSW MFHETCGRRKAIFNIPSSDPRHRIYRRLLQKGLGTRATMEAWPCLKEQTVVMLNGFVD DPHRWQQHVRRHSAAVIMKHAFGYSIESLDDPFINVADECSKISGWATAPGRWLVDYY PILRFVPSFFPYTQWQKQGRIWRDALAHLSEVPHNWVKEQMALGVHEESFTSRLLAAD NGKQSIIQVTPEEEDIIKWCAGGLYAGASDTMASAITSFVLLMAIHPNIQLKAQAELS SLSERYSFMDVSEVPDPVAIFQLPYLTAILKELLRYAPVGNLALPHSVTTDDEYCGFR VPKGSPIMANVWAIMHDPELYPDPFTFTPERFLDAGVGKNTNPDPRQFAYGFGKRACP GAHFAETAMLLVMSAILIRFRVGVESSIAIHIRPEFTTGITSHIKPFPIQIIPRVFSK ISR JR316_0009511 MSNQHRNSKLRALVALSLLPVSTLAAVVDPSILQACPGYTATNV RTKRDGLTADLTLRGSGCNVFGPDINKLSLNVVYETSDRIHVKIVDASAARYEVPASV FPRPDARRSVPPQAANIRFNYTTSPFSFSVYRANTREVLFSTAGNPLIFEPQYLRVKT NLPQNPNIYGLGEHTNPFHLPTDNLTLTLWSRDAYGISPRSNLYGNHPVYFEHRTTGT HGVFLLNSNGMDIKLNSNSLEYNIIGGVLDFYFLAGSERDPTELARQYSEVVGLPAEV PYWSFGFHQCRFGYRDFVDVANVIVRYAASKIPLETMWTDIDYMDRRRTFTLDPDYFP LKKVREIVDYLHGKGQKYIMMTDPAIGYFPGEGYAAYEEGKELDIYLKNSTGDPYIGL VWADWFHKNIRKYWTNQFKRFYNPQTGVDIDGVWIDMNEPASFCIAPCADPYAQSIEQ KLPPPRPRLPPNADTPLFTNTSAEVAQLSKRDDIINPPYAIDNAIGSLSNKTADTSIK QYNGLTQYDTHNLYGSMMSTETRHAMLARRPSKKPLIITRSTFAGAGAHVGKWLGDNL SLWDHYRFSIAGMLGFASIYQVPMVGSDICGFGGNTTETLCARWASLGAFQPFMRNHN GEDSISQEFYIWPTVAEAARSAIDVRYRLMDYLYTAFHQAHLDGTPVLHPLWFKYPKD SNTFPIDLQFFYGDSILVSPVTEDDATSVSIYLPKDTFYDFNTLAPVQGTGSFVKFEN VSFTEIPLHIKSGVVLPLRSKSAMTTIELRKTDFELVVAPNARGEASGSLYIDDGESL VVTSSTNVKFAFSNGKLDVSGRFGYRTGVNVARVRFLGVNANPSRVTVDGRSVKRSDV SYDAANKVLDVTVGVAFNKGFSVQYSR JR316_0009512 MQFKHLLFAVTAMSAFMVSASPIASQNSEQGTAFRRTVWLYPVL LLFGNKRHFPKLPSKELRSVGPRVSTMNFLPYNIIFPELLSATEQGTAFRRADAEQGT AFKRSEQGTASRRDEQGTTFRRDEQGTAFKRGEQNTAFKRDEQSTAFKRDEQGTAFKR GEQGTAFKRGEQSTEFRRDEQGTAFKRNDQGTAFKRDEQETAFKREEQSTAFRRGEQG TAFKRDEQSTAFKRGEQGTAFKRVDASEQGTAFRRTGSEQGTAF JR316_0009513 MKHTYLRFLAPASGVINYYPRLFNLSSEESMLYQGHPTPEITAA WDKITDDVRPMRIPMEWLSLVNTEDNETLVKFSEEDGGGAMASVGVLHQLHCVNLLRK ATYPMYYKDDRFFTVPAEELRGHLDHCIELLRQTISCAGDVTVLTYRWKGDKPHPNFD KIHQCRRFDAIYNWAVQNAVHVPSDHIVRNKTSRSPN JR316_0009514 MAPPQLIRCAALFFTLLSVFPLALSQTGPSKVTCPRNLVRSAGS AGAPVLSAEERAYVDGRMQSVLPDAWKAYLTSVRAALPLGVKLPSYVSEILDPKQPKQ PFIPTRPADLPRLGIALSGGGLRAAYFAAGVLTAIDGRNTTNPTGSNGLLQAATYLAG LSGGGWFTTALVQANFPTIPELVFFPNAPASNSHFGGFLSAFDIIAPGADDAQNSAYF QAVLSELVPKASAGFPVTLTDAWTRMIARHFVNGTTAETILSPGSHGDGITFSGLQNL PSFKAHTQPFPILLWNAIPPALINNPDDPQQLADIVPGNSVPVGSEIWEVNMFETGSW DPTLASFIPTRLLGSTPASGTGRNKVDAQCFVGVDQAAYIAGISSNVFNGLNTTTNLL PFTQEGALISAINATFPSPVSVRLDSAALPNPFKGVNPRTYPSSSQSFLSLVDGGSNG EVLPLQPLIMRSRSVDVIYAVDAPADLPTSYANGSDIVNAAARAKRFSSGRLYPFPRV PASPQTFVDRGLTLRPTIFGCLPEDERSGAPIVVYIANGGASAEKRAKGEPGVTGVPT GQTAYSNEMAQAFMDESFAIATQGLGLNSTSTNAGQQWSTCLACAVVDRARARRGDKR EGVCAECFNNYCWDGRE JR316_0009515 MKLAVSLEALALLVSSAMQAVSGATLASSALSIISTATNPSLTS QLSSATESATPPARTSIFTSVGFPTPVSSSIVPPESGKPDPLAPPGGLNTNGTLPFYH PLSDFDFQSLNLALNQEWIELDLFHHGLAQFSDEEFAAAGINAEERALIAYMGNQEIG HARLIQNMLGPNNSAKPCTYQYPFTTVREFLDFSQQVTRWGEAGTIGFIPHLNSRDSA QMLLQTVTTEARQQMAFRQLEGLFPMPVDFQTGITQSMTWTLLAPHLVSCPAENPRIN WQNFPALNVTNAPDPTPLFNNTSVGADNSTSSGNDTTPAITHNSTNPLSFPGREVFLS WELPGKTVGPNNSYNTTTAAGPAKFAAWISQLNTTYTLLTDVNGTTAKTTQPSGFVLG DGTSPIFNGTLFILVTDANVTVTPSNVTAINPHIVAGPAVYTAG JR316_0009516 MPSKYGLGAFAIKNMKAGEYIGEYTGEHLTGPAAEAIDPLQKYS NLNYAFGVYDDILDSWHAGNETRYLNDSKPDMPNCNARLMIVDFAPRIVIETLTNVAA GEELTLGYGDDYWINKIQKEPEQSSEKETGGNHEEISDRSDMSE JR316_0009517 MILQDSYNQESRAHEDETADDDTATAVDETDQEEIVTEPMWLAE HGPIALKVYKDVWTEFYEFETQYCKSVLKNLSRGGPGRHKQDPPAISAPIYVPSNSNY TCQIVDLSDDGHTMHVTTRPMDPTVLDDSNFTIPEPYPKYTTCTPLSHNVRLLNPDMD TRAPFLPYADEPEFLKRFPDYLDDFDVFAWQVDLDDPDREMIEVEAARRLYMDHGYSY EEIDKFGMFKHAMLVKSKESLVWHASHRDPFRWPGGTSTLVPSQDRDASDKDSSSSLS HDGSDNALGDQSTSKIFPENTINHSATFYDEVVRDKPQPIVPPKATVKGSKMLQGKGK ACGVNCFKTVNILSADQMYIERINILPDDDIKPERDSEPRPTFAFDLDHVRIKVPAIV SVTVFASEIGNGAKDHAAAH JR316_0009518 MLPAPPNFASGSHLPQFSLQNTFGPGSSYGPHPQPGYLSQALLH DPLNQAADPNSPEVFKDNIQIVQNHVHRLRDAAKQLQTAIQLAYQPGYSPASTEAYIS TLKNELAMTIEILRKSGVGALPFIPPSNDDNPCPVPTEQALLENRMTSVRALHEKLQR SQDSAAVVANLLTTDHIVRPAK JR316_0009519 MVAFSKSFISFITAAILATSYVEAAPFPEYTRHATHMTRYIGKR ALKLESYHPKSSFNVFGEDGVTIKSRSVGPASLRDSAFDYLNTQGISSDKAAWGSGFT SGSTRVAYMKQAINGVPLANAVASIGFNDDKVVSYSTSFIDIKSAKIAPSTPTVSWRS VLPRVETILEGTYNGWNTTLEYLAQSDGSVSLVHVVQIQNEDTGAWYEAYIDAHSGEL VSVTDFVSDASYVALPLTKQSFLDGQELLENPEDLGASPFGWHSIGFANSTSTSGNNV IVFKGDRVQRQTSAGPSFFSFYNDTFDPSLPANAVAAQTNAFFVANAVHDFAYRYGFT EEKFNFQLNNFGKGGKENDRVLLSVQDASGKNNANFATPPDGQNGVCRMFTWDVVSPE RDGSLQNDILIHELAHGITTRLTGGGTARCLQTLESGGMGEGWGDAMADWMLQTSEKT VDMVMGGYVTNSLRRGIRTAPYSVDKNVNSLTYSALKVRNQVHEIGEVWANMLHNVYA ALVDKHGFAADKLTNADAPEGNVVFMRLYMDALSLQPCNPTFVSARDAWILADTIRYN GAHKCTVFNAFASRGLGLQADGSFEDDFTPLVGC JR316_0009520 MVSFTGSFFSTIIVAAVLSVTVEAAPWPLHARHSTHHTRYIGKR SLKVESYHPKSTFKTFGDDGVTIPSGNSLVASANPLKDSAFAYVNSLGISSDNVAWRS GFEAGANRVAYLKQSINGIPLANAVANVAFNGDTVVSYGSSFVDTKAAKIAPASPTVS WRSVLPQVEEVLEGKYNGFNTTLEYLARADGSVALTHVVQIQNEETNAWYEAYIDAHS GELLSVTDFVNDASYTALPLTKVSFEDGVENIRDPEDPEASPFGWHSIGNGNSSTTSG NNVLAFKDQRVSVQTSAGLNFNAVYDDNKQPIDPTNVNAARTNAFFVANAVHDFAYRY GFTEAAFNFQLSNFNKGGRQNDRVLISVQDASGTNNANFATPPDGQSGICRMFIWNLT PPVNRDGSLENDIIIHELTHGITNRMTGGGTGRCLQTLESGGLGEGWGDAMANWMAQK SAQTTNFAVGAYVTGDARGIRSAPYSTSKATNPLTYASLRNLNQVHEIGEVWANMLHN VYAALVAEKGFSADKLTNPDGPEGNIVFMRLFMDALSLQPCNPTFIEARDAWLQADQN RFGGANKCTVARAFASRGLGIRASSAFTDDATLPDGC JR316_0009521 MAELHPIIPRDENNQSSLALAAQDTPEPVPITEQEVGEYREQDR FLPIANVSRIMKSSVPPTAKIAKDAKECVQECVSEFISFITSEAAEKCQLEKRKTIGG EDILYAMGTLGFENYAETLKIHLAKLRQNGTTNASSTTNAARDQPIEPRMDGE JR316_0009522 MSSEKVDEKHDTESNGSHAQTSSSEIDLFSFHEKRAGRLIIDPA EAKIELGEEVAAKLKLSADGTKVLWPQPTDSDLDPQNWSDGRKTLLLIIITLAAIVPD FDSGIGIAAIFALAEQYNTTTGVINNLTSNWSIFLLGWGGLAAVMVIRRLGRLPVLFW SQVLALGFLVGCTFAPDLKTFTAMRCLTAFFGTAPQVTGLYVVTDLYPFHLQARKLNI WTMGFIVSPFISPFAFGFLVARTSWRWAYGIGSIYSAIVVLLIAFFMEETMFDRTLKP IPLPTSTGLRLRIENLVGITGLRMQKYRISWYESFVSPFRLVWRPHLLMVLLFEAMLF GFGIGINVTNAVFLGSPPPFGYGFSEFAVAGGYATPIVSVILGELFGRYANDWIMNAS IRRNKGVFEAESRLWACYIAIPLYICGFITLGASFQKHLSVGALVMGWGIAEFAIMIN TVAVYAYCNDAFPKHQGEISALINHARVLGGFSVAYFQVPWALKNGAIQTFGCEAAII AGLFVLFIPFLQWKGSALRAKYSLH JR316_0009523 MFARFAFTVSREKSRSTSKVKFSIPSRISHKRKRNADKASDEEE RNAKTKRVAIDKDLELPSTISSEPFK JR316_0009524 MPSLLTSELRQVHEDGKDAVAPAQTKSAERGNVPAFVLISNAIR RYAFHADLEEHGLCRNVGIQRGSFKVTEVRESKWGLGLFLSEPAETKELILEYVGEMI GPLTIDSRNCHAEHRNRSYVFELNPTFSLDSAYAGNQTRYMNHSAEEANCHTNARRIE EGEELLINYGSKFWPSDRLAQVELASAQAVPFPSYDDPTDLTYNEKEGNDLDS JR316_0009525 MSGRGAKPDLSGYNYGAISSLVLTTDRSALPRRDKEPDGAPVSL AGRIDPKDMGSRVVRQAPKDLDKKKKKAVEAVDPSEKLAAKRRAEAAGFGYADIIEAT QDVEGLTYRPRTAETRQVYEHILSSVHTALGGQAQDIVRSAADTVLETLKNDAMKDFD KKKEIEEVLGPVTSESFSQLVSLSKKITDYNADDETMADPDAERKEAEIDEEGGVAVV FDEEEQDEEDDEGYEIREDSSDEDEDDEEEKPEGDAEAEVREEDMVIGGEGSKNGKVK TDKDVVSPHSIDGFWVQRQISEVYPDPVTAADKAASVLSILGSESSARDCENQLMELF DYQSFHITAKFLKNRDVVVWCTKLMRSDAEERVNVEVAMREKGLGWILRELAGDRQVK SEKRSDAMEVDEPKPEVPKTATLAPGSTVQPKRTVDLESMAFAQGGHLMSNKKCKLPD GSFKRAKKGYEEIHVPAPKQKPVTEGELVAISSMPAWAREAFTVPKLNRVQSKLYPIA FGTDEPILLCAPTGAGKTNVAMLTILNELSKHRNEQTGEFDLDAFKMVYIAPMKALVQ EMVGNFTARLKVFGIKVGELTGDSQMTKQQIAETQLIVTTPEKWDVITRKQTDTSYTN LVRLIIIDEIHLLHDERGPVLESIISRTIRRMEQTSEYVRLVGLSATLPNYEDVATFL RVDEKKGLFYFDASYRPCGLQQQFIGITEKKAIKRYQIMNEVCYEKVLDQAGKNQTLV FVHSRKETAKTAKFLRDMAIEKETITQFVKPDGAVREILTEEANNVKDANLRDLLPFG FAIHHAGMTREDRGVVEELFADGSVQVLVCTATLAWGVNLPAHTVIIKGTQIYNPEKG RWVELSSQDVLQMLGRAGRPQYDTYGEGIIITNHTELQYYLSLLNQQLPIESQFVSKL ADNLNAEIVLGTVRNRDEAVQWLGYTYLYVRMLKSPALYGVGADYQEDDEGLIQKRAD IAHSAAVLLEKCQLIKYERSTGRFTSTELGRIASHYYVTYNSMMVYNQHLKPTMSTLE LFRVFALSNEFKLLPVRQEEKLELAKLLERVPIPVKESVEEPAAKINVLLQAYISQLK LDGFVLVADMVFVQQSAGRILRAMFEICLKRGWAVPAKAALDMCKMVEKRMWGSMTPL RQFKGVPSEVIRKAEGKQFPWYRYFDLTPPEIGELIGIPNAGRLVHRLVHNFPKLQLQ AQVQPITRSLLRIDLSIVPDFRWDEKIHGSAETFLILVEDVDGEVILFHDNFVLRQRY AEDEHNVSITVPMFEPVPPNYYISVISDRWLHAETRLPISFKHLILPKKFPKPTPLLD LQSLPLSALHNKEFEALYANSIQTFNKIQTQVFQALYTSDENVFIGAPTGSGKTICAE FALLRLWSKKEQSRAVCIEPYQDMVELRVKEWKAKFQNLQGGKEIVSLTGETSADLRL LEMGDLIICTPTQWDMISRRWRQRKAVQNLGLLIADEIQMVGGYDGPIYEVVISRTRY VERQTGHKTRIVACGVSLANAEDLGKWMGASEHTIFNFSPSARPLDMAIHIQSFTINH FPSLMIAMSKPAYLAIKEHSPSKPVIIFVPSRRQCQLTVDDILTHCSADDQPDLFLNI ELEDLQPHLDHITDKGLVETLKHGIGYYHEALDKQDKRIVQRLFESGAIQVLVASKDT AWSLPVASYMVIIMGVQSYEGKEHRYIDYPVMDVLQMMGKACRPLQDENSRCVLMCQQ TRKEFFKKFLSEGLPIESHLPTGYLHDYFLAEIAVKTIENKQDAMDVLTWTYFYKRML ENPNYYNLHNTSHQHVSDHLSELVETTLNDLVNSKCIAIEDEMDVSALNLGMIAAYYN ISYVTVEVYTLSLKERTKLKGLLEVVSSSAEFETIPIRRHEESLLRRIYDRVPVKLDQ VDFEAPHFKTFLLLQAHFSRLQLPPDLAADQVLVLEKVLNLLSACVDVMSSNAWLNAL GAMDLSQMCVQAMWETDSPLKQIPHFEPEVIKRCKEAGIESVYDVMEMEDEDRTKLLQ MTPVQMRDVATFVNSYPTLDVSQELVKGEYTAGSSIFLKVTLARDVDEDDDQTDQSVV APFYPSKKLANWWLVVGDSASRQLLVIKRVTVTKSLAVKLEFSLPKGTHKLKLYVICD SYVGADHDIALDPIEVAEGEDSDSDEDMDSDEDE JR316_0009526 MAIFRKLLTSALLAIACASYGFSTPLASHERHATRRSIDIGPGL TIEAFHPPSTFETFAAGIDHPLSKRGGPFSLKDASVAFAGSKLSKGAGSVSFRTGFSG DVAQHAFLTQTHDGIPFANAVANVAFNKDDKVVSFGSSLVNPKSIASSTPTISLSDAI TIAENALNGKFNEHPATLEFFAKPDNTAVLTHVIQIENDATGAWFEAFVDAHSGDLVS VTDFVTKAAYLVVPMQEEILTQGFQTLTDPQDLLASPLGWHSNGTVNTTVTSGNNAIA FKANQNATTSQSAPGQFFFTQDPNSAPTTPNNLDAARVNAFYIVNTMHDLTYRYGFTE AAFNFQNNNFGKGGLGNDQVTISVQDAAGTNNADFSTPPDGQSGRMRMFLWTLTNPMR DGALENDIVTHENTHGVTNRMTGGGTGRCLQTTEAGGMGEGWSDAMANWNEKTSSAVD DFVLGQYVTNNTAGIRTHPYSTNAVINPLRYSDLKTRTEVHSIGEVWANMLYNVYANL VGVHGWSSQARTNPDGREGNIVFLHLFLDALALQPCNPTFLSARDAWIQADVNRFGGA NACFIWKAFASRGLGTGAANHTDDTTVPPACVET JR316_0009527 MHATSSQIMQYPMCSGNELRRISSDYVERLAARSEILNDVVDQF LTTALTQREYTRSVAYTIVLMLNSFHKQNPNKNLGKALWQKFCGDVVSYFENYDWKNA NEGNGNDPETGLVSIDALALCALVGDLTSLKLISYHRFKNMTRLLVRQVETCLHVECI HTLFSHAAARATPEIHPVFLFECIRTVKKRISHATGPIHRFPEEVINFETFVRHHLIK RQAAEFPSFHDKRLNLEDALMASCANLSVWRCFGLVNEVQQYHE JR316_0009529 MSNGNQEVNYTREAGDVHLCDLPNADRPSFAPLLGFEADLEMDI YKMSIMEMKRTRTPRSSRNSITTHVARTSSELTMTVEPYTSPEHAGDAILRFINTLSD PTERPNRLQLFGQFYPDMGTKRASLMRLFYLYCPQATVGEIVQVLDKLTGDISDYQML TTDGFSVLRPFADYADEDSMANACHYILTGETRTIGSGAMNLLHHSNHDATIARCAAQ RAARLRVPEAPAPIAQASLASSLPLVSLPVSVSDAQPSSSPPIPQHALSPPPPQSLRI RADQAPHQPPLARPELVERVSLSSPPPPPQLEPISSIQPPHQPSLAQTVTAAPQNIPH VSSPPPPQPVPMSAVQLPSSAQTVLTAPQPTPHIVSPPPLPAAQPAPISAVQPSESLA QTVSAVPQSTPHVSSPPPQPVPISASQPPHQPSLVQTVSEAHQHTPHIVSPLPPSQSV TMSAVQPSESLAQTVSVAPQSTPHETLPPQPVPISVVQPPHQPPLVQTASQALQPTPH VLLSSSPPPQPVPVPMVQQLHQPSLAPTVLATPLPISHVSAPPLSHQPLSIPMVQPLP VPQSLSAPPAVATVPPQQPQHVLPPQQPQSMPQAPLPMSTSTINSTTTSATQPTQYSW SSSISTSTSHQLLLDQDNALFGVSMVELHRNSGTFSYEGQMHTFRWFLSTGPARLVTP IYSQQMDPQPAVGDIFFHKTAPEQTFFNYQVWYKKSETQWQDITRRYAVYRDVEPITH PLCQARVLSAKRSDGSPNWILRETWLNYRRTRA JR316_0009530 MSTRAQFVDRSNGRVLILNGKVVYSPNYVDPPQYSMRIEKIRQW SSLEQDLSRMSHYLSTLTKCNVLKPVGPWAFGYSKRHTSRANAIHALERSRDWFALWM GLLSYLITVAETRGKELQEYPGLSSSTWVEYLLEKHCKEAWLESFLCSEICDYTRGSR VGVFIDLQSLGKVDCLQPSVEWFCQWGIPVWYIWDGVYAKDPSKQYMAPLSHQLQLST TTIAPQPSTIRERSKANDELFAYAWQEFFESREKRNQQQMETETMIKRRSREERTKNP PHSAKVFVWKEAGNSGIYVRVPVSPQERGDVLAMFSVHQVRYDSFANEYDCSQFFGPG DDYNEDNIENRENSLNLEVTAAELSERSCTLFHDVHDAPKISLIIPGYDDNNVSDWLP HYGEEGETIVDTAESEILDILRLYFGYTSPLPPVLLSSDALWYQPLGPKPIKQRHPTR PSHYKFDVTDYAVYRERSAQVLLHNPAGRAALMAGGYHWRIAAPIVSFEVVFSGPVPQ SGEYFTANDCNGDVYIDNKLTEFEEEVLSGLYECYTGQGNTIARKSWLPLHSIYQLSG QDYGRWTENSEVIFDIFDNRNSSAIKGLTNIQRQPQSSNKWRDGTRGSGQLRRGRQQL EKLASDLFSAHE JR316_0009531 MQWCLDKLISVQSTEAHSFTDPVNTILELAIAEEQVIRRVFARN DRSHRNGSVLHHAEGLLNVFDQVPDQVKRAFPRLSSDQEPDVPVVIQPATGLVHSFPR KHLFALPDDMKRAKGSPTIVPDMAAFLQNFDIFTNRAFINMRHWDNIAVAGGAVLACM QPTSFDASPWTPLQANMYFENFYPDSDVDLFIYGLNAEEAEARMVEVYQDITSALLQP AICVRKAGNITIRAKGVRPIQIILRLYHGISEILCGFDIDAACCLYDSITIYYFSLIE EILTRRRHSGTNVWANIRCLMACMRQANTIDLSRRSPSYEVRNQKYGKRGFEVYIPSL QRERIDVAFVYNQWIHYFPPGLVRLFIYEYLGVDPFYYVNLHYPGKRIRRARVLFGRV MDFTPVENSSYDRVWAHLPGVDFSADEIVRHIDNMNALMNSPYKQSKYQRRIHRHFIS YGTMQQCINGINCINCPPPVTDEEKNIVQEESTRYIRGRISFIEDNPGRQFVGSFSPI TEGDWEVNAYRQFGPVEPPSSLARHFTNWGTLRRLFNP JR316_0009532 MSTRTNIHSNNSASPVYYTLLGGDNPGVYSSPIFIKPGKYHDLD EVAWPICIHCGTNERKAIQMLGLHSILDVLGFNTPIDRMARDIWNHQAISEYISENPE LSGPYFAVVWAGKNKSAMIYSNESDYDAVLAGLVGPRLVKHTVNLQQALVYMLLRSQV EGFQFTPIHTIPSTPTQRLSNSLSREGISTGSLGRAGSSSGSFNGQTQSTSPTRTSSS TQAITYGDEDMPPPVYTPLPWLPHSNVDSTRLVAPARSALLSLNRSRSGVDSISSTFS SIGQAPPDTPLSPQSSPSPVARGEAQTNRPIYAHIRSIDGIVGTLYENIQSDSDASPS YRTHAVSAAATEFFDAFGYNTLA JR316_0009533 MVTVRVVKSTNGATRTTSSTSGSSAFPLRGAQETAPRLTRSRAK QITQAQPPSTQNQAISRSNSDAAVLTGSRPTHAQEAQGPIVHGGFRLPTVGNRLVPLT GDPYYPPSWPWTTPAQAGPRFTIHLKKGYIYPFAPLGRSLQPLKIFVDEDMKSRLPVA DISFFSNRDGMAQTTVVDYNHKTYLIMGYWDNSCPVNANLIRICSIPWKGQLVVFGLG SRTRILQRPAGSVADVEQALRFFIRDCVVAMMQNRRWPRLISLRVDLKSIVM JR316_0009534 MRTNSIDIQVLKLSPNGSLLAIGNESGLLDIKFRYPEGQGRGWE SIRLYNTGGAVRAIVWHPMHPNILFSGSANGNIYRIEIKKDPKDDDICCGEVPAFIHH LSLSEDGEKLSICYGYSVAIVLDVFHDPKILGRIVPLDLPQFSETGRIVDCPVPRAAF YLNDNILLVILLGSCGILAFSTSTGVLKWRISLAPNSMIGSCAISPSRERLAVKNLAN GIDWYSTSHQRYVGTTLLENTHQFIVDIAFLDEETVAIGSQGSVLLATFGFNSPTGVF NTEDYYSKSVQTVACGIISKYPVILSVSPCRAGNTNGRNAIIHIARIEPQFSDHRPFV LEDSRQIQIPGSLSDPELLAPTGPASSTIWICIGILALGLAIAAQTSHDQDALISWLF HTPAACAPETTVSTSTITERMTETLSMTYTSTSILTASPTTTTISITETMEPVTEITT FTVTSFPRPESTIKPDHETACLCTMTGTIHNLQTTS JR316_0009535 MDYNLRSHLHENLGLHAPQPILLIVVHTVAFATNLFRVATHYAT LWWDDFFATAATFSDAVLCIRLIIYSQKRNTPLNNTVSNGLSLFSLSGLHEPAY JR316_0009536 MYNNLKDSQHDFMWPVHHSTASASSTSSSSQSDTTAKSFYTGVN TIPPSHGHQLEDNISTITGSFRTVLSFAPVDPMTVEYPEYNHPHDLPIDGNCDNRHLV KEDQPTFDLTDSSLIHQAHIAQLQAAAGGSSLTHGGISQSQGVDVGIPIPRYRAPERQ KRGARLQRSFGEVPRRAQGWLSEASLDVNPRHHMHHSQRLGEATVDVIALHSEMIQLR QQVVELKSIINASSISQAKLTTDTTQSKGGLNQKLGKKSRVRPVKRLIFMAAVCQEID DLLGHPNKYKFTRLATQEDIETFEPLWRNRRDNSLECCDIDDFKVDLYTNPSSGWNNS AGWVFARHYAAKVETDFSVQDIQRHFITRMRSLKRSFKVYLENDEEKAKLQKYHQRLN RKKDKLRRRITICEEEPLARPHLGIMQGLGLNGMSSEDSDYPPSPDVPLRIPGQKQFV VLRPIWRAREITPWIHIFDLLWAHRRDVQEDGRGKQPVFRIRMIHTVSYDTPIVQGLH HNAYDLPWITSPAGNDTQYNPVMYDFTYSPGLMQ JR316_0009537 MYNNLKDSQHDFMWPVHHSTASASSTSSSSQSDTTAKSFYTGVN TIPPSHGHQLEDNISTITGSFRTVLSFAPVDPMTVEYPEYNHPHDLPIDGNCDNRHLV KEDQPTFDLTDSSLIHQAHIAQLQAAAGGSSLTHGGISQSQGVDVGIPIPRYRAPERQ KRGARLQRSFGEVPRRAQGWLSEASLDVNPRHHMHHSQRLGEATVDVIALHSEMIQLR QQVVELKSIINASSISQANLTTDTTQSKGGLNQKLGKKSRAAVCQEIDDLLGHPNKYK FTRLATQEDIETFEPLWRNRRDNSLECCDIDDFKVDLYTNPSSGWNNSAGWVFARHYA AKVETDFSVQDIQRHFITRMRSLKRSFKVYLENDEEKAKLQKYHRRLNRKKDKLRRRI TICEEEPLARPHLGIMQGLGLNGMSSEDSDYPPSPDVPLRIPGQKQFVVLRPIWRARE ITPWIHIFDLLWAHRRDVQEDGRGKQPVFRIRMIHTVSYDTPIVQGLHHNAYDLPWIT SPAGNDTQYNPVMYDFTYSPGLMQ JR316_0009538 MSLLDNAVPQDEQLRDRACIFEKFLESDSVLYNYKDEIHRLLCL DQTRLLVNIDDLRDFDRDSANGLLKNPAVYLPAFESALTQVIQHVYDPEKHDIASKTC HVGFTGSFGDHHVSPRTLHAAHLGKMISLEGIITRCSLVRPKMLKSVHYCPETSIFYS REYRDATSSSSNLPPTTSLLPQTDNDGHPLQTEYGFCHFQDHQRISIQEMPERAPAGQ LPRSTDIILDNDLVDKCKPGDRIQLVGVYRTVGGGSSANINLLSSKIGGGIAQTPLTD TDIRNINQLAKRKDIFNLLSESLAPSIFGHEHIKRAEKNLPNGTHIRGDINLLMVGDP STAKSQRLRFVLGTAPLAIATTGRGSWGVGLTAAVTSDKETGERRLEAGAMVLADRGV VCIDEFDKMSDVDRVAIHEVMEQQTVTIAKAGIHTSLNARCSVVAAANPIYGQYKIHK DPHKNIALPDSLLSRFDLLFIVTDDVEERRDRTIADHVLRMHRYLAPGLEEGTPIHDN LSQPLSVEGPGSNLDAEAVETSPFEKYDPLLHMGMQQSGRQTRQNKPKKMEVLSIAHF VKKYIQYAKSRTAPVLTKGAADYIVEVYANLRNEGMEGNKKKTSPLTARTLETLIRLS TAHAKARLSAKVEQWDAKQAEEIMRFALFREVPKRQRRKKRKLNNGAAALKGSAEGSE DGETDDRERCGHE JR316_0009539 MINKNVRDIDGLLVSPLELYKSAKGYGNGRKIRVLGRVFGETVE ERARPFLKKRHDITIRKIGIEGQRLRLSPDSQADHDLEVDLEMLNNEFKLNDILFERV IRKHAAAHEYDFLCLRYNITPHRVTAPDREV JR316_0009540 MPPLPWGTPEQIEFLSAKVPEFQASQRTKTTPNFWTKIYQEFFV LWPTPEAEVRPMTVPKKKKKKKNAPVVLPQTKTELSHAEWVKLRKNQIVNWFNNRGAG TQHRRGPTIVIGGNNAPRLLSETNLYSKKYYDERIRPRVIEALRTNPEGHRIAIINKC TGEAWNDESDEVKAEIRAELAKLKELKGQIPDMPEVMSPEECAINLLTLPETIQAFID EMSSRTGWVFTVIAGGPEPADQGKIRTVAVHNAQEDRDRQTLNSSKAKPEENQVASTS KVETGDAGQENVLSETTPPVTTQPEIQSNALPIAVNQVPDPAALVPTSSTHAHMGSVA QLSGLPIAINQVPFHPTYTAASVPISSTNAHMGSAAQLSGPIPNTITAAHTPPEAAQQ TAMASMTAAPAVAIGFAQAPVMTQSTVQPAQVQPTQSVASPSPPCYPATNGATHATIE AQNFLPPANPLPQQAKVAPNPVAAFLPYGAAISMTDGVGGQDIDQLTAAVGQDMMRLQ AGDANRGDGLTLNFSEEEWDRIDLALQQYAADPNMMGTALALNTNNTVTLPGLHGQML QSQDMMAGQANAPMLLDPNHSISAPHTIVPAQTSAPVLSATNSAGVLSNHAVNDPIIP ESGMHAPLTAAPDKSENLDASATRSRNRKAAASKDAPQTTAWLLAASEYLFKDIEVEK WQECVRAWESFERMEIAEMDTSSLRLPAKGRPTALGKWLSSSRKYTAIPAIRQDEFQK SWMTWWNSIQPAWRQTKTPNSLPLSFETAKAKDSMASLRKGGPNGLLTVMVGLKWWYS AQASDGLWELAVSDLLNTFNTFQKAKLNNKRKAAEETGKEKRTKKAKA JR316_0009541 MAQQSTPPPTLPNSTVPPSTAPASRIMSTRVGDVINLLLALNNA GLEVVRTPDGVDHASGLENLAMGELILILQIMGIHVKGNRATAAPPPPSDSRDPLVQE IEQMVISSARRQAINLFFRLTNGPLTVPPVILEELSSTVAPPPSSVEPQATVTDPALL PPKSQSASDTVMPKGFVCMSCNTYNPIRPSEKPVYVVFCGTDVGVFDHWKDAQSLVSG ISHACHCRYNSREEGERAFQAALTAGKVRILGNPIAAPGAIVSSLSPNAPNGTSGSSS EASSSSD JR316_0009542 MGRQRKYFSEAEKLAANRQKSKKYYEKCKDSINRRRRRKYARIQ QKRIETARKAQAVEQEPVSKSKPPAATWLDEVLRVYRRFNTFIKSDPIAHTEAICLQF ISNQDMHELSESVDKIEHFLGPISRYKNHIYMCSGVGPEWNKTVALAKLLEKTQSWLQ EIELTAMEDLEFVERNYNAKSFEFQKVSQ JR316_0009543 MAKVKQRRRRTDNFKGPSAVTIEPGIRPVTLDTKSKQDKFLADA GPYYRQAKSKGEKEEFLHEISQLWFRIWPEDPLNTADIDFARHRQKNIMKKIRTRLLL LGAFGIVEGDTLWRDFIAAKMHELHPDKLPSV JR316_0009544 MKRRVNRKKKVYYDEYISPDERDAKTASFGDIHTEYHGRTHHTI RMPLPQGIQPMLPTPPPLGSVESPTPRLDWVLSEESPYRIFDGDLELEEMAECELEAL GLKNYLKPNLKTGDKVQADPEEEVPQKKRKTQSTHPIHFWTPHIDTYVEEFLRLEGIG GQANLQKCSEIGCISDLEAGAFRCKDCWSGSLLCLACVLRSHSVNPFHRIEASGVGYD DTELLTNLQVWTGHYFRRTSLKKLGMRVQLGHDDCPIPMVAFNDEFVVIARTGIHEIA LDYCGCPSAPSKPIQLLRARLFPSTVGDPKTAATFDVLEHFQLLSFNSKVSGYEYYST LSRLTDNTGTKAPPDRYPVFLRIIREWRHVRLLKRMGRGHSETGVNGTKEGECAVLCP ACPHPGINLPDNWKERPESEQWLYSLFIAIDANFRLKRMNVSTDERDPGLNHGYAYMV ESCKFKNYLANYDGQVADEKSSCNNHDAIKSANSRGGHGTAASGLGTAECSRHDMKRP VAVGDLQKGERYVNMDYFFLSTIAATLLLRLVVSYDIACQWWINLMKRCQLYPKNVLS DPSNLSIVYLVPKFHLAAHIQKCQTSFSFNYTPGVGRTDGEAPERGWATANGIASSTK EMGPGSRNDTLDDHFGDYNWRKIITIVETFLRKAKEAIQERQEQVEAFIEFDAALPEE STSEWTQMCLTWEKDSSQPNPYVIPKNSSVKESDVRLQLAREDSDALKRGEMTTLHEE VTPSVLISQGLQLEESQARLALDISKLGAHSTNIQQTKILERSNSLKRRIDAWINIQH LYMPAVAALRARENLQAETPVAVQDIKLYLPSYNTETIRFSSHILLKCESQYRYAQAE DCLNNLRAFLLLRSHMLNSKKRHSRGQRMQTRSLTLLAAVEEKIKFATARYNVAYQAL DLLSTPTVYYTWRDILRPLLDTDVRGLSSMDDSGSEGRKKLSWIWKVHGMGEDAEKCT QAALRIEWCKARARAHRWQEECVLLAEEMRRVIAFFAWQAAQWDSRAQDIIDSTDVPN RDAQAINSGKIAYAKKQADIRRDIGDRCAKQWDGVTEKLTTMSEGRNAYKMVECH JR316_0009545 MAHLCTAPVVDSTLDNGFADSDRVSSAYLHHQESSYMDRWTDVD ESCKLDAPLGSTWVSFADLKSPQYQDGLKLFLECLVELDQLDANSPLRLIMEGGGPLF MSQHHLEDPQFILPSARRFTPEPGDAYHSSNKCPVAPPTGRQLNAPTSSRFPYHEPSL TSPNGHNYNEHLSVSLPIAPLSDSTVSKSTPFWSMSEESSHPKSPSFPIDDSLRLDQT DSWNTTAVRDMPGSKAVVLQNQRQQLQLSSTVIWPSHSHYPTSSFSSSREEKENNIIE STTDAHGISSGHQMPVEHVNDSHARGRDGSEELPHSRRNPESKRISRKRSHADAMAED GHADDLPTAKKSKAMVAGPSSETVAGPKRSVKRPIQVQSALPEMMAQVADGVEIVEAS EIENDGIEA JR316_0009546 MKTPNALAFALGTLSLASCTTAAVFEHVGKLPSTSYDFIIAGGG TAGAVVANRLSENPRFRVLLIEAGPSHVGAVNTMIPGIFFSLQKTLYDWNYTTVPGEG INNRSLDYPRGNILGGSSSINGMVYTRGSRDDYDRWSKVTADPGWSWNNLLPYILKNE RFTARPHHVANVDFDPAAHGMTGPLDTTLPPPAWRPVDERMLAVPSEMPEEFPFLKDL NAGRPIGLGWSQATIVNGTRASSATAYLADKYIARRNLDVLVNTKVTRVRKSSAWPDS ALVFDTVEIAGVNTTLKASKEIILSAGAINSPHILLASGIGDRAALTRAGVKSVLHLP DVGKNLTDQPTVGAVFSVNHTDVWDTINTNATLQALAFAEWNSTRTGPYASPFANFLT WARLPADLPALRRYGDPSAGQNTPHIELLPRSASSQPGQAGLTGAQAVILLTPSSRGS VALDPANPLGPPKIDVGFLTHPFDTQALVSGLKIALRLHSGAPRAWAGYIISQVAPPP GASDAELEAYVRSTAGTTYHPVGTAAMTRKGSGWGVVDPDLRVKGAKGIRIVDASVMP FVTAAHTQAAVYAIAERAADLIKSSWK JR316_0009547 MAEKTNSNMLFANFNQDFSCISVGTRKGYSVTNCDPFGRVYTMN DGARGIVEMLFCTSLIVLVGAADQPQSSPRKLQIVNTKRQSMICELLFPSSILAVKLN RKSLVIVLENEIYIYDISNMRLLHVIETTPNPEAICALSPSADSSYLAYPSPVPSPAS ASTSTTPAAPAPAPSSSPSQSGDVLLFSTRSLTVANVIQAHKSPLSSLAINSSGTLLA TASEKGTVIRVWSVPGAEKLYQFRRGTREARIYSMNFNVVGTLLAVSSAHDTVHVFKL GKPGGTGGGGAVSATASTSSTLDGAVSPPESVDGATQGLDGGYEAFIEKKKGNSVSSS LRRKSLQLSKHLTHSMGGYLPSTLTEMWEPSRDFAFLRLPTSGARSIVALSGTMPHVM VLSAEGYFYLYSIDLEKGGECVLMKQYKTFSNTRVCEGKKRKTGKKQKRLTLNVFKEL KPTAQKETLGPESVENKNQDQSRTSLSPSPTTTTTVPHSHNYTLMPPAFNQADSDLIT QLHGLNVKLVRSSLYNVPMDDGTSIGVRSWKMNEFKYYDIPSPFPTLARGLFTRELDE EVVEGEKKKKKHQIVIRGYDKFFNIGEVPWTTWASLESHTAAPYTLSLKSNGCIIFFS ALTPNKLLVTSKHSLGAVEGAPMSHAQAGEGWLRKYLEKLGKTEADLAGELWEKNWTA IAELCDDSFEEHVLGYPPEKTGLHLHGLNVRTKDFITMPADVVDAFADAWGFIKTPTI TLNSIKEVKDFTTACAETGEWNGEQVEGFVVRTHVTEPPAGGKDGAPKPTSNNQSPYK PGSSFFFKVKFDEPYMMYRDWREVTKMLLSSKSGLDAKSLPKSKMKRPETKLYVRWVI REIKHNPGAFKEYTKGKGIIATRERFLEWMKSENAGEELKELEKEEGTEEVKENKKKF EKTIIVPVAIPGSGKTAVSIALSHLFGFAHTQSDDIQVKKAGPAFIRNVRSLLDQHDV VIADKNNHLTQHRDALRELVAKYRMPVRLLALNWPVDDKPPAMVHRICCDRVLDRGAN HQTLRADPSAARAHEEVVWSFIREAQPLAPVEADEIVDMSLDDTLEEAVERAMEGVVR VLGVEKPSKEKVSEALEKVKAYEPAVKKADGQDAVGKASKAAADPRYFGLLPEIDIVT LLDECLAEGKDGVDPAVRAFWEQLKADARVARRPHITIVHRNGKEKEAELWARCSVLH TMSITPPTFKGKLTNVLCNERVMALTMEELEVADPGLGEGQEGAEFVSKLPEEVRNRL HITVGTKAADIPPVEAMSMVMEWREKGKVGGTNIVPLEAVSVRGRVKGLNS JR316_0009548 MHVQSAKRMEDNVPASTLKEGQWIPILQQVLWNLFAEQKDSITT TTPMSFKIVSSCILLAFYALSGIASPVGTSTTEELVRTPVGLVPKSNVHMVPEGGKII HSDTEIRLLNADGSLFHTAPLNKNARLNFPTPQNRTAHRRNLEDATVAAFAAVNSIGG FEGNWVQPPLPQVQSGQLLMYFAGIAPSNIDALIIPTLQYGVSAAGGGTFWSLVSWFI SGDQVAHSNLTQLGAGTVPIDSFVVNEDEFLATPPAHAWFCGFSGFQAAGLNVQTLEN FNTAVVALEITGGNDIGNLPNEPTDLNQIFILDANSNGIFPIPWQFGQDTADGITIVR PPNNLNGDETLVFEY JR316_0009550 MKIKHFFVFSLTALAKSVAFGAALAGPSVKLDSGTFTGTSLGTV SQFLGIPYAQPPVGDLRLRLPVENLPYNGTHLATAFGPACPQQNIGLPLPAGLPSEAI DMITNLGINAIFPFSEDCLTINVVTPATATSRSKLPVVLWIYGGGFEKGTSSFPLYDG SVIVERSILLGMPVVYASINYRQVVIAFIYSVLVTGFGFLASKEVKAAGVGNLGLQDQ RQAMRWLQKYIGAFGGDPTKVTIWGESAGAISVGLHLLANNGNNEGLFRAAVMESGSF LAVGDITEGQDLYDTIVAETGCTGSADTLQCLRELPFDVFKAAIDKSPGIFDFQSLNL AWPPRADGIFLTDNPQRLVQQGIISNVPFISGDCDDEGTLFSLSTLNVTTEAEFQDYV QNTLLRGIGVTDAEIAQLATLYPSDLTQGSPYDTGVTDALSPQYKRLASLQGDLTFQA PRRFLLQNRAAKQTIFAFLSKRFKTLPILGAMHVTDLLNVYGGEELTNYLVRFVTTLN PNGFGNVLWPQYTTKSPQMLTFLDGTIPTTLTTDDFRQSQIAFLNNLTLAHPL JR316_0009551 MVSFNKFFVSVFLAVTYASSSWAVPSFSSLKHTTHRVRELANNV QLEVFNPPSTFEAYHPLSKRAAFNLQDSAKAFIGSHLSVDPSTVEFHSGFSGDVAHHA FLKQTHAGIPFANAVANVAFNNDNKVVSVGSSFVKPTSIASTTPSITVEDAIATAEKA LNGKFNDFPPSLEFFVKPDNSVVLTHVVQIRNVDAGVWVEAFVDAHANELVSIVDFVT KASYRVLPIQDEILTQGFSVLTDPADPLASPFGWHATAAGGANSTGNNAVAFKGSQSS VTTQSSSPQNFIFTQNPANAPTTQANLDAARTNAFYVVNTVHDVTYRYGFTEAAFNFQ TNNNGKGGKGNDRVTISVQDSAGTDNADFSTPADGTSGAMRMFLWDLTSPERDGALEN DIVSHEMTHGVTNRMTGGGTGRCLQTTEAGGMGEGWSDTMANWLEKTSAAVPDYVMGQ YVTNDPAGIRSHPYSTSATVNPLRYSSLKTLTEVHDIGEVWANLLYQVYAALVGAHGW SATSRTNPDGTEGNIVFMHLFIDSLPLQPCNPTFLTARNAWIQADVNRFGGANKCILW NAFASRGLGVNAANHNDDTTVPAGC JR316_0009552 MDDETAEIELLQQNLNKTRQISKRMTTILDSFDTRLAKLEKSIL PLYTATQILNRRRNNIDQTLARIEDLSSNQQDLAADESLILRGPQPGQIAVYKEALER LNTSIAFNAADLDLAHTARLVQTGAQKLTQLYTKVVAEGSSGITPAPGTELMMTSFPS SLLPTLSPVVKFLRTLPLPSTHPSHPAAQTILATLKEAQQGYAEMRGNWSVKCLEGQG KRLVVRAETVDPLLTGREFRDWVELMLGTAEEEYKLLIELCPLSNPPMVSSAFGTLMV PILKLFSSVLTQLINLVKKSLHKYNFLALSAYEGLLSLQPHWEEVLSRRGSASDKNEL KDGLQSLRALCLRSFPEFLADIKMGAMSRGADTNTKLMDFTTSASPSYTWSQITADFF QTISYIERIPQVLSAVESALYALGDGNWKMGEGVQVGKGSKDDDGTIVEHFIHDIVTT AISSLNTVSRGARRPPFSSVFLLNNISYLRQHLLLQPKDASVISLLSPSTTEALNSAF RTAKAGYFDLNFSPLMQTLTDDPKDKSNKGVAKEKFTRFFDLFDELLERHKFAGVLEE DPQARAEIGDEVIMLVVPSFQRFTQKQKDKEFSKNPQKYIKRSTEDVEAQLRNLFSR JR316_0009553 MPEKTLSIYVVGPSSTGKTTLCNALAQRLGLQKPAYVTEIARKV MRDKGYSRDTIGSLQMQQDIMEAYFEQEKMLDELGCCVRLFDRSALDPVVYAILTSTD ADDRRARKEFLLNSDKFRKVLARYRSDTSLVILLKPVSEWIHDDGVRSLENQQECGEI FEALLHELNIKYRVFGKGVKYLEERVTVVMGLAKL JR316_0009554 MPGPILLRQNPQPDDETWEFTFDPKSLSVLQRQGISYGKLVTLR PNGIFLQMEQFPSNRVLNLDEGGPSKFVLLSIDRLFRFEEQPMKVVVQYIIRLFKRGL WLNGVQYRFYGHSNSQLRGRSCFLREANSDKELDDRIYQMGDFARIMNVAKRAKRIGL MFSSADIDYSLDPRRVGDIPDITDGDEVFSDGCGLISKWLAVQLSKRKKIIFRGVRYT PTVFQIRYLGYKGVLMLHPDLDKNGLEHVAQFRKSMKKFTTTVDHTFSVVGHSKPYSF GRLNNDIIVLLSSLGVPDSAFLQKQQEYFDWIMSVSGSEDKEPDPVSAVDFLCCLSEY SLAERLLLEGIDSEPVKREIRRLQNSEVASSRQEGTNKFKSRIMVHKSRRLYGVCDPY QVLEEGQVHIRISVGRRGATTPIHGDVIVVRNPCLHPGDILKLRAVDHPKLSHLLDCL VFASVARPGHKAAPSMSSGGDLDGDEFFVCWDKDIIPTTIAEASIRLNSSYDYPGNRE LTKKDITRADLGTHFASYTSSGVAKVSSLHAKWARSSPKGALCVECQELNALHSQSVD GANIRIPEKLSTPPPPPPGMVYVLDVLEEASEKFATKFSEATSRRTEITTLTSRDDGE QLLKRLLQSEQNSLSEFELFNLAYRLSQKHNINIRPYLSQLDFSALTTEQKHIISSVL SLSDIEYPELWNSLARSDILTPQDLYQRNLGRPFAISRLYSSRNSGLVTFFGYLKRAT QEYTRKLLIIQTDPRFSIGIFMRGKIPWDEDLEVKESNKDGDAMNVVVCSFMPKTASN IATLRTCQTGYRLHCSDYRFQLYERSIGNTFVYINKSPAELQAQVSTSIALDKISRTV QKQLGRVNKAPALNIELHVVSNRDRVAHQLFDLWFEHVPTEEYERRFQRDPAPYRQND LKDVDWSSEEVSNMKDVFFRNIPGIPLASNRLKHNKESMEEQLSRRMMPEIDKIMEFA INHHAEDEIFLVFGSVIARQPIPLDFIEQWMGRYPTLAFTLLQIYPPNDNGELLPELE EISYLIVRNVIRSANETKIAALVALEKLAKSIGSMPLRHYMDLLMLAALSVRGKTLVQ EVFFLLNDGRKAHCPPSPRDEYGQKHALGVAFDRADEAAEECPCNEDGRPQRKMRVPP SHAKLKFDPDYANKGEVTATIRIDAKTAVRLHSHVRLQAASRAENRWIDAPIMDGVVV QAIKGELKIKLFHPAPPEMEVMDWNLYDAGTTATSNAMMDAIQRLLHDDECCLFYGLI IGSGSIVSDAAEFRDFDESQLSPTSGLNESQIRAIESWRNPLSLIWGPPGE JR316_0009555 MVMRFKISQTIDTDRSIVIAVDNVLERFIAINDSEHLLNEEQIL RVATDQSKVNPSLHHYTVNDRVGGDMNENSKLFKQAQNRVENAARNNFWIHLTCAGLG ILRKPDFDIALIDEASQITEPCALIPLVKGTKRAVIVGDHVQLRPTVKGMGKPLQYDI SLLERLYTNKEDTPDGLSKTMLDVQYRSPRILNIFPSNEFYEGQLKTHESNAEVSTFM SLSQFPWPTENGTIIPTVFIQCSEQEDEDGGRSKSNKGQIEVISRVHPLITSQRPDTP IEPKLAELKIAVLSPYTKQIDALKRKLPSSIRCSTIDSFQGRESDIVIFSTVRCNVSG EVGFLDDPRRLNVMWTRARLALIIVGDRGTMSTNQQWKRALDACTEVVLPTVSNA JR316_0009556 MATPFSWSDTLKITIGTCLPCIKPKVGAGDSGDDEPNNQNNNGT ISRIPRARPDELQGLLADPETDPEAETMSLHSNPGRSKRKKKRSSKNNHSRTSLKLFG YNLFGSQPIQLPDDGEDALYQDDWRRRRRERNESLSAPSIATAHSTASTFDLDAAPLD AETINALSSPSAVEAAAQAAAEAEAQRLREKEERRRKRREKKELRRLAEALTAQNVDG DDFEGFQGSGSGVPSLPKASPGHPRIPNAMYPGGPTSDSGSGSGFSGSVTDGFGRFIS APNGAPLHLPHNDEDEEAADLDGGVYSRNQARDAVALGSDSRSRTSASASDRPHAHQY PGDQPPRNNVLANLRSRSRSHSHSHASSSRVSSPLQAHFEQAPETEATSSPSGSTVAI KKRRKNRTSTTASSRTPQSRSSATTSQSHSPSISSPISPNFTKEIVSPSTIEQGQGFF DLEDEDVSPQPQPPPEVDVDKPGSGFPSTRIGGGGGFPMTGFGSATAGQRRTAKDFGA FLARRGEDDADADVGL JR316_0009557 MAKVTGRVRDQLRQAASDADELVLTPVGMVPKSNVHIVPQGGKI LHSASEVRLLDADGKVLHASALKKSSKPFFTAHNKTEPAVGPRNLQSATVLALAQVSS VSGFQSRWVLPPLPQVNSGQLLMYFSGLAPPSIDALIQPTLQYGVSAAGGGNFWSVAS WFITSTQIFVTNVTQLPTGNQILDSFVVNEDQFLGTPTTHAWFTGFSFFQASQLNIQT VENFNVAIVALEISNAITLGNLPTGPTDVEQIFILDANSNSIFPIPWQFSEDTADGIT INRIPNTISGDETLFFQY JR316_0009558 MDAADSGTQTDIPDGPMTNRGLDAATLRTSFSAFPATVSPTYIP NLASQNIYTDSSNHQIPLSAERRPESVYGPSLGNLKIRAAIDMAVELLLDFIPRKIYL YLLLRLPAVYFSRVAAIFEESALTLPEIKQMTLQSSFGNQGLQQAPQFQAFNISHRFE KLDYTWNSFVDSLLRDWNTYNIVSALILSAILTILQIDTAAKDPLIRYTLLFSQVCAI TSLLLGCLYSIRFDSSMRKTYKAAEWADEAQKFKINIWWNIWVLLAMPAIWLAWSTIF YIVAIMAFVWRTDTSGTSTPAPLSPHALLLVRILITLVLTLGFISGWLSLMTFRRYGH TMDRAWRNRVSSLAYSRNQLHTGTRFGNTTSEINDLQLPQSLQRGNNNDHEDPNHRII SHPPANRPPNLQHPSVPADEGDRRYSNSQSHLADVVVGVT JR316_0009559 MDSYSVISESRKLLVDGILKNPLHTSLPSDIEDAAKSVEYTGSG MPSIPVNWRWTESISALKGFQASMLNVLLKKKYGLEYQKVIINTDHAQLFIMSILLTI IDPLEGKLRFNDPGCTKFFPNGDKFQGMLGSPLTEAATNIYKTKDERFYHIHGSMNAT PVEMALGIDPNDKVMDYTGACAVYQAKIGQLVATDLDSLMNDHYRQAGTICYSIDEYK ATEQGQANAHVGLYEIHHLPIPKQAPGWWTSVDQYTSGKRPLFGLKVVDLTRVIASPT ITRELAELGASVMRITSPNITDMTVLLCDLGWGKWNAHLDLTKSEDRARLRSLIEECD VVVDGYRPGIMEKWGFGKDDILGFFKEKERGIIYVHENCYAWNGPWSHRSGWQQISDA CCGVSMEYGRAMGNDEPVTPPLPATDYCTGIIGAVGVLQALIQQSEKGGSYVVDIALN YYSQWLINKCSTYPTNVWEALWTNGGRPIFRHTDNMFITFPPILRTLMAQQSPILNPE FFEIRDNKAIGVPVKTVKPILNFPDGIVKPGYNVGARGNGVDQPVWPHDLMQEIVTL JR316_0009560 MPFGEIVCGSPGSGKSTYCYGKHQLFTALQRPISIVNLDPANDT LPYPCAIDISSLITLGDVMEEHGLGPNGGMLYCMEYLEANFDWLEERLKELGEDAYVL FDLPGQVELSTNHESVKNIVEKLTKNSFRLAAVHLCDAHYITDASKYISVLLLSLRAM LQLELPHINVLSKIDLLSQYGDLDFNLDFYTEVQDLSYLENTLSASLPPRFSALNMAM ISLIEDYGLVGFETLAVEDKNLMLNLTRVIDRATGYIFVPPAESNQPPGTIEEHNLGP AARPNTYALFTSAVAPLQGPGSNIRDIQERWIDAKEEYDAFERKEWRKEGELVRDQAA RASKIRERKTPSKPGSNNSNAMQL JR316_0009561 MFSSLLPNVSSGMKFGQNGPAKPTNRFSVRVFKTSTSVPFAYPL NPKMDNNYRAPQTLPPPPPTQPQQQNASWYPSRYVSFIWKRYTTVKNMKPTYAPPHSS YNAYDQRPRTTPNYAIYPSATNDTFIYEQSMCSKSDIFSTSELDNASTTTFSPSSAFS PALSTDSTVTLQGNPMEDQRIVRPQTPAVRGGYPQTLSAPQSTAMSRTASSSNRAHEA ALTAESVEEHRRLASLAGRSTTPFRAPAPPTTGMSRVPSTGRGFIEIPEYEPSTFSEM EVEERDRIAKINAGINSASTGSFYTSSTASSSDGRSVPSNVNRVVSSQPLHPSLHFPS KSDPPTLLHSSVRLDSPPSVERIPSELPPELLGPLSQSYPAAISPSRSRDAGYRPEPS RRTSPSSSDPGPSRPQDYTQDRARRPSVVGPRPDIVGQRPTSEYREYGSSYTTVDYRP PTGPSSRPSSDSSGSGSREHARRDNFLAPNSASVPRDSSDHRPIPPSRRSSQAYPDDS PRSPKDGRPYDSRDGVYKVGPLSTSPTQMRASPIELEAAQRQAAAQNSAVLPHDRVRT RSNSFSAPMRQNLPLQISPSDSRRGPEYGSKNPYYPQPGPLPPTSAYDSRPAQPQPSS LPPSRAMDRVTSQNVGSSHARVLTQEPPSSRSQPPYAADPNYLQAPDPSRFATNGLVP RGPIPPQATVKYIDAAGNVRTTVMPGQASSEPQQQRRYSDGDQNIPLRPQLSGRNSAP ALRSVRWNENLICPSPLFANQRRKGWFNRRGDQLWTNDGAYKAAAPGQEYPPDLDDYP EHGEGWMNEEGTRIDLGHRLIPKPPLRSALKQPKA JR316_0009562 MHALRQEIIPASGVEFAASLHLTPSSRKPTSTNVSTRHEFVSRV LCNLVVARSNLLRIFEVREEAAPIQTQAEDDRDRRASMRRGTEPVEGEVVMDEAGDGY INIAKSVPQKEPVHISTVTRFYLIREHRLHGIVTGIKGLKIVTSLEDNLDRLLVSFKD AKIALLEWSDIIHDLVTVSIHTYERAPQMLALDSPLFRAELQVDPLSRCAALSLPKHA LAILPFYQTQAELEVMDQDQPQQDQTQFKDVPYSPSFILDLPGQVEPNLRNIIDFVFL PGFHNPTLAVLFQTQQTWTGRLKEFKDTVKLTIFTLDTSSQKYSLITSVEGLPHDCLY LMPCSETLGGVVVVSSNAVIYVDQSSRRVALPVNGWTSRISDIQLLPVPTSDQSRHLI LEGSRTLFVDDKTFFVFLKDGTVYPIEIEAEGKIVSKLILGPPLAQTSSPSVVRSLGE DHIFIGSTVGPSVLLKAAHVEEEVHDDAQDSAPSAIVKDDDQMDYDDDDEGQDIYTFT DIYGVSSKPTAVSAVNGLSNGHSVAKKTRTVIHLSLRDSLPAHGTITSMAFSLAKNGD RPVPELVTATGSGLTGGFTLFQRDLPVIAKRKLHVIGGARGLWSLPIRQPVRASGISY EKPMNPYHAENDTLILSTDINPSPGLSRIATRTTKNDISITTRIPGTTIGAAPFFQRT AILHVMTNAIRVLEPERQIIKDMDNNMPRPKIRSCSISDPFVLIIREDDSIGLFIGET ERGKIRRKDMSPMGDKTSRYLTGCFFSDTNGIFGEQYENTVSPNGTATTTLQAAVNAG NKGQWLILMRPQGIMEIWTLPKLTLAFSTDGLSTLQNVLTDSHDPPALSLPQDPPRKP QDLDVEQLLIAPIGETSPRPHLCVFLRSGQLTIFEVVAASDNLEPVAKPRQSHLKIMF VKIASMAFEIQRQEENEKSIIAEQKRISRNFIPFVTSPAPGVTYSGVFFTGDRPNWIL GGNKSGVQIYPSGHSVVHAFTACSLWEAKGDFLLYTEEGPCLLEWAPNFQLDGPLPSR SIPRGRSYSNVLFDPSTSLIVAASSLQAKFTSYDEDGNRIWEPDAPNIADPLCDCSTL ELISPDLWITLDGYEFATNEYINDLACVNLETSSTENGSKDFIVVGTTIDRGEDLAAK GATYIFEIVEVVADPAVAPKRWFKLRLRCRDDAKGPVSAVCGFSGYLVSSMGQKVFVR AFDSDERLVGVAFMDVGVYVTSLRTLKNLLLIGDAVKSVMFVAFQEDPYKLTLLAKDT HHICVTSADFFFTDGELSIVTSDEEGIVRVYEYNPQDIESNDGRSLILRTEFHGQSEY RTTAIIARRTKDQPDIPQSKLLMGSPDGSLTSLTSVDEHAFKRLQLLQGQLTRNIQHT AGLNPKAFRIVRNDYVSKPLSKGILDGNLLAHYETLPITRQNEMTRQIGTERLTVLRD WISLSGPWPFLV JR316_0009563 MTEQITLYTAKVELALSESKLPYKRFEIDLQNKPEWYAPKVNPA SKVPAISYGGPDVPPEDPSPDSQKIAESYVLLQFFADISEVPLLPKDPILRAKARFFV ETVTPKAFRGYYTVVAQGEDPELLLDSIETVQSLLPAEGYAVGEWSIADAAITPFFAR AEVAFKNDIGKFANGAGQSTWAKVENDDKYARFRKYFNDIKSRDSFKQTFDADYIKET FMKRFAKA JR316_0009564 MPETITLYTAKTELALKESKLPYKRYEIDLSNKPEWYAPKINPA SKVPAIAYGGPDVAPENPSPDSQKIAESYVLLELFADLSTEVPLLPKDPILRAKARFF VETVTPKFSGAYYAALTRGEDPDQILGAIEVIQNLLPPEGFAVGEWSIADAAVLPFFA RAEVTIGNDIGKFEEGKGKATWAKIENDEKYARFKKYFNDLKSRDSFKETFHPEYVKE TFAKRFARA JR316_0009565 MASILQNSSNIVITGGNITSSTNTYEGFRDVYIPWGRMFEGLDQ LRQHTALGALLDSTERFDPPKCAPDTRVAIINEILEWMSNADEESSVMWLYGPAGAGK SALSQTLAEMNQDQKRLLASFFFSRTAANRSDGRLLISTLSYQLMLSLPATRRFIKDA IEADPVIFDRSIETQMEKLFIGPINKSALSWSYLLSEWVRKHFGCFAVHHPRVVIVDG LDECQNPRVQADLLRIIGESTEYLKLPIKFLISSRPESHIVRVFNHDSIFQKLSIATI NLAMDNTAHHDIKTFLQNEFSEIKRTHPLNSYLHSSWPSPDAIRQLVRKASGQFIYAS TVIKYIQSPKHRPDDRLNVILGLSVAPTNESPFSELDALYTHIFSSVENIGTVMMIFG VLIITRNKGDGLGNYTNPEMLEKLLFLRPGDVVLLLGDLLSLVSLGGRNSPIKIMHAS LADYLLNPIRSRRFCIDLGITHSFLARGYLHRILASEALRGSKPIRVLYTEQFDHLND YLVLQLKSTVPETYLADVISSITMNDFRRNLIPLIKSSKPSQYLTLLCQLFYWDYNTS FTSKITLRYTLAVKQCLRYVEGDTSEEMENSHLKRLYRQFPGASPDKNHLEEAHLDCA IALLPHAAYDYEFVEYAASYRFSDYVLTCYHDKVEILKEVMANYFMVCDQF JR316_0009566 MSDIFRDSTVGALINYATNGRLFPFPDQRPEWQLPANLRPKSHS RDSDVKNRDKVAVESAGSNTPTSRNSGELEKGPAPTEPVSDKPVVGWYDENDQENPMN WSLFKRCAVVAMTCLLTTSIYLGSAIYTASIPDIMEVFNCSQTTATAGLSLYVIAYGI GPMFLSPISEIPSVGRREIYIVTLIIYTALQVPTLYANNIHTLLAMRFFAGFFGSPAL ASGGATIQDMFHFIKLPNAMILWSVTALCGPLVGPIMGGYAAAVKGWKWPLYELLWLV GFTLILLIFWYPETNAETILLRRARRIRARTGNQELYSEGEVRQSHLKSSQVLYESLL RPFQLMTEPVVLYLNLYISLGYAIFYLWFEAFPVVYIDIYHFSLGASALPFLGLLVTA ILTGICYLIYNKKVIEATFLRTGAIIPESRLTIALFAAPFGPVALFIFGWTARESIHW MAPTIGAALFLPGLLLIFQGAVVYLPMSYPRYAASILAGNGLFRAVLGGAFPLFGREL YTSLGVGGGCSLLAGITIAFWPGLWYLWRYGAEIRAKSKYANF JR316_0009567 MASSISGNGGALLLASICLGGIWLYRRVSTLRNVPGPPSSNFFT GSLLDLIAPATGRDWQIHVNNVYGGVAKFGTLFGGSSLLINDPRALHHILVKEQDVFE EWDAFASTNGLLFGPGLVATTGAQHKKQRKMLTPAFSVKYLRGMTPMFVNIARELETH ISSLVKGSAREVDVTAWLNRFALEAIGRGGMGHSFGSMAKATEFSDATKELAATVSVM VPGAPFTPFFKYLGPRQLRRFVLRLIPWSLLQRLVDIVNIMDNEAQKILKEQEKDIDA GVDDKDGKDIMGILLRANRSSDESERMSEDELVGQISTLVFTAMDTTSGAIARTLHLL AQHPEVQTKLRAEITEAYHGQDEAIDFSNLTALPYLDAVIKETLRVHPPIPTIFRQTM QDTVVPLLHPITGADGTVMNEVHVEKGTDIFINIIGANHNPKTWGEDASEWKPERWLS DLPESVTKIKDLAGVFAHQMTFIAGNRACIGFNFAQLEMRVVLAILLQSLEFSIPKDK EIGWNVGLLMTPVVKGSNSIHAQLPLIVKKVNA JR316_0009568 MSAEVARVAIVTGAAQGIGKSIALRLAEDGLDVVVNDIPSKSSE LDSVKAAVEAKGRKSLAVVGDISSEADVIALVEKTVSALGGLDVMVANAGILFPTPLV DLPVSEWDRIFNINARGTFLCYRHAAKQMIKQGRGGRIIGAASSASKQGIANLGHYSA SKFAVRGLTQSAALEWGAHKITVNSYAPSTVETPMVDNFVSSVGAPRDAFYAGQSQLS AVGYHGQPEYIADLVSFLASKGSHHITETG JR316_0009569 MDIKFVGSGDAAKAFLYYVTDYITKASLPVHAGMAALSYATPNN STLDDVSNTNEQENEESVELNMGDRDITASNQRLDYCLRPANDKFIDLCLYDFVAWGI KQRYTKEMLHIETAVRPGSFLNDEHPEYFTHYMTIRRKSCIPIILGPSIPNPLKSDQL KDDWARDMLLLFKPWRDISDLKTPSETWTDAFHNYEISMKLEHTRIIQNMQALTECSE ARDAHRQRRRGKTSEDVVSDEVQDIILTDTEGNTDTLNPNDVYSPDPFQCIENPNEDF TTNLHDSIDNIGEETSRFLDMCLPLDTTETAVDTEYQKSVPVNQQTLTSHEVDDLLAS HRAIMKSKRKRAMLHEPDTDDITTPPKRYRNGNYAPIAKQAILQDLYDLSHNYNSITD TDTMNNIAEEMGLLNNPEQLKAFRIIGNHIITDNKEQLLIHIAGVGGTALASQE JR316_0009570 MANLEIHRFSPARILILDAQERLLAKDSRRFVLFPIRYIKIWKA YLHAVTTLWEARCAGLSRDSKDWTECLSFQQRCGGIFFFKLSIASHGIHKRLLDIISK EITVPEAHCYFSFQSVNENVHQEAMAKITHGLTGIRMDDCVDEWELLRPKEKFMNIWT RSSVYPFSERLLVFIFIQGIFGISLSRLLQWFSGKDYLPTMVSTYTRIFNDRECHVDF VSLLFYHLKRRPLTSFVNEFVGTIVKIEKKFGSDLLDLSEIDIPLDDLNRYVECKADA LLVSLGYKQLYGTCNKGIDDLIPIVPGELKAGFFLEEMALAYIPPTMEDAILDGQFGN HLLDLS JR316_0009571 MYSHPAPAQAQAAQPKAPENELFSFDFHAPSLVQNTAVMEQPKK DVKQNILSFTLISVYCRLYRLSHAWIRVCTSMGILVSLCFSIPLHWRTRVTLGEDGMY EDVLRFWMDKDKEGNTPDALQEVIEHLMYQGKACPHLYSLVLRFLTSTPELLNRHQTG LKDILEHIDDVQLILLGSLTSFESKWSGECRFNEAMVDQDDQGK JR316_0009572 MTEEQIVIIFKAVGQVVGFRLAFDRDTEKPKGYGFCEFADHETA LLAVRNLNNTDCGGRSLRVDLADLDPLLEGKTTVRGRIMDRGYTGSSEYRSRMHLDAN DGGKGQWNDNDTFLANIPPGITIPSGVSALDHIKWIVAELPESKVREALAQMKAFVIT YPEKARTLLIRYPQLAYALCHSLVLNRFVDPIMIERMLASSRRPAAAGSSLTQSPIDQ GPTGSDSQYPLYTPYSPLHGLHYSSSQLMPTTREQSSIAVKPHAHAQYLLELHRICHD PSLSPCTSPLTLVEPPLIKQQQQLAQIATAFVEMDPEQQRAISIVLGMTQEHIDNIPE PAKSQIVQVSLLITGGESDVNKAYVLRPVTKCQAILEEL JR316_0009573 MSESTELQSIHNLSTFQEAIKILDQKIRKSLVDGDVAKVMDSHL ETAKAVATTCGRFKEEKEKPQYNFLFAFLSAYGHAFRKREHRSHEFLEYCQNLQREID DPHDEPVQKPTLEGKDDQHSEVHVRQNVDGEGSRIRQDSEGPRRTTRKSRHQVANKRS RSSSAEKTKTVAETIVNDSANHSALIREPSPPLKKRRVQQARQASPELPPPKTLVSIE NSSDNNNEDGYVPSDIPSNINPPDTRVIAPAPSSDTSSGKRITRAMAAVAKGPTSTEN FGRKLTPEPAPHSNVKTNIKVQGRSETKQDRTPPVPTNYVHLQPCAQCADRNINCRVT YKGYSCFYCGSHRVRCDNRGDTIVRRLPEANEDITGPVEEARKHGKPLHRQKRPKRET GSPEPDENCGHHDPQHHSPALRDPKHSNGKQPISEQTADLNSSQTNQDKHPMGKVHYQ FVYTEAKIKTENVLKSWEAIPRYTAPPKASSSSLPPEKSARAAKDIEEFARNWEAWVA EDMARSEARAPAGPLPTRPTYIRNWEDNKADADNEAYPNEDRLARLEKNITKMNKVMK RIDKQLANHDHGFDYIAAKMAFSMAAIDMLSNKFEVLHSYHSDVLHKLVGVEITMENM HDDTYHRGEEGPCPFRRGSTKDQGIQTDSQTKILDSKGLFSKSSGKVGDETGIGENGE ESENGEEGENGEENENGEEGENGEEGENGEENENGEEGENGEENENGEENENGEENEN GEENENGEEGEKGGQDEQGEKFGDKDSDSSAEIDADKMPYIPVHSREKLEASQHQWYG GTSDQPIDIDFYTELAKDQTDVATNLRTSDQEGTSHAESNVAEVFGEEDVSSNLEARH GEEPTDGKMKDENITATLSTNNLSLVKFMHSNFREPHPHTTPSTYTNDDLTSTGRR JR316_0009574 MTFSSIDPFDLHGFASESAKQYREHIQELVYYGESRLKRAVDEI LKKPMEDQAPFQKCLRKELHYFLTYVGLITSMGQVVDVPFTLRSLAFSLGRIAPEDLP MQFNVEFVQALNITRDEDRGEVVASYKRPWWEEFRPSWRSVIDDGSIGECLSHHRKLI GIENKHRFIESLGSDITPSSFAMTPGERMLFEPLQNARRTIMVMTEAQTSHQSVIFRK AEEYWNLHDASKAEGMNRDHWKMGITEMGNNITEIGGVDYVDRISTPAFQRATSCNDP PLVRDSKHRSKDWKPSELDDDYSLSPLPSPALLYRSESIQTDQGTVPVETVPPHSGPD ISCGSPEEMGDLSMQASLSPGFASARSRDEMAELYEDIKPHIQEPIQSYSPEFHPPIR IPLADELGDLFRDIPSTPGRSFDMEILGIQSPNVLATLYEEITPQFGIDRQSSPEIKT LRLNPSADDLGELFNDILPPSGLSIDPDITATRPSDVRSTRDEDVTLQINVDRQSSPE ICALRLNPSPDDLVDLFRDILPPAGLYPNLDINGTRPSNVPATLYKEITPQVDVIRQS SPEIYAPHLNPSPDELGNLFRGILPPSGPFSNVEYTGTQSPQECTTMREDSTPKCYPS RHIASTDELSELFMDILPNPEPLKRSEAQTPNKRSGFTEDTPPTYHPNCYISSPDELG ELFRDLKPASELSESPSAYVAQSPNDIAALWQNTNQPNNHSPRHNASPNELGALFQGI TRLAQTTDNSANTALQGTSNVMSRPPASSNIHEDQSLSLGSSNHLNGLPSKRQRRQK JR316_0009575 MHLNYSMIAQNLLFLDEPTSGLDSQSAWNIVAFLRSLAEQSQAI LCTIHQVFDRFLLLRRRVAKQSTSVTLDTMLKLCCTTSTPTVLAHVFLKKIRAAEYML DVIGAGATAFSSINWHEVWKRSPRQSGLSRKSRRSIQLDEVNLLLKLISGLNTPPHGA TRSSNLSFKQGAADHYRIAKLILNVAGGFFIGLSFSRTRTVYKTFRITVYMLLVLNQP LVNMLQVPFVATRTIYEVRKHPSGMYSWTAHIIAQILAELPWNILGSCLYFLVWCWTS RFLSGRAGYLYLSVGVVFPLYYTTIALNDVRTLLIQALLTCCVVIGVNIRQRHARLHR ITLAKSRDRRLP JR316_0009576 MDFLNLNQYSELLPPFDIISKSFGYLLKDINAEIETAFIMKYVP INQRWTELDTLGVWNNQIKWRRYQHKCLIDPWHYTLRNFSEFVEFGYKEYAIYALDAN SELIEAYYLLEPILSSKYVVYVALVSRFIDKTDWKKFLEFFNIKDSEDIYKHMTTIHI CMNQFERETMNRPLLVEWHKLQSTAEFVWKILYIKYMDHKFGYDAEI JR316_0009577 MSTANVATVASTEPKVPVAANPEEKQAAEPPFYMLPDTLRNWPY ERIISPYYRAAQAESVAWLESFKPFSPAAQVAFNKCDFSLVSALTFPKATHYNLRSAC DLMHTFFTLDEHTDPLNTEETKVHCEATMDAILNPDKPRPAGEPIIGEIARQFWKRAS AYAPQATKERFVKAWRSYLDSVILQASRRDRSHYICTIDEYMVARRDNIGSDPSFTFL EMSLEVDIPHHIMEHPTIVRLNRDTTDMIVLANDMCSYKKEILVDDADYNAVTVVMHN NKVGVDEGIQWISDLHDEIVDNFLKLRQEVMTKTNFPSYGPEMDRQVEAYVEGLGQWI RGHDEWNFGSGRYFGDEGLEIQKTRKVIIA JR316_0009578 MEFSMPAGHRRLGIRSFGGTILDLLSHHSRDMSSVLTSAASFVG ELPASFWYSIPPAIFIVFLFVRGGLRWQTLKNVRGPPQGGWLLGHQTEFYQQIDVGEL DFAWHNAYGGAWKIDGCFGRNILMLSDPAAIHHTIHTMGYDYPKTTESKTFTGLAFGR GVSWAGGETHVRHRKLLAPAFTAQAQRPFYPVFRRVAGQLTSQWRDKLQEGDCSEFQT IEICRGLTNATLDIIGEAVFDYHFGSLDKLGEKNEFADCFHNLFADSNLFPPNAAILF AASWAYWPEFLLRKVEHLPFRQFIRFKEFLTIGKRLGKELIVNQAAAVDGKKRRDILS ILVEANEATKKADRLSEDEVLSQVTTLLFAGHETTATTLTWIMYELARHPEDQERVRE EIRTKRQKVTANGQKDFTSTDLESFTFTNACIKEGLRMHPISPWITREARVDDVIPLH EPIIGVDGKVMNQVEVVAGQPVLVSTCAYNRHPAVWGPDSHEWNPRRHLNQEMKDKQI PVGVYSNLLTFAGGPSGCMGWRFALTEMQSTVVELVENFEFCPPLDKNAKMLRTPVGA IMAPMIEGKFDERTQMPLGVRPL JR316_0009579 MSVVTPTELVYGDGDKAGVALIAFPALISLAAIVSLLIFKFPKR QTFQNSHMLGYFLSLLFANVLQSIASVMDFEWVAKGRVVSGGLCSSQGGIKQIGNLAA SIWSLIIAIHLFHVLFLRIRATRPVFIGAIISAWALVLLILFIGRFGFQREEKGPYFG IAGTSCGITSSYATARAALDYLFSFLSIGLGLVLYVLVLLRVHGLLIRDKYHHWRLNF RRKDNDWSRVIIQDNAINEIARKLVWYPVSYSVVLIPITIVRVIQFNGTAVPFSITVI TGFIFNMMGFINVIMLLYIDRHTPQTGLPLFSSASRAGTPFSFNSRVETPYSFESRAK SPFSFEKGRDVVEIDIKPEDFKPSSKSSQQPRNVLVKLPKQPPNTFVAPDSWKTTAPR GRHY JR316_0009580 MSQNSSKLSSDIQWKGYAIENTDDWSNFKVIDFKPKPAGDYDID IKIAYCGVCGSDVHTITGGWGAPHLPLIPGHEITGVAARVGPKVTSIKVGDRVGVGAQ ICSCLKCDLCKGYNEQYCPDAVDTYNGVYPDSGTVSQGGYSTAIRAHEHFVFRIPDNI KFEEASPLFCAGTTVYSPLVRHGAGPGKRVGVVGVGGLGHLALQFAKALGCADVIAFS HSPSKNEDALKMGATRVINTGEQGFESSVKGKLDLIISTVDDFGALPLQEILSTLKIG GRYIMVGLPDDNLPKMKAMDLVAKGVLLGGSKIGSRKEIVEMLKLASEKNVRPWIQVL PMKDAGKAVKALNENKVKYREVLRQDIDGKQIN JR316_0009581 MAIIEERLDTGGIAHTQLVNSIVKATKSSRPEHGDRHVGMVYIV DLLTRMFLPSGYPNSVSPDYLRYQILNALQAFCNSLAGMLSSRAILQGFGVGDPTASA TKALLLTVLQDVFGRLTTILSAHLIGSSLYPEAKTYRLLADVLNDTAVILDTLSPFFN TFSIPGLRVASLCLSAIFKSLCSICAGGSKAAIAVHFATPISGKGDVGDLNAKDASKE TVLALFGMLLGTLIVPYVSSPLSTHILLFILVCLHLGINYIGVRLLILRSLNRQRLAI AWQMFDDSVKGHAPEPSEVAPLERIFDFSDFVYDVTSGSPLGRISIGCSFSHRVQGVF PPSVFSLFTEERYVIWFEASCLCSTDDGDVVIVGPTVRVNIFLKEGCTEQDQLRAWIH AVNVCRLTQKPPRKLSAMTVLKDAHSSMSLRYQTFMKKMQAAHWKTDDIAIMVGSPVS VIADINLEKHSIEDKKTR JR316_0009583 MHSLCVDIEIRFKHSGKRDKIFIATKGGFTRAGTNNDPEFLKAA LESSLKNLGVDTIDLYYLARIQRTIPIEKTVAVLAEFVKAGKVKYIGLSECSAATLRR AHAVHPITAVEVEYSPFALDIEGEKNGLLKTCRELGVAVVAYSPLGRGVLTGAYKSPD DFHPDDSRRKLPRFSNEAFPYILSLVDVLQDIAKAHNATPGQVTLAWILAQGEDIIPI PGTKTVKYLSENAGAVNFKLTAEEIKSIRQSAQNLELVVGDLPRYPDGLAGDLFADTI ELS JR316_0009585 MNPNISTSFFSREALLQRQARTLRSRKLTQSSIRNKPLLNVILE EDETRTSSRSPSPVLSLSSSSKSGSSSTHSLKAKRRRAMAHVSDIRIARESTHDDDIE LSPLLLSAPRPAPSPPTSSSESPDSFSLTFTNVAYKFPHPPTPSSLGGCESPTPSMSS LSSSPCSRHGDMPLTPSSSDDESSTSSRSFNPRRAAIQPLVIAKHNPRPSSPFNEISL SSSSLLEPFKDSSEEPQVTSLTSAFMDDVYSYDSDPESDSEWYNREFSDFLSLYSPTP SSFPIQQARPESMFLSEDIDVASPKSQRRISKALPPTPSTPASRRESVVVPSAEPKRS SKRESRRISIPKYPPPPVPTASRPSSMSSRTSSPTSAPACNKTLPHSIRRPPPRMSIP LDCELDFDLAEIEEDDSSSAFSFSMYEIDLDYGIAEQPKSSGSSGSDPSVYSQPSFEE EEDHFDGQEITFDLDYSLMLPLSLPTTPFDLEADIALGLEKLRVQEETQVDLPTISIE EQQPAPTPEPTPEPEQEIVVDDVFSPSSSSSFSFSPSPSVTSHSNDYFLAVPSSNTAK RPLFNEEKILKSKWSSSTLGSVREEHEHRASASKLRLYFGGGQSPSKSGKRGSAQSNK KVPPTPTSPFSLMTPRKSSRGYAVSPPPSASPSSRGHFRGTSSDVMIIGYGGGVRRRG SVSTVSDVGSEDSSSSTSSSGLRRKPIPIEMFLRSDMLMKSTA JR316_0009587 MSGKTPAKASSPAPTHSRETSYQNGVTHDLDVQSLKQRFLTNDV TPGLQGKDVYDSTLSWWRAGIRRKLVATVQWESWIIAAMQEKIRTPWLDAYFVYSSIL GTHTFFMILLPALFFFGYDETGRALLAILGLGIYGSSVIKDLFCSPRPFAPPVTRLTI GSHHLEYGFPSTHSTNSVSIALIFFAHVHRLASTPIPSSQTIISTITNGTSTIINSSD TTEYMISPRLYYFINFILFIYAFSVVFGRLYTAMHSFTDCITGILLGAGIWWAHTDWA GAPYLLEPSNPLNALCAFLGFGTLQPSGALLVFMGQGLAAGKWIEKWIQYGGWEVPLI LIPLCLFAVHVHPQPVDDCPCFEDAIAILSVVLGSLVSRWAVCYSQAGMDLVKNVIMP GSGWILEAGQWVQVEREWNDVLVWWTFAAIKMSFGILVIFVWRLLAKSALHIILPPTF RLLARAFQLPHRRFYTPATEYKSVPSEFHSSADGGGFELHPIPSVIDLPSAGNVGIEI GGIGSGVEGHSGSRTVMAKDLKMRSGNGHRNANGAANGNAHPSNEKAFNGKAGVGAHR TDKESTGKDGQPDDVRHYDADVLTKVIVYAGIAVIACEVLPLAFDLFGWGVGSHVTIL JR316_0009588 MPHNILVIGGSRHIGYYTSLRFLDAGANVTFLMRSPQAFDSDES IQKYMKSGSVNLVRGDGLVAKDVQRAWIEATKEAAVDLVLFTVGFTGNPKFQFTKGFV IHPNNLVTQCLLNVLCEMPKTSSLPKIVTLSASGVSRSSRAKVPFALRPVYGYLIQNP LQDKLGMERIIYHCSGWDWNTEDGEPGEDIMGKDWKHREGLPSPGTLQNAMIVRGAMF NDGECRADSPENRQPYRAGEGEVGGYFISRKDVAHFIFEAVTKNWEKYGNKQVSIAY JR316_0009589 MSFFANSYKPSTIRQEGFSPTPVSEMGVGSPGAGSGSVSGSGAG ESESVGAGGGGGAGGAGAGGAGTGTGGGGGGGDGFDDIFGMPAHTYLGEG JR316_0009590 YLQQLVTAQGARNRELEQELKSYRGANANSSSNSNSASNVNANA NGSPSDSSSEQNQNSSNAGGKLSMRKHRLASHAEEEDEDEEMMLHDEYQGNSESASGS SASNANNSNSNSNSNANTNSKSTSNGTGNGKGNTKKSFRSGARLPSMPEGGEGEGEGD DADEDGDGEDGFMDMGMGM JR316_0009591 MAANVTFKGYAIEDTSKSYDFKLIEYQPKPLGDFDVDIKIAFCG VCGTDIHTITGGWGTPLLPVVPGHEIAGHVVSVGPQVKTIKVGDRVGVGCSDVVYTYN CKYPECGTIAKGGYANGIRVNERFVFPIPDGLKLEEAAPMFCGGLTVYSPLVRNGAGH FALQFAKALECDEVIAFSHSRRKEDDAKKLGATKFVATGETGFEIPYKRTLDIIIVTT DVVSGIALDELMSTLVPGGRLIMVAIPDDKLPAMSKGTLFSSGLYGGSVLGSKKEAVE MLQLACDKGIKPWIDVIPMSEAAKAVQGVKNGLPRYRYVLKQDIDQ JR316_0009593 MAIIEERLDTGGIAHTQLVNGIVKATKSSRPEHGDRHVGMVYIV DLLTRMFLPSGYPNSVSPDYLRYQILNALQAFCNSLAGMLSSRAILQGFGVGDPTASA TKALLLTVLQDVFGRLTTILSAHLIGSSLYPEAKTYRLLADVLNDTAVILDTLSPFFN TFSIPGLRVASLCLSAIFKSLCSICAGGSKAAIAVHFATPISGKGDVGDLNAKDASKE TVLALFGMLLGTLIVPYVSSPLSTHILLFILVSLHLGINYVGVRLLILRSLNRQRLAI AWQMFDGSVKGHAPEPSEVAPLERIFNFSDFVYEINSTRIRWHIKVATLNVLSV JR316_0009594 MAHLFTAPVVDATPDNGFADSDRVSSAYLHHQESSYTDLWTDVD ESSPQYQDGLKLFLECLVELDQLDASSPLRLTMEGGGPLFMSQHHLEDPQVTLPSARR QLNALTSSRFQYHEPGSTSPEGDNYNEHLSAPLPIAPVSKSTPLWSMSGESSYPRPPS FPIEDNLSKSNQPDYWNKTAVNVIPGSKPVGLPYQRQQSLTAAIWSSPGHHPIGSFSS SRKEKENIMNTSTTDAHGTGSGHLVPVEHIPDNHAQGRNGSEELPHTGHDIETKRTSR KRSHADSIGEDNHVKVLPRAKKSKATVVEPSSKVTGSKRNVKRPIQVQSALPEMMAEV ADGVEIVEASEIENDGIINRFLSLTGRECVEGATGFNPLPIQFDTMELLTGPPDTSKK KRGHGKGPKT JR316_0009596 MFTTEAKRVDCVFSQLPPAPPHSLPPFTTFPSTPPHSVKHWKAD VLAHRKLYHCLRNLHKSVGYNRHRIVEWPVESSDTSRLPLGDYMQSLISPIAKLLNTL HSPEVLQGNPMGCVKVELGNFIVGATFTTDEFKLYSIYPPYILFVPDELFEPDGQTCS AGLKFAFSKISDSASSVIVTNFKDIAVFFMRKGGYISVGEDVFIKVETSHIPAALRTL ATACLLDALPPFGFMNGPNLYAGIWDENFILPMGPPQNPDQPLHSDEHLIPTCNRNSD FDRATLLRDRNRALQFFRWNKHVLQRKSKLVTRAKDLLHGTTNQRFNPAIQVPSPLYP FDPSELPSDTRNHLQMIYRVSPLSQGGLDKALAQSKSFALQIDDVLAEGERVRFCSVY RCRLTSIDGHPVSSSPLLCLKLFDDRFQDLHHLIDYEDPFPLWFGLVSIAEDCADIET IVYNKLRPVQGTVIPWFYGQHQFILPNGVTIQGLLMEYIDGYHLDSERTNELSSAQQI QVIQSCRHAVRVLDAGDITQMDWHDRQFVLCTHPTAQVECVVLLDFSTILQTCEPDFI NFRTNCLKMLSVLLNSGPTDGGLDSDLIFQYYEDPDTWDPTVGAVGDKKGRRKFIEYN GTMFPFIKLEQPPPLELV JR316_0009597 MVPLDTKFHRGSIIFVHLTRHTIGSDEERFKVLDAALEAGCTNW DSSDIYGDSEELLGKWFKRTGKRDQIFLATKFGVTPQGANGTPKYVRSAVESSLKKLG IDTIDLYYIHRIDQTVPIEITMRALAELVKEGKVRYIGLSEMSAATLRRAHAVHPVAA IQIEYSPFYLDIENDKVALLKTCRELGIAVVAYSPLGRGMLTGTYKSHDDIPDGEWRK TIPKFSRENFPNILKLVSEFQEIGKSHGATAGQVALAWLLAQGDDIIPIPGTKKIKYL KENIAAADVSLTQDEIARIRNLAEAVNAAVGDLERYPVGDMVTLLADTPEFK JR316_0009598 MDNQGQGWISDEATTRPPVKPTTSIRTPDEVYAVRGILMRWLPI EIAHLIMDEVQYWPAIISQSNAISSSPMNTWSVEEHHGNFCCLYTPRLPNWPGDPTYP GVKIKEVCFTIKSRDQGWTSEPGPFKHNYDGSWTWFQAVVGRDFDDYTVTTQTQPDKV DLRIERALQPNNGTQATQDIIVDTWDIQRNYRASPELRTHRVVWSAKDEPDLTDHDRQ FDPLTGAYRGAGFVQSLRPGDLIGIVMRAKYPAWANFVESSLFKEAQHGELNSKKTV JR316_0009599 MLVDNPLFQPSYLAPGSSNMFPELTDISDIFEVDAFNNNFASFS AHSSSPSGSRGSTPQHLLTPPQEPPVASFPDVHDGDNSQSNGFNLFDDNDSKAIDPFM STPIDFMGMGGFEYGGGVGNYNGLTLGGYSMPMDMSTMVGLPILPEETMQTRGIDPQL VDTPSAISDHGEDESDEKESPSSPPEEQKEQEKPTIVIAPVKVGGHGKARKGTVQSGG VVKKVASSSASKEKENSTSVSSAGSKKATQPKPAVASTSTSTSTLSKTSTPGPFLTTA GSVRAESEAGDAEDEDDLPQDWRPSPEVFAKMTSKEKRQLRNKISARNFRVRRKEYIS TLEGDIAERDRMLDHFRTQLGSQESENLALRQEIAALKKALLEGRGGPINLPPPAPLP EQSAAQTLAASAAASASTSSSTSTSSVLTSRPSTPLVTANTQKDLPSSPRMGNRFWGG VGIGGGFTPVHTTLVPDISTVVRKGLQENMNPALNANPGLSGVGAGLGASKGLNGFDG FADLNPFTMKTLDAYRMHLWGKMAAQQHMHQQNQQAQQQQQNQHQQASGLAGSMRPHF FTPSSKSAAVSSPSLPSSSYGSTLSALLSGKHTSPASSYPSPPTSPLINGKVIASTSA RDREAHQQREKEQQQAVLAAMASQTILRKLGSAFWDAFTGSSSSSLGSSSSSASSSGS SANWDADKVQRVLSGKAVLRVVDVEPATPPASPSLRAVSTTAASSPMLRAQQQQQDDA KKASCTACLTDILEESMRSLTLNKKM JR316_0009600 MNADTYGRKSPSGQSHDYDQRMAVASSSSASSSRLEGDDMAHIP GSADCLCSACHLSRDVFPRRQDGKIELPNPILVASHKFGGRHRSVRATAHTMSQTDPM RLRIDAELREPNPRGFSQLSGPGVPANLPESQLSFPPSIRTFKMSADLVLLLMPNLEA DLVKTERSQTPVPERHTAKDRARQSKKASSQPKSRAGRHTSWPDPYENAVEETFPQLF DSRVGLGIRGLNQSESSTSSRAISNSSGPDGISGQMSKSSSAISHGNERKTQSSPSPR NVHFPGRYDQTTSSLPASERPSTPREINSIEDPLRVIRFNSCQNPQPSTCVPRNNVGE VVQGRSQIRLSPEQIPTGTLRFQDPLMPESFPTSVAKSRESSTSFQLRWDSDEEKKEE EQPVFRQSTYPSTSTVPRDASVVQVKWDSERKRRQGYFSQEGVEKSPFRHYNKSSDFD PNP JR316_0009601 MVLKVHGAPTPCTQRVLSILYEKQVPFELVPVDLSTAEHKGAKH LENHPFGLCPFIDDDGFVLYESRAIAQYIAMKYDNQGTQGLIPKDLKSYARFQQAAST ETSYFNDLAGTILMERIFKPDEKLVESSLTRLAANLDVYEKILSKQKYLGGEEITLAD LYHLPCGTLLPAAGTDVIEARPNVARWFKDLSSRESWKAALATTSAM JR316_0009602 MPLPTRKIGQDNVSAIGFGLMGLSAYYGPIENDEDRFKVLNAAL EAGCTNWDSSDIYGDSEELLGKWFKRTGKRDQIFLATKFGVTPQGANGTPEYVRSAVE SSLKKLGIDTIDLYYVHRIDQTVPIEITMRALAELVKEGKVRYIGLSEMSAATLRRAH AVHPVAAIQIEYSPFYLDIENDKVALLKTCRELGIAVVAYSPLGRGMLTGTYKSHDDI PDGEWRKTIPKFSRENFPNILKLVSEFQEIGKSHGATAGQVALAWLLAQGDDIIPIPG TKKIKYLKENIAAADVSLTQDEIARIRNLAEAVNAAAGDLERYPVGDMVTLLADTPEL K JR316_0009603 MTALLRKLGADQVSAIGLGVMRASVFYGTPDSDEERFKLFDAAL AEGSTFWDTAAYYGDNEELIGRWFKHSGKRDKIFIATKGGFTRAGTNNDPEFLKAGLE TSLKNLGVDTIDLYYLARIQHSIPIEKTVAVLAEFVKAGKVKYIGLSECSAATLRRAH AIHPITAVEVEYSPFALDIEGEKNGLLKTCRELGVAVVAYSPLGRGVLTGAYKSPDDF HPDDVRRRFPRFSKEAFPHILNVVDVLEKIAKVHNATSGQVTLAWILAQGEDIIPIPG TKTVKYLAENAGAVNVKLTAEDVDAIRQSAQKLESVVGDLPRYPEGLTNDLFADTVEL S JR316_0009604 MGKKYSASWDPNFLAWILAQGKIVMPIPGTKDVKLSPEDLAAIR KAAEKADGLHFDRYAPGMVEKVFVDTAIPL JR316_0009605 MSLPTRKIGNDDVTAMGFGLMGMSAFYGPIDSDEDRFKVLDAAF DAGCTNWDSANIYGDSEVLLGKWFKRTGKRKDLFIATKFGFTLQGPNNKPEYIRECCD SSLKKMGIETIDLYYCHRVDENIPIEDTVGHMAQLVKEGKVRYLGLSEVSGDTLRRAH AVHPISAVQIEYSPFCLDMELQTTGLKAACDELGVAIVAYSPLGRGLLTGTYKSNADI PADDFRKTVPKFSDKNFPNLLKLVACMENIGKKHKATAGQVCLAWILAQGNNIIPIPG TKRVKYLKENLESLNVKLSPEEIAEIRKTAEEADKHHLDRYPAEMMTALFANTVVIF JR316_0009606 MSSNDTESPAPAAAPTITTTAATGSSTSSSSSTTKKTGGARLPS LNQLAARINSNAAAANGGTAPALQPSTTSAPRPRLAAFALRTGSTTSLNTAASTTDSI AVNAPSTRSVSPAFSNASQQSSATTGASAAGDGGGEPLTTEGLEKLNQETASADGTVK IPIPVAAEAPKKKVGYKNIPSLDAITARLAKTRASQLSVDGSTMPPEPEMIEDPKTPG VPMKAPEHPLQFPWTIYHDSKAKFPYTPATAAPGHGFSQPAPGTNSSTVPVNEPYSAS HPPESTEYEAGLTVIGEFDTVEEFCRYFNWLKPPSKLEKNSNYHIFKSGIKPMWEDPA NANGGKWVLTMKNNPALLDRCWSWLAMALVGEELEDGDEICGAVVSLRSKVDRIQVWT RGKDDVDKLNGIGKRLVKLLDVSEADNIGLEFQFNSDDRPPPNKYLSIQSAPTSSFRP SFQSAGSGPPSAGVGHASTRSFGGDASGAFGGFGTGMGIGAQAWKAGSKRI JR316_0009609 MRGMQNDIQKENQIRQGKSFCRTVILLVIDSTLHYVQHNEEDTR HATIVALKNQGTLTCRECKSDFRFYFSLADHILATKHNHDLDPAIVPATTNTKVSTKG NQSIANRPRDMKCVFCTDLFKSPSGISQHIEAGVHEFHRHHVTAAIKSMGVVPQITIQ DYTNSATPPPVPAITTYVATAAAFNGSAYACFLCEATFKSLPALNSHLNSAAHDAAEF KCPNVKCGREFTLISGLIQHLESKCCGLAPLVEIDGYFEKLEEQFSRTMETRQIRSTV JR316_0009610 METKSNIPSEIYEAILNNLHDDKESLVNCALISRQFTYRSQRLL FKRVVLDSPFQRSDKASSVFSPAETFLEAINHSPHLCRLVVDLQISDQRESSDPEWTY SEANSWIRQDLAIAKILPLLSELENFSIIGHGYLGRLNFNCWTEDLQSAILSKIPALR TLTLDWIRNVPLLILSNIPHLETLHFSNVNFNTDFPPAVEPLNTTKLTNFYYNSHSSS DWPTLYPWLQSENCTVNLKQLTHISMIIDTPMEATAEDVHSMSQVLRGCANTLKYLHI CFSSNIITSPFYNESFLDLGIVPFLETLIISGCIWSKWDRNRPNHRANRLQLITNYLS QICSNKLLKRAKLVLSIDDFAELSLSGFEDAGWNDFSAILDTLSKTTHDFSVTLWISF PVSNYVKNVLQKHLKPLCERSIVFIS JR316_0009611 MPPAFLAVASQPSSQLSLNEFHDWYEEEHIPLRLNRLPSFLSGA RYYAADHDVSPPADETRPGWLAMYEIDDTQTFSDESYTNLRLQRSEREKGVMNRLSVL TRKTGELLGVWGEDEGTQGIQTTGLKVGKPSEWIITHGISATVSGDEGVIDLDDKLKK WAVIVTQILKEDSNGWIRTRLVKVLEKGVTKMGINAELEKEEESINYFAVHEFLDQGA AMSEATKAVIRRTCNQHLELADWRIWKLLRAYPCIAQHNLH JR316_0009612 MLFSKKFDPKTDLNDLSGKVALITGANCATVKHLAVKGAKVYLG SRSEEKGIEAVQKLTDEGIAAGQVIALQCDIGTPASARQAAENFLKLENRLDILVNNA GCTYDTTDKTAANGLSIIRSFIRKLDVADVLGHFQKLTKLFSHFGTFQFTKSLLPLLI KTSEETNSDVRIVNVSSEIHRRGLGSKPFVDFSTIEPFKETYANDMVPWMSRYIVSKL AMVTFSNALQRKLSSTSIICMSIHPGTVNTTFHTHFNYPRLTNFIASIFFKGPEEGAY NSALAAASPIVRQCADKYKGAYLYPVGRIISPAPATMSTQVQDDLWETTEKYLREHP JR316_0009613 MDPTNKPKGPSPHYSLYQREVFKNGGEKGVLPSFSVHAEELSNS VKTKLNDRGYFYVNSNAGLGWTDRANSQGELVPAKIAGELGLPVPYDDTGIHGEGASK APRFFQLYMGHDDEVTISLLERAWKSGFDVCMLTLDTWQLGWRPTDINIANYTFYYPG SVGNEIGESDPVFMRKYGDELKKDSGKWIDSSVWHGKAHTWEKIPWVIKEWKRISNGR PFLLKGIQSVDDARKALELGCEGIVVSNHAGRQVDGAVGSLEVLPDIVDAVGDKMKII FDSGIRTGADIFKAIALGAHAVQVGRLYVWGMSHEGESGCRHVMKSLLADLDILMTVA GYQSITSDVTRKALRYNPHGTFPAPQEHAKL JR316_0009614 MELPTLKITFPFEPGEPYDNEERFTFWDSPDTIQWFKLKGYHLY RRIYLGWDPEGLELEPTETMGPTLPPDSNEEFIEAEYPYAYHDMTTTDAPDENYNVPL RVIESTGKVVFAQDSCKRHVAIKLVRDDTDEYRILRFLSQQDQKALRDNCILPVLDLL PIEGFWFAIMPRWGTDIYEPAPGILKEVVDIMHSWLKRREGLRHGGKILYGLYDYDFS VMLPAGVDRRDFRLPYDRSWGTFNIVYDTAGGECDYDPFAFDVGILGATLCKYHQHLA PVLPLLAPLLDKMTTWDIINRFTASEALQFFEERLAEFPEQLLEVGIPEEMITGKYTT CNRWENIPCNVVEKWKCYKTPPVSWRLRILRALYRLTATRCPHLMPKTCLFFIRTKSL IAHAYRSIFPSKIAT JR316_0009615 MESPPLKVTFSFEAGQRDFDESERQEFWDSPDTIEWFKLRGYTL YQRGYATYSTGETWPTDSSYPVFPPESDGEFIDVEYPYSSYDITFNVRKGCPPQPLLG ACEMSGKVVFAQDTHKRHVAIKIVHADTDEYRVLRFLSQQNLEVLKENCILPVLDLLP NDGFWFAVMPRWGSNLFRPFFTYTFEIIDIMHSCLKGLSYLHEHNIVHGDIKFENMLL SHFSNMSNRRENRVIRYALCDYDCSIMFPPTANKTDCRLPHYESWGTFNLSYDTAGGE YDYDPFALDVGGLGVQFSQNFGVVAAKIHFLAPLIDKMTTWDISQRFTASEALIFFEE RLAEVPEEALRDHIRGAHPDKAYLEWDIWEDVPAEFAEEWKIYRTPPIPWHLQTLRFL DRHLSRLNPYILPKTRFYLSCLASVFKTVYSFVFSSKIAI JR316_0009616 MGNIGSQSFSPSTDLVDLKGKVIIVTGGNRGIGLATVRHLARAG AKVYLAARDESKATGAIAQLEHEGLGPGNGEVIWLKLDLSDPRDAKKGAEEFLKRESR LDILVNNAALMQGDFTIGPDGVATMVVINYISPFVFTQTLIPLLQQTAKENNSDVRIV NVTSIMHKMVSVPNLKFDEPADFNLDFRGKYFAGLQRYGKSIHSYSKLIMSLWTKSLH RRLNADKTAPITVIAVHPGGVDTFSHNWPLPKITGFLARLAISTTDVGAYTSVFAAAG KKVADNKQVYQGAYLENKPTGKIAEPYKAVLDEALGDQLWETTVKFLGNIGVVV JR316_0009617 MFSAAVVLRNARPNLVRSLMYTTTTIAGVKAISSLSQFSPKLLN GNGHRGYATENKIKSTSSFVPGSKQPITDEAAQQEYAKAEAAMKTSVEWFRKECAASE ARALGRVTPALLSPVRVKLPDTDKSVRLEELATVGVREGSTLLITLYDEHSIKHVEAA LYDSGIPGVVPHRQDNRTIKVPIPKPTVEARKELFTIAKRKAEDIRVQIRKQHAASLK RGKYEKHSVELEEFQKLTDKYIKETDKVLADLQKATGGK JR316_0009618 MSLDHILPNSLVGTAKLQLGLPTTAPDTHVPNCYLEELRRHHAL YPEENHFRVTLQPNIGYGSVTCLKEGCNTVIPLSRRLRAPDGGIQDGLGSLSAYRSHL SSVKHKESHIKSNRIQPTVLSAASATKANSLHISTGPVKKESSNSQLPTSKSISRPLS SSSNSKLQENEQPFVRIKLEPQEAQVPRKRLSDVAFDADRSVVLDASQRGALTYIPSK KVKTEDSATKIPLAQVTNTPIASGSRSSSDMSFLYAVNGLQDPQSMLENVRVAISGQE LLLRKFYPITNVSHQDFMKIQECEDELRRLRALEQEYVRHSMDIYSGPSIMQSAQRPF LVKPEPVDLRYPQPQFDRPSTNSTAFPSPMDIDRQPVNRFNEVKPFTNHAGPSSLNGW GDRYNISGSSVVKPEPIKAEPFNLRSSPIASSSRSSPFSIPDIKPSTDVPMSSPFNSD DEDSEVELHVENENAFVSQLGINVPAPIHDDSHDSNGDYHGRGRDIFVGPLANANDIE TFLVEAGNAESFDGNASVDKALKYLNLQNLQQKLHGLEISLMPHQVMGVAWMLEKERS SLKGGCLADEMGLGKTVQMIATLIQNRSEDPACKTNLIIAPLALLDQWKQEIETKTNL GLRCHIYHGQGRTRSKSELMKYDVVLTTFHTMAHEWPDYETQMKKKIKAKKNGQDFIV DSDEDEMCNHTYRSNKRKQQAGILFQVEFYRIIADEGQNIRNRRTRMSRAITDLQSTY RWCLTGTPIVNSLADVYGLLRFLKLRPWYDWTHFQSKIGILERKNPGLAVARLQKVMA LFLLRRKKDSKLDGRNLIELPEKKIDLVRLEFSEEEREIYNMVEARSQARFNRYLRAG TVLKNYHQVLVLLLRLRQICSHPSLIQEGGEAFVPPEEAHVKPELSTELTRAARLVSP EFVAKMKERFLQHALDRIAAEKNSAEATFEEEDCPICYDAMTDAVVTGCDVVKRAADA PLCPVCRAGISENTLFSRQAFEPTDKELDPDIESDVEECVPKRDKGKGKATARPAESD SDDDDMSDFIVQSDEDEEEKDARRALKKRLGKKRANIILDSDDEPDTPEEKEIIFGVR KKHVPTEDIKLMPKFLPSTKMKYMMDQLQNLARAHPDEKTLLVSQWTGCLSLISDYLT EKGIPHVKYQGDMSRTKRDQAVQIFMSKEKARVMLMSLKCGGVGLNLTRANNVISLDL GWSQAVESQAFDRVHRVGQERKVLVQRVVIADTVEDRILKMQERKQTLADGSLGEGTA KKMGKLSVKELANLFGLDARGRLL JR316_0009619 MESPPLKVTFSFKAGQGGSDDPERQEFWDSPHTIEWFKLRGYTL YRRGYATYSTGATLPTDSSYPVFPPESDSEFVDVEYPYSSYDITFNVRKGCPPQPPLG AREMTGKVVFAQDTHKRHVAIKIVHADTDEYRVLRFLSQQNLEVLKENCILPVLDLLP NDGFWFAVMPRFEIIDIMHSCLKGLSYLHEHNIVHGDIRFENVLLSHFSNMSNEDFKV INVWCKERREDRLIRYALCDYDISIMFPPTANKKECRLPHYESWGTFNLSYDTAGGEY DYDPFALDVGGLGVQFAQRFGLLAAKIHFLAPLIDKMTTWDISQRFTASEALIFFEER LAEVPEEELCDYSGAISPHKAYLEWDIWEDVPAEFAEEWKSYRTPPIPWHLRTFRFLD RHLSRLNPYILPKTRFYLSCLSSVFKTVYSSVFSSKIAI JR316_0009620 MELPTLKITFPFEPGEPYDNEERFAFWDSPDTIQWFKLKGYHLY RRIYLGWDPEGLELEPTETMGPTLPPDSNEEFIEAEYPYAYHDMTTTDAPDEKYNVPL RVIESTGKVVFAQDSCKRHVAIKLVRDDTDEYRILRFLSQQDQKALRDNCILPVLDLL PIEGFWFAIMPRWGTDIYEPAPGVLREVVDIMHSWLKDINRSNILLNHFSDAYLSCKR REGLRHGGKILYGLYDYDFSVMLPAGVDRRDFRLPYDRSWGTFNIVYDTAGGECDYDP FAFDVGILGATLCKYHQHLAPVLPLLAPLLDKMTTWDIINRFTASEALQFFEERLAEF PEQLLEVGIPEEMITGKYTTCNRWENIPCKVVEKWKCYKTPPVSWRLRILRALYRLTA SRFPHLMPKTCLFFIRTKSLISHAYRSIFPSKIAI JR316_0009621 MSTCSWLTLPNEMMISIIDILEPEDVHSLSKVDQRTYQACVPAL FKKVKLDDYEAIESFIENVPRDYRSYIEELEISTQHATNCMPVLPRVRADTVISLLSS SPRLSKLVMRVSGSLDKSIISPFPYLQNLKSISIANCGDEQRAPLSERLVVSIAINTR NLEELSLDRITRSKMHAPELEGAYPCPPLALNDDDIPDHPVLGSELSLPSLLRIPTLR KLTIRDTHLGHEAWTSIPVACRLQVLDIGSCYHGDDGFNTRCTERIMTAVGPTVDEFS LTAAVSDSVFSEPTATPLARLRKLHITPFFPVDSVVETMANLAGSPVEKISVQCFQED MVDICSALEKFLSLRVERGPEFYHKLKQIDVSVTNNADEDEDDDTPTDKETQERLEAT KRLQDFCRDLQLRSIVAKEVTKKDFKPSVVATTAPTSFVDARRYHVKGRSMSI JR316_0009622 MPESRVTTRLSPDDNDPLSIHPPIFETSEERAARLASEQHAKEV SDNIDEELEQQRVLEGKGPKPIKILLLGQSESGKSTTLKNFQLMYDPKAFREERASWR AVIQLNIVQSIRTILLALARLETVQSQHTSSSSSLSPKRSHPLLSPELLTIKSRLQPL ADVEQNLVRRLTGSGDGSSQRSGYGVTSDTTSTKGALKEIAINAAIPWKNAFSRLRKS EERSSQDDSDGIDWEDPDDPWPILNTCAPDMIALWNNPNIKQLLVRQNLRIEDLSGYF LDSLERLTAPRYVPTDDDILHARLKTLGVSEYIFKISTSGTVRDWKVYDVGGHRSQRG MALSC JR316_0009623 MLAAWAPYFDDMDAIIFLAPISCFDQVLEEDHKVNRLEDSFKLW TLIVSNELLKNTNLILFLNKIDILRTKLSSGVLMKDYVVSYGDRPNDVDHTAAYLRRK FGGILHERSPSTRVFYCHFTTVTDTKSTKLILTNLKDMLMRQNLLKTNLIN JR316_0009624 MLRRGGTLLNLHRVFRSVCCKRVLKCLFSTEVSTVHKGTAFEER SLALLEQTMSMSLKRVGGKEDGGIDLVGWWWLPYDDDTAGSTAYTKRRRIRVLGQCKA EKKKMGPSFVRELEGVLYRFITMPSTLPGLVDEEKPDVSIPGQAHIPMVALLISESPF TKSALLRAHSSPIPFFMLHLPPVDDNRTSDPESEGGLESPQTFVGPGAAVCNPALSGA QGLLQGQMEVRWERHMLGQHGRPALWWRNTRLPNQIPYTDPLEASVDASRCES JR316_0009625 MLKDTSSPAQKDQVNFEPYRRRLPKDHAVPDDINDLRKECFVCY NRLKQGSGDKASIACTCTKANLEKTDPSELHGSQAPPELPLDKKSLSRNNLEETSPDR SREPKLPKPLPMSTYALPKLCKICEQELTSGETCICRICVTQPSPPHFEARIERLKRG VPYTGADLNSASASVRTPSSSFMNTNAMQTISVKSQMPLQFDSITGVIRTDTSAGPLD DDASPAAASSPAHVPFVHCTHINSAAAVPEFHHNSSNDGETRFNSTTHAELGASASSD MPPSTSPTVSADIDSSFKDIQTARTVPPPRLIPMSILYRRAHSQNTARIASISDSVTT PSPFTKSAINMEGSNASRDFVPIALVDATASSSKST JR316_0009626 MTNVHSKPILGMRVPIHPLPLPPTLTRRPYVLAFLVLILLRSRA AGLTFSAYTAIQENVKKLRDKLRMKLKRKLTREEMDRVLQQVYVEDPDGSGGKTLLVP YRERILKVPIHTTPTSKFTSDTPFFPPLSESTLRKPSLSLSFLSQLTALLGRIAIPSA TSPEAGIVVLHSSFLVLRTVLSILVARLDGRIVRDLVKGDGRAFLRGLGWWFVLAIPS TFTNSMIRHFQSLLALRLRTRMTRYLHDLYLASHPALRYFRAPGYLDGVDQYLTADVD AWANALSGVYGNVLKPSLDLLLFTSQLSRSLGVRGTILLFLNYYTTVSILRFVTPSFG ALAATEARLEGEYRMGVGRVGREAEEVAFYDGGKREKEIVLGVYAKLIRHVNKVYKIR IAYEWTEDYVIKYLWSAAGYALIAVPILYTRAKRSLGVGVGDNVRGADGAERMRRDRD EAVAGRTETYISNRRLLLSLADAGGRLMYAYKDLLELAGLTARLYVLVSTLLNMPSGR GVRCVDGAGVGDAEGEAMGVEVEEVEAVSLTGVDVRVPRVVRGALESAAFASDNDKEK HEGKGKGKEKEKEKEKEKQQDEVKEGRGEGAREDPPLVKNLTLRIARGEHLMITGSNG VGKTAVARVLAGLWDPAPSSDGVNGEGEPHVQMPRDETEADVLFRRAAFLRAREVDAG ARFGGAVGAGAGGKGAEALKHEREHWRPRPTLYVVPQRAYMVAGSLLEQIIYPCSYAS FVRMTSMFSANAQQSQSHWNDPSYPPTPATPTRPTLLSRSSSVASLTNLISSTLLPPP PSSLVQMPSNAALAEIHNILEKVHLGYLVGREGGLHVRKEWRDVLSGGEKQRMAMARV LWWRPRFAVLDECTSAVSSDVEGRMYEAAKALDITLITISLRPSLMKYHKQLLTLHGP TDPTSPGRWTLARVGTKEERMSLEKEIGVLEERLKEVEGWEKRVRELEGLLGVQEGVG EGEGEHEHTGSIHTHREGVDEEGDRDEEEEARLREEEMKEEVYVYDYREPEGSERDLE SESTSWSDADAGDIEAEGEVEVESVGTEAEALSVGFEDAVDPEEMLSMPLDVEEEMTF A JR316_0009627 MVSSGLTIPFSFEQGVEVDELERFAFWDSPDVVKWFKDHGYTLY KRSVYRGEELATFPIFPPDPQSGPVEADFPYAHHDMDTMEIPEEYQTDPPLSGVDTSG KILYAQDSLKRHVVIKLVPDNTDEYRVLRFLSEQSMDTLKENCVIPVLDLLPIEGFWL AVMPSGLQMGHWYLSASTTDIRDANVVVNHFADSRFSPDGYHQRGTLRTERRLLYALI DFDFSVMLAPDADRTKFRLPYQRFWGTYNYHTEYLPVLAPLLDMMTTWDLKRRFTAAE ALKFFEQNLSELTQKELEVAADASGLDGKVEGV JR316_0009628 MESPPLKVTFSFKAGQGGSGDPERREFWDSPDTIEWFKIRGYTL YQRGYATYSTGATLPTDSSYPVSPPESDSEFVDVEYPYSSYDITFNVRKGCPPLWLSI CTFNTNH JR316_0009629 MVSSGLTIPFSFEQGVEVDELERFAFWDSPDVVKWFKDHGYTLY KRSVYSGEELATFPIFPPDPQSGPVEADFPYAHHDMDTTDLPEVYQTDPPLSGVDTSG KILYAQDSLKRHVVIKLVPDNTDEYRVLRFLSEQSMDTLKENCVIPVLDLLPIEGFWL AVMPRWGIGIYQPNPRYVYEIVDIIYSRLKSLAYLHDNNISHGDIRDANVVVNHFADS RLPPRGYHRRSKLRTERRLLYALIDFDFSVMLAPDADRTKFRLPYQRFLGTNNVSNDT AAGEHDYSPFVGDVGALGVSLCFEYQCRTKYLPVLAPLLDMMTTWDLKRRFTAAEALK FFEQSLSEMTQKELEVEISGSIHYENYSTYNRWRQMPPALMEKWKVYRTPPIPWHLKT LRAIYGHSAQYNLHIIPQTRRFVAGVMSMVFKLYCKLQFRS JR316_0009630 MAYYLIPEAGKRKVDVSSRSCSHTTWDSLSADSYTTAAVSAHRH KPSDLGPRHDLTSMSGLPMWRPFTRTFYTPKSIDTQANSHSEPANAHAPTYSSKIHRL SSALPSALTELTNSSVPTSSLFTHVTTEDERRRFQLAQAAVAQNKRVNLPQPVKTVVN DQGPMVSTESEEVPVNTVGGGSSHARNNSDLKPLSKSGGLKSSCPALFTDDTSRFLEA ISPKPVNPVHVTFADHPYPPRLPAKSAPIVPKSSFIRLRPELRGQSSAVAPPPPPLVQ ASAGGNNIVTHSKETELLGRQYETHSTSECPHPAANRSCTTQLSPQQVRQKLQQTLPK VPGAKGRGTSDRDRGTLRF JR316_0009631 METSPDALIDGLANESTLIRAVKDIAYGSAAGMVSKVFEHPFDL TKVRLQSQVLDSTARFSGPIDCLTQTWKKEGVRGLYRGLPAPVVGAMAENASLFVAYG EFQNVIRRVTNRTSDEKLPLYHLALAAAGAGAVTSFILTPIELVKCKMQVQMLVPATA SPATPRSLPGPFSILFSVIRTTGLRGLWLGQTGTLIRETGGTAAWFGTKEYIAGVLLA RRRRLEESSGASGQTSMTLRPWESALSGACAGAAFNFALFPADTVKSAMQTAEELRPA GASAPKPTFGGTFMAMYRAQGIKGLYAGCGITVARSIPSSAIIFLIYDGLRKHFG JR316_0009632 MSQPGPDQPLQPKHIVKPDDQSTWETAWQKGVTPWDAGEAQPSL KEAVEKSGLEFPKTGRALVPGCGSGYDLTYIAQATGLSVLGLEIAETALKRANKLIDE AKSVNPKISASISNQDFFTFDPPENERFDLVYDHTFFCAIPPSTRKAWGSQMSKLVKP GGHLIVIVFPMLSYTPDGPPYYIRPEHYEELLSDNFEKLLDKIPEVSSPSHVDKERLV VWRRRA JR316_0009633 MSGSAGPSPTSLSRSATTLGPSTSSFPQPKPQRHVVSTTKKTTS SMPRPLHLALGSVVGSSSSATTPGSISPSPSPSSHSATQNGHSSGGGDNTLFVEPGTF APSGTSRAPSPLPLSPNTANVKRSSTSSSTKLSKASKRSSISYLPPDGPSQTQRERAS VDGLLRTPLSSTTSFFGDAGRDVGAGLTRSSSLGRGLRTPRSPAFPERGTQGTKEASL APPSHLKDRPPVTLAEKHAELLHFIAQKESKCLELRSQLAVHEAELLQLKRKWERIVN RGFERSQSLASTPSSSNLPTSTSSLLSSSASPNTLSHNTSGGSSTASPSYFNGLAPAA NVPGAVVLEGIKGGVQGMSRLIAAGLESIVHVNGPATPTTPLSARSDALSSGHAASTP LRAPVDGAPASKHWAKVDANITRTHGHGQKESQSSSSTTTSAASSATFASTSTRISTT STAASVSGSSTSGDTTRKPDATGTTTSSAAASVISDVDSEFGDFEDGKQRSLSPEQEQ DVLMVYDTGATPTMSPNPHFRRKRPAEHIHVEKLVLPPTDAQFLASGAGSADKDKEME DFDWDDGWDEPASEAQARDSASVSSSLRDTAPEASPRTESAASVVTSPAPAVGALNTA TPTTQQMSYWVGSVGKKWDELKGSNTFTKSQKRASLLLSDMQNTIVSALTSPPTSTKP LFGDGSYTKSPQMQSPNPGSPATFSSNASQSTLLSPRIPTSAQPRPRSATSLLDDSDE ELGSDVGANQLRAKLNSRMMAPVMVPDSLSPTAASQSKQVSKVKVTTKKSAVTPAPPK DDEDEWNW JR316_0009634 MSYTILGRSIKNEYLALGTFGITFGSAYLATRGGSKTAQAKPVT VEQAKQTVPINAGSSEEEQFILNFIKEAEKEGAGSASSH JR316_0009635 MDLLHVPFYLAPGLGLYEIEKRFTFWSSPEAREWFKARGYTLYE RCWEEDMILDTSIQAIPSQTECGEAEYPYPHFDTDCNVEPDEPDTPLRARDMTGKTGF AQDALGRHVAIKIVRADTEEYHILRFLHEQSLDTLKENSIIPVLELIPNGTFWKYQHI TDRLPLLAPLLNMMTHRNLKRRFSAAEALRLFEDRVAELSDAKLAAEIRPTKDRVFIT EYDDYDRWENLSPLFVERFKGYRATPSSGLRVTFPYEPGTDYDFYERIPFWDSPETIE WFKNHGYTLYRRSEYAGEESVTFPVFPSDATNDFVEANYPYAHQDLRTPDPDEGYERD PPLLAQESTGKIAFAQDSLKRHVAIKLVRDDTGEYRVLRFLSQQSMDVLKANCIIPVL DLLPIEGFWFAVMPRWGTAINQPAPKTLSETVGIIHSWLKGLNYLHEHNISHGVGVTF LILFCASMKA JR316_0009636 MTRRLANTTIAPLFLMWEPAASNCVKYIKMITWDLRRRFTASEA LQFFEQSLSEMSQKELETPHPTMLRPDATYLNYDRWAGLPLDLKEKWKMHKTPPIPWH LMFLRVKKLINLTLEQKPHADLRITFSMEPDADRVAQREFWSSSTTLEWFKHRGYELY RSIDGTDKVYPIHPPEFPEGSEFPFYLADYPYASFKTVKSNDMHLLEEYNIRFYIKGN IAYAQDVQNRHVVIKIVPKHTEEYRILRFLHAQKLDTLKENGILPVLDLLPTEGYYFA DIGKHNVLTNHFYCLNDMGYLERYSMRSRGILLYAVIDFDASVMLPADVDRSKFRLPY EKGFRRYPTIKDMQTGPFDYNPFVQDVGAMGAMLCLNFQHLSLHLPLLAPLFDGMTTW ELDKRFTASEALQFFNDRVSEIVEEQLGECPQSENDSQLYYYDDYDRWKTLSPDFVEK WKVYKARKHVADFEVNSSSIATQGTRMRRPTAPSCVVPC JR316_0009637 MSTVLPSRDAIPQPVSLELSQSSKVLKGKHHSQIQKGELVKLTE SIPGKYYDQNSDKYEYTVPAGTIVRIHDSLLTRQAIEGQARLGYDYIFSLDMDDFKYH LVAPTGISVISNPIPHTKLAILPTSSTNTREMRKDRFWKIKNVAFVREAHRAPVGKQT ESGWVTVNVGDGIIIEGFPESRKGSTRVPNYESAFYNFGVGRIVGTKTPVWHSATRHG PHVFERT JR316_0009638 MESPPLKVTFSFKAGQGGSGDPERREFWDSPDTIEWFKLRGYTL YQRGYATYSTGATLPTDSSYPVFPPESDSEFVDVEYPYSSYDVRVNVWEGFPPQPPLG ACETTGKVVFAQDTHKRHVAIKIVHADTDEYRVLRFLNQQNLEVLKKSCILPVLELLP NDGFWFAVMPRWGPDLFRPSFTYMFEIIEIMHSCLKGLSYLHEHNIVHGDIRFENVLL SHFSNMSNEDFNDINVWCKERRENRVIRYALCDYDLSIMFPPTANKTECRLPHYESWG TFNLSYDTAGGEYDYDPFALDVGGLGVQFAQNFGLAAAKIHFLAPLIDKMTTWDISQR FTASEALIFFEERLAEVPEEELRDYIGAISPHKAYLEWDIWARVPAEVAEDWKSYRTP PIPWHLQTFRFLDRHLSRLNPYILPKTRFYLSCLASVFKTVYSFVFSSKIAI JR316_0009639 MAESTRAPKPSAKRFSKLDLLHYISSFPYKTITLRDALAIPSRI RPAPEDDVDREAWYWQHHPLLRLIADTQRPGTVSTKTKGFAYTIPPVKQLPSTGYVLD SAVAALYCFFATSTFEDGALLAVNLGDDADTVGAIFAGLAACWYSAEEGDGDRVFWTT RVKSWCEDLVRRDIIDTVAKDLAAMEYEFNL JR316_0009640 MSNFDVDIGAMDEVIFRLQGIVSDKMLPPMAKPASYVHSIEQQP YLRTAIAITGLGDIVFNKVMEKLEEVFLRFANNFPADSVSGYDPVLHKDTGFNVFHAH SQYFTKVSAYQDKSDNIDIVEISVAFVTFPAQGNKYKFVVALRGILVLDQEAREVSNV TLNSLIAIHNFQQKADILRMRSRYTPAKRQVAVLCRTKRQLYKGQIDIEDTQQRMTRM RLNEDTVHNRNTMSQD JR316_0009641 MPHPKYPDNTTAEKILKIEKIVLQKHPLHRHYNTTGVVVNLWCQ ECILGYHSTGVMNFVTFEKVYSLYPNATFGHQVSLLQPSADLKRAQKFLAKYHSRGLK FVLSIPSQTLKMDRHIQSRIQSIRDNVNVGYSSSRGHVLVVLDYDPYPELFSPGIRRV GDRHCWVYSLPLLPKANQTSFVEANLWALLLNEFDCLHFGVRRISGIALDFHYTAADV YQLHKRVKKAIKSWERGIRQKDDRVHATVLYLLSRKADIFWLHRPQPQSLLWNGYL JR316_0009642 MSYIDNALFSALRFQQYVHCFISFGYYLFFRVFPPPMDDVYMDQ MDLKTLYRFSWTCKKLNDRVSGYMRRAFRPKNLFAPIFKPNEHLLFRLLQFKTGLVIS GSTFLHFTCFSGPTQSVA JR316_0009643 MSTNLVHAPVPTPLAEGTLVTLSREITGKYKYDGQDATQTLPPG TQVAIGKSMELAHTRVSTGLPGGRPDGFMYTLYVELPDKTWWTFLSDGVVIGGRNKNI PHKLLSKEPTSKKTKTSPAVVGTYLTDRFFRPNEMPYLRGDHTYNAGRNGTGPGSTIT LTLGDRIVISSDAHSNNASLKISVPPTRMPTPKNLQEAHYHFSATQRGEKVIPVWTSE IFCIEGVDFTVNRQ JR316_0009644 MSSGLTIPFSFEHGVEVDELERFAFWDSPDVVKWFKDHGYTLYK RSVYSGEELATFPIFPPDPQSGPVEADFPYAHHDMDTTDLPEVYQTDPPLSGVDTSGK ILYAQDSLKRHVVIKLVPDNTDEYRVLRFLSEQSMDTLKENCVIPVLDLLPIEGFWLA VMPRWGIGIYQPNPRYVYEIVDIIYSRLKSLAYLHDNNISHGDIRDANVVVNHFADSR LLPRGYHRRSKLRTERRLLYALIDFDFSVMLAPDADRTKFRLPYQRFLGTNNVSNDTA AGEHDYSPFVGDVGALGVSLCFEYQCRTKYLPVLAPLLDMMTTWDLKRRFTAAEALKF FEQSLSEMTQKELEVEISGSIHYENYSTYNRWQQMHPALMEKWKVYRTPPIPWHLKTL RAIYGRSAEYNLHIIPQTRRFFAGVMSMAFKLYCKLLFWA JR316_0009645 MAPFGKNDAESVSGVQLQPDTPNIGSLRTQYPTHASSATKIQLT ILATALVDALGGPAEFRGRFSFPFIDTMKPNKTFGLPAGVWTDDTSMTLCLAESLTTY QPPTQSSEKGGFDELHQLELYNRWRNEGHLSAIGSCFDVGATINKALYIYESSKPEEA LDRIQSNLSSVNFSGNGSLMRIVPIGLLYWRDEALARDYARRSSRATHPSPLCLEMCE MWTGAIATIMAESTRAPKPSAKRFSKLDLLHYISSFPYKTITLRDALAIPSRIRPAPE DDVDREAWYWQHHPLLRLIADTQRPGTVSTKTKGFAYTIPPVKQLPSTGYVLDSAVAA LYCFFATSTFEDGALLAVNLGDDADTVGAIFAGLAACWYSAEEGDGDRVFWTTRVKSW CEDLVRRDIIDTVAKDLSAMKDKVGS JR316_0009646 MSGHTTEHTPEWDFVRDLDNPSNFTSTSIISLSENRARINECDD SWLAEQSSGPPGKITNDYDKFTFNENLDVPTFTPAAQKVLKALSSRLYNIAVHVVDNV IQTAQSDAIEYNRTVISANDLTFPEFDFRITNINADSIEASYKMHGYPCFGSSNGNPT QDTQASVNVEDSDKQSSAKSTIDRPTKDLPKSMTKKRTLPASFDIFLTKIVLFTLEER EKKAKTCICPEKAITQAHALDGHFDSIKSSFKFFLCPPGKRLELNFTSISRMSFSVPT SPAMSRSNKAQPVTPQRLPRQQPRSQSYYRSPLTPSASPYTPISLRSLDSTSSSTLTT PDNIGSGLKKRLAFSAGSPDVLRNINSSQDKSLADIAENWRSRASENGIKVAPAPQDE SQFGADESSDMSMSDVVNDHSILSSEEALLAAPFLTTHRRLNSLPAIRPRAQSHASLP SSRMNPLSPVTSRINNRIIPTSSPLQPRRVPVSMNQNIMSTPPPNRILARQLKLKGSH TDPAQPRRREAFGAAPTPSRSIGHKNASLSLTLEPDTSLDLFDIDENDYEAEQEYQDE IENSFSRDLQALQNSNYGYPSFGLQQQQPQVFGQSHFADPFQPISNGNGFVKGHMLNG IPEGIEHQFHAVRQPNPQKQYYDDHRQSGHVFYNLLPQHQPQYNPAMPHPYAAPNYVP MSQPSLLPFQHSSTPATGFSDHSTPAMMSKIHSPPHVPDVSPTDCSVCLASKPASLAI LQPCKHPLCSACLTSALNIVGEKDMECAVCKQSVADFKLVMGASASSPGKINIPVEPI KTSGEPIGSFASTLDNSNIFDDGAMEDIEELQSAFEFGLDFGDLRASTPKLEQQVEDR SIDGRSQHSVSQLGSRRDVRKGEDNVVLRIDNVPWDITPLQITKWLQQPIERVHVLLD GKGKTLSHAYVEVRDATTAGAILRGEAASPNSSGKKERGSVLGRGRRARGVTVTRSGQ QELMRDLFPHWRGGFDGSRPSLAGLEGDRIIGALEGGLLTEQEISGLLYLIREPDSHF LKVPSLPFHSLASILSKFPADVDSRVFWSTGIRDVLLAALQTLIPRVEKATEKAKSSG QPEEEFTMDLVINLLHTALECKAFTAQQLRKLTEFAHTHSLPLPESDLSGMFEPHGSV NSSNFLKTASTHDSSNMAVNQNQKSLANEASLDDLAREFGVDAQVVQALAQRLSKMA JR316_0009647 MTAMASLSATSELKVLDREKCVHGGLDGSEVVPVSSLESEGRSA LDAETAGVSPVVLKYDGGMDAWFAGGWLAMFCVIGLGPASFGTMENFYVQHYLPNSTP SSINWIGSLQLGFQSLVGVLVAGVFDSGHFRWLSLPGYVLFLLSLFMLSLAKENMFYQ VFLSQGVGLGLAIGIIYTPISSAVSQHFIERRGLAMGIITTGTALGGTFFSIVLEKFL NGPIGFAWGVRICGFISLACLALANMLIKTNYPPPEINSGSADTHIAQASTPPGPATT VQESGMPLLRQLVRTPSYLVFIIFGFVGSLALYNPIFSIELFALREAHVSTSLGGYLL AILNTSSIFGRLFFNQMADWYGVFEVFIPCMAATAVSLYFPAVLSLDQDVSRSGLRLG LASVPVGLASLIGTPIAAALVGRDRWWAGCVFTGVLELFGAFLLLIVFLMNSSVRRQG ERQRQWRTRR JR316_0009648 MSDAPVRSDSYRGRSTGHTENSTRERTNYGVKPSLPSPTDTTSP GLAQSASNSASNSSSSPPEPTTPPRVIARPRYPADLRRVPLHRRGTSKTYERLEDLLK EAGYKETRIFTPEAERMDHRRDGGDSTKAGDDNRTSVVKDGMEAVVGFFAGLLPSAAA SRTNLTTTEGENQLTASPREYSPPTSPLAKRRSFGQGGRPSLDLTEPSTASSNESFGE PTPRLIRPKNSWASKPNPTTTHPQTSPPAHQSSLIPRQSSRTSVNRQTNHAFPGPGEN SMGIASPRPSRAGAYLRHMASTQSMPGRPNSTPVHLFNRNTMHSHEGDSEDPGVAYNR RGNGEGEEEGHGEPPLPPTWLETVARAVLFGGGGAYIGGPSQHNLHDPSPHVSKTRTG KTPVLRQTRSSLSQAPVRRPAKRPVASRSRLSDQTNTTGLLAPPPPLLFSMIERGRAG RSEGEVSVARVMCRSAPSSRSGSVVRGERGKERWQLGYERGRGRKKKNECDRLPSLAR TQVEGDMWSRTRSGYKHGAGSMAPERWGEADGESDGVGVSSDDEDEGELDLARLLVPP KRQNSIKSLRKHLASQASAQQSLMKNFASAAAGGRRGSSANLVSSRTPSLLRRKSTIQ AEEDDWDGEFSEEWGGSWAGSRRGGQGRRGSTEDDDVESFIGFFDNSRGNANTGSGRS RLGFVSAWGGGS JR316_0009649 MTDHLYLSGEQLPCVIIPPGNYVIQSVTINPGVPLNVGLPNTLG NVIIMTSSAPQPELGVWNIKPADQGGFHIQNVGLRRNVTSIHLTESNLFLPVVAVTNT PATTYAIQCAGGGEYVIKNVVADQLWTPVPSSDQEVSTIELLPASGSRTQHWNFVPA JR316_0009650 MSSASTLPLQGKVAIVTGGSKGIGAAITLHLLSLGANVVFSYSS DESSASALEAKINSEFPAPHGAPPRAVSFKGDSSSIPDIDALVDYTLKTYHHLNIVVA NAAIMSLQDLAHTTEEIFDRHMSVNVKGPFFLAQRTAQHLGEGGRIIFLSSSLIANSS VAPPYLVYLATKGAIEQMVRVLARDLAKGKITVNAVAPGPTATDMFLNGKSDALLQAI KSSIPMGRFGTPEEIADIVGFLSGEGSRWVSGQVIRANGAMA JR316_0009651 MLFRSIAGELPSIPDDLSIPQFILDSQHELRPDRPHGLPWLVAD KSGKTLGLDEIQRRTNALAVRLRDGFGIRHNDPVVFFSSNHIDYPICMWAVHRLLGIV TPCNPSLTVPELVQVLKLAKPKLIVSHVDCLAVAVNAAEKFGIGADRIIVLQNQDESP STQSAFGDSKTVEHLIQEGSKSTDLIHGRRFNSGEAKTQVAFYSSSSGTTGPPKMVKI SHYAFIADIILTAALNKVGRKELSPRYVPGDRCLGVLPFYHIYGLVLILHFNFFAAIS VVVVSKFNFADMLHSIDRYKINSLMVVPPQVVLLSKEPIVKNYDLSHIRTVLCSAAPL AGELYDQLIKLVPQATISQAYGSTEATGVVSMTQANEKHGRQCGIMAPGIQGRVVRSD GTLAGYDEEGELHIKTPASAMGYLDNEAATKETFLDDSWMRTGDLVKIDRNDEIVVLD RVKVRGFQVAPAELEGCILDHPLVADVGVVGVPDSFSGEVPLAFVTLTPEGHKLPLSD LKGSLHKHVAENKAPFKHLRYIEVIDSIPKTPSGKLLRRDLREKGRSLVARETKL JR316_0009652 MAYFYYDIVRAVNAFVDSPQPGGALEYYGNLSDPLQAAKTAVFV LLTLVGDAFVIYRCYIIWSRQWWIVILPLLCWCGTGVGGFGATVAFSRAAPGAAVFLP AIVPWITSFISMTLATNILCTLLIGYRIEKIRRGLKGASVTGSAAQSAVIMIIESAAI YSTAVICLMITYQLGSNAQYTVLDLTSPLIGITFTIIILRVSLGISTKQLSTYSSNHN LTGGPSRPTDIRFQQRSMPVNVKVSHLVEMDNGSNGYSSAKGTVEDV JR316_0009653 MPSDDPEREGKTLDDGSSWKAPLEELKAQQRIIRSPNLNDPGYI RQKANNKLWVRERIDALLDQNSFVEVGSITGKPVVDEDGSLKSFIPANSVTGWGRIHG RKVFVTADDFSVRGGHADGGISNKAPYGESQALKFKVPLIRLLDGSSGGGSVATYLTM GATYIPSLPGMSRSIDVLGAVPVVSALLGPVVGLAAAKAALSHFSVMVKGLSQLFAAG PPVVKQATFEDLSKEALGGWEIHATNGTVDNVATSEHDAFLQVRAFLSFLPSSIFQLP PVKSSSDSVTRREEALVSIIPRRRTRQYDVRSVIRMVVDTDGNPYSATSSFFEIGETW GRCIVTGFARLDGRPVGILTSDCKVNGGAIDALGSQKTTKFVTLCNHFGLPILNLVDQ PGFAIGSIAERMATIHHGAATMAAIYNSTIPIYTVILRRAFGVAGGAFADPNDGPSGD WGSLPLEGGIEAAYKRQLDATSSPEEREKLMNDLLSKFEDVRSPLKTAHKFGVEEIID PRDTRPLACEWASHVYAHVLPQLSTHRQSIYGAHGRTGQGYKL JR316_0009654 MMFKILVANRGEIATRILRSASELGWKTVAIYTENDTSHATYAD EAVKLESVVGFLDVDTIVTIAYSTNCTHVHPGYGFLSESPKLPVALSRQQGGGKCIEF IGPSPEALRIASDKMLSRELATLLGIPVSPGRHISTPEEIRQFSRNLGHRYPLIIKAL DGGGGRGIRIVNSEDEVEEAFQRCIGESPSKQAFVEKALAGPGWKHVEVQVIGDGTNV NHFWERECSVQRRFQKLVEIAPSRLPRSAVQPLIDASLKMAQRLQYKGLGTFEFLLNA RSPSDWVFLEINPRIQVEHTVTEEINDIDLVRTQLLLFSPSTRTLASLRFDRPPTSPT SYAIQLRVNAEDPSKGFQLAPGTLLPQDVVWPLGRGIRVDTWLSISPSGHSPDAKFNV GTDFDSLLAKIIVKGASFEEATQRGIRALRELRVGDSSKEISGFKSVKTNAVVLAGTL SHSDWFGTHGEVDTLWLERNLRDVIELGDKVLPPKAIVGLQKPTSAGAMNGHESGPSS GAGSVLLQPGTLFSLIMSPSGQSVGESSRTGGTVKHSITITSIERNAFPQVLSGTFLS TLPSPFASVDSSGLGNASQVPIPFTLTQSTSVNVSGGQQQQFELADPNANGHIGSPMT GKVVELHPALLQALSNSTGTTHKDKIKVRQGQPLLLLSVMKMENAIVAPWDGYVQRVG KGIKLGVVLGEGMLVCVLERGSNLPTDSGSDNNNGRARL JR316_0009655 MSSSLKATLNNGVEIPLLATGSYAPPTDDKAQAAVPGWILSAIK NGFRHIDTAWGYRTEKSVGIAIKESGLPREEIFVTTKLPWHHQTRVRESFEESLADLG TGYIDLYLLHWPQPISFHEGNEMPRRADGSYKTDDDVSFNDTWAEMEKLLDTGKVRAI GVSNFSVMNLEKLLATAKVVPAVNQVEMHPYLAQNGLRDYCTRKGILMTAYTPSGYST VRNDPLIVSLAEKYNVTPTQVILAWHLSRKYVVIPTSKDSTRQKENLNIPNISEVDIE KIWHLDRGQRLCNAADPKYGQVWGWTLDQLGWNSYYAKFE JR316_0009656 MPESTTLVYVFMGMFGLKMFIDYINRSASRGPYPPGPPPKPLVG NAFDFPTAWPADGYIEWGKKYNSTVVSAEALGNRIIVVNKREDAIELCERRAKLYSDR PYIPILNRLSISLTMEMMYGIEIKSADEPCIALAHKAVKLGTDLLMPGGSLANIVPVL RHIPAWFPGATSLRKADMIGRMTEEVIRIPVDQVKANFNFCEQEEGKAAPSFFTNFIE KKQTLGASNEEEEIIRNIAYTVNGAASDTTISSTGSFFYLMAANPDVQRKAQEEIDGL TGSKRLPTLEDRKSLPFVEAIYREVMRMRPPLPLGVPHRVTEDNYYKGYLIPKGLNSI CDISRAMTHDEEDYPEPYTFKPERFFDENGKLIGDDRVIAYGFGRRICVGKHMASSTL WLMMASVLACFNIVKAKDENGNEIDINHEIEDLGLMQYVFVNNFAWV JR316_0009657 MDILHIPFYFEPGLGLYDMEKRFTFWASPEVREWFKARGYTLYE RCWEEDMILDTSIPAIPPQTDCGEAEYPYAYFDTDSEEPEEPKMPLRSRDMTGKAGFA QDALGRHVAIKIVRADTDEYRILRFLHEQSLDTLKENSIIPVLELIPNGAFWFAVMPR WALYIDTPEPVYIHEVVFIVHSLLKDICSGNFLVNHITDDWIGIPRRGHLRAEKRLLS YGSFNLSNDTSAGEFDYNPFVLDVGGMGVFFCRKYQHITDRLPILAPLLDMMTHRNLK RRFTADEALLFFEDRAAELSEAELAAAIRPTKDREAGIKYDNYDRWEDLPPLFVDRWK KYRATPVPWYTRLLRRFCATEFGERYVPKASTMSKTAQGRITGTSGTRFTATFVLPGS GMQVNYLGNFSSSIQTFNSANATLKYNADNELTATRQFDGQLGVNNIKLTLTNGPVIE GVLDMPISPPSSVSGSGVWAIN JR316_0009658 MAQLNKEEENQVNDELSNLTTSHPPAPTYARKHLYVDPDVPEKS TTSLTYQRRDLAQSEQISGSSHPDHPAAPLLLHTPLAFPDSRMSDPSLIGGTAPGVNT NTVQPRTRHRTRKVVTDEIIPTDSESVAVLGMSPFMPNKDAIYNQNRLVRNEVILARH LSRLQKNYYASESAHAQRAQDFYRVLTDHKNSIDLLKSNNQSSSSVNLQPEFTALVEA HNETRKALDELTKDIASFSSTTRESIAFLADSVRRLTSPFPESSESAIAGLHPDHTIM APPHSLTSSPSLFERPFIPGTKRRRSSDEFLNTDVPPTSRPSTSAAHSALQSRSNSIH EYQREVVYGPISSAADLSDPVAVGHDAVRNVGLSTSMVHSIRIIPGRPEYLSVRFLKP EYAARFVDLVPFGDHPERQALVADDQIH JR316_0009659 MVLPVEPEFEQALDELTTSLQPFLDANPQYKKALEIVQVPERVL NFRVVWEDDNGVPQVNRGFRVQYNSALGPYKGGLRLHPSVNLSILKFLGFEQTFKNAL TGLSMGGGKGGSDFDPKGKSDNEIRRFCYAFMGELFRHIGADTDVPAGDIGTGAREIG FLFGAYKRLRNEFTGMLTGKGLTWGGSFIRPEATGYGLIYYVEHMIAKACPEYSLDKP STLVAISGSGNVSQFTALKVIELGATVLSLSDSKGSLISEKGYTKEFIQRIAELKLKG GSLETLANEEGYTYHAGARPWTLLPTVHIALPGATQNEVSGEEAEALVKAGVRIVAEG SNMGCTTEAIDIFEASRRSGPGGVWYAPGKASNCGGVAVSGLEMAQNSQRLAWSTEEV DAKLKNIMAECFGICLSAGSKWSGEELADGVLPSLLSGANVAGFIKVADAMKAQGDWW JR316_0009660 MIQTGLIQNVHGDLVTDACYDFYGLRLATCSLDQRIKVWQLDEN NTWNVEDDWKAHDAAVSKLSWAHPEFGSIIASASFDRTVKIWEQSTAQADAQQSNNVN GSAQIHVPITSRWIERAVLSDAKGTVRAVEFVPHHFGLKLATISTDNMLRIYECVEQP SLVSWQLTEELDVLSIAAGPSPGYLSRAHTMALATPTQTSATLEGASATLVAQALQQS QNAAAGTPNSQARAGLGNREADGGWCLSWCKDKYWGEVIAAGCGTTGVIKIIQINARR SSTLLTLSSSPTTDASTSSSTHVGTTDPTDSRTSSSSASTYAITSVAWAPSCGRSYHL VATGGRDGHVRIWKVKPGSEDIDTENVAMGMSTGESESDDSKWTAVAVADFDQHKSAV GRVEWNITGTILSSAGNDGRIRLWKATSGNVWRPAGSVGVEQTEEPTQPNSKDVDMDA JR316_0009661 MADTQEPKVDVAAQPAQDAEQTAEAVEATKGTFEKEAEPSSPGD TKDSSAASKDDPSPPRRPLTRSQTGTVPKRRSRDDSDAVAEQPKKRASVSRKRQKTAS APAEDRVEPQPQDSASVSSPQPPSTREVSLPASTASAPQASGANQITFYHTPSTSEDQ ADCRLPRSRASLPTPIPNLTKKSRGRRVPTQDSGNTDPEKKDDRLYVCTVDGCGKCFH RGEHLKRHIRSIHTHEKPFKCTFPLCQKYFNRHDNLLQHLKVHRDPAPKEPVAQPPPT APVHRHASTSTSSPHPRHHHQRSYSPVEEPESPIAPAEPRTIYNAFPRTTYSTPYPTS NIAFSSNNDSINLLASMAVSSLRTELPQSPIESRGSALRSSLY JR316_0009662 MSTSATERVSEVCKTVSTYWAQGREYYQLPSTTYYNNTSCCGGA SGELGLGALRVQKLLCRRSRYYWAAFFSQSLQYFLQIILFHSSTYFAASMIIFLWDEL QVVCAASSCVAARLTFLALKHSRIAGSVTIALPNTNNSASAGPLDLGARTSLAAAETD ATTRLLADTDTP JR316_0009663 MAEHSDMYLKDSKEPLTVTPVSLDYLVEKYPDNIDLEIMDYFGI KNQDFESICESDLPEEVESANWARRVRYFCDGVSYM JR316_0009664 MDQPELQYSLPHELEEQILQYLSHDIPSLKATSLACHRFAYTSR KYLFNTVVLTFSSHGVIQTSPRRFMEILISSPYIGDFVQNLSIVDDRYKIGGYTHLRF DTILPTCLPLLKGLRAIAVQSNSLTSLGWDAMSTDVQNALEDAFQAPTLVSLSFYRIL DVPLRLLSMPPSLKNLSLRLVTFKKATGLNGSRDVGKLPRLTSLLLMLSDSTFNLFSQ WIASQESSLDISDVRKLSVTMTMEYYDHGNVRMLLDATASSLEIFCFSPVFGGFYLLG LLPLLLLTSHRLGPSNIVDINPIQVDNLRNLRVLRLRLGMMQVLLNRHPPNFSSWVLR IISQLNSENLYEISLKLDFARQLQEGRLVFDTSGWSTLDSEITKSCPYLRKFRVYVLD EDGSGDEVRSSLQSQLVSLVARGILSIERGWDSSYRNHPSYLLQVI JR316_0009665 MLSANLIRRGHKVIVITHSHAPDRVGIRWLLPSLKVYYIPHLPI ASSATLPNFFTSLPYLRTILLREHIHLIHAHASLSSIGHEGILHSHLMGIRTVFTDHS LFGFDDAASILTNKLMVGTLKNVDAVICVSHTGRENTVLRGQLFERNPEDPEVLQLRK SVYVIPNSIVAQQFQPSIQKRNETTTIVVLSRLAYRKGVDLFVATAPKICQLFPNVNF VVGGDGPKLIDILQMREKHRLQDRIELLGPVRHKDVLSVLSRGSIFMNTSLTESFGIA ILEAACAGLYVVSTKVGGVPEILPEDMISFATPEEDDVIRAISEAIEIIQNGRHDPIQ AHERIKQFYNWEDVAERTEKVYDTVLKTPQIDLMERIHRTMDIGPFAGLIYTIILLVD CIFFLILEWWMPRDDIHYVHHHWDQNVFRQLVVDAQDERTTD JR316_0009666 MSNEASPGDTRAAPNFSMRLPACGITINLTNSQLEMLISRGMFN LPRANESGGGSSPATYPPILGPPFVRSNTQWREPWIESILDIFPCVSHSMILAIARHE FIPLNLYQLNSVTDPSAPRAGSLDDYPDFLSVLEPLCVYFQILGSYAATGGDANAVLS VQKAFTGYCLHLSKLSRTYQWQHVLQYHLHFFRRRSFEMLAGDFSGWLVPENELVGLY LVGRNRLRAASFKSDRTKFSPGSSSSSSSGTDPYTT JR316_0009667 MSKTAQGRITGTSGTRFTATFVLPGSGMQVNYLGNFSSSIQTFN SANATLKYNADNELTATRQFDGQLGVNNIKLTLTNGPVIEGVLDMPISPPSSVSGSGV WAIN JR316_0009668 MGVFISWKYHHITDRLPLLAPLLDMMTHRNLKRRFTAAEALRFF EDRVAELSEADLAAHIHPTHDRDYITEYDDYDRWKNLPPVFIERWKGYRATPVPWYTR LLRRFCATEFGERYVPKVRYFMFRLWSIPSTFFKRLLPGKIALK JR316_0009669 MDLLHVTFYFEPGLGLYHGEKRLTFWSSPEAREWFKARGYTLYE RCWDLEEVLQIRDTSIPAIAPQANCGEAVYPYPHFDTDEDPSQPGMPLRAQDMTGKVG FAQDVLGRHVAVKIVHADTDEYRILRFLHEQSLDTLRENSIIPVLELIPNGAFWFAVM PRWAVYIDRPEPEYIHEIVFIVHSLLKGLHYLHANNIVHGDIHAGNLLVNHITDDCIG IPRRGHLRAEKRLLYALFDFDLSIIPPPGTDINDFRLPAERSYGSFN JR316_0009670 MPESTTLVYAFMGMFGLKMFIDYINRSVSRGPYPPGPPPKPLVG NAFDFPKTWPADGYIEWGKKYNSTLVSAEALGNRIVVVNKREDAIELFERRAKFYSDR PYIPILNRFSISLTMEMMYGIEIKSTDEPCISLADKAMKLGMDLLMPGRSLANIFPIL RHVPGWFPGATSLKKADLVGRMTEEVIRIPVDQNSCEQEEGKVTPSFFTNFIEKKQTL GASEEEEEMVHNIAYTVFGVNPDVQRKAQEEIDGLTGSKRLPTLEDRQSLPFVEAIYR EVMRMSPPLPVGIAHRVTKDDYYKGYLIPEGASVFANIWAMTHDEEDYPEPYTFKPER FFDENGKLNDDDRVLAFGFGRRICVGKHIASSTLWLMMVSVLACFNIVKAKDANGNEI DINNEIEDLGLIQYVFVNKYDPDYDDLPLSSPAQTEGQV JR316_0009671 MEEDNETLDWGQEDEEQQAVLRKASFDQSSRRDFADQDDIEDTV SLGEDEDEPIYYNQPQDAPRSAHDTPLASEISDRPPSSQRRSDDSGANSHRDFRYRDV SREDEYRGTSSSRDSYRPAESSPSQRRSANQSSPRPHQNGNRITHALPPKPALAQVPY LPPSHPSMVEAIGMASTSMSPPSRSNMRDPKKLNGSHARSRSPMQSELPPGWEKRHSR HGGHIYYYHPETEETTWDFPVSKIPSTSSHGGYQRRRRPSASSGHMNLTSPDSNNHHS QNSRPRQQLPHQPQEKEDHANPAPSDPDGLSYEDRHYRPGGADPASAALEIKVPERLD GSALRSHVRSRYDKSPSPSRHRRRPRSMSPQPEPRGPRGPANERDYSPPRGNGRSVRD RNVNTNPDHVSHREIDAMPSQPEFPNRRWESSSNAPVSDFSRGERNPRRPPHRDEDDY MREPRSSDNRNRPSLRRRESSRGPRDRDLRDREPSPPPRPSDNRRDKPSESQNYISAP RYRSPPRLHERERESARNFGPPPSDTGPYAGPPSQTRRDRDRLSRFEQSGPTGPPPPV GGGPSHRGNEQYAGRPRNYDPPANFDQPRHREERARDMEPERSRRDPMPDVPTIRPPP PNSYPVGEPNRMSVDRAKRFTDDYSQAQARPEEPPHHRRAPLPPQNQSFTTSIVPGHE HDKFPYRPGWANGDPTLPKQPRYDNERALQGASDVPYRPPGPRSERQTPNIRDPPHRA GPPQSNNMANEYDRAKPSNYPPASTFESKEYSGPSDSYRRERRDPSPPRHGPGNALRQ PPPSIPIPRGDVRSDNRQGPVPVYQSAFSDRNSFNDRRDQDNQRDGRTQWKDNRPGNA YPDRNMIPPRRDMPNRSIDAPPPRHLSGGPVSGTNNVPIGTRRPGPPGYAQPPPSGPA SQPMSEMKPPYNPDARPPPPHDLRRRESTGNMGSIRGGDYVEDPDTRLTDERRRQFYK AKEEAATRAAQSRISVNEQRPSQDYAFGNQATSPPLSREPSAMSVTSDHLPPRPPARD LYDSRPDNRSDNRPRGPSDSYSKGAQYHSPNIPPRSEPSKADPPVEIPAPNEPPKEPR HDQQRQNRERGPTRWGPEVKQSNEQPNEQPPTSVRANSPPALARRMSSERPPRLRKYP GGSATTTGADNDLRGGGSSSNNTAPSDSGMPVDDFKDRPEPNRPTLLDRISEDNTQPS LRDRLVPSKRDHDDLDNGHPRDSSYDMDDGNDNKRVRRRNPKGNRRGGGGGRRIIS JR316_0009672 MSTHIERAIEKIQVKEPLPAIDFTQHTLEDGNVISTQERVVKDV RLLSTHTPKSIPERIPLVSIQVQAPAMFKPTPEQFFNKHGQDQTKPDIAFLKNHFYRE GRLTEDQALWILEKATEILRKEGNVLQVDAPITVCGDIHGQYYDLMKLFEVGGSPADT RYLFLGDYVDRGYFSIECVLYLWSLKIWYPDSLFLLRGNHECRHLTDYFTFKLECKHK YSERVYDACMESFCALPLAAIMNKQFLCIHGGLSPELNTLDDLRNIDRFREPPTHGLM CDILWADPVEDFGQERTTDSFVHNHVRGCSYFFTYHAACQFLERNNLLAIIRAHEAQD AGYRMYRKTKTTGFPSVMTIFSAPNYLDMYNNKAAILKYESNVMNIRQFNCTPHPYWL PNFMDVFTWSLPFVGEKIIDMLVAVLNTCTKEELEEADEDLAIMSPAAAVAESAERRK IIKNKIMAVGRMARVFALLREESEKVSELKNVTGSTKLPYGTLASGSEGIREAISGFD DARKSDIENERLPPELLDPDSEEGRAILSSQPTTPSENGAQPGPISPNGVKEGLEKAI ASGRGSPGPGGVPLSRISTSSGSPSPTPGSPISPSPGGFKRGHSRQASLGTTMTSPST RRRSLESTMSLIQNVLDGKGSSRIEEDEAVDNLASRLAGSSVGTNNGTGNNTGTGNSN SNSNNNNGSYTSRPSGR JR316_0009673 MTAVTDSIVFRHLFSTPQSSAIWSDSRRTQYYLDFEASLAIVQA RLGIIPTEAGEIISSRCSVELFDMEELGEETKKIGYPVLPVVKQLVRSVNNVKAGLGE WAHWGATTQDVTDTATILQIRDTLHLFSESLMKITEASRDLALKYKSTPMAARSNLQQ AVPMTFGFKMARLLATFERHRKRLEEILPRILVLQFGGAVGTLATLSDTGLALQVQNE LASKLGLAVPDIAWHTERDRIAEFGAFCALLTGTCAKFAFDVKLLMQTEVGEVAEPYA PHRGSSSTMPQKRNPISSVYITSIASTVRQLSTALFDGMVEDHERSTGPWEIEWIVLP QISTLTHACLEHTLELLQGLEVYPEAMKRNLEITNGAIVSEAVMMGLGKKIGRQVAHD LVYDICREVAKDPTVSLIDLLASDERVQRSGLSRDLLENLCDPANYIGLSEEMVLKPI MAYNGPKMQKN JR316_0009674 MHETRRLLEAAAALSLLLRNASIPHAFYGSVLTAVLANTPLSDA SGHNQAHPFRRTRDAVSGNEDFTVTHSPWTNRLHVTYRRLIPAIDIEILPAGETGPRH LDTSTVMRVQNVPFLTVSEFIRAKLKSWVIRGSERDAQDILYVFVRYWNRVDINRITE QDMNVFVSRNASAAPAWLALRRKYGM JR316_0009675 MHRACWRQRPSHVSPRWPTQPPTSIACLNQLRNFTVDHRERIRI HASGLYNRSLPPTTRQFSWSFKSQPRLQVQEFTELPDNEQDVTRAAILDKVMKGRQPT DLMLRCTILDAEGNVKTISGQFKKSDLSAEHRLNARDLRKIDSRIPNLVPTILVRKEA ILVNILHIRALVKADAVILFDTFGSADSRLHSVFLYHLEHNLKSKGAGTPYEFRALES ILLSVLSALEAEMVFIRNLVGGLLAEMEDNIDHDRFKRLLHYSRRLASFKNRATLVEE ALDEVLSQDEDMDAMYLTDKKNNQEVVDHEELEVLLESFSKQVEEIVNEAENIESNVQ STQEIVELILDSNRNALLALDLQVSIATLGVGSGALVAGLFGMNLLSHFENHPYGFYV MTGLSATLAFLVAFTGFRKLSKIRKVGLSSTTQKLKPTKQWLPLPLRNRIPGGWS JR316_0009676 MTSATFQDPQVFFQDFQPITCEPVNITWDFSGANNPIDLTVTNN DVAQDTPPPSLTSSHTRRPNTFTNDRRAVPTGVNDPILSVTTEIASGIDPTLETYTWP LVNIPQGWYVFSASMPGYGGGYTTTSNPIFVHKGEDTSCLSTTSSSSSSSASSTGSST TTNPPSTSNTALPIIGASSHTSVGTIVGVSVAAIALIGMVITAWLCLLRRGRKSSVLG DGQASSGRWNGLSSVDSRVLTNSGRPLSRHQGRGGTVGSIPGESEDAIGAEKNSVYSK NPFESSGVALSTLPVLQQQPTTRNKVASRTYSASSSSSNVFSTNEYVTAPPGRRPSIQ DSIGRQSLDSSNTYPPTSPISPHARSSSQFMPSSSLSRSQSITSNTHQTSPSQSTSSH VLMYQQPSSPLDPSSPVSPSNDANKQARRQSFGGKKRKPVPAYDPSQDPLSPSLAPSP IPPPSPSPDHLMNSTNAGGHYTTRNHAGRDFSQPQLVHKSSFGPGGVEGKPLHYLIPD MPMPVKD JR316_0009677 MLLVFAVVGLIFTVDRYIFRVKRHLNLPPLVDIPEDQMFRHPRQ AYETALKDHGRIIRVLRRGRIEYIVDNTLVTDVLANEADFSFEKGTLSLLNMGILLHL PRSFVGNLDQLVYDEIIGKIELTMDKISPIFYRVIGNFQESVIRAPSTPVDVTNMVHK IMSEAMLTLIMGKEYTSEQNIDSVHHISREVAALAGIFDNTNTWARTFPTTWRICNWI SIMCFSIPYYFFRIARQAYKELSQLGEDFGTHQDRKKGDRSVMFFFAQRYIDPKTKKI GFLDKLWILFLLLGLIFASVHQTAVVMIWVILEIAKRPSDIPGLRKEIRTETCSDGTE RLTYASLRNAERLDSFIREVMRMKGDTLSTVRLSVRDVKLAGFDIPKGSYVIPLASLS NRSQEYHGYDAEQFVSDRWVGTGKPAIMAGPGYYPFGLGRFACPGRTLAVSEIKLAVL LLIEHLTPTLVGGEYEVLDPLNVVSVAPKGKVLFVPLANESGKFTDQGTKSFHI JR316_0009678 MSLTIRKFYPRSQRCSALSAWFSRSLLVVFVAVAGIALLLHDIS CSKKEDHLPPFVYISDDELANDPRNVLENALKQHGSVIRIPRRDRIEYIVDDIYLEEV LTGYTNFSFEKGALMALNMGFLLYIPRFVATADHLVHSEIISKIHSVIDKGDLPATSI QDVVPVFEEKIRQFKEKSYASSQNVKSICRAMEEVGSLSGIINNTNKLALLFPRTWTF FNRPRATFMMMYHFCGVGTKAFMQMGGKNSAKPQDEPLEESVLFKYAERFRDKQTGRI GICQRAIMLCLFLPILFASVHQTSVIMIFVILELAKRPEVIPEINKEFLPETGIDGTP QITYATLRNAERLDSFIREVMRTKGDTLGTMRMALRDVKLGKYIVPSGAFIIPLASLS YRSKEHHGPDAEEFIADRWVGREIKLFVLFLVNELTFELEGGEYEVVDRLLTSTVAPR GRILFSPR JR316_0009681 MELPQLSLDTYVAICLVIATPWLYRTFSPFSEVRTKTRTEQLVS TTILTHTLYMLYCLFVSPPQNVFTSLGVPMSAAPEELRVKLAERFGSEEHVPEYLRVL VKRLGLMDMRSLYIRFGHDVLTTCSYCQSFDDFALYAFPSSLLEYVREIAFVGLLTLP KTPTAHFRPVGLGVLLAALLIEAYWVLTVPVLITPRGSDTTTTMWHDTFILIRHTLFL LLPLITTLAPHLELHRVPILNAFIPAPETANLPPSRFQMQGQGGPVLPDGITLNQISN MTLKTLGHLVPTLHLLKYSHAAIMRSQPSSADAEDSSTPSQHLHARASEWWREERREG DIVRNDANVRHVLKASGLSLDDEVKAEDGSVVQPEGPLLNSAKIAANMLKEQGAPPSE FWVFMK JR316_0009682 MSTTQHDNSIDEDAPGPPISVPDSGDTGEGGKLKMIVQLVKKCL GVKDIATMRLSLPASLLEPMPNLEYWHYLDRPDIFCTINDSDDPFMRMISVLRFTFTK DIKFIHGKVCKPYNSVLGEHFRAHWDVEPNPYRLDDGEGNSNRDSIGEALASETGSVK SGKSSKSTTSGISAFSKHKSPSTAPTSPHHPAAGGGADTDNLTAQVSSLSLGGNNAST PGGTAPVRVVFLTEQVSHHPPVSAYFATCPSRSIEMSGIDQISAKVSGTTLRVSPGQY NQGIFIHLTGGPGEGEKYHITHPVASVNGILRGSFYVTVGESTIITCEGGKPGHKFRT IIEYKEESWLGRAHFLVEGVIHTVFDSDTTHCAEWTKVKHVPQNRVVAVFDGSWRGKI RWKRVGTGSYPNPEHNHTGVTRSTASSPNPSHAKLPMPNIPAASVSKADVASYYLSGK RSSGSGSGSGSSSKSSSVAAEDEWMQLMDLSTLFVVPKTVRPLERQHSRESRKLWENV TDKLMKKEFSEATKEKVAIEQRQRDEAAERKKKGIQFVPRYFENDLERGYAVLTEDGK VAVEEEMKEDTPQCIEGIDVNAQLSS JR316_0009683 MSGSDSQPQTQNGIKHITVLGAGVIGLTTALKIQQKGGYQVTVI AQDFPTDPKTVTYASLSAGAHHVSVAGDDVAQRKIDIETFKTMWDLSSPEGDAPGCFK RLKQKEFHREPTNLDTLSLMPNYTELGKDELVPGAISGITYDSVNTDAPIFLNYLLST FLASGGHIVRGSVLHINQVINGGPGAFAEPDAHVRFPLPDAVVVCPGLGARYLGGVED TDVYPVRGQTVLLNAPWVDFCMSLSGGPGKIWTYVIPRRSGNVIIGGTYEADDWHPRP RPETTRDILERVLEICPEIAPPEIRAQRKPTVDDILPIIVEESCGLRPCRKGGVRLES EWLQTSTRRVPVVHNYGHGGFGYIACYGSASVALKLLEEALAQ JR316_0009685 MPVIALLPIRQSPHSSVFTEISFAYHCSYYFKEASFSLQKFVSL YCYLVTTMSSEELPAFEKNKGFKVCTSPNPEWTYGQRVEETPEGKAWVEGEKAGWTVV DTSKEETRRLYAIGIAGIVPRPIAFVSTVSKDGVENIAPFRRVSHWFNQVSPNPFVVS ISCAHGGPKGAKDTLTNILNGAGFTINIISEPWVEQSNVCSTDAPIEISEWPLSGLTK APSIHVKAPRVKESAFSMECELLQTVPVKDPNTDNTVSTLVLARVKYIHMRNDILDPV RGIPDLAKFKPMARMGGLTYATVSQGFALPRPPWDSLPEEAKQKFAGDATKQS JR316_0009686 MPAEKLAQFDHEKGFEYTTSPVPNWRYGESIQSSSEGKAWAEGE KLGWTVIDPSEETTRRMYAIMVADIAPRPIAFISSISPEGVENLAPFSPHTIAFGALH VNGEPKDTLRNVLSGTGFTVNIISAPWVEQSHVCSVVFPSDVSEWPSSGLTRAPSLHV KAARVKESAFSMECELLDTVQIRNPATNVIASTLVVASVKYIHMRNDVLDPVRGIPDP GKLKPVAKMGGISYARVSEGFTLPRAPHWTTVVEGQRAFMEESVEFS JR316_0009687 MTFATESPELSPIMQNDAHFAFARINGSDVHLVQASSFKSPIAP VDVKVFKHEFIYIFRLAKSCTVHPAEISFLETIDDRHALYEEEGEKVYLTREMMQKMQ NYNCDPRFRKQVPKSPRPVVSNQRQQRQRQY JR316_0009688 MLATAGDVPNAAHTTINNITMSSKITPANAKVNHIVNLEETLNF IAWRDDMRNILKDCGVYGHIEGSEKRTARYHMSLKPTEPADDTLDDVIAAYQKWWSND DSAKTLITRKVSALVKSNLNAGEDVTAQMVWNQIMTRYARVNINAQFAIKERLASIKL KDYTEIEKYLDKFRTGRERLKDMSTIIGSLAKLQTADDSALLGYAGSRQNSNR JR316_0009689 MTYGKNDCLGLGYDSAPRARRTSRKKRPAAPTSIPTTGPPHSQS IRSTTTKAALEKIVATLSIADEQAGHVIGRAGTGLRQIHDISHAKISVSPVVTSGLRA VTIRGTAREVGDALSAIGKRIARRRIRNPRSKKPKQPPAPTAAPPTLVVEPPSPTPTS SSTPTTRTSRSGTASPHFSTPTAVDTRSSPSSLLAPGSPMEVDALRAPQQHSNGYSCP GPVQPREGIQTARRGGGPPRVFGANRPR JR316_0009690 MADPPSPYDGFMTMSLEDRFALLFRAQQVRFDADKKVDDRLSAI ESKLERLTASLPKPPAAPTPSARAEDRSL JR316_0009691 MAQVLTDFDTVYEILLRKPLRDQSGFVYQLSTVVELELKNPSTP NCMFAKWKLLIDVYIHGFEHLKFLLNNSSPFISIWTLHCFKVICRWNFEILSETDCRV FHETMGDVLWRRSEVAAKLNKRLELRACEARRTEWELQEEELQEE JR316_0009693 MDQIIAEIKKIPPVTRFICGSSLVVTLSLILNTVSFYSVAFRWN FIYPKFQLWRLWSSFFVGGGGLMYIFELMMLYRTSNDIETKAYFLRSADYAYQLIWAC VAIIFTTWPFSPSIFTRPFLLCLVYVYAALAPPSTMTSIMGLVTVPVIYYPYILIAFD LLSGGPQVAALSVAGAVVGHLWWWTVWGGEMASRGVLSNRARAPRWLCNLMGQTNQAG PPPAAGGTAQSLARGGIYVTAPRQAAEAGNPSGHTWGSGRRLGS JR316_0009694 MLVLSSVIHNILSAEKPSVDDVEKNEEEVSRTEDPSTPLQSKPT SIPLSGESVFLDDSKLPDTHTYRLLPIFSGIMIPFSIMLSIPSLTGHWYIRTGDDSVL LETRPNPPLLDAAMGLSMGCGVLASACLVVRFAERHIRLMTFLCIVFLTLHDLINIPA VTIFGVEHRFDDGFTYGQSFWFTVCSTIASTATNITLITDYYQTKDFIHSGSGLTHKQ RSLVIIVIVLLCYVSIGSLILAIMLNITFIDALYFSVVSIETVGFGDLHPLTTGTRIF TCFYIAGGILNLALAVALSREALLESAAVGFRTRLRAAETRQRERHIRSRWRAAVRWR LRAQGQPMWINDRDEERRLRVGEKLHHHWYSAVCHMWRKIWDEVWREWEDPAWKYVYG PGHRRLNLEVLTEAQLETAALEAGAPLSELVPKGLKLRDGSQSDGGHGPTFPRFDSTV SGTGIPPSLTHVRIGGMVSLLGKFAVAMAGGFEYTLEPQTAATFVDQDGEADLGAVDK DGDPTPTGLGVPFSRTMTMTTFIEDETTLAESLEIERRNAFRARLTVALTLFMLFWMA GSAIFMHTEGWAFGSAVYFCFISFTTVGYGDLAPKTPAGRSIFVVWALLGVGTMTILI SILAEAYSNQYKSMIKSEVIQESTIIKIPDDYSVAPRRPSAISFGNGLNTFPACANTP RIGRSRGPSVAASTGLQIGSTAVASMLQQRQAKGEMDILPQQVLKHAESIRTLLARLT SDKNAVPPPEAANDISGVPFSSPINLDQLLSEVEKSLHDITSSAKRAMDTP JR316_0009695 MADSTQASYKADLIQHAMEVDALKYGSFTLKSGRISPYFFNAGL LSTGPILATLATAYSSTIAQALKDGSFPEFDVLFGPAYKGIPFASGAAMALYTQHGIS VGFAYDRKEEKDHGEGGKMVGVPVKGKRVVILDDVMTSGKAVRGAIETVRQNGGEVVG VVQALDRQEVGQDGVSSTVKEIEGLIGEGRVFSILTMKDLMTWLESKGRVKEFESLQA YWEQYGLK JR316_0009696 MVQGLAEASFKDIPEIFEVELGEALTARTESLSSFRELGPPDLC HVVKSTGRAAQRDFGSYHYVSGVDASSSASLAAYINSLTYAFEDNSAWFSKTPQWKVK NGCYCCFNAFSRVDIRVDVKIPGGVNAYVIDLRGERHEATPEIWQETYVSAILRAILY SDDPTYFLDAYRKLDPIVSREGELRFLQAAEALFPKGWQVGSDPEIQVATVVSNHLTA GIMKYFGDSGRYQPVANLFEKLSVREPEVSSLLARSYIGMNEEVKAVQIMAAAMQQTP QSYTLLHVQCDFLRSKGKHEWALKLARQAVNCAPSEFVTWEKLADIYIDLGQYDSALL TLNSCPMFTFNGRDSHRALPAMKVHLPFHRPIGDILPDKQKTEDDEAEPALQRLPAPG LRGTWARAYALLTRLVSQIGWDELLKTRSHVFVMEEEYRMQKAQGDMHHALKSATPNS PGRESDVGNAVIHEDGTVVRPSEDDNASTKAVMEAPSPRMSAAESEGANGNGSASEPQ SMIPTIRISTESLRTKETTETEVTKVDDEDGTHVVHTDKGKGKAKAGDDDDAGDKEVA NGNGQPNGVTSAGLERPTQAAGEGAEQNINEASPAPANEPFSFSTKRLCERWLDNLFM VLYEDLRVWTIFRAEVAHFKTQHVAYRKTGLEWEILGDLGLRLHHKEEAKEAYQRCLD SPRYSVKPWAKLMEIYADEGDIQRCVQTAIRVAAYQYADYTEMSYPTQIARAFFKLGQ IHGHAKISFTLLSMGLPEPILKIMDSYLQYGKNFKVEGYDF JR316_0009697 MQFKLVSALAFATLAAATVTPVRRGGGGSSTIPASQCNTGDLQC CNSVQAGDSDAVSSILGLLGIVLQDVTALVGLNCSPLSVIGIGGNSCTAQPVCCTNNS FHGLVALGCTPVNINL JR316_0009698 MSTPVSTPPSSRSPSLSSSLSSSPPKTPPNLHQNSADPDAKWLV QKFGGTSVGKFAVKIAEDVVSNYIDDNKVAIVCSARSGSTKALGTTNLLLRAASEALR RTKSVGSSVSGMTTPISRGLFGVGSSSDHSQSPPTSPNQKQRSRSSSVSHTPQSLFGF TPLIEQQQPQESQPEFHITVDIIRKEHITAARSSIRSKEILQELEEEIDRDCEWLRSF LFAAKIIDEISPRSRDNIIGLGERLACKFMTAVLRDRGVDAEYVSLEDIVPPWEGENS EKALPQDFYDTLAAALGERLKLCGNRVPVVTGFFGPVPGSLLRQVGRGYTDLCSALLA VGLEASELQIWKEVDGIFTADPRKVPTARLISVISPDEAAELTYYGSEVVHPFTMEQA IRRKIPIRIKNVENPRGGGTVIHPDPDTDIPTDAALSAPIPEPASLHTLQSLSPLSAS SSSLNGLNGLQQLNALNADFTAHKKLPTAVTIKEHIVVLNVHSNRKSVSHGFLAGIFG TLDRFGVVVDLISTSEVHVSMAIGDDLPGKLLDRLVKDLKKNGSVSVHKDMTILSLVG KQMRNMVGIAGLMFTTLAQGNVNIEMISQGASEINISCVIEARDAIKALNLIHQSCLQ IKPEPTRGR JR316_0009699 MNDDHEQLRPPDPGGGLLSQIWLSAASETIASTKSILNTSQRIL RTDCNTDSQARFQERIRNLETVIHQRIGTVPLCNLSPLQPLLGRYHHFLLKMEKFINA RGTPFDVQEEHISRCDDFQEEFKDQFSSLTPHWRGGAAMFSNSSNIVIYGGTFVTAET VTCTKNQHADSLLLDIIGVLCVPALPNTFELALRSALAVLASMPPQGYWGVWVHETTG YRMTF JR316_0009700 MSEINYSLFGGAESLDEGRTPPSKFNRAPRRTFAVNEGDESVRD EYAYTALPQDPKDFLWLMTEEPHRSRRMAIMRAHPEVTKLMGREPLTKYVVLFVVSLQ VTIAILMRHHSPLSPLFIFLAYAIGGTANHNLFLAIHEITHNLAFRRIAPNKILAIFA NLPIGIPYSAAFKGYHIEHHKFLGQDGIDTDLPTRLEIICLNNVLGKVFFATFQILFY ALRPTFVRAQTLTYWHFLNILAQVVFDYMLVSTFGTRPLIYLIMSSFFAGSLHPCAGH FIAEHYLWDGLEQETYSYYGPLNILAYNVGYHNEHHDFPSIPWTRLPALKALAPEFYD TIPSHPSWPMVIVNFIRDKEVGIFARAKRIGKGRRIEISVAGGPGATSGISCSKIVDL DDEAIDQEIESSSEGDLTESDKSE JR316_0009701 MSFIMLINFYIYVKKYLKLWLLNITYCLGILLVFLFGGLGYLSL WFFNGILKYLGGEPIQTFSEFVASLERVEQDVELDTLPQDSITPALAPAPSVVNSRKF PAPYSHEFQADINTPPV JR316_0009702 MAAAYPANTSPKKKSVVVIGAGVIGLTTALKLQKHDDYQVTVVA EIIPSDPKSIKYTSRWAGAHHVYNPINDTEQHFFEEETFKVMWELSEPGNDAEESFLR IPQTEYFFVERPKPEPLEKMPHPEELIPGAVSGCTFTTVSIDVPIYLNYLLTRFLGAG GRIMRGSLLHISQILEGGTSLFAGGSHLDALPDALVVCAGIGARFLGGVEDKNIYPVR GQTVLIRAPWVRFGRSETLDESGACTYIIPRRSSDVIVGGTRGIDDWYPQARPEITED ILKRGLALCPELAPPEVRAVRKPTLDDLLSHVVGQGCGLRPARKGGFRLELEWFDGKK VKRDDRIPIIHNYGSGVIGLTTALKIQEKGCYQVEIIADVLPSDPKTVRYTSHWAGAH YVSYHPTGTEEAEIDHTTFLEMWALSAPGGDAEDCFLRLSQTEYLEMEQGKPSPFERM PGVSLNVKLRDDFSKVINASPQFREVPKEKLIPGANYGYTFETVTIDVPLYLKYLTKR VVEAGINLVKGYVQHIDQVLEAGTWPFREDNISKDPISKTSALESAPDAVIVCAGLGA RFLGGVEDLSVYPSRGQTVLLRAPWVRFGCSLETGDTWTYIIPRKSGDVVVGGIQDID DWNPKPRPETRQDLLTRGLSLCPQLIPPEIRGDVQTSDVNTLIPLIVDEGCGLRPMRK GGPRRELEYRIARGSGDRIPVIYNYGHGTQGFIQSIGSAKMVLRLLEEA JR316_0009703 MFDKIRCFWDCRARDFIIPSMTTGTMLDDSDNVKKPGSLGRQAV GSESVPLKGESFEDTAANVNEGTFRKLLQRLTERLSKWGVETEGFESFAWVPAVITFP TLLGLANKHLNPSTMPSLPTPSASMMLSFASFVASGVVSWCTITPDYGVYHDHNGSAF KIFLYSYFGFLLPSVGHIVAWGMMGAAFAAAEPGIPSWKEGYDNGNDLGGLLGSVLSP TAIVGARRFYRALVDILSVIGYWSTSFGTIVLIEHFVFRTSFQSYDIERWDKAELLPP GIAAVIAFLGSLGIIIPSMQQTWYTGPIAASGTGDIGVFTGAAVTVLLYTPLRAIERR IWPGR JR316_0009704 MTTAALDDDSKRPKSLKGESFKDSSANVNYGTIRELLQRLIGLL SKWGVETEGVAPISKDRRTDTRIYQMFWLWFSVNFNVLAFSTGSAGPAFFGLDLRNSL LILLVVDVMYIFSISSSPCVETQRSTLYLRTCMVPAYFAVLGPKLGMRGMVQSRFSWG LYGAIIPSFLNVVSTLGFLILNCIIGGQIIAAVSTKLDDTLGIVIISLISLVVTFFGY RVMHWFENFAWVPSVITFPILLGLANKHLNPSTMPSLPTPPASSVLSFASFVASSVIT WHMIGAAFASAAPGIPSWNEGYDNGNDLGGLLSAVLSPSGGFSKFLLVLIALGTSCAV APTMYTFGTSFMAITPAFSHIPRFAFAIVAEIILVPLAIIGAKKFYSTLVDILSVIGY WSTSFGAIVLIEHFVFRMSFESYDIERWDNAGLLPPGIAAVTAFLGSFGIIIPSMQQT WYTGPIAASGTGDIGVFTGAAVAVLLYTPLRAIERRLWPGR JR316_0009705 MLELGQLDHLLPLKAESVEITGKIHNAPPKGILERLTATLLKLG VETEGTRPIPAEQRTDTRIYQMFWLWFSVNFNILPFTTGSAGPAFFGLGLESSLLTIL FTDILLYGAIIPSVLNVISMQGFLILNCIIGGQILSAASNGRLDDTLGIIVISVISLV VCFCGYRVMHWFESTAWVPAAIVLPILLGLGNKHLNPSNIPSVPAPTVSQIMSFATFV ASSSVAWCTVTPDYGVYHDSKASSLKIFLYVTWNMVGAAFAAAAPGVPSWQLGYDEGR NLGGLLRAVLAPTKGFGNFVLVIIALNTSCAAAPTMYSFGTSFLAITPTLARVPRYIF VIISEIILIPLAIIGAKRFYSTLVDVLSYWSTAFGIIVLAEHFIFRRSSFQCYNIKHW DQGRYLPVGGAAVASFLAAVAFIIAGMEQTWFTGPIAKKGTGDIGVFVAAGAALVFYI PLRALERRVWPGR JR316_0009706 MLELGQLNHPSSVNVDCVDRTRDFGNTPPKGHAFGKLTERLMKL GVEIEGTRPIPPEQRTDTRIYQLFWLWFSVNFNILSFTTGTAGPAFFGLGLESSLLTI LFTDIL JR316_0009707 MVGAAFAAAAPQVPSWQLGYGENGNLGGLLLAIFAPTKGFGNFV LVVLALNTSCAAAPTMYSFGTSFLAITPALARVPRYIFVIISEIILIPLAIIGAKRFY STIVNVLSVIGYWTTAYGIIILTEHFLFRHASFRSYNTAHWDQSRHLPVGIAAAASFL CAVAFIVLCMEQTWFTGPIAKMGTGDIGVFVAAGAAVVFYVPLRALERKVWPGR JR316_0009708 MLRQSTRVALRPFVLCARTRSALPQRVLPSELLRPSALFSTTRT HRSEVLSALASANPSTLPSTSTPPSLTEDAPIPFSTLAPHIDPYTLKAIPHTHMSPVQ SHILPLLPTLALPLSTHPGASHTHPRDLLVKAKTGTGKTLAFLLPAIEARLHAIDAHL AQAEHDSGFGLSPFQRADAVVQYARDHVGCLIISPTRELAAQIAVEAVNLTKHHRGWQ TQVLLGGESKGMQMRAWRGRKDIVVATPGRILDLLNSEPSVARAISKCNLLILDEADT LLDMGFRDDITQLTTFLPPTPTRQTFLFSATVSRAIQQIAQQTLAPTHKFVNCVSADD SPVHAHIPQYHTVLEKGDDIIPHVLRLIAADQIMYPGSLRRGRPGKDVDPDAPKASKI IIFLSTTNMVQLFTQLLRKILFNSSPSPSQTGPSLLPTPPSSTAVFEIHSKKDMSHRT RVSAQFRACTLPSSILVTSDVSARGVDYPGVTRVIQVGCPSGKDVYIHRVGRTGRGAS KVGRGDLVLADWEMGFLGLGAPGAGKGNGQARRGQGQQPSAGNLADVGLKPITKEGVI EELTALGEAAPPSRAYGRARVDELDRTAREIAARFAHTPISSIGLRGGHREEDTPYPQ VASALSSYAGFFLGKASSLGLHLPSVIEGLKRQFREMWGLDREVGLGRNLEGMVRGAL EGRGGFGDRRGGGYGGGGYGRGGYGGGGGGYGGYADRRGGGYAARDTSEETPTFTAHY ASSGSSGFPKGARQGKAFSRAGEYARSREAEWEGGGSGYVRGERSSSSGYAGERSGGG GYGGGEDGGRFRGNGRGNGKGGEMEREREGGYGQGAAKPRNVSVGFGLSRGWGGDGFL RGDEERKSKLESGLGRGLGLGHESGLGLESGRESGYGARGSGSGSGSAGSALTGGSAL GGNGLIGGNGANAKRKRKRRRRGKGKRQFSSAAGGRGRGDEGEEGRFCLSRPPPPPRL DGSASGLGVEAGLAGEGVGVGVEMSSRIDENSRLTASSSSSRSSLDIPSSSSSSSSNL PPSSRSSSNPSPSSSSSDPPPSTNSPPPPPSSSPTLPPPSSTPNTNTNPPPSSNPPPS STPPSSTPNPPPSSSSTLPPASSNPPPSTPNTNTSSTPPSNPNPNPNQSPKTTSLISS LISALPSFASPPSSSSSSRLSARPSSSRYSDRYSRYSRDSSRTSHSPHSPYPPSSPYP SHSPRPSRSGGPGSMVRSQDRGQDRGSSESYIQYNQQLNQKNQKETWGEGEERSLIMG TMGGSESASSSPCDSGYVSHSDSDSTFTTISTSTSTTIFTSTSTSSSTSTSTSTSTSN STSHHNHNHNRNRNSNHASTYTSVYDFYSPSSSSYYGSGSSSSSSSSFSSDFTSNSGP RYLSDYDYDYNYDLSGSASGSSASRSAGWEMGVRDGSGGFGGTTTTTTTTATDSVSST SNSDSDSYSTTTANSNSNTNTSTYATPALASQPRTSRYRISLIKDSIERVKERVGVRM RDGSSSDAVTAAVVEEKEKKEEEEKEEEEKEKEEEEETTAAAPVEETKGFAGVVNKTS SFDTCVSSSSFSSLDTSFEISPSRDPDQDQNQSQNQEQEQEQDEEDPDAAERAAEERV AELLRTRARRVTVDDCTPAMIARLLSDNPYHGRPFGRSSQVPNSNSNSSSKGTGRGRA TATGRSTRLPPNPDPSTPESRARAAALLEYLQTPDPELSYAETGKRIREVYGSLEIQR AETWVPPKVLAEMEREDARRAEGQGRRRKKELYEVSVLRGVYPWLFDDVPVPARTSGS VQGQGRVEERVIGRVRGDVGASEDGVGVGVGVGVKVEIEVGEGVLGNGDLPRVGVDGR AEDDVDDALRSRSQSSLRSRSSVDSRRNEKGDKEKDVGGSEGRMSRSGSGNRNESGSG SEAGAKGRRDTQQSNLGSRSRAEVRSPSSSASTSTSTSTLTAKESGNATPRTPRDRDR ASTPREYAARGLPPHIVASGASTSPSPSPTVNGTASTPTPSPTGATSTPTAAAAESTS RAPTPTAAKSTSPSPAPAPAPAPSHEDTFSPLKYYARYLPSRMGNLTMARTTPTPTPS RSTNRDSERQLNPNSQTNSNPNSQPNPNPNPQPNPNPNPQPNPNPRPKPTLESYINNL PPPVHIRTATTQRPLSRAVRNLERRQAHVPGAGERGVGNPFYVPGRGRSNPRRAAFEM GAKIWAEQVVKGEGGGEEGGEGSGEGSG JR316_0009709 MTKYILVSGGVVSGIGKGVIASSTGLLLKTIGLKVTAIKIDPYM NIDAGTMRPTEHGEVYVLNDGGEVDLDLGNYERYLNVTLSRDNNITTGKIYRQVIEKE RKGEYLGKTVQIVPHITNAIQDWIERVSKIPVDASGEEPDVCIVELGGTVGDIESAPF VEAMRQFQFRVAQQHTTSSATSSASGATSYAGGGGFSPNFALIHVSLVPDMHGEQKTK PTQTTIHSLRGLGLLPDIIACRLLSPTPLHLATKQKISMFCHVSEKQVVGVHDVSSVY HVPLVLEEQGVVRYLMGRLGLEEVRVKRAVRGLGVSRGLGRGGREGEEMGVDEEEEVK RVWAERRAYGRELGKRWRELTAGQERLFDKVSIALVGKYTDLKDSYMSVSKALEHSAF RVHRKLTVHWVESSDLEPETQESAPARYHDAWRAVVGAHGILVPGGFGHRGTEGMMLA IKYAREQRVPFLGVCLGFQLAVVEWARGVLGIGDATSGEFDPDAKNQLIIFMPEISRT HMGGTMRLGLRPTVFGPETLSPSSSSTSSSSSSSSSTSTSSASSTTPTSTPTHKVTST IRKLYGGAGTIWERHRHRYEVNPAYVDRLQASGMRFVGKDEKGERMQVCELADHPFFV GMQAHPEFCTRPLNPSPPFLGFVAAACDFSSGITSSPSYPDISPSSTPTPFAPSAPTT KISSSSTTSSSSTSTTTNGTHTHPDPDTTANQSVLGTQLALQMETYEPPHPKEAMVSE AQIKARAEAGEGEDERRREVDGLSEHMKVVQVVNEDGL JR316_0009710 MPCEALPVELEQHILSYLKDDIPSLRSCALTCHRWVYSTQRYLF AKVQLDFRHVPFPSQANPPDRFCQLLLSSPRISAYVRELSIVIAHGMEDQDLHRLTEI LQVCLPLLRHLRGINLGRGSTTSGSSGGGGGRLNSVISSTTTSVTTAIPCPTTSSVSE PGIRPKGYIWPVSWSFIPSAVRNELRTAFRSQSLVHIRLAHMRGIPLSVLALAGCPLL EGLVLEDVTFSGALEGGGTGGETVDWDASAAAQAQRRPRDSVLQSQRRPLKMLRLELA EPAFHAFAQWATNDDCPLDISSVQHMSLTMPKQYSTHGGINALLSECADSLRTFCCRL EVQAIGVNHDAVTSPLDVSALHNLRTLRLAMCRPRQKKTGAAMILFNNFKNFIPNVLA QLEPATHGLLDEVSVKLDVGEWKTATDIREAAQRIRVHMLDRRDRDDDDDDERRESGT TAKFANLRKMKWFVAGNEALRAALADGLQATPLPSGCQYAADSEEGSERVVEVRPCDT ADSPGYLDCAEEEEDTFATFAIF JR316_0009711 MAQPTRAQNNNARPLNPEKLASKKAKSMVHRECVGCSKTGALSE MRFMECVQCKSIYCSAECQRQHWPIHKASCVHPSRAEASQRKALAYLISNPILSQHIK ILTILHLKLHQLSPEEYAAYVADPFEVEILLNIEPADILNFLQLYHPSAQAETVSSPM RGMIQLLHISNTPEEKSALTAEERREWQRAVRERNKEKSQSQSESESAPQRESVCGFI NVNMMIKGSLEGNRRSSVSKCEFNSPFLDYVRNDQPFITPLFRGAGLLINTPMDAFSY MEYINTLIRSDEHNEWSLHTKMSGHDKDIYRFAASPLADPTTNLVGSLLSRLITKVFV EHVRAKVERERIYYLAAVAAGFSLDALYRGPAIEAMTLRVEADRNRVEGKTAVVRVPE HPIN JR316_0009712 MNKPTGDYEAEFTSEQNMPVQCNRCKQQFAPGTRLQWMHNKHQG EGRYLCGSCYEYYLSKKTTIRRDNNQDHKSEIAARNVRALPVASAAAHVGRDNAATSE AAIVQKHIAAAQRDERLAPVVAVGRNITQSVAYGSPVTNRPARQQGVSSFGALSGPGS TVVNVGLKAKSLTFPQKDLLNPGYQEAHGFYNEMRQHFAAMAYSSAANAELVVVKVWL SVRVPTKKSPVHIAGLHEAVSNIPVHIGLTDLKRVMYYALLPQFLEWSKGFPLRIDDC VVRNKLWVELIPKQPDVDAISEHFFSFKGRNKLSKIFTPKQGIELYLCITHRLYESII DHITEPKETSHQGNDEMQPSASLHGSIASKAVKRKYWESDNFEADPVEGPSLQHTADE LKNALQLQVPPRRKNMKSLFQTVIEDVSFFKLPPPVSFSNLVKNPDELQNPQHFAPMN ATISYDPSAIPFKGAFKLARVGYTSTPFLGGRLSNTRICIKQIFGGIDPVTEKPIVYE GQTQAKKVSIELNCLGWASALMELVYQEKRTRGVPPFTIPVMRYVNSGLAISKTNNAN VYLLEEYIESKSPTGDAWFVKYLNNSSARPVYFTNPEQNERSQFLSFAQHIQYIETKG LAFVSDFQGISIPGLIFGDGNTKFETFALEHDCNKFCQFFGLPKIDRPRINLADIPPL VESDSLPSQIRRQKSDSGSADMELLED JR316_0009713 MFFTARPCHNKQPVQTSNKGKVEGVKGRPMKWGTHKGPTCPHPL PHSLHQLRRRWACLCRRRPPPFDEQHNCSSLPPPPSSFLPPLPSSVRRAYAVMPQPLR QGVKVRRHRSFDAGGHVSAAAAALLCSTSSLYCSSLPPRSSSVRPPLLDEQLMRLISA TAALLCSTSRLVVSAAAAFDNNEQQDPAARYLQRPRRHLTHRRPATSSCWLSRLPRLL LFFFCLSIPAAAASIPNAPPPPPHCSRRPTKSPPAPIPTRPPPSPLPLPPPVPIRLTT NSSVADLLPLP JR316_0009714 MPNQHKPLPPEDEIKEYVQFYYDLGQNDREIQDNMKDHYNTELY GLSVSSIKRLRKKWDLLSTRQQEHTLESIAPAIQEMRTRFPTRGVEMIRKQLRVEFNI RVPRSLVYKYLQMTEPDALKARKARRFKRRRYHAAGVNDTWAQDQHDKWGPRFGLWLH HSADPFTGFLNWLKVWWTNKNPRLIAGYFIDTARKYGAIPLTTQSDPGSENFGVANVQ TLARHRLDPTLVGTLQHRWLRHKANIKPEINWSVFRRDFAPGYEDLFQQGVVSGWYEV TNVVENLVFRWIAIPWLQNELDKWANTKNRTAPRSDRKKILPLGAPLLIRTKPEKFNA LDFKIPVTEDLLDDLENEYAPKDHPVFQLTPPAFDERARKIYEDIGTPEVTMVSFWEI YRTMLERFRGEVDEEIEVVLTARVAEEQGIDQEEISLLDGMKDLRQGDKVVGLQEYSD DGNYASFTDTEEE JR316_0009715 MSTLSSTSSIVPSSVAGESTIPGIQFSHPTTEELSKQVQSQQIT IRQLEAKIRELQEELTNAKMLLVKKKKISANVPDCSAEENLTKDIQHLAKYHAIFIRP IIPKTLFGHPKPPFLPSDARKRYSDMSKLDLGHIAELYANFPSKYHSILGGENMDIAI STFTKAMSDIRSTVLNKIRTLATAVFPTIPSEVFLATRSAPSKSKSASSVSETAQKSV SSRPTSSASTRISTANPPITYLSEHPEIQRLLGGTCRRNQQTGDPFLVYENETVEVFP PVLFANGDGQNILGLFKSQYLLDIGKLTIYGPTALKEGVVRRMREDSPFLHIDKRPTC TTPGFIAWCCTAAVYTLSDDIEFTSSGVGSRTGRKYLSQHDMYTKYLITQYSSLTSLF KFWDATLFPHQASNNLPQQLVAPHPTVILIPNTLDPVGTMIANFTRQSSIADPDAITT EVEAEKTDSEEEFHPSSSITRFSSAVDSSHIVESDTNEAESDDGNMHPSLTLSPGTNP PAAHHDITTVYLPIEHAPTPSAQSSNPRPPASTSIPRNMPSTPGIDTASVPLTSLTVT LNLDVPVPQQLSAPKKRAGKKKAAPLEADNTLVPGNRVDKAAEQNGYLELPSLLQIQQ STIQSPDGQMKG JR316_0009716 MQFKLVSALAFATLAAATVTPVRRGGGGSSTIPASQCNTGDLQC CNSVQAGDSDAVSSILGLLGIVLQDVTALVGLNCSPLSIIGIGGNSCTAQPVCCTNNS FHGLVALGCTPVNINL JR316_0009717 MSFPPSYGNDQYADIYGAGSPSTPNQQQPPGAYPQWETLESTQA QAHEMHSLQSSHHSTPMHTPIATSFPSVELEEPPYLVPSQSHLSQTGSPMSDAPVLLH HPHTAQLQAQQYLQQQPRYDLVQPQEQQQSVYGQVHHMGPPDSSTLFRAPQQPSGVHR SIPSSRGRAAESHPYYRRASGGAVPSYQSHDSRGGSVESPLTPVVVSPVPSTSGVGVS TPLPSVTPSLPPPVPPQPPSPPAKVTTRRTRSAAKPKTSVRFEAGTKTTSGPAPSTSR VPVTRSSTPGPASPVSSARSTTPIPRSSTRRGTSLARTPPPSQTGTTSTSAAASSVSG PGKASKATRSTLHQTSSSSTSTSSTSLPKPSSAESSSIPPPTASTQAPSSALFHAYAS KYLHAAPVSTIRADIEYSMRTHIMTASMEIPGVKAEHIHIRLGTSYHTRTKNVVILAL CLPAFSDDADGDEAGGRHKMHGTTLPSTVLRPTDNLDVQMRKEEEEEEEEEGESLPTA DSAMHPPTAGGHGVQSAPAASLPSTSSSEPKSIADALWEIHGPYRPGQAMRRLTGTDV GFIGRVANPNVRERHYGAMRRVLRVPSNTELTRLMNIFPSLGVFRFNDHFNATNQSIF TWNDANPGITLDLASPPTTWF JR316_0009718 MPSSSPTAPTAPYFLKEGDIMKAKPKHFIPRPESVGSVGQHPVI VLTRPDSQGFVLVAPMSHNHPEGTPTRSASRYGLPVDPIKGESRVNVGQPKVVHQDNL RANKPYTTMAYNHFAALKAEISYGLLKPEPVYSLDRSHMKALVAFRNKQVLDIIPLTI WNGYSTNLIILQSTTADATWQKSSTNSSESRDS JR316_0009720 MSAPPPGNRVMHLHIQKVVKSLTLLSSSLPDNIPVATRHDKIYT AIPTAPIESQGSAIFETFNHVTDILFKEYADCRDEHGRFHYIRRGKLGMENFCVYIGK INWEVSDIPLDLVGMKLERILDELKYLTCVTNIYIYIQSNIKLPPKKTGVYNNAKTKP VPKTKKKAKKMSGITQDLINGAVRDLWSLPSKGNVTLNSRLALNKRSKYLVLDGECTD INSEDDATFKPRQNMERELSKDSILLYTLDKDGLEVDDSKNDPKKRQRVVSDTESEGE QVNKGPLYKKAKKSASAAKSAKIKVIDVDDVPEASETEVKYNKKGPANHSRAHFRNPV PVVVQGVHQWEFSHKTVYL JR316_0009721 MDYAFELTQSLSNYMKAKIASRLWHAELPNQIRENFSAETMFEC NLAVEVILQAFENQEYTTWDAEEYLTHLSARCTGQNSAVEARLKDQFPPVHSTLQYQT LPGTVIDSAGNILVWYLPGILSETRVESVWNSLRDIETMIHKAAPLATSWRVNDSYFR HEPGWLQPGNLNFSPAWFQQGHETSNPLEVSLDLCNPIGQEFIRDMMTSSALLGAILS IIHPEQYRAGIKFLQRLAAEPELVHKAEILKQILTIWSSPFGVMTAISNRDTPYHRDN GSCYSWYDFLMPLGKGEHGRLELPGLGLRYKYDPMTLVAITGRLLQHGTVCEGDRAVI VYYMRRNVFKELGVQEAGWSTTYDLFANLPATNTFDFEI JR316_0009722 MHWPPSVKMIFAIGLFHVHGHKTECLYNYASTYVPGVGIIDGEI LEPLWSVLNDTSRSTRSATTAHRAEVLDDHMGDSNWKKTINMAATIAAKFKRAREQSG ITDRFYRGITDQQDSGLINTWEDEISKAEADREQGVADAVGKVMASKVKTAAGRQEIE LHLSNMELTSNGATGKAAWISSGLKLEQAQLELRDHVRKLGKHPSTAQKLDLVNKRCS MRTRVEAFCRSAMTFMGEDVLEDIQGDIAPILDYEVSDNDDPDLGNVNITRADPERQP LPFPSAVKQDFFDGLDAGTNLILKGLRKLELQIRHGHAEDCLEAVRSALIQLSWQYKY QVRTADSVYMGTRAWDGVKLLNASWKLHRRLYNTNRQKMIYLSAGVRDKDNIRKQYPI LQVHDCKHSNAVSDPNIRGGSSDRLSWIWRSRQGLDNDNQLYVNEFFRLNWLRARAQR NRWQEELALTKKEMEWTVRFYVYMAKTWRARHDFVPDRLVGHRANAQKQIAMWNDLGR AADKVFRQINPEYPSVSLNILVVSHLVEFMHLPRLFKPPVEDEHHLLTYDERRALAKV HVHICGARIRAGYRLFIANGDSVSSVRRQKWMYLLDLEVNQSVHAILNRTPHHRAVLF ESLNQSWSAWEDDEILNQTKSWGYWWRDGFAEGDEWQVAFVTVESQAREFWNKVVLPE YQQEVLRLNNQRKEAKEGASSSTSGPPRNQENNPKGKGKAAERTSVPTSGKHGSALTG KHGSAFSPPTGKPNPPTRVANTRDETSPIAISDHRPPYCPRCGQPILTGVMRELAHLR RNVADKMKNAHKAVTTSSAALGRYSVLEKMWIDSKELPFSNGKGLSAKYKFQHPVHPS PDSWGAITAQARSFEVSKLKVASFYINDIFYSFIAVKELPFHPLWYHSPPSNTANIHL PTDTLPTPGSVSPPSNAHTSNAFLFNARSRPVFPGEEDDIDSTSDTTESSTPATFLEH LAQDFEEEADEESSAGDTTEESDASGNSEELSEDVSETPWDEES JR316_0009723 MGFKKVPDRGSDEEFPNVREASWSSGAKKRKRGRPRIHKLPAES SSTNLPQTTTYSFQNHEHNILEEEIPHYPGDLTDQQVLDNLRQLDDDRTGGKSQNDYL REWLPKREVYLGTMIGGEAPDPDLNGRCQQCQGMSGVWRCCQCFGSRILCSECLRRNH QFTPFHRVEKWTGLYFRPGALWEVGVKLYLGHNGKRCPYPTDPSHLGCDGGNNSSGSH GHGGNNSPIFEDEHGLDLDPLPASNDQESFTAQLLADPEVPHLVELDDGDDEDDDLFE EVDTTYLDQPRPKAADNNGIPFKAIVHTSGVHYLPVRTCTCRSVRLPSIDLQYLEMGL FAASFENVQTVFTVEVLEDFRMDNLECKTSAYQYYQKLRRLTSPAFPKKVLNRYRELR RLSREYRDLVLRRQYGEGHTREAVVPYYHHCHDSSPERMGINVSDPIDGGSDSPSQEV GMSTDPSLGHGGLDGPPAPQMTRTEDHPKVEDRRGKLALFCPACPQPGINLPDTWIDD ADRQVLVILQG JR316_0009724 MPTNASPDADPLPSTANATTHNGPRSGQTAEGDFPPEESNIWTT KEMRVLKKHVQPYKDTSKSSKADYIQNTVIPELQATWDHRYSRRARKEDKQLHKEWKV KKHRIFNWFRNHASNRIGVKLEGLNSRITFQTVFREEKSAEIDSEVALLSGNAARGSK DWMKFYQQGRKQVEARLTQEERDRFMEILEEWNKKGVSKSMKAKTAARQGRKILRQME KLKWQRMGMRSITFEGHYDIEGKIEYSMTQTSDLALDNVRIPSFGQLFPSELAAFRRA FVQYLVHISEIEKGVANPALPDASFHEKSLKFSSNGFPIVPSPIYGSTGREVAYAQKS IIRIYMNKVYALAKDRPGSSVPWDALERRSAEMIDPEYWPSSIPVTDPSRLRLESTSA ILKLWRDRQAQGDIPFKFSKVFGNGYDIMEPLYPSNLFDGLEAHPIPPPPAAITKRRL RQKAIRLQTQSSSDSESSNLSEFDNGRGSPASAMPRTTARFEVTPETDETPTDAPSRS SEPPSLPSRSSPTIVNPSSSPTPEVEEAPPKPARKIMPRKRTKPYVGTAEMGRTR JR316_0009725 MAASSSTTATFAQRLADWEKKFTECYRNGESAFNAELEQLYRDL VPLCQEHVRDAARFRLIDYVASPVVYSYKVIQGKDGENIYRFEVDWAMLKHQVANFKA YQEGQEAQRKRREEEEQEKRREKEEQEEEERQRVEERRKREVRRKREEKKKREEEEER QREEEEERQKEEERRKREEEKQKVEERRKDERRKREQERRTREQERQKAEERRKREQE QEQEQETDEERNKEETEKRRAEKGKGKAVEPPVEDGPVTDAHKDKGKRKAADPVESIQ LAPADYRGPRTKKGEIIPHITASNMPGHPAYREKLERLAKSKQGKFRSKAIIGSHTDE DADADVDEDDEGDNKGAPPTTPTATPTRKMRTRSVKKDTEDDVPPIRKARSRSQKVRQ VPEGMVDMVERCTACIKFKVPCYVKGETGTEPLVPVKHQSCESCKSRKIHCSFYPGRN TFAGQFLTTPLGSYGEALKLEEGEDVPAKGKAGEGSFPEDVGELLVQLFERQGRIMER LDGLSASMTAINARIATFAETNLAVENRMKSIEDGIQEVKAEWTMAKEQVAGSTSLSV TMFNDITKRINHVRDVVDDLLEQDEQRNPAPKQAAGPSKTEVEQESGPSRTREPTSVP QSPSAPPPPAPLPSPPPPPAAPILPSPPPPPPAPVLPSPPPPPPAPVLPAVSAPPTAL FLPGSTPEAPLPPPAGRPSLPPVPPILSLSPPPPLPAPRPRSSTSKAAPLSKGAPSSK AAPSSKAGPSSKAKPLSKAKPSSKAGPSGNGHSSELSDPSDSDEVEIVEEEDVEIVAS TLPASNIATKTRAGRKRKAETTLAEASRSPKKSKAQKK JR316_0009726 MSSNISNSKESKRTARTDDLAPPPMPVIPDNLAPPPMPVIPDNL APPPMPVISSNLAPPPMPVIRKDLAPPPMPVIPANLAPPPMPVIPANLAPPPMPVIPA NLAPPPMPVIPANLAPPPMPVIPANLAPPPMPVIRNDTAPPPMPVIRNDTAPPPMPVI RNDTAPPPMPIIPDNLAPPPMPVIPPTLAPPPMPVIPDTLDPPPMPVIRDDVAPPLMA AHVSYTFDPMGGYPGSPPTQSDIEFEDVTDQTAYFNPPFLYNYTEQQLRATYDQIIDS SVGDNSYDSKVTTFKNLRLHQLQSEILTYNALEEIDAGLAGIESSLEKHLYTGRDE JR316_0009728 MSTDLGLDPSDPLNLLLHNTSQGADSSTSTDSSQMENGSAEDWS KFSALWAEVSDQQQQQHTNAAMKPYPDMMDFTDLSALPMDMDFNPSMSIEPSALHYDS MKFTHPGMSFSYDDQYGLSSELLASQFPFTFQFNGEDMSSSSSSASPPSSTKERRLSI TSSSSSSGASFSPVPESIPSPPTYNDVAHDLQYPDATAPTTFSDPAAELAQRVRQSAG VMLAVPMNSQLQNPGAQLPANTSQSKLPIPRLPRHNSVTSKSTPSTSSSAASTPPPST PPPANSFKLSLNTAPTALAPQEPTSTPVAATPASALPRPKTSHTTIERRYRTNLNARI QSLRMAVPALRVLEDREGGNGKKIKKNVKGSVPIKGTNCAGIIVDSVGEDGQGVVDII DERGFVDGVKVARKCSKANVLGKAVEYIRVLKKREQRLKAEQAGLKMLVAGLVGGPAL VREWEKEWRAKFGGEEKDEVEGDDEADDDDDSEDEDGSGDEDGGRKRKRPKASAAPVK KPAEKKKAVTTTPLVHTTSGEQDGSTVPEKRKRGRPRKVLPPPVTLAPTASQEVPVPT AQDEVMQPPMAQAMWTQPQPQPQQFLLAVFALFSFFNSPLTSSTPSASHQHTGTVLSS HPPLAYAPEILSLFPEPNASSSSPWAWREYAQVVHLIVSLLVLASLVANWLGLGKAVA RGRSLSFRVVKGAASKTRAHAGPFSWFDLGEASVLEGRAASLTFYERLQIYRSVSSRA SATTSQLVTSALAIYRTPGLLGSIARIQSRSIWSAANLQAQNTLPIRKHEALVFRELE LDTATALLTTASDADKTDGERALAPIEVLAGLVVKQRVKAHLGQLFVNLVEAEDALFE DGKEKEKEEKEWRRTIDAARELGGQVAQLGTLFERVWKASPSALEEAEIELELGEEGS NEVKALLVAVILYRRLFDYSHGCASPSSSTSTLLMSPPPSPTSKTAQARKKMMLKLRT ALGNRVFEADEQKQDEAEVEQGEETQKMGLEDARDRVVDLIVELERKERRSSTPSCST TADMGAAGQHTVNTTERLTKLRELMQKQDIDVQAVVIPSEDQHSSEYLAHCDERRAFI SGFNGSAGCAIVTLDKAYLFTDGRYFLQAEKQLDQNWTLMKQGLPGSDVPTWQEFLHK NLEGKTKIGIDATLISASDAESLNKQLAPKESSLVSLSNNLVDEIWTDRPARPANPVF HLDEKYSGQSHLEKVEKIREELVKKKAKAVVVTMLDEVAWLFNLRGSDIDFNPVFFAY AVVTTEKVTLFINEKQLDDTARGYLEKHVEIKPYDSFFDHLKGLPSTLDLKDDTTILL GDKASLAVAEALGKNTYTIVRSPVTDLKAIKNATELEGFRQSHIRDGAALARYFAWLE EQLNNGAEINESQGSDQLEKYRSELDLFKGLSFTTISSTGPNGAIIHYSPDPNDCDII KKDQIYLCDSGGQYLDGTTDVTRTWHFGTPTDEEKRAFTRVLQGHIAIDTAVFPNGTT GYIIDAFARRALWQDGLDFRHGTGHGVGHFLNVHEGPQGIGTRITLNNAPLKPGMTVS NEPGYYADGRFGIRIENVVLVTEAKTPNNFGDKGYLGFENVTMCPIQVKLIDTSLLTP QEKAWVNAYHEEVFSKVSPLLQNDQRALQWLTRECKNPI JR316_0009729 MSVNPSYCVPFVTNGCTFGGNCSRRHDIFKCSCGRILLEEYRKP HTKGNAHRQALQAIQKSGAQIPKSSSTGSVPRNRAGRSSRRSATAQYAAARQESSSSS PVQEQLVCEHCRRKVDNADYDAHVEGHMQRQRMDDALAELEEAKNDKEGVVVSGRNGI DFGILAGDCTVEIGITITSTLSAVSLNRCRVESSTRKDEHGVKFSARLRGKGRVIPKG ATRHLSVVFHPSYAGKYDDNLALVFFDLEKRSTFVITRSVAATVGDAEDHEQLKAKAP YKRRRIFRIDPIGTVVPSMRPPTWSKTPWVDKLLPFYPPERLIKMAFGPPPVVRQAYT NVKKHYMPAVFNENTYAWFFQTLLYLEEHQVKLDLEAYSLSDVELKADYPRYKLLVEG LAENRPSVLVGDFIHVSHHEPPETLNTNRTWYEGRVHKVHENHVTLLFGENFSTYKGT KFDVRFVLNRLPFRRMHQALVNKVNPKRLLFPEQAHIQGVTRVSAKQMEDISPANRLV KEDEEQLETVAAILNQAPGSVPFVVFGPPGTGKTITIVEAMNQILDRDPNARILACAP NNSAADLIAMKLMNRGPSQIYRLNALSRKLDDMDQSLRRFSSINDNLVFAMPDKESLQ KFRVVVSTCLSAGVPASLGLERGHFSHIFIDEAGQGKEPELMIPIKSIADDKTNVILA GDNQQLGPIINSSLASSLGLKLSYLARIMQREIYDLAKYKGITIVKLIKNFRSHPDIL RFSNEQFYNSELQTCGNVALTHSLVNYEELPKKQFPLMFHSVVGKDEREASSPSFFNI IEATQVKKYCISLITNRKNNIKAEHIGVITPYHAQRCKILDLLHKDVRFRDIKVGSVE EFQGQERRVIIISTVRSNTDYVTSDIRRSLGFVANKSRMNVALTRAQALLIVVGNPTV LSLDPLWRSFLNYIHSRGGWRGKEIDWDPKEPVFSDGSYDATRKSQAEAELEDTIAKL RAMVIQKHEDDGFEIDDEDDEDQDAAAFERPILREAE JR316_0009730 MGPGYDQDHPQFNQPCRAVTIRERDRELVSIEAATSDHTRDTVD QNDRQHSSSTGRATPSSSHTKPTIIRKTSVRSEPVFRVPDVPAHARTPENRATSSKTP VARNYIDSSRRASTLSAGSSTLASSSRSVSSTLQGTPSRSQGLTSVKNTRATKTAIPS DAVPLGRAYQPQRPRLSAALVSSKKTSRSKLLPTQQNRAIITASPSQSQSQSQPARKT ADPNTVTTTASSLSADLYTVKQYKSAGPLWQQLDDAFEQRMLAHKRDRSGNMVGFTKR ASAARRTTVSWGGGDEGGGSRPSGGRGRRGGGGGGGDELVLDVNDIGRKTAHIAPRLR LQVGDEIVVDELSDDEEPVQERPSDNEDEEEEDEEEERYRPVAKKSFVKSTSGPTRGN PFNAARKRRSAVGSSDESESESKSTESNSDNGDVESPREEEEEVPEEEEEEDEEEEDE LDPDQYNPHPRRDTDGDIVMGDARRTIEILPFDEEKMITAPLPLPVKREQQEEATLYD DDDDEDSDGEYHPSEALVSAVEALSLSTSRMRATNQLPFLRRNVCVGFLERCRTLNIP IYPENQDSRTRRKMRMQVTYDTAGSGVYKAGVDEWLCPICDLFHRDESGEEKGFNTRE MLDCHLAWDHEEVYHEWVADVDGNDTREYEWKLQIVIPEPAPEPEPQTNPSQSTSATQ DDVRPDDSGIFPFVTLSPPPSARLSSTARSPSVPAHIEIRPDLKPIARKSAKLQSNTV IKPIWAKTGSVATKRERVESSVSYEVDVQDTTSSPSRDSISQSESATLQDSTSASVTR TPETESVSPSRSVTGTSSVRTADPRSSRPMEEPRPRSPTSRYPTPPPADAPLGPAARY PYLPMSPSHLGDGGGPAIDESIAAVPSHITGATITYSCRPGGPYLFDLLGTLPLAEYG VLSWEIIDREDEIWESDDVKDEYKVMHALWARWIFVQANRNKFIANYVKGVKAFIDTY WRMIHKAAGWSALRFFLFVFLANNFLTSHDVAQVLLYYQNLTGMEHWV JR316_0009731 MVSPQSRKRPASRSPEPARYGKRTITSSPEEGEVDDNPPPVTKP IIQPISLPQKPATTSSKNIPFPFKKKTDAPRNGAGGVTGDSQGKPLNVFEALEEKQAK KKDDMFKQNPRHNARQNGDHWEPNLTVQPGSLLSRMAPLPGGSHFAAPRDNGRDHRDR SRRSPSHYSPPRRRSPSPQHRDTRHRPVETSNFSPLNNPRERSRERGRYDRGSRSKSR SRSRSRDDYARRDDYSRRYRDDRHHYRGEHRQDSRAWTHRGDTYQDRRGYNERRYDNR HATDTYRPVSPRAPSPGPSRSAQPSPPPPPSSPPPPPPPASRPSVSNPPPPPPAPSLP PPPPPSDTPVPPSCPPPAPPPPPVDDGPRPPSSSPPPAPPPDMRLKDRPMPYAKPAIQ RPDAPSDRHSPPPLNVPSGSTAKAMARGPDRRDTSTSQTQRKEQGEVTERPKEPERPK VALIKRRNVMRRPGKLEVQAYGHGFAGCGQQSDYEATTKLGEGTFGEVHKAIQKSTGV VVALKRILMHNEKEGMPVTALREIKILKALKHPSIISILDMFVVRSSDKDPLSVYMVF PYMDHDLAGLLENERVKLQPSHIKLYMKQLLEGTEYMHRNHILHRDMKAANLLISNDG TLRIADFGLARSFHSGASNPLPSSSSSAADGSGHRSRERKYTNCVVTRWYRPPELLLG ARHYGGEVDIWGIGCVLGEMFTRRPILPGTSDLDQLEKIWNLCGAPNQHNWPNYDLLP GCEGVTRFNSQPRRLRQTYESVGPETVDLLDKLLMLNPKGRLTAAQALEHDYFWTDPL PADPKTLPTYEASHEFDKRGHRNHQPIHQGPPPGILHPNQQRPPGNPPFNRNGPPRGF PPPQQQQPFGGPGGVGVGGPGGGGGGMDRRGNRPPYGSNMPHPTYDQIHGLKFAAHGH GQSHGQHHNYHQPPPPGDTRLNNFVSAYNRGAGGANANANVNRPGGGMGMGNAPHPMY PGGGPGGVPPPPFALPRHPMAGPGSMPMAVPPGFGPPPGQGARGPGPGQGQRREWTSR GGGGGGGGGKLPLNPGLPPKPVGGPVSGGVAMGIPNRDRDGGRDYDRNRAPPPPPSSS GDALNYG JR316_0009732 MPSLQHQITDPEKATHTPKAPHHIHIPRWHHTLHPSLTKQAARN ALPTGIAHIFHPTHAVFTVAQDGAEQRWFSRWHRKRLYKRPPNQIGSFWARIANMRRL EYWNVSWWVAISFTLGSIVWVINGFAAFLPFTDSSFTKAPNSQGWTAFLGATIFEIGS IFGIWEAWNRDDATHFGWNAKRALFTHKLEKGGGKEGLSESESGSRSPVSMPVSDAEE EDESAPEHRWIWFSTNGKFFRELGFLGAFSQLVAASIFWISGFTAIPNIQEKLMDNQG LNDGIYWTPQVIGGTGFMISGTFIMLEAQKIWWKPNITSLGWHVGFWNFIGAVGFTLS GAFGYAATASSGAEFESALSTFWGGWAFLIGSIVQWYECVNSVS JR316_0009733 MSSVIPPGLDNRGVLSRFASGGDTKDWLPYTKIKLYTNAFIVKD NKVLLGFKKRGFGKGKYNGFGGKVDPGETSLQAAVRELQEESGVTAPLEHAGTLFFVS EGEDWAFEIEIYRADSYEGDITESDEMRPEWFSTVGVLDGDSQSSTIPFSKMWESDIV WFPYLISKRKFAGRADFTREGDEFRLHRWWYGTVSDSSSEQ JR316_0009734 MLNIPPGLEYLITGSTILDPFTSGGDSQNWLPYTQVKQYTNAFV FKDSKARTPSVSCVCIIDVETTSGGKVDHGETSLQAAARELQEECGITAPLVHTGTLF FVLEGEEWVLHAEVYRADTYQGIVTESEEMRPEWFSTRTGDEGKVGEPPALPFAQMWE SDVVFFPLLLSKQTFVGRADFIRDGVEFKLHKWWYATVPAT JR316_0009736 MSHLTYNCDVCRTRIPPLNPRVHCLDPACPDFDLCANCALGERS TPPHVPAHKTKVFKTAGGGGVTMAEGRWYIHTNVANAPPPTQNIATPSLPPRPMAYGP PPLPSRGSNMGTNPNPNPNSNSATSFHGHSQDSYPSAGWQPFFNPDSSPSHTFVTLIN DIFTYLDPTNNGNLVPETFSRFLDDMGYLPHENAWKGGLKATFGLSAESNADKSLKNA FDLFSIDHILLQRQQPPHVDPTGLTSQFSRVLGSAFKPSMLGSSASTTVGPMPAITRA GFVEITRIEALSDPSREWGNFSRLIKKYDLPRYRGWGDLPRSVLPDVPDAAMLQRVAG VNAFAKRQGKEALDAAIVEAQIRARGREAAIDLLSDTRRVEYRYL JR316_0009737 MESTITIEASENPIKSVTVFKSSKAEVVRLFYLHLKKGRNKIGI KGLSTDIDAQSVRVSGLRDARLFDVTCTHVDSKAALPHPGSLVENKRALFATKTLLES ERNLRKEESKLLLQYGQTLNGEHITHGQMSAFLQSYVDQGRKTLQAISKLEEEIDDVN RRLDILDAQIASKKGSALGQVDIVVVAEEEDSVEMKLTYIVSNVYWTPTYELHATTVN GKPSSFVSLHYQARVSQSTGEDWNSTTLTLSTIASDTVVKRIPELHPIKVRPKFSPQR TSYSNNGLSQMQQSMIYGQQQMQQQFPMQQMDFFDTLTAVPQAMPSSAEGAFEEIGGI GAITERTTVVSETPIAISFSVYGESTIPSDGVEHQVSVAIPLFEATISYLCIPRINPR VFLQCVVKNTSEYRLLPGPVNVIFDDSYVSKTSINDVNTGDTFECTLGDDPSTKVTYL RTAKTTKSNGGAFSEVTNTTVYKTKINIHNKHQFEITDLVVRDIIPTSDDKRASIVLR KPIGLADSKNGDYVDLKDDGL JR316_0009738 MAASTLQIASGGIKSYYQAKNEAAELLINQKTQNLRRLEAQRNA LNARVRLLREELQLLHEPGSYVGEVVKVMGKTKVLVKVQPEGKYNVDSDIDVNTLKPA LRVALRSDSYTLHKILPNKVDPLVSLMMVEKVPDSTYEMVGGLDKQIKEIKEVIELPV KHPELFEALGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCKFIRVSGSELVQKYIGEG SRMVRELFVMAREHAPSIIFMDEIDSIGSSRGDSGSGSGDSEVQRTMLELLNQLDGFE PTKNIKVIMATNRIDILDSALLRPGRIDRKIEFPPPGPEARVSILRIHSRKMSLQRGI NLRSLAEKMGQCSGAEVRGICTEAGMYALRERRQHVTQEDFEFAVAKVLKKNQDSNTS VNKLFS JR316_0009739 MAGNCPNVLAQGTCSDSNCKFSHTITTCETCNLVFEDSDAYEIH LSDKKHQSRVSGSSVVSHCIICVANITGGEKGWQVHITGKRHATQAITKGLSPSIEPQ AAITTAKNTFCDLCQAMVYNAHWNAHIRKEQHTSRESFMRYRSALEDAEADKNDVIVE GSFDFDFVDPTAAAAGVHLYANVKTTVIHGRCELYELRLASTQGTRTIIPSFSAEIRG TSRSVTTQTALTVVVTLRQGYIGRYEDRLEFVFRDTRLRKYFIISRTLKAIVGYKEDH ETLRPSAPYIPRTRSAYKPISNVVEGVKPPALSAVKYVVRLPRAEIPHQLQIMLSGSE STHRLMNNIKKIFMPSSLNSDTYGRHFKNLLWVEEYKMEQDLERYDIPNASLAKYNTY YYLRVPGLAEKRPSVLVGDLILVQERGSRDGRWFEGHVHIVRQEEVGLRFHGSFTQYP EGKLFHVRFKLNRIPTRRQHQAMDSVFTEDRVLFPRVTHITPGPARRPASLGMKLFNP LINTNPPQLQAVASIVSMRRGSPPFVVFGPPGTGKTITIIEAIMQLLEADPEAKILAC APSNSAADLIASRLQERRLKDTQLFRFYAASRFKNQVPDALLPYTAMSNGHFTVPSMQ RMRSFRVVVSTCVSASFSAGIGMARGHFTHIFIDEAGQAMEPEAFVSIKTMADSNTNI VLSGDPKQLGPIVRSSIARALGLEKSYLERLMDSEPYDLKNSYGKSVVKLVKNFRSHD AILKFPNQTFYENELQACAEASVINTYTDSQYLPSKKFPVVFHSVAGKDDRESSSPSF FNIDEITQVKSYVQKLKSDRAFRTADKDIGIIAPYHAQCVKLRAALRSVADDIKIGSV EEFQGQERKVVIISTVRSSKEFVEYDLKHTLGFVANPRRFNVAITRAQALLIIIGDPQ VLSLDPLWRSFLNYIYLNGGWIGPDIPWDPNTAVDEAGGYDKAIRQNASIDMDAFARR IEGLALAEVDEDLDANVDRPWRDVE JR316_0009740 MELRSSPTQTTSFQPPISKLTDEILWFIFLINTEGSTAPFPLVT ARKSSQVCRRWRSILLNAPSIWGRLMDWRALNQTSDAWRSETLRRSGLSVLWVTPPNV PLNTRRGCSFRHTMKTNTSTLLFFQKLIAENWERIEMLSPFVINGKINIDIQALVQRR APNLQVFTLPHLCMDGAEYHGELFLNHAPRLHNFRARTHCKLDIRWMSNLRTLNLSGK FSNQEVCKVLARMPYLESLTLQGNENMQVYSSPPIHLPLLHTLVLRHCLIYLRNLDAS PTTLILSGSETIDQESYGNCIASSLHDYLSKVQPKFTRLRLDPDRFTIGDQPYLLPNS QEDGYLEISVSRLSTSYGNRLERTMDILASPRFSTITTLRIQCGELKNRSRSCHHSFQ QFLEHFSAVDTLQIHERDINILMQYVMTNTDTKFLFPLLKCLVMTGFDFSKVFDQGFK YEHGPASGSIEQFVDLRKRLGIPIQILTLDLTTSRPGKSIPPPARSLDPSLEHLVGLK VVWRWDQRIINYECGSGHPEHLQVKVDDPLVSGIMEGV JR316_0009741 MPVPSEDPLDEVLKPPPDETPEQRELRLEREAEAKRVSLAIDAN IKAERQALRKKRIVRLLLLGQSESGKSTTLRQFQRLYTPTAFREERILWRAVIQLNIV RSIRIILDALSGPMPNSPYSSPRARNRSLSRGRAPPLPSNYSPPFPPQSHAPDNMDQM DYPRRNGHGHDMNFSYVNHPLYPPRQPQRHQIPPTHHYNDPDLDDDLDDSDTDFAVGN NSYPSSPPNFNGSPRSHPSGSSTTTLAQSPLEALKARLLPLRHIEALLIAKLVPPNEE EATHLAGPSHYQNGQPSPTDSQFSHHTLHHNGRPYRHQRSQSYRNQEIFVRPGPGWKG GLARARVNFPDYSDASSQSSSNGQRPMSAGNTGLESPDEPQEVLHSCKRDMIQLWNDE AVRDVLRRRKIRLEDASGFFLDDLERVTALRYMPTDDDVLKARLKTVGVSEYKFEMEI SAGRESGTEWRIVDVGGSRSQRPTWAPFFDDVDAIIFLAPISGFDQVLAEDRTVNRLE DSVLLWKDVCSSKILANVDLVLFLNKCDILEKKLNSGIKLAKYVRSYGDRPNDLDSVS KYLRGKFSAIHREYSPNPRKFYAFCTSVTDTVTTAGIIASVRDMVIRQHLKQSKLL JR316_0009742 MQVQRGDMGRYLAVEECYTIYRGDLIQFGTHSLTGLPTRMRTYI FYSDLTASLAGTYDINHGKGLGSGAFGVVNLTVHRIKGTIHAVKTIKADPFNLELPEN LRLTHEISAMEALRDHPGICRIEEVFFDIGNITHIVMEYAAGGNLYEYIHANIRNNLS EGDIKAIAYQICSAMEYAHSKGVVHRDLKPENILLTNDKTPVVKITDFGAAEFFGETS VMTDKVGTKLFWAPEILILPSEGYSHLVDCWSMGITLLFMFTTHRNLNAQCLLPSTTL KSNRITREAQVFTADFLHFIPSLRATFTKALSSPWLQSYRRQVLRAVPLGNWNGKALN KENIDPAQRADAIEKGITKIHPNQERE JR316_0009743 MPVIPPTLAPPPMPVIPDTLDPPPMPVIRDDVAPPLMAAHVSYT SVVDKIIMDSRPAISSERKQGRYSPPPEACHLLLQNPYFRTYGRFDPMGGYPGSPPTQ SDIEFEDVTDQTAYFNPPFLYNYTEQQLRATYDQIIDSSVGDNSYDSKVTTFKNLRLH QLQSEILTYNALEEIDAGLAGIESSLEKHLYTGRDE JR316_0009744 MPTIASPDVDPLPSTANATTHNGPRSGQTAEGDFPPEESNIWTT KEMRVLKKHVQPYKDTSKSSKADYIQNTVIPELQATWDHRYSRRARKEDKQLHKEWKV KKHRIFNWFRNHASNRIGVKLEGLNSRITFQTVFREEKSAEIDSEVALLSGNAARGSK DWMKFYQQGRKQVEARLTQEERDRFMEILEEWNKKGVSKSMKAKTAARQGRKILRQME KLKWQRMGMRSITFEGHYDIEGKIEYSMTQTSDLALDDVRIPSFGQLFPSELAAFRRA FVQYLVHISEIEKGVANPALPDASFHEKSLKFSSNGFPIVPSPIYGSTGREVAYAQKS IIRIYMNKVYALAKDRPGSSVPWDALERRSAEMIDPEYWPSSIPVTDPSRLRLESTSA ILKLWRDRQAQGDIPFKFSKVFGNGYDIMEPLYPSNLFDGLEAHPIPPPPAAITKRRL RQKAIRLQTQSSSDSESSNLSEFDNGRGSPASAMPRTTARFEVTPETDETPTDAPSRS SEPPSLPSRSSPTIVNPSSSPTPEVEEAPPKPARKIMPRKRTKPYVGTAEMDGPDEES SVPPPVKPKPTRRIQPRKRTKPYSDPLNTVEEDGVQTGTTQDTDNTRAGRDVTCDAFV ETVTSPDTDETRARRNVTSDALALQEASLLAVAGKRQRKKTLKA JR316_0009745 MGFKKVPDRGSDEEFPNVREASWSSGAKKRKRGRPRIHKLPAES SSTNLPQTTTYSFQNHEHNILEEEIPHYPGDLTDQQVLDNLRQLDDDRTGGKSQNDYL REWLPKREVYLGTMIGGEAPDPDLNGRNHQFTPFHRVEKWTGLYFRPGALWEVGVKLY LGHNGKRCPYPTDPSHLGCDGGNNSSGSHGHGGNNSPIFEDEHGLDLDPLPASNDQES FTAQLLADPEVPHLVELDDGDDEDDDLFEEVDTTYLDQPRPKAADNNGIPFKAIVHTS GVHYLPVRTCTCRSVRLPSIDLQYLEMGLFAASFENVQTVFTVEVLEDFRMDNLECKT SAYQYYQKLRRLTSPAFPKKVLNRYRELRRLSREYRDLVLRRQYGEGHTREAVVPYYH HCHDSSPERMGINVSDPIDGGSDSPSQEVGMSTDPSLGHGGLDGPPAPQMTRTEDHPK VEDRRGKLALFCPACPQPGINLPDTWIDDADRQVLVILQGYVADGNFKADHLNQKNEG DDVWLSVGEGYMTAPGPYKEHIKEAISLAPRYKRTECLYNYASTYVPGVGIIDGEILE PLWSVLNDTSRSTRSATTAHRAEVLDDHMGDSNWKKTINMAATIAAKFKRAREQSGIT DRFYRGITDQQDSGLINTWEDEISKAEADREQGVADAVGKVMASKVKTAAGRQEIELH LSNMELTSNGATGKAAWISSGLKLEQAQLELRDHVRKLGKHPSTAQKLDLVNKRRSMR TRVEAFCRSAMTFMGEDVLEDIQGDIAPILDYEVSDNDDPDLGNVNITRADPERQPLP FPSAVKQDFFDGLDAGTNLILKGLRKLELQIRHGHAEDCLEAVRSALIQLSWQYKYQV RTADSVYMGTRAWDGVKLLNASWKLHRRLYNTNRQKMIYLSAGVRDEDNIRKQYPILQ VHDCKHSNAVSDPNIRGGSSDRLSWIWRSRQGLDNDNQLYVNEFFRLNWLRARAQRNR WQEELALTKKEMEWTVRFYVYMAKTWRARHDFVPDRANAQKQIAMWNDLGRAADKVFR QINPEYPLTSSLNILVVSHLVEFMHLPRLFTPPVEDEHHLLTYDERRALAKVHVHICG ARIRAGYRLFIANGDSVSSVRRQKWMYLLDLEVAILLRVLGYCYVNGFQVDIPFFISE ILNQSVHAVLNRTPHHRAVLFESLNQSWSAWEDDEILNQTKSWGYWWRDGFAEGDEWQ VAFVTVESQAREFWNKVVLPEYQQEVLRLNNQRKEAKEGASSSTSGPPRNQENNPKGK GKAAERTSVPISGKHGSALTGKHGSAFSPPTGKPNPPTRVANTRDETSPIAISDHRPP YCPRCGQPILTGVMRELAHLRRNVADKMKNAHKAVTTSSAALGRYSVLEKMWIDSKEH PFSNGKGLSAKYKFQHPVHPSPDSWGAITAQAWSFEVSKLKVASFYINDIFYSFIAVK ELPFHPLWYHSPPSNTANIHLPTDTLPTPGSVSPPSNAHTSNAFLFNARSRPVFPGEE DDIDSTSDTTESSTPATFLEHLAQDFEEEADEESSAGDTTEESDASGNSEELSEDVSE TPWDEES JR316_0009746 MDYAFELTQSLSNYMKAKIASCLWHAELPNQIRENFSAETMFEC NLAVEVILQAIENQEYTTWDAEEYLTHLSARCTGQNSAVEARLKDQFPPVHSTLQYQT LPGTVIDSAGNILVWYLPGILSETRVESVWNSLRDIETMIHKAAPLATSWRVNDSYFR HEPGWLQPGNLNFSPAWFQQGHETSNPLEVSLDLCNPIGQEFIRDMMTSSALLGAILS IIHPEQYRAGIKFLQRLAAEPEFVHKAEILKQILTIWSSPFGVMTAISNRDTPYHRDN GSCYSWYDFLMPLGKGEHGRLELPGLGLWYKYDPMTLVAITGRLLQHGAVCEGDRAVI VYYMRRNVFKELGVQEAGWSTTYDLFANLPATNTFDFEI JR316_0009747 MDEQGVQFGLVIDRDGLRLNVAVDGHNGHTKIGVNVDTDNKVEI VFSVEEPANGRVDTDPVALTNDQLIREGQEAGQPVVVVANCIKASRTVEGSDVEMRDG EGDDQDAFNGLCARMHIKRARMRIKRVRTRIKCVRMRIKRVDARMCIKRAHMRIKRVD ARMRV JR316_0009748 MADPPSPYDGFMTMSLDDRFALLFRAQQVRFDADKKVDDRLSAI ESKLERLTASLPKPPAAPTPSARPPHSQSICSTTTKAALEKIVATLSIADEQAGHVIG RAGTGLRQIHDISHAKISVSPVVTSGLRAVTIRGTAREVGDALSAIGKRIARRRIRNP RSKKPKQPPAPTAAPPTLVVEPPSPTPTSSSTPTTRTSRSGTASPHLPTPTAVDTRSS PSSSLAPGLPMEVDALRAPQQHSDGYSRPGPVQPREGIQTARRGGGPPRVFGANRPR JR316_0009749 MSKVLKGFQENFSRQNQNSNVASGSSHQATLNNEPGPMDVDVHH EYLDVDMVPPPMPPAPPPKSPTPPPHPPTPPPPIERPRGLPPRVIRLPQRFRDEVPPE PPLIIPPVVEEEPPQPQEPPSVESLYQTPMNGYGIFQEYTYGPPSITPDEHFTLSSVS DSPNIAKDPADSLRKVSEGTPMALPSDWSLDSEPKDDKSLLFKNCSTQLIMSWFYNGH GTKSYADTDKLIHQVVLDPDFDPKDFDSSFSTAREAARLDKISTQKNSTDPTLSEVCR PEAGWIKGSFSIPVPCDGFIFDSEEEAPQFVVENVMYQKPLEVIKQAFAECTSETYTT IPYREFWRPSPDEPPEHLFSESYVADIFNEEYEKIKSEPRTGPHRHLEPFVVGIGIFS DSTHLTSFSDASIWPILMYILNQSKYTRGKPKEFATHHIAYIPKLTDTFQDWHQQQFG KAATSEMLTHMRREVNTGVWGLLLDEDVKRAYAEGEAVELADRVCRAMYLRFIFSSND YPEKMLQSCCKCRGTCLCPRCLIQTMNVPKVGSKMDMRNRLKLACVDSETRQFDIETA RKALFLGKKVNSKAVNGLLQQTSAFPTRNAFSKALFEYGFNFYRMFTVDFMHEVELGV WKALFSHLLRILYTSSNQNAIATLNERYRQVSPFGLTTIRRFARNASDMKKLAARDFE DLLQCSIPVFEGLLPEPAHNKIIQNLLFEMATWHALAKLRLHTDTTLDELGNLCTRLC DLLRQFQKEVCIQYTTRELPSETAARGKRQAAKAKKAASAGLPVPPTQPNEPKTRTFN MQTYKLHSLPDYVDSICQFGTTDGTSTQMGKSEHKRAKLFYKRVKKGDHIRGIAKHIY RERVVHRTNRVEIRKLLREDRELLEPTPPDLHYHISSDVRQKLDILPWMSQNQNDPAT RDFMLRLKTHLYARLSGVNEFSDSIGTHERLQITILGDRIYEHQVLRINYTSYDMRRI QDTLKPNSSRCDIMVLASNKGADQQVHPYWYARIIGIYHANVVISTPDDYYCASKHKV DFLHVRWLGVCEDCHYGWKYRRLPQLAFGDINDSASFGFVDPSLVIRATHLIPRFILR KIPTLGPSVAYRSKENNEGEEWERYYVNFFVDCDMVMLYRGGGVGHASTRAATDSLRQ DRRADDIASRKKCREAHEAPNPDLKPDGASDQEQDAQDQAEVAPENSSDSDDNEDDSN QHTDSEEEEEEEEESNEDENRGDLC JR316_0009750 MAPTTPSFDWSQGSVFLQDGIIYYSPNCNRPVRIKAPERNHPHP FPERAEPDPTSVKHPVWWTDTFGWMSFIPLNPSFISDPFDTFTWQPELDVTPSYNTPP GPTLYQLEIHTIKHWRFKEQCLLEAAHKMKLWYHVPASQPPPPSIFKYDEPYTSKEEA YRQIKLAQDWFAVWMGFFAYFAACAKYDKYCAGKMVREKGELLPQWYTRLLEEVPMLQ QSWLDGLLTSPACIFSPNTQRAGICAIAEQAIINNPTLRYLEPPANLVWDALEKFLNQ FPSVPLAGLILRSYFRFHDCPLHSTKHILRMEHSTLLVTKYMYEKFALQTDKVKAAME ENQVEATVAELREIVSRAMDMDLAEAERAIANLPTHNWMDKGDYHRRGELYDHVSIFL EKRERNQRFIIATETEDAKIKRLQREEALPGYNTSVYRWKISNEWDIFDEVDLPRKYL QFVDPPPRKDGYIYDYPIQAARINPQSIIPDNDVPRVESVPVIQEPCVDSSSGGATSP HEPQYETFPMDTEEFQEGPSQPPVHRPEPGPSTMDTDTDEASKIHNYDWDTADLISNL QYSYGFVASVVPKKEDKTPEGWKHACQHFGFRKDGAEQFVSNTDRQLICQFHDGLMGS TDCPLPQDIHDLHPQNYLSLQVLGNLSLIHRPIPNLFVFAHHDIRAPQEESDRMSGDW SIGVKTPEAALYVLRVFQSHPGHTVVHQPYKEVTFYRKVSYKFTNDDYESSMLACRQI LDQQRGRAALLMGGIVGRIAKEYLSTESVLQGPSVELLRNGRGYVANPEAELLAYCND GLTEHDIAIIIGSYSLMTDFKNQVGVKSWFPPPAVWNEIDRNGIEWLEWTERNEYWYQ TRLELIRNGKAQPLTLQDWKSLLKNKPVRVLRESVQARSAAFVHEHIPVTRNPRR JR316_0009751 MLTPTQIEKALTAIDTNKELECPELADTLKGARWIHQSIDPFMN VKSVIQEGFEFLANHITDGMTRQQILDLQPKLWSFYEMQDLMPDLMDIIKKCEDNSAL IGKVIDLVSSAAAHSQSDDLFKAHKLIPELILFDLRVPLDLQAYKLACARVNRGYKHP AYAALLIPRVKFAELADLNAREILVRCESLEADTKNGDALDWPACLYDPDIPFDIDDK VQGLFRPPLGPQFVQRMLVGKANRLGGRASKGQIHKLVIAISGKTWPEAKSILKADRL YASILTLLSGLSDDDTWAKETVAWWQENIILPSEPVDHDKKSVRN JR316_0009752 MAAAAQAPAPARAPAHAPAPAPPRAPTPEPARVPTPAPASPQAR APSSHPAPDNGSAPALAKAFAAVSLAEPVPQQVSRRRMALNAIRIPSESRPDLPSKDS SSLSSENERSPSPPAPIKIKLPEKRKAVPRRPGPKRKDAPPSDPFETGNKALPTPNAD AVPAPNSDATAADGEEPPAKKARTQAKGTRQPIKRKAKKF JR316_0009753 MSGPSLKVEKIFYEESKVSPVESHSSRPIWNDQISRSVAEITQE QLWNGLSSLYDSNEDLKAEAASLDPTSRDPNARDNGELLDGLLDTFINGANKVLDELT IIGNAHPILAIAIYAFHEVIKLDIARRENNKKVLVVVLEMQNMLGPMFQLRNLDHNHM PQREKEIHEKRLKEIVEQITQDIKVCRSDITHFINRKFVYKLVLAKGYERKFAGHIET FLRRRNELQTVISEYTAIGISSANVALAEIGKKVEVADEKLDKIAEVLFRGLDTPREK DVFKFMQQHGGPEKCVNDLDLLPKLILKAGESTKPGKVTVTNRAELEEIRKELSEALS EDLDKVLDKHFLRFEKVLQVQNNNMKRMASHLENQGAMMQTQASQLGQILDTVTTIMV MEEGKYRAKAVKLKDPEIQRVWTQMNLTSVVKAKVFVLTFRDHIRLDHSSAPTPSIGT PIPYTSQLPEEHVNSLLRTPISVTSSVYRPPLKMTESNRDLHTLQLAMNSIDAQESSA SQRLPLHSENGRVITNDTPSVNVKERSQNGPEMPTPALGLTISDTTELQPLAAMPEPQ IHPHLEPTQKPSLPVNTGNKSDDWVLEYIDAAYVKPIVEAMDEDGSGFISVQEANRFA LARPAGMSLLHWMAYWAAGWHINLAKYQKEIYTVLLQISDIVPRVLVTNSIYVDDYLD VPILTRLDALLRSIRPVPDNVRKDHNLIEVANIMASLQMERLRTNLADMGHVIESSLD ATTIAGGSSRVETWILPLVLLLLQRHRDVLRLAKTVVLDPREFDAHVQSLMSVFSVFD ERIEYLEARFRQLHSDIDAQFHNFAYGMFFAAYKKDEFNTYDHTLLSKVRELWRPDDV PNKPGVLPDTSILTKPIGETFNPDDPIIDATAPLPDGYVSHPIEGEWSGWFQPSEGTP YYLRPHRCVIHPIVDNKLVGRAEAFCGAVDIHGTAERVQTQPSSADLRVEFYFSPENS RYGQQLCRGTYNAEKDIIEGTYTWFWPSTPVQLGVAPQPFFNGSDMEGAPAVGVSMDE NLEAGVNTLVRPPPSNDFTPLLDTEPSQDVQTLEFNQVETILQVKSPLVNVDGGNHDR SYTGLEVGPPVTFDPQTAISEDRIDGRFVLSRIPIHLLRFRYLLDGSGVPPCWRVWPL ARKRWSFAIEAILHQTRWRINSSKVFNQALAERRKWIYLSMRWYIANPDPARGGWSPF EPLSRNDWEAYETLESYVPPTNARLYERTAHYLARREVHIMPVGRLPCDVCNWDLCFR RQQCIYCKDDHLLLDHRDVDICYNCIDKPVPFREVTHSKSHSLLRSPYRIHKYEYKNV IAEARFISARVKNMFRAQEEQRNELNKHHHRRGGRGKKGKLGNDTDRKTSSTDSDPIY CACCAETVSLPCWVCIVCSPYVFFCSRCERNNASVTNWAAFYHSKKEHQILRIFDTVE VKVFRDGNNVGVQLEEINTTISSLEKKINKQLQEGKETKGVVDEMAKKVGISWSDEHS NLQSFAEGAESSSYDHGIAADSARITGISTPTDVEGTTTQPLESLHERITGNGVNQSE LERRLEILEAKLGTSKSKHEKDIGVKIDALEAKMDELFILIQPTTPILLAMPDILRDS TVGLIINTLSKGRLLPFADQKRGWLPPAHFVSPPPSFASADETPIKEPESVVVIPTEE TPEKGVVVDRSPLDSPLPPPPPISSSSGKKSPDVVVDVVPESVMAKSFDPNLVTWYDD NDQDNPQNWSLAKRILVLFWISLLTFSIYIGSAIYTPSIPGVTEHFDVSLTTATLGLS LYVIGYGIGPILFSPLSELPSVGRTPVYMATLFVFAILQIPTIYAPNIHTLLAMRFWA GFVGSPALATGGASIQDIFSPMKLPYVFIVWSCGAVCGPVLGPVIGGFAAQNKDWRWP IFELLWLSSFTFIVLMLWLPETNAETILLKRARRLRERTGNLNLYSESELKQAHMSGS DVVFEALLRPFQLMIEPAVFYVNVYLALAYAIFYLWFEAFPLVYDGIYHFKLGQSGLP FIGLIVTCILTSIGYICWNYYYVEPRFKETGSMEPESRLAVSLVASVFIPISLFMFGW TSRSSIHWIVPTIGAALYLPGLFLLFQSVLVYLPMSYPTYAASVLAGNDLFRSCVAGA FPLFGRAMYLKLSIAGGCSLLAGLSIVFIPGLWFLYKYGARIRARSKYACHV JR316_0009754 MSSEPKTAEIVAPIFDFSKTPIADDYQGFYVKVLDDVFTPEECA EFIALAESKQQWKQAAVHYGLLPDQNYVDVDYRNSLRILHFDHEAAERIYQRLLPYVQ ELVRIEPGSEWEGVMGYKGAVKGTWDLVGVNERLSFLRYEKGHYFRPHCDGLQRLPDG RYGKVTLQIYLGEDGVKGGATRIIGKDGKYVDIEPTKGRVLIFQHRDLYHSGEDVSEG VKFALRSDFMFRVRYPGHADERGQ JR316_0009755 MQFKLVSALTFATLAAATVTPVRRTGGGSGGGIPASQCNTGDIQ CCNSVQTADSSAVTALLGLLGIVLQDVTALVGLTCSPLSIIGIGGNSWFVSLHVPLH JR316_0009756 MEDKYIGLVLALLGSVLIGSSFIITKKGLNDAATGGSYGAQASD NLAYFKNPIWWLGMVTIANFAAYTFAPPILVTPLGALSVLIGAVLASFLLGEELGHLG RIGCTLCLLGSLIIVLHAPEDKPINTVDEILHFAIQPGFLLYCFTVLVFTLFMIYMAV PKYGRTNPIVYLSICSLVGSISVMAIKGFGVAVKLTFAGNNQFTHPSTYVFMIVVALS IIVQMNYFNKALDTFSTNVVNPLYYVGFSSCTIVASLILFQGFNTSDASNTFSLLSGF IVTFLGVHLLNLSRTPEPPLDHLNGQPHSHSALEGGLMNPRLSLQGRMSIDGWNGVGG DRGIDIHMGGVAAGRQAGRHGRQSSLYKAQSTTLFNAFEEEEAMNGSPSYRHQQHKRQ PSADLHRLREAEEWEEDNDMDDDDDKRALLNKGKRRQNPNASNIALPLTRSGSGGSRS HSHSPVPDSSLTDVRITPRS JR316_0009759 METDIKFDEQDHQKPWTIDDEAYKFAPKKEGNPWQKLLEPVLQK EKIRCEAWKDEVGNLLIFAGLFSAVVTAFIIESYKTLQPDPNLDIVTLLTQISTKLHN PGNDTVPVALPSQFFSPTHSAIRVNVFWFLSLILSLTTVLVGIVALQWIREYQAYPGQ SARNSFAIHHMRAEGMDRWHVWDILAALPLMLQTAVVLFFAGVIDFLGALGSQAVTVS ATTVVAMTLLFLVVTFTLPAIQVVYLRFCLVFSVPSAKPPSQCPFKSPQANIFRVGLD TCPRLFASVMRYPLRLMQYAVRPILHHRQYKRIAKLFGHPLAHSMDQSKLPIRFHLLS FRFWNKNWIDFDLIWLQVRDAYSRYSYMAPAIKNDGTEDFTSQIPPVFDVMSAVRDFD IFLEDTDRSFDVYHCYTDLSKLMTMSQINDYGYRAINIHILWGRNKHFRSFIPVTDFF TPDDDFIDCLKPDVAGWSGGQTDEYTPISNAIKILHELNMNRFLNFRTYPGLHTRQIL HHEEVRLRIQHYLFGKKIIIGSDMHFPAFLQFGYLGGRKNRRTREPGDLHTQPENWNN FNLLGMTLAQEINEIARSDQQNVVPETVETFLQDLTYNLNETLSDEQPRLNVLYAMIY ASLPLRSIGTALQERSDVKSNINDLYKKLLSIIQQYMNRPADTHYDPSLAVSVDTILE RTGDPFDVLAFITQTSWRGLRNGVPAPSISVRRVRGPFKHKHDEITFQQTTMDTGFPA GQSHMSNPLNSTTPTPRDIEEAISSSTIP JR316_0009760 MSDTFRDSTVGVFINILSGGRYLPYPDQRPGWTVPESFLVNTAS SSATATFVSEKRDPTVPTRKPSREDITEESQTPARLSEDGPPVPESRRTSLSYAEKGG KGRYSVNVNMVREETIQDTHSGTVIVDWYNDHDPDNPKNWSLNKRLFVLGLISLLTFS VYIGSAIYTPSIPGIMEHFDVSKTTATLGLSLYVIGYGIGPLIFSPLSEIPSIGRTPI YMATLLIFALLQLPTIFAPNIQTLLAMRFFAGFFGSPALATGGASIQDMFPMVKLPYA LISWAIAALCGPVLGPTIGGFAAMKETWRWPIWELFWIASAAFAILVFWLPETYAETI LLKRARRLRALTGNPNLFSESEIKQSQMKTSEVLFEALLRPIQLMFEPAVLYANIYIG LAYAIFYLWFEAFPLVYNDIYHFNLGLSGLPFLGLMVSGIITSLGYVAWNYYRVEPEY RRTGKIIPESRLGVALFASAFIPLSLFMFGWTSRASIHWIVPTIGAALYLPGLFLLFQ SVLVYLPSSYPKYAASILAGNDLFRSTVAGCFPLFGTPLYHRLTIGGGCSMLAGLSIA LIPGLWILYKYGDRLRARSKYIDPADL JR316_0009761 MDSSNSPQDITSVQQHPIEREPIANVGSTENAGQGLRGLEDVEI EEAELHQEILAPTQSVEDTVSTGFEFDLPTDILTDLDMDAYSDFSEIPQPISMDDERF ESTDIIVSLGQPFNYYLGWIVLIISINNIPELNCKSRYYNNVQTSPFHYTIHVHPTES GSRELAAHSQRHSIIVALRWKKLSAETIKLEVQAEQVIGCRVITDTQMFYVRKMTEKV NKEVKRLSVILP JR316_0009762 MVFLSKKHVKIDLPFCEKYRDAAFKISNIGRWVVMVSGSQMLED IRRASEDQLSFDDAVGEISEDPYHVAIVRTSLTRNIGTRFADIHDEILTAFSELIPTS NNSWTLVPALETVMHIVCRTSNRLFVGLPLCRQPDYVKLNEQFTVNVVLRGNVIRMFP PFIRPIMGRLLSTVSSDTKLAVSHLQPYIEKQVDCIQAGGVPQNDFIYWLLEVAKGSQ RTVHDISLRLLAINFAAIHTTSHALTHALYYLATYPEYAEPMREEVRVVTNEEGWTKM AMDKLHKVDSFVRESQRLTAGGVALARKVLKDFSFSNGVTVPAGTHIAFCSYSTHTDE RNYPHAREFQGFRFADLRDKASTDLGKHQIVSLSPDNVTFGIGRHACPGRFFAANELK AMIAYIVLSYDVKLPDECNGKRPPDLWIGANPIPNMKAKLLFKRR JR316_0009763 MITMLGALPTTAILLGAYFLVRFIRAPTHKLGHIPTVGSSNIFL SYFDALRYFREAHEMIQEGYEKYNGTPFKVSTMARWLVMVSGKDMIEDLRRASDDELS FNDAVGESIQTDYTIGPQIRTDPYHTAIVRTPLTRNLAIKFTDIKDEISTAFEEIVPN TGNEWTTFPALSTIMHIVCRTSNRLFVGLPLCRDPDWVKLNQQFTVDVIVSGQIINMF PPILRPIVGRLLTTVPSSIKRAMKHIGPQIEAQLEREKNSSHDDNPNNLISWLLDEAQ GPQRLPRDLVTRVLSINFAAIHTTSHALTHALFYLASCPEYVQPMREEVEAVIEADGW TKLSMGKMRKLDSFIRESQRLSIGAVIMSRKVVKDFTFSNGVTIPAGTHIAVTSNATH MDPTLYEDPHTFKGFRFAEMREEEGESIKHLLVSLSPDYLVFGLGRHACPGRFFAANE LKAMLAYILLNYDIKLPNDGPRPQNQWFMGAASPNRTAELMFRKRKD JR316_0009764 MSRWIVVVSGPQMLDDIRRATDDQLSFREAIAEVVQTDYMIGPQ IRRDPYHVNSVRMQLTRNLGVRFDDIKDEIFAAFKDLISTADHGRDPDFKELTEQFTM HVILGGQILNLFPMMLKPIIGRFLTRVPSNIKRMVHHTGPLVQAQLDQEKSTEGNSEA PTKNNLISWLLEEAKGEQRTVQDIALRLLTINFASIHTTSMALTNILYDLATYPEYVE PMREEVERIIESEGWTKSSMGKMRKLDSFVKESQRLAIGSQSIRRKTLKDFTFSNGLT VPADTHIVVATRPTHLDEGNYEDPDKFNGFRFAHIREAEGEGVKHQMVYLSPDYVLFG TGRHACPGRFFAVNELKAMLAFVLQNYDVKLSESERPKEQWLQGQCSPNKTAKLLFRK RVL JR316_0009765 MVGFSPIDTSEEAPNIFFEDLAASACPLTRHQRGWFTSSLSLNP TSMPTIRRRVIRRSNAMRVTNHDRDRRDSGGLESLSSSVAPPCYTSQRGPGSSVAASD EAPPSIAPSEDNDSVSMHSCPEISNQEILPSPYSTEDLFADMHRMDDDPGAGPVVTPS IQTHSCTHGYTHTHSQCTFDNPPPPATADSHLDHAHSIAPTTSSPFPASESSSSPYPF ENQRRTTRRSSTPSTPYATQRGRASDVSSPSPRPILYHHRHMSQPAAIGHLTQRSLKA GYELHLDPDDILASENDPNNSNNNTPPVHTPLANSTITFLQDDPYASPSPANHRSGRA TPHAHRRAYSALSTSHLPASASASTAYLPTNQRATNYVSLSRKSTASKGSFFAFGRKP THSSITGTFTIDPGLRVPVALLKAVEPRALARALSTAAAGGKGVKSDAMSASLRKPVS SNKTHPQSSSNTTDVADNGPKPGVRRKNLVLEVENGGIDVDVHLVPEMAASTDGFSRR RSVDARAHVQEGSGFVRRPRSSTRRTLVEGEVNDLPPPTLIDLRLKDVSGSRRSRSKE FPLIARIHAPIPRPPFHLFASTINITPDDDADVDSVPALDVGNINSDKLVQEARLSAS LSPPLASSTPTNTPSVPVPAPTKPTTVLRKLPTLARSNLTLHLPTSFRGPLTVHVAAG NIDEHVRVSRGVSAAAVVLAESAGMRGFYVGGEGEGVVMSGGGVVVGDQSAISYRRVV DADPGDNDAAGYANLVPSGTDDQGEEGEEEGDGEVDNEEEEDDENAEWLGDKVDVVVG DGKVYLQFVGEEDPFGHRRGFWRTLLLGGMILDSFLLSFCTALLADIFSRYYLTMMFY SAQNCRHPYQVPAPRILASNTDAADVARRFHGLSRIAEHELLPLCLDNDWIFLGDMDT RGSRRGSNCEQSLSVLSRPLTFGSPMTTMHPPSELCYIAAVVRGVTLQRYYTRQCGCF SDDGAMLRRAELASCGRYHRQGLSRDATLTRAPRLLDFTRSLGAFTWGYLSLPGEDQV SSYCTLSNRMPLSECLLQLFTAIKRPWAQYNRSTSMQCDTSTPPAPLTYYILCFIRDY EKARALQVLEVSRQISRELVSDFVVLILVV JR316_0009766 MAAVIQRPLRGSRVATFYPVKTLPSLASLDSAFQLQEYISLLIR LDVHDVDAIVSFPGTLHKDKDSPSDKDTESKNEVTVDEGCWIYEQLRRLAQDLSHPLI TTLQQECTRASCPEMKAGEWLYLCVAHGNDGAMEQCCAIDYILHTVDSATALLNSPRT FPSRLSIPQTSHRHFSSLARRLGRIFAHAYFHHREAFEQAEAESSLYARFLALTAKFD LVPAEFLVIPPSHFAHEDTREREREHAGYEYGDQGRGYQQQQREREREQIQPPNKFTQ QEQLGVGGPTHAQPPAPSTNDSNTTSPSPNGGIGESPSSAAASRSRFGRNRTDTMVFA DAEASAIAEELAAKARAGLLEPDYVDVETKTASSGPEHESEAGQQEGEDADDADLDGD TQFEISLSPEEEIGPGAGGFAETDIGDLPIHIEKNQAPSPDVPEPVVEPEPEPETQEA PSNDVDEKKPVEEEGGAVPVVEEAKTSKVDEHVPSEAVEEISEAEPERATSPSAPPPS EDTPAPASPTALAAAADELHEIVHEVKEEATSSTDASSSEHPATVESESTNAGSGKEA ETETPETAPADAGESASEVAPPSQNTEPETPAPAPAHADEAEGAEPSKLKTAEPEHES GTSEHATDQTGQQEQEHEHEHEPKSYAEAASKPESDKEESQHAVSDTTSESTAKGSEA PTNTTTGTEALEVKDDIASQAP JR316_0009767 MASSTDTTPFVTAFLVLQLSGAGIFALVVFSALIFRTAAKRHPI WFSFCISWIVFGVSYALLLVAGQQFRRPAPERALCTVQAASVYAAPFLVMGSTLGLVV HLLLNVLTALSRSPKKKEHLNIMRALVVLPWMIWVAVLVGVLVPKGDLRFSHHCELNY NWSRSNQQSFDLFAVAIGAMAMALRILIFTILGCGALGVGLVFAVTSTRGVQFDLALA ISLTNVRPVERVAILEEARPSDTGSNVVHADHCEFHIVTVHAIDKEGIYGVEGATYRF FP JR316_0009768 MKLGYWDVPLSARVDDELDTSIVPAFDLTLIRLYVALNIIGLVG MILMVMTASISRKVKRLSTWYSFCVSWILSCSSYLLLFLTGQQTSLNPVYWLLLGPYS ILLVIFIGVAVYTNRSPGTLQKVSWGTYCRSTNPDWARISWIVVAVVSMAIIVVQGQL AARLYSNFHSIRRGSQSFATMLRGMLFTLIGFINLVVAMVLVVTNVHDLTFDIIISLF PILGLIVFGSQMVRLAYVLDLLEKVLVQRERQSFVFTTSKSLIDQGPDLS JR316_0009769 MSINPANEDIESGPEFERIKQNFRNNSIPDAPSNFTEHQILFQN LQLELHTFLGEHMNKVKDLQDVLNEQKAYPSENNRHAAEKGERGSMSLHPPDEDLSSL NRRKSTKSTDAVSQRTLSNHGVKSTRNTAYVSGHDNINRALLDTYTHALLSFLQAHAN NIRTLQTSLQNQVPVKAPSTSASAPLLNSKPPPLSAEEVKFYENVNQQFSMLVVISTF SAGLILAFLSLVYSIVGTNHRTDFSVGMFFAIIAVFIHFGNILIASRGASLTSQQDRQ YDFRYFQFYLAMCEQLQFVAIFMLVISSIIMSFLIFSSVAFPIVLLLLSLMGIALIFS SAYWEISITFRNLRYLARNLQRFKQSMEYLRIRGREDRS JR316_0009770 MDASDAVYLPFSNDGHDPHNGPKHCSVRGCTQFVPDDSQHKMCD TCRGRHRIYASTKRARRKLEKAAITARNGQEPLDDSNSGLSTPAVIESTWIADNMDIF PKELPNTTSQSTTVDPSSSIPPSILSESWNQSIDPRLFSEDSSRHLSIHQTSSSSELA NALTLPPPRPSIQAESVTDTNHLPAEGAENITENQSTPVANDATPQDSVEGLTRPCSV RGCKSSLPLAYQYKMCPHCRTRYRFYGNTKRAKWKTEREALDREMASLRDAEDRRREL EGLPPLADNPDELHAWELSIIDEQVPQVNDGISHLTPTANTQPESSSDSASASYTSAL PIAGVYSAMIGSIDTPDSVSPHPHLNTLSTMGQLGTLPARMCTVSHCHKILPGHYRYK RCEQHRLQNRQHSKLKRVREKGVNSIGAGQGVANTEDMAATSQTAMEDGTVKTQEDKE QASASVTDEKRKDNATEADKAVVATEGLGFDATSHIDINRKVPEAKSRAQMNQLSKFL CVTDDCQNLLFPGVRWRTCEECREMDRLRKLAVRQQLKAAENAYWKGVTQTLSAARKA SEMTAPSGIEIQATSSSSNEPPVVVNQLEEENGDDDAEGESDIGDEEEESPVVGLKLV YDSKATNTHDPAPPMPAPASPTVTALTTSPTVPITASVSTPDSTQTSSKTSISTPTSS DAQSNSGPFRPYYRKERMQVQPPMYLSPNFHTIIKQKQQVVQGDNVTAVQGQFSKFRV QLVPDAPAPLPTQTMIPTWDIQTKTPVPNEEVHVPSDAPQAVTEKPAPAQSATDISSE VGAADEVANATPTATVPTSAPFVYKTPARKTINEVTPTRVSGKGKKKSASKPAGPNAG LATVATSPTSVSTPHGTIGVLGLSSSYPYYPYYMPPYSYPHFPSIPFPASNSLPNPQP PIASSSTAAIPGSANFLPYTYSPQVLGLGPLIGGYPYLPPRYTLTGQNPISGQQPHVT LPPSSLAFKGYPIIIPPPGIQLSAPPPTTSVVATETTVPTPHDSQKETPSSKSATPTP EAEVVELTYHNLGTESFSETMDKPTRKRRKVVPDYLEKHKAIQAEKTAMLGMTGAPKN LDSSVTPMMSPEDELESLQEDINHTTQSDSGPAVDHTDTIKVPSATMRDENVSAYPPL RNARETL JR316_0009771 MFFGSVTALLSLASTSFGKILYAGVNESGGEFGVFAPGQKGFGL PGRFGVDFAFINKSTIDIFVDQEKINFFRVTFLMERMAPLEFGLGSKFNETYFSEYAD AINYITQTKGVYALIDPHNYMRYNDPSQQPFSGSVIGNTSDPTAATTAQFGQFWHELA RRFATNPKVVFGINNEPHDMPTELVLQNNQAAINGIRQAGANQLILAPGNGFTGGHSW NQTTGAGDAPSSDFLNKLVDPLHNTAIDVHEVDIQSPSNLAGLTAWLQENNLK JR316_0009772 MPPPNHERLYVDLIFRSSRKYPNWDPEVTIQVGDYGRITQGKRG LKFWMKNRGTFLKEGNIYKEGLAKQYEIPEAVEHGAAATEGITWITSKNARDISVEAN VAEQTPALVECGVKAAFKFTSGRGAVLAMNNDTISTIDPPGKLRHLLAEPTMKGLVIV SEVHRCSSYARFLSTQNGVTVALGLSAEPPIANVGKVGTDVRWVHSSSAGNFKAKVDK TGKRVFCPLFRLVALVDDDVASGLRGEMDQDPPLPDALPPWDPIEDAMEQEEGDLTAS PEEGTLPIGEKKYTFRRLSGAQRMYEEASDSHGNLADHQDEFED JR316_0009773 MSTEVPIVATTTSAPVNNQSTLENSLASSSQQKRRQPRQNRPNT EGAPKPPRRPRQPKDAKPSNEASTPSSVVDGEPKTNSQPRNPRKKKPVQAPANADGAP PPPRNRRAANFGAGLTNPDERQNQSSRDKEPGHSKNRRLPQGDDLTSSLIRNLSTPPY PDCPICFSSIRPDQAIWSCSPSIPIVTSSENQVAQYCWTSFHVKCIRSWADKSVKEVA DAWRARGEPNKGGDWRCPGCQAKREAVPSGYWYVTASSHRDICSSHFTGAFAIPPLSP NVFVSQHPIHVGIHVLVCAKVDAAIRAPYSAIPALVRLVKLPPVWSVIVQRKISSASV VE JR316_0009774 MPCYEVLSASDTAGDGVEEKEVLCDKPCQALRACGRHQCRRVCC PLASLAMTTGKKGKRRMMEDAHAQGIGEEQGGWHECDLVCGKMLSCGNHKCEQRDHRG VCRPCLRSSFEELICFCGRTVYEPPIPCGTKIQCHYPCPRSAPPCGHPATPHSCHDDT VSCPPCPYLADKTCACGKKVVSNVRCSLETEKVSCGTVCGKLMACGFHHCERLCHGDE CGACTAQCGKSRKSCLPNHHPCTRPCHAPATCPETEPCQSIITLTCSCGRIRQAVQCG RTATSSSSSSSSAAPKCTSECQIAKRNARLADALGINMDGRDKPGTAATYADDVVAFA RANMKFLPIVERAFADFVTSEKKTQVLPHMPPDRRKFVHDLAAVYRMDTQMVDQEPHR SVQLLRRVDTRIPSPVLSQYISSHAPPPSLGKLADFRSLKTASATPSNASSAAAAAAA ASWRSNATPKPATPPSTHRGWTSVVSKPAGAGAGGAPAAASTSGWGAQVANPRPTASL VGTTTSAPQSKSGTPRAVSPAVASGAGSGTNANEPVPDDWEDDA JR316_0009775 MQFTLLTTVIVACMTVFVSASPVPAPVAVEARRIVLPREAEVEV AREPEAEPEPFCSKYSCL JR316_0009776 MKSLIVSFGVLATVLFEICTAAVLQHISELKSTSFDFIVIGGGT AGAVVANRLSEISKFQILVIEAGPTNEGVLNAMVPAFDFNLQKTIYDWNYTTSPGIGG CSSHNGMFYTRGSRDDYDRWANITDDAGWSWEKLLPYILKNEKWSPPADLHDTRGEFD PSVHGFDGMMSTSLPGFPQSIDSMILQVPGQLPQQFPFLLDMNAGRPLGLGWFQASIG NGTRSSSATAYLSDNFTSRTNLHVLLNTKVNRIHSTKKGSSGVPTFSSIELDGTNVRL TAKKEIILSAGPVNTPQILMNSGVGDREELLKLGIPGVLHLPSVGKNFSDQPIVEVAY SVNSNDPLSNTNSTLQAMALAQWEFNRTGPYVNPASNFIAWTRLPSNSAALKTGIDPA AGPNTPHLEFVPFSPTSQALEPGNSGGMGFILVTPGSRGSVSLNSTDPLGKPLIDMGF FTNRFDILAMVEGIKLTQEFYKAPVWKNYIIEQTSPFANATDADLEDFIQNTVFSTQH GVGTAAMSAVNANYGVVNPDLLVKGASGLRIVDGSILPFIISGHTQAPVYAIAEHASD LIKNAWK JR316_0009777 MTVCYEIGRRCSKETVKEEHRQVPHTIPPISLSNTCKSWDSVER AQLLDNYHPQYSYAQFYPEHRDDLNLQLAECLGDRFTAITSVIYSLFASILQDKDRYD ALLSYRGDSAQQILDLLQMLLDCPEANAATKKSLLVTLAKLSSRTGLHPRCFILTGIL RGSSPLVSGAFGDIWKGDFNGRPVCLKVIKMYADSSKEKWVKTFSKEAILWSHVSHPN VLPFYGIFHLDEIHGRMCLVSPWMDRGNINEYLIQNPSVCRLFLTLDIAQGLVYLHEQ GIVHGDIKGANILVTESGRACLADFGLSRFQDGAELSGSQSGSTSFLGGTTRWQAPEL LDPKVEHPQLTKESDVYAYACVLYEIYVGRVPFFEYMRDVTVIYKIGQGKKPSCPPPD SQSFSIWGLTDQLWSLMEQCWEKDAQTRPSIFEIMLGIENICSSLSDPRTTDDWEERS ASRFRNSIYRSDRLSIHVLETVLSWVS JR316_0009778 MSPSSKSIDAAGVDVRWLDGAAPNTLPAGNAFGIPWAQGAIDRT TPISAIGESGGIPVQSWPLAYWPDGSLKWTGHAIGTDSLSNIGNGFSIAPGTPTEPKS PVSVQTGSDGSVTMSTGNFSGTTIVSSLSLSGNKLAQNGQLVLQLQNAPDPDFSASST TAAIGSVVQPASIQKMLGRVDNLTVEQSGPVRGVIKVGNGPIVADSILLTHADVRCQV NTPQDQMKDMQISYPSLSDFILHPGRLQLEQFIFLSSMATNRKTSLRVW JR316_0009779 MHRNGHNLSLPLSEPPTDQTPTITATSSQEPLIWEWSIEHEDRK KERKADAALHGATPFEVDRKILKDVVREKMGVEVGRIKFLSAGTFHKAYLVTLADHVQ LVARVARRFMPRLKTESEVATIHYLRHKTNVPVSKIYHYDSNPYNRLGGEFILMSKAQ GIPLSQVYHSLPYNDLVKLLKNLALIIIPLFAHRFSSIGSLYFGPDPRLNVTSGAPTP KAAQDHYSAFPFSPTLDMAGLSFSPRGSTTSLSSVESTPREHHVGPIISWPFFGSNRG ELLHPHELNRGPWPTTESYLASCVTREIEGVVRENEGKSAPHRLHLDPDEIHSSRHHR VRAVPGDESDESDEWNLSESEDEWEGPGDAMYRDYRRMQRSTFLVAHMSQREETVKKE MGRWMQVMEKLGKLLKKNDGPEEFGLDCHDLSLENIFVDEQDNSKITCIIDWESTTTR PLWACAHVPAFLQSSPFLSKIFREVVAQLPTDPTVSVQLPKTTKHVNLASLCNEWLYY EMHGTRLRLAHRCAEWDGWEEGLVESILGPEELEEEWFMTGRSADVLSAAHPAVSSPG AEGHASNPDSRGRTQAFAAAVGAAGRGIKLSSKLPILQEQEKEQMLTTNGDICGGRGG ELGRRLEAWLTVSGSLNENSETWSDAAANKSAVDAR JR316_0009780 MESVSQFRFRLSCPLAVNIFNPYRGFEALYSSEFKAALTLRRVH MEFKSVNTLGSPFELVHKRQDDDEL JR316_0009781 MPLVSDFPEPPTKETLEDARRALETIRTSMQELTTKIDVAEATL AQIVRESQYAINELQAQRSVLEKQVAKTMAYLSPIRRLPMELLREVFMWTYEDHPCSA WVLAAVSRSWRRLALKTPLIWSKIRLLTTQHSSADTIRLWIERSGDNVPLDIEIFLRV ANTKHSPEATAIRTRRTSSPLTPISIPGWNISFPAQNSSPHFVVAHAPLPPTVGNVAI IMPPSPPPHLDGWGTSSAVEKTTNTISKASMHWGHIAFFYLVEQMHRWERFVFRFDKQ FTSMGALKSINGDAPLLKEFEVSSTEAGFFAEWPWLPNVNASSSSVILPQLRSLTLQH TPFKWSSPMLRGLHKIHLRGLPTSHLPLDRVLHILSNNPQLKTVSLHFQGILPAILPL SVLVLPHVTSLTLGGHFLLTQLLESLTLPSLESLTLDIEPRDTVEDVISGLLTRSGRP PLQHLSLAYSAGPNSTTLYYGPSGLVVHWTALLSELIHLKTLNIGGTSLEILLTALGS PEDDPSQNQNPVWACPALEVLGLRSCHAHNEGVNKLVQMVEARNPHSGTAQVVGGVTP VRLSRLELHECTNLGEDVMHWLNTRIGEVICSDPTESTL JR316_0009782 MASAYYEFYRGSSIGMALTDSLDELITSGAITPQLAMKVLQQFD KSLADTMVKQVKTKTSLKGHLRTYRLCDDVWTFIIKNPTFKMESNDMVNASRIKIIAC KNGDAIEAGKK JR316_0009783 MSTSAIVLLCLFPTLYFLKKLLFPAKQLAPLPPGPKGLPIIGNA LDFPTKDIGQEYVRWEKLHASSILHATAFGTHVIVLNKKEAADELFERRAQKYSDRPH FPLAEMVGLSYNMAFMGYGDKWRLCRKITQQMFRQGSMENFNWVIQRKVHQALGGLLS DPNDFAYHNKMLAIAIPMASMYGYDVQSPKDPCIIAADKSLNLSIQLIAPGGSLINIL PILKHVPAWFPGASSRKAAERVRKLTEDMQQIPLDFVKSQMSKGTAAPSFVAEFLERK QTVGVSEEEEAAVLSIAPTVYAGGSDTTVSTLGTFIYLMAVNPDVQKKAHAEIDSIIG TKRLPEFEDRPMMPYAEAIYREVLRWKPPSPVGIPHTAAEDDIYDGYFIPKGTTVLAN IWAMTHDESVYSQPDIFRPERFFNADGTLNDDDRVLTYGFGRRQHMASNILWMAMVSI LAAFNIGKARDESGNEIDITDEYVDFGFLTHKKPFKCSITPRSVTAQQLVEQACANA JR316_0009784 MAFMNYGEKWQLCRKITQQMFRQGSMDNFNWVIKRKVHQALGGL LSNPDDFAYHNKMLAIAIPMASMYGYDVQSPQDPCIIAADKSLNLSIQLIAPGGSLIN ILPILKHVPAWFPGASSRKAAERVRKLTEDMQQIPLDFVKSQMSKGTAAPSFVAEFLE RKQTVGVSEAEEAAVLSIAPTVYAGGSDTTVSALGTFIYLMAVNPDVQKKAQAEINSI IGSKRLPEFEDRPMMPYSEAIYREVLRWRSPGPVGLPHTAAEDDIYDDTHIKRRLGRA MTHDESIYSQPDIFRPERFFNADGTLNDDDRVLTYGFGRRVCVGKHMASNILWMTMVS ILATFNIEKARDEAGNEIEITDEYVDFGFLTFKKPFKCSITPRSVTAQQLVEQACANA JR316_0009785 MPSSSILVTLVCLFSILYFLKRLVFSAKQPAPLPPGPKGLPIIG NALDFPTKDIGQEYVKWGKRYASTSTIQVLFR JR316_0009786 MFGLVSLAIALAAQRVAAHGGVLSYSWSGQWYNGWQPYNSPTGQ TTIQRPWSTYNPIQDATDSQLACNDDGTSGALQLTATVAAGTKITAYWNQVWPHPYGP MLTYLAQCPGSTCTGVNAAGLKWARSFFKIDEAGLISGTVYSGTWGAGQMINQNSSWT TTIPSSVPSGNYLIRFETIALHSLPAQLYPECAQITITGGGSLAPTSSELVTFPGGYS NSDPGLTVDIYSNAAQTMTSYPIPGPPLYAGATPRAGTSPVSSSSTKPASTSTRPASS SSSASSTPVPSSGTVPQYGQCGGQGWTGGTVCISPYKCTVSNPYYSQCL JR316_0009787 MLFSLFSSAHQVACAWKQNERQSKIASLPAIYSTPLSSSEEKIH ALSISQLVSQCQSGTIAPSAIMMAYAKKTLLAQQATNCITDIMFEEALLTPPIANWAP GVDSESGNDYAVRERSLLGVPVSIKDTVDIEGHDSTIGFSRNVRHPAESSSAIVRLLQ DAGALVHAKTTVPTGLIAIETVSDVYGRTTNPHSPNHSAGASTGGGGALVACGGSKIE IGTDLAGSVRMPAHFCGVWALKGSSGRFPSWGTRSSMMGLESIPIVASPLAGNLADLR EFWKRVVLAEPWRYDHTCIPLPWQEINLQDEGRKLKWGVVRDDSSLPLTPACKRALSM VISALKKQGHEVVDFHPPDMAEGLDIGNQLLFSDGGQQLRSGLTPGDSVTPATKAVLD LFNIPKLIKKILAYFIRSSDPASSKLLNTMHTKTVVEDRNNVAARDIYRAKWHKKWTE EGLDFLLTSPMPTPAIENGSSTKTSLMSAGYLFLFSLLDYTAGVLPVTTVDKALDRLP DNFTTSDEYMRFSPVAKVANSVYDADKMHGLPLGVQVVGRRLEEEKVLEGMQVIENAL REQGSVFVADVKL JR316_0009788 MPTFIDQLGTDIMKIPPITRFISGSAVAVTLAEMGRYVDHRQLL FQKDMVFGKLQLWRLYTSFFAGGNEYGYRHIPYTFILDLMILHRMLSDLETKSYRHSP ADLSWQLFMACIVIIIATLPLNIDSFSRPLLTCIVYLRAAFAEPGEKINFYRFFHFDI PLVYLPIALIAYDYFIGGPKYVGPSVAGAVVGHLWWWVVWEGRGDLQIRLARLVELAE LFGGIDTLVLRMEGDT JR316_0009789 MFSSSSTMRYPETPSTRNPLQYRPLNSSPLIESPESPKSSPAAA AQARRKSQFKSLGPTTPLFSRTRGPSRRSASSSAVDSDPQRTFLRDRLQARCLERATK ARERAVSKTRQFGFGPSSDDQAMDDGDDGEEDDDDIMQDELFRRIVANANRREKHSYR LSYAQEVGSSFDPELEDISSWEAELSGMPSADSRGGTKPPASTSGNSNFSSWPVPDES DEYLVTPDDLDEEELAEYAEEYYRNQGAVADFEDLPPEELFGWSGQIDSEPPSPSKVP RELTEDDGMDIS JR316_0009790 MTPDFNLKNDHKISPITVNDYNTLPTVTDAREQLQRNLGCLDFG KDFLLCNDICKLFLEGAEARRFGIFVAHRPSILLEEGERIVTSIPVDIYTALPRDIRT TFSSITLPRPKKWCESRHIVATSWVLVDGKFMEYEYNDMTGVTCDHYPSPPSPSFLRN FHRICSEFGLTGLGLFSLDFFGGTVDFDNLLVRESCNGFPRGRTTRWLRRVELEGDMV PEAWVPGSTKEPWLVGRVEDLAELKFNYQSYNRLHLVQDANQELRRQIMRNDGWTKLC DFFQTRELRRLFLDGQEACRFGLCLVHRHHALHEGERMVMSLQVDGAESVTISSPNRS DETTGSGSAQCIAATSWMLVGGKFEEFEHKLISQDEDHTRYIPPSRRFFSEFLQICQR YHIDILGIFSMELPFVQQSLNNRIGVDAPPDFSQIFLETTDQVTREHRTRLILRSDTR NMDTSQSAWILSRVGKEHEKYVKAVERNVHIEDENQIALMEVAESNGDQWAPDGDSGL QPSDATRRVKLDMKKFWLGSNMSVLDPLGNDGRLDVLSCGYTCQNH JR316_0009791 MAALIPKATYWDGSFPKKYLSQLRLVSWQFRDAVDPFLFSGLKF DFKKRPLELVVQQLNDMARKQSEVFHYVKQLTIETTETQISTLINKATWTISRLDEFN IIVDAITNLPYLEDLYLDFSGLPVHLQTTNMITLHRFTQLRSFGFRAQYPSDPLATSI IQQLRMNSTKESLTSLSIDFTGHTKNKEIFHEIVNDIPAWKSLQLKQLQAHGWKLVLH PNTIPHLRSLTSLDISRMDMESHSKIWSGLMAAGIQLQKLAGPLSMSLVMYLRSYAGL EHLTVYASSRVDGKKMFSDLSQSLTFHKKTLKFFDGASEHCSLNDMVAIRECEKLHYL AVHLRGGPSNDDFAKTVAIVLEIPNLEILKIDSSRAYAFTREIWAKFRGVVEDIE JR316_0009792 MPLPSTPPAVPDSENIRRPSALPNGGATGGPAKLGAPSFASVVS SSSGPRATARSPKASISPLQHKQKQEHAHSSAPLPSKLINNANPTSTLSIASTNGHDV DSPALTSSSRYHSPMTSPAGSKGNGGNNDNSTTSSDTRTTDPTNTGIPSTDLPERKDG GAETAVETHRLNDSAPCMNDLVNNGNMPSDANSNQLKPPSRGQCENGISNGFQTTAMS EMSALEREQRHQHEMEALTSSMHLGLRVSNSMDNVSAKTLSPLPLSVPLSTSPSQLKQ NGQPQSQAPSAPVHSTIPLPDIEDGDRTADVDARQLQHLAQHQQMRDRANESEPYAGS IDLTNLTVPPTVQQRSVSLGLPSSQSENFLSTFDATSPGGSSPSSSTFPTSASDPTLG NAGQKTPNVYINGLPPHFPEDQLFALASPYGEIRSVRTFTRHVRDSESGYGFVLFETV EAAERCIVSLRSYRNLHPTFSKQIHKIPGTTYAHGSLPSSSSWEGPGLRSGTNSSEGS STGALSADASFKAKMESLQDPTSTNLYMEGLPLSIDEPTLAALVAPHRISSSRFFQTR LSNPPRIIAFVRLETREGAEEIIERLHGRMVRGWNDTGSRISVRFADTSEQRELRRTE RAAQEGDGSPARLTIAQAALLNLRGQELRSTNSGPVIHAQSRPPVGRIPSATRQGIPT SVSAPDFATNAHLPPVIGSSSNLEVDYSLAPGRGGHGVQNLRSISPYDAQFAAQPQLG HVQPSMPSSLDPTMSALLDSLGRNTVPYQDVSGGYNNYSTDANYVHDQRGLQHQQHPM VASQSMDNLQHYSRPGPVYTRSGYTPTEEYIMRAHAESAALASQAQQQQHMQAERRRP APLDLGRQRVGDEVHDDDGANIAVGVRGYRTQASIGRLGQGMLSPPASAPSLPSLGMT NEMTEDEFHASASATRNDYRSHARSYGNDNVDQDEDQFGINSPSSAMNTKHNPNIHPA HVNARLSREPVSAPANYPVQRPPIPLSPSLVSPSSNQDSSSLNDLLNYQHAAAHMRST TLPQHRTSSLRDRQQRGHFQHNSMSMPAQNLRTPQHASVATLSGTRGIDGATTSSTIF EGEVQDHQQSNNASKPQYPNSPMFDLDTASSSPSLISPTLTYSSQTPSTLSPATPFFG SFNNQAEGGDKGHAMDHYQQKKMTPPSNMTPSSLRSLTGSR JR316_0009793 MTSSDSSNQKIRVGFIGLSASSGWAAGNLAPALLHPSLRDRFDL VAVSTTTDTSAAASAAKYSKEVGHDIKAYSGDTSRIAADPDIDLVAVSVKAPNHLQAV LPVIEAGKNFFLEWPAGASTRETEMIAEAARRNGVRSIIGLQGRHAVVIRKLREILAS GVIGTVRSTNVITHNPRELGYYAPFAREVYAYSLDPKNGCSLLAIPIAHQLDTLVDVL GEFASVSATEATMYPTITFVDEAGKPTDKTIPSRQPDHYAITGILKTGVLANILWRSG YASSEGRRQFIWEIEGEEGSIILQSKEKTGAFPGFTESELYLNGQKVEFETPGNVFES CRQAWQEYADGTERYVTIDDAVKHHRLIDAIETSAKEGRRIVL JR316_0009794 MAMANNVPIFLTLLTISASADPFHVPLARLAQRSPLNVNKEALK LKYKYGYLSPHESRKPPARVTAGRRATSVGIPVTNQDHDASYFGAITIGTPPQKFNVI LDTGSSDLWVADTTCQECSSLTGDCEPCDSRTPLFDASKSSTFVTPSGSAIGSKVPIR YGSGEVLGSLSSDSVTMGGFTIPSQTFLSVSSLTPGLVDGSISGIMGLAFATIASTRS TPFWQALGPDQLSSPELSFWLQRSDDARQVETAGGVFTLGGRNTTLFSGDVEFLSIPV SQPTFWLQTLTSATVNGKSVSITSDTSLSAIDTGTTLIGGPSLDVAAIWAAVPGAKPA NDDNPGFYVFPCKTDVTVSLSFGGKLWPISTQDMNFGPETPRSQMCLGAIFDLTQGTN ITPRPGTPSWIIGDTFLKNVYTVFRALPPSVGFAELSSVAGGTLTSPGTGPTLGGTSP AGIPSDAPGASNPLSSRGTSNLGSVTIAEHFVYIVLLLVSGASIIFSL JR316_0009795 MVHLKSILPRAAFVLASAASVANAQNQIKITAPSKDIWWVAKST NVLTWDCNNSPVDSFTISISNPDPKLLPDIMPFISVQQNSQCSILVSQDQANQPAGTG YVITFSNILNLTDVVGTSDPFEIKPLGSLYPSQVTPTANASSSASPTGGSDTSKPSSA ATAVGSRGIAGIFGGLALGAVGMMF JR316_0009796 MSPANNIFQLPQELVEKILDDVALECFRDGDGKLNDLKACSLVS KRFCTRSRHHMFSYILFTLDEHGQRRAAKLLDILRQNPSLAHVVTHHLHTFGLISNDD FTQLELRKSCIPGIDESFERLRQQVASFFNRKNNVYDLLGLINQAPIVHFSLGGWCLF NNKSTINKSQVIDSALRIIKSPSIRSLRFSELFILPPKLVYHALVSSQLRELSFRRIP SMPRETEATDPIEGLQIAPNLQRLELKRVYYFEFLSLILALAQGGGAKTITTFPIFRH LHTLVVTINSSHGEMESLWTLLLGVAPSLETLDIQHTGRLGNPWPDTPINHDIDSIRG LYHRPPPIGLQSDHLGIDRN JR316_0009797 MILLDDKQVEALEARRIAEEQEQANQEPPPTYASLQSSPSSSAL VAKTVNYVAITRTHASVKEILILDPSVFVPVFLRPPLAPGETEETRKNLRLEATHGHV HADITLVGNDDNPNESSSKRNKRVLMSMKSTHGGIVAKVAVNGDIRLHLPRTFHGPLI VSHRHGLVRFSDAVNRNLTTFGEVDSTRRCFLGDFSRWSDAGNGWPGDELVVEVRHGN VKIHYDDDAVGSTVKSRPTLLNRIFGF JR316_0009798 MANVFFDITIAGKPKGRIVFRLYDDVVPLTARNFRELSTGRNGY GYKGSKMHFIVENYIQAGDFTKGDGTGGKSIYGINFDDENFIAKHSKKGLLTMANAGR NTNGSQFLITTAATPWLDGHHVVFGMTSTLAA JR316_0009799 MANVFFDIEIDGAPSGRIVFKLFDDVVPKTARNFRELATGQNGY GYAGSSFHRVIPQFMLQGGDFTKGNGTGGKSIYGEKFAGQFLHAKFSFINFLMETIVD ENFQLKHTKPGLLSMANAGKNTNGSQFFITTVVTSWLDGAHVVFGEVVEGYDVVKAIE KLGSSSGKTSKKVTIAKSGTV JR316_0009800 MATDYSDVSLVNDSQRLESSEHSPLLSSREPRSDGLPRVRSALE GEALNRGDGDLRHGESYDNVPKDKRQLGLFSVAFLIFNRIIGTGIYATPSNILRSSGS VGVSLIMWLVGASIAACGTAVYVELGTGLPRSGGEKNYLEFIYRRPNHFQVREGYEKP DNFKWEKFWEGSGTGLNAFVSGVYNVIWSFVGYSNANYALSEVRDPVRTIRRAAPLAM LAVTSVYVFINIAYFAVVSKSDILESRRIVAALYFRNLFGPTTEKTLSSFIAISTLGN LLSGQFSQGRGVEA JR316_0009801 MLVSFGLLLLYSKPYFAWGWNPPFQAPKLLVILFFVSNLFLVTI PFFPPMPDSKTYTQLPYWSHSAGGLALSLLGFSYWYVWGIWLPKRKGYRLERKWALQD DGVSRYIFHKIPASTQ JR316_0009802 MFGQKSAPSETASGCHVAFSSMTSVRLAAAWEALFVYDSIIFGL IVVKTWRARQDHAITGISIPLISLILRDGAVYFAVMALCNLANIVTFYLCGPFLRGGL STFASSMSVTMMSRLMLNLHETAEAGIYSTGITTTRRNHLEQATDPTLDIVPPSAVSD TDTVQSKRRKQH JR316_0009803 MIPDFNPKNYTKISPLTVDGCNKLPVITEAHDRLCNELGRLDFA KDFLGRNDIRTLFLEGAEARRFGIFVAHRSSILLEEGERIVTSMPENTYTAPARDIRA TFSSITLPRAREWCESRHIVATSWVLVDGKFMEYEYNDMTGVTCNHYPSPPSPSFLRN FHRICTEFGLTGLGLFSLDFFGGTVDFDNLLVRGSCNGFPRGRTTRWLRRGELQGDMV PEAWVPGSTKEPWLVGRVEDLAELKFNYQSYNRLHLVQDANQELRRQVLSNEGWTKLY DFFQTRELRRLFLDGQEAGRFGICLVHRHHALHEGERMVTNIQEDGAEGVTISSPIRS DESTGSGSAQCIAATSWMLVDGKFEEFEHKLISQDEDHTRYIPPSRRFFAEFLQICQR YHIDTLGIFSMELPFIQPRDSSIGVDAPPDFSYVFLESTDEVTREHRMKLVLRSTIQN KETSKSAWVLSRVAKEYEKHVKAVERNVCIEDEDQIALMEGAEKNGDYGMQPSPNAGH RFKLDMMKFSQGSNMAVFDSLGNDGSFDLGIVRDRVWTTK JR316_0009804 MIVEVPEGGDSKSKAGEATSEPEPELKNEAPPPYMDPQEGTSTI QSSSRALPDIKPSNFVCLSRQNDSIKGSWIIDPTMIIPSAFLPSLTDGETEETRGNIS LMSRNGSIQAEIFVLPGTSNDDSRRLTNRKQTIIRSSSANGTITLKIHEVASRGSRLP LQIKAFSANGSLNIYIPRSFQGPVSVSLRNGSLRYSESVRGLVTQFSELNGAQRSFIG DFDANAIRDAGDWAGDAIWLESRNGSIKVFFDDELDETPRQKSSFLGKLFGF JR316_0009805 MLDDERAKQTASLAIFPLILGSGAKLGRAGADTGVTSPDRSSKI IVIHLSLPNPKNSSTEMANVPKVKLNSGQEIPQIGFGLWKVTENTADVVYNAIKLGYR LFDGAFDYGNEKEAGLGVKRAIDEGIVKREDLTIVSKLWNTFHEKERVEPIVRQQLEW WGLEYFDIFYIHFPVALEYVDPKDSYPSGWKNLQGKVVQSKATIQETWQAMENLVDLG LAKSIGVSNFQGALLMDLLRYARIRPSILQVEIHPYLTQEAIIEYAKSEGIAVTAYSS LGPASFIELEWDNAKQAVPLFVHPVTTAIAEKYRKTPAQVVLRWATQQGLCIIPKSTE TKRLVENLESASFDLTDEERKQISSLNINLRFNNPPNCQPPGQYLEYLHIRSLHISNT KDNELDHEEATMATLPPHNDGKASPDSNRDMLKQLPDIKASNLLQISRKNDELRGSWV IDPTMIIPSIFLPPLRPDETEETRSNISLDVIQSGFIYADIFLLSPMTSLKDQTTAAY IHRKRIYIRGTCQNGDIIMKLHEHVPSNAPSLTPKPTRWPLQVNCSSASGSVVLYLPR FFHGPMSFKVRNGAVHFSKAVKPMVTIFSDNDGLQVGFLGDFNGAVIQDSGEWTGDIL FAEAPNGSITISFEDEESPRYKVPRCR JR316_0009806 MQATERFSTTYGKKALKAHKATNCLTEIMIGSAVHWAQDCKTNG PLAGIPISLKDTIGVEGWDGCIGYSAWVGKPAQEDSALVKLLRDAGAVPFVKTNIPIT LLSFESANDVFGRTTNPHKSTHSPGGSTGGEAALLAYGGSRIGIGTDVAGSVRVPAHY SGIYSIKASVGRFLKMGSSTSMPGQEGVAPVYSPMARTLEDLDFFWKAIFMMEPWKYD HSCLPIPWRTINLADKPLKWGVMWDDGVVLPSPACKRALEIVVRTLRKAGHDVVEITP PSPYDALKLGSRLILADGGKTATSPIRFFEWNDSGMVQAMRMFRLPSLLRRLYVMYIR YIKRDEVYAGLLDGWHDKAVVDFWPLVAQREAYKAKWWKFWGDNQLDFILTVPNALPA VPHGGMRNGFSSCGYTFLFNLLDYSAGVLPITHVDKDLDQLGVFSPRNAIEKGAYMDY NADDMHGLPVGVQIVGQRLEEEKVMEGMKVVEKLLKESGMEYKLLDGCDT JR316_0009807 MVRKNLTDSEWIFDFRTNPCYSDSDSECTDGETKQPTSTHIDDL DDIDLSNREENVTYKPNPFSIAKINAAYRSKSNATEKTMPRTLGLHRRTSKQKPVQST IIDGFKTQASKKKTAKNHFKQADSSKSFLKGGISDLAHLAPNEHSDVTSSNTIIKSSC DESLPEAAPTVPAAVDMGSTRYKLEPSPTPQTVPNQTLGPTNAHISTRSNIDPLQDAR PGSGVMNCNAPPSLLYNEVSSSSLNHNRPCLSSPIRAPQVAFSLYPSSFSSPLKPHAA SYPDRDAVYPLGFREPFVPHNNQESRELYPHRKYGPHVEKIGQDTVSLSVAPSIFCAN SDVIREDLQDRSQCMTSAQSQTSTKYFQKTEYVHQHNRKRNITQHDAYNTLNDPDEEW STLPVRKRARMKSNAQTLPALSKSFRLPGILPLGTTTKASSTAKSGMRVHMYLPPPPN CAPSDVLSIPSTIKDEYDQGHNGYNHKNNSSSVETHDNSVQLPSSSKSSNELRHTPPP DDAHNLDHFDTEVRLNIDMKTMRKRYPEKRAFLREVF JR316_0009808 MSLSLLLGTALLFSRTICVASLSSNLGPVVDLGYAAFAGNSTSP EGIENSPVTFFGNIPYAEPPVGNLRFRAPKPLNENGRAQVVTDARNWGPPCIQWPAVV GVGSEDCLTLNVWKPTNATEGSKLPVVVYIHGGGFFQGSPSGFPFNDWVAQHPGGIVA ASITYRLGVLGFMGGSQIAADGDLNAGLLDQRAGLEWIQRHISKFGGDPNEVTIMGES AGGASVVMQVVAYGGTKPAPFKRAAAHSIGFGGVRNQTEVERDFSMAASIIGCPDDKN TLSCMRNASVGAIVSAINRLPNDLSPVIDGDNGFLPDLPSHLINAGKFSTVEFLGGHC TGDGATFVGGTPDQFKTDDDIRNILFARYRGLTADTIAKAFALYPAPGTPGSTFATQF DRASAMAGDIVFSCMDWLLAEKELERGVKNVFAFSWNAPDTVLFNATPYRGAAHTSDL YYLFNGNNPFNNDGNTFTPFNTSEAVLASEAIAYWTSFVANGDPSVDKKATSPAWTKF SAGIGQDTRSRMQLTRGGNNVTASGMEGISPAQIERCQFWMSDTVAGQTRL JR316_0009809 MSSSTALKTFSLTNEILEVSPQDEIYRFDVEADKKINNEQPWTK DPHYFKSCKISAIALIKMVIHARSGVPYEIMGLMQGKVMGNSLVIMDSFALPVQGTET RVNAAHEANEYMVEYISQSEKAQRLENAIGWYHSHPGYGCWLSGIDVNTQINNQKFQD PFVAVVIDPNRTISAGKVDIGAFRTFPENYTPPNVSPSEYQSIPLNKIEDFGVHANQY YQLEVEVFKSSLDNDLLAMLWNKYWVNTLSQSPLVSNRAYAVSQLTDLHQKLAKAQNS VANTRATAPTIKDKDISVASQKQKEKEEKKKEDNQLTKGVKDSTKIAVEAQHGLIAQV IKDVVFSMRPNGQSSSTATVEDVTDMAIENTPPPTMGLQEYQVVGRHLPTEAEPTPKI YRMRIFAPNEVVAKSRFWYFLRQLKKVKKANGEIIGVNVIHEKKPLKVKNFGIWLRYD SRSGTHNMYKEFRELTRADAVKSLYQDMAARHRARFRSIHVLRVVEIEKTEDVRRPYI KQLLTPNLKFPLPHRVAKFRSTFVANRPATF JR316_0009810 MKQPTTEFWMETRARTRKASSSTIPKKTNAPAHHTPSTKKKVYV LVPTSPYPIPGRNISGKGQFRQEVEVSDDEEESPFQTKSAMDSLLDVRYTIEHEDTEE AGELELRKRPYNIHPKSQGRANTDEIMEDLVKFRENHERYLKHGVTIGESAVSIATEP FGVSGSQRTAIRADLTTRQIPHNTTAATSRGGPTNPLVASSRTDPSGGPLPLNRLSSL ASVVPTEIVDQDKLRQQWVEHQSTHPPSEGPALPNDIIHAERSEMLRRYIQEQSEW JR316_0009811 MDSPNPSPPHPSKHPTKPKSPSILSIDLGDDYDDGYASPGKDVR IQRWLEQQCRFVLGVDIAPDPTSEEDHSDSSDDSSSTDERPRISNARAPPTPPSPPSS DRADSPLLGYPSPSHPDLSSPSPSRSHSPSPSPPASHARTDSDPISIPSHSHDLPSGD IPDPLRFPAPNYVRELPLGDPFAFSHPGPDPKKTKNKRRKEKKKSKESVGLPQSAATE PMEKPLPPPPPVSRPGAEPVLLPLRITPSSSHLLNQPTTQPPSTTTTTTISPSAPTPL IYAHAPARSSSLAHIRAHAQAQALTPTASSSSITSTPETSFTLPSAISTSTSMSTSAT SASPVHSPRISRSVSFTNANATTPVSAPAPAKSVNPKRHSPTLSTASTSSASSFTHSH GHSYGLGHGHGHGQAQHVSMPAHSYSHSSLSSLALSRATSFSPQQQYQQQTHSASAAS ASASSAVSQQQQQQQQQQRRHSTQASISSTTSTSAGAGVSAGVGVGGRKSYLPLGPGP APSPVPGSLQQQQQQQQQQQQVQPYPYHHGHGHGRTPSMKSMSMSGSSSSVLSLASVS LAGSGLGLGLGLGVVSSSNVNVPTPHASGTSTSNGNVTPSPSLSRSPSFSASANGGGS ANGSYSRSPTPSGSGSSVAAVATAAAASGSLSRRSSVSQLVGVGAVQASHSMSISHSI SHPHASMSHPHTSISHAHSLSRRPSLLRQPSLPLLGEVGEPPSPLPEGVVPAASASAV SSTSYVAAAAAVGDGKAEGVESTRKVEDVNQGLDLEMGLGLGGPIDTLEIAHSPLSAD AAVPAPVSAPVPIAPQQQQQQNPASPVMALYHLPTAMQQLRRSQSFQVLEAASAAAAA SSPSTTSASASASSPGSASASASASSPSTADPLVVTSPLPPNAPPTRRLSRASRAGSM LLALTPIVTTTTTTTVSSVSAGEGGGELAGGGSGVAGMSSSVVAGGVVAGGVGVGSTG AAGAGHAQKHSVSSSVSSGAGSVSASASVSVSRDRSRARSRAGSMSLAASLAQSSLSL HDRAMSTGDGGSGVSAGASVSGAEGEGEGNGEEEGYGEDCARNAVYIDSEEVREKLDA LLARVIEEVSGVASGAGVGVGEKEKEREREREKEREREKEAELVGALFLNGSGDAETS ADVDMDMDMDEDRYRESMHLHDDGRDMTYMFPSPPQSANTSEFRLASLVRAQQQQHQQ QGVMTSVPIAPGEYAASAAPAGIYMTPSGSASQVYIGPGGAGAAPLAYTSSYTHSHSR DTSRSSSSHQRRSTHSHSMSVSRSVVHSRAGSRDVAGTGPAVTMIPVPIPVLSDVEGT SRRPVRPPPAPPLDLNLGLGLGMGMEMEGIDVMPEEEEVVVLGGEEEDALFLRESLAG RISVESQEIGMDEDMQRERERGYGGRIDGGGVDGYGYGYGYTGFAGEEGEEEEEGDEQ AEERMAFLRGLGLEDRMIEFPAVEALAAASTGVVDELDARPELSRSMSRWSLTSSTMG DDNNNNSNSNPPKEKRKSLVPSANGDAAGEKKRGRLASLISRFSGVVAPSNTPTTEDM PPPVPALPLSPQSVTHPYLQSQMYVAESPTSPSPPLPPLPFEATAKALPPLDMKLTQV VPGTWEAPQRVPPSKSAPSSPVNLRPRAMTTGTATASNNTYTPRVVIPALAPPKPGFI DTESPIESSPDTDSPVQPAVPKVIIPVLMPKGMLDDSPVESSPVGGAAQQPQYGTMRG RSSSAADLLGFQGASMAPGKRPSAMNRRVPPPLLKSASASVLRSVQSAGPARSFGNRI VSPGHLQTHPPYDGLKRSDYAHGQALRYAQFSGGGSGSEIGSVPLTPISLSSTDEGVG GEEEEEEEEDYGVVLKRERTHEDKWQRVIGTQASVASLPHAQAQAQAQFQQHQQQQQQ HQQAVQNVLMALAPSTSPTVQRHRLKSMPSLVKVSSSSSAKSLHGGIDLASPTLPPVP SSPPHAAKKGFRGFVQRMGLGSSSSSSHSASHTHLPAMNSASSSSSAGLNVAIPHAAS RSRILLTSSPSPTHSPTHSTSGSLDEFIAIAQPPTTASSPLAVSMQMHSPSSVSLLQQ QQPPYSAHSSVSHTPLTPSTPQTPYTPLTPQSQMQMQSAGGSSGGLLSSLNLAKNKGP KRKLVISGVAMGDSKALEAVQSWCESFGEVSKITRAPNGSLYVDFKKASVAETWEGSE GKNDGLRGPRVICLDSCSQLGSSVLAAVVSLTFRRGGSINSHCSVNGGSQYL JR316_0009812 MSSSLSPKKFALFLLAFAGSAVSQTTIPDAQYTIVNNCPGTVAL RTGGQSDGTLAAGESVTKTLPTNNAGPFVVTTPGQSDISGLEAGFQFPSTYYIAKLPF PQRSVTAGISITPSAPSNNGFCDVARCDDADCTDALPQPPTGIVNTTPPGHSCPVSGV SYTVTFCPSGAFSS JR316_0009813 MPCYLSVKSSVFGMLIFLAFAGKTTAQTTPSRSYQIGNNCPVPL RLYINANYDSTLHVGAKIVRDLGPNVGGIAATTQNGTDILGTTVRFFGNSDPNAGLYY VVKNPEQFNVGVFVGPNYSSENGFCDDIRCENFNCTDAFSTTQSNLSDQTTTPALRPL HSCVKPNLSFSVTFCPSGRLPIPAPPTVAIHPAANKSKCLDVRGNVQANGTPVQIYDC NGTGAQKWTLGDGPTKVKLAGTNFCLDATSSNPSSGTGMKIWTCYDDLVAQQWNKLPS GALTLIGSGQCLDLTNGDLTNSNQVQTWQCSNGNQNQVWTTEA JR316_0009814 MLLACRTRYLTINICSRSITTPSNYNHGAISDGTKAATHRRKPA RGGQNLSDRYIRLEKSLRGKDTISREIDGLVHPDPESPRSRRSQDPVRLFHGYVVPEE PKPPADDECCMSGCAVCVYDLYEESLEAYHGAIAQLRDNLTAKNIPESDWPLHIRNKK LDIRVEKRKDTILSAFEEMERNLQLKKQQADPGAVLPNDSNIGAGKRNQTVVNMKSAP RDSIFSEIYHGARWLLFSGR JR316_0009815 MGVKLEPQLIVAAIIPLAILGFFVFKQRSKSRLPLPPGPPKLPL LGNLLDCPLKFQWETFQTWGKKYNSDILHLSVAGHSIIVLNSLEAVRDLLERRSAIYS DRPKFTILNDVMGFSWLMPFMPYGPAWKERRRLFLRHFHPSNDYLHKDHELKYSRRLL ENLLAHPEGFMDHIRHCVGSTLHSIAYGGETESVGNQFIKIAEEVAECLSDAALISTM VADVMPSLNPILPYIIPSVIFKRTALQWKELASRFRDDPFWNTQKSMVDGMAQPSFVS KALENITSSDDNTRHHTIIKDVASIIFIGGSSTVNSSLHTFVLAMLCFPEVQTKAQEE LDRIVGRARFPDFSDQPHLPYLDAVLKEVHRWRPSGPLGIPHFIEKDDEYKGFHIPKC SVVIANAWAMLHDEQVYAKPDVFNPDRFLKNGYPDLSVRDPSTIAFGFGRR JR316_0009816 MVANNPLFRGTWTAKKFGATVVDDIWPEVIFFTLVATMVVLVSE HTSADLGVSNQLLTVLGLVLGLVISFRTSSAYERRLYSIRYQEGRKMWTTIMVASKNL AQMIWIHVPDQRKDSTPVECVIEKKTMINLVQAFSVSVKHLLRGEQGVYYEDLYPLIS FLPRYVGESDKMSFDESSMLPLWHLPEGFETAKTKTTAEPMSNDKEKTSSTFSRPQRT DTFDPEALLPQIDSEHPLRPARNPPHYTIFDYIPILRIFPWTWGMLIRKPKGRLRSNR RKAYSEIVESHIPLEISLVLSNYSCWLMKNNLVQPSIASGITNNLFLLQDTVSNLERI CNTPLPFAYQAHLRMSLWLYLILLPFQIYTSYGVITIPATAFASFLLLGFLEIGQEIE NPFNYDLNDLDLDYFCLCLQRELHEITAHANPDLNDFIFTNLNQPFAPSDRRTAAELV KISEPYTLPSGNGSSAQPGMGSLRQTLVSGWKMVDNITRATAKMSFMQPPPPPKTNLG RYRLLSPNAGVHVSPIALGAMSIGDKWDKFGMGTMDKESSFKLLDAFYDNGGNFIDTA NNYQDETSEMFIGEWAEKRRIRDQLFIATKYTTDFKMHNDSVPQQVLYVGNNSKSLRI SVNASLKKLRTNYIDLLYLHWWDWDTSIEEVMKSLHNLVVQGKVLYLGVSDTPAWVVS KANQYARDHALTPFVIYQGAWNVMERSFEREIIPMARAEGMALAPWNVLAAGKIRTDA EEERRRKTGEKGRTTFDPHWERTDKEKKVCKALEQVASEVGTKSITAVAIAYLMQKTT YVFPIVGGRKVEHLLSNIEALNITLSDKQIQFLESVVEFDPGFPSTFIGNGTQPVHLV SASAHIDMRPMAQPIRPLVKS JR316_0009817 MLHHQRLRSAISFALLSTSQVSAIAYPTSSAIPFSPGFNVTAVL EYAISLPSHSWEYGTATQALLELFDAPHSVFGANPFPVPKLDPKNTPALAYAKEKIVI GPPPNGLSDGDGAVGDPASLGVSAVLLGKTDPSYATAAKLQAEYVIYDAPRWHNGAIS HRADHPEIWADFVYMAPPFLAYYGVATNNVTILQEAVTQCLLYAEGLRANTTTDPATP PASHPVSAQGLWTHILGYWWADAGLWSSGNAWAAAGIMRVLATVIKAPPSLFAGKNGL PDASWRQRAISSLVAEIRTILDGAMRMENENSLLRNYLDDPTWFGEVSGSSLLASVAY RLTTFSKSYGSAALPNSVSTRYIDWAEKIRVTLGSNGHITSAGIATPAVNPLDWNDRN HFTTGSPEGNNFVILLYTAWRDCVKAGIKGCKV JR316_0009818 MLNQRVWTHVIAHTLFLLPQVSAIHSPFANAIPFSPGFNVSAVL ERAVSLPSHSWEFGTASEALLELFDAPYSVFGANPFPVPLLNPESTRSLVYAKEKIVI GIPPNGLSDGDGAVGDPASLGVSAVLLGQTDVTYTAAAKAEIDYLLSGAPRWPNGAIS HRADHPELWADFIYMAPPFMAYYGVATNNASILREAVEQCLLYPQVLRANTTTDVATP PSSHPASASGLWTHIFGPFSDPGIWSTGNGWAAAGMMRVLAALVKAPPSLFFVPYSAS SSNLPDAAWRRQAISSLVTEIRKILDGAMRMQMDQGLLLNYLDDPTWFGEISGSTLLA SVAYRLSTHSALLGASALSPSVSSRYIQWAENIRLTLGNNAHITSNGTATPAINPLDW NDRTPFTSGSPEGNNFVILLYSAWRDCVKAGIRGCSVY JR316_0009819 MSSFTYIHVDLNPTIGVLQIGSLIGVFLFGVVSVQTYNYYAMYK EDGWVNKALVASIWFLEAAHTLGISYEVYHSTITLYGEPEKLVKFEAIGAVVVFGGAV TLLAQAFFAIRLSKLFPGSYKYIGAACTGVSTVRFIATIYLTVTGVTARSFEEYRDTY GWLITTTFVVGAAVDIIIAGSMMYYLSRKRGKAMERLNARNEMRQEILQNDLFARAKT TGQDSNTVFSHDQPFEMVHPRQRISGDASLYMDKTKLKPANLGVYRISEEEAAAV JR316_0009820 MSSERAPATSTVHAVENAPSSAALNDALPRTEPGRTQSREGDVF SRSREGSPRRYSSRIPSSNHDSLPPLPERHQNSSMEKFEDDENLPAVPVLLRNSSFGP ILPQTISPARYPSEARPRTGRQRTGDTGVFSYNPRSMVDYIVPSVESKHRQANISARL GPTLRIAQEERDKYARKARRTGYALNIAIGLQVLLGSLTTGLSAVATSGRSAAIQTTI LGNFYPSIWKKPQKTLIYIIYKGGLSTIVASYLARSRGSNEPENSKAKVKELDHFIRE CQAFELDHGHEVGDELQNILLDKRRQLEVLLGNTSAE JR316_0009821 MASERVPVPAITPVIENVPLPETAEIPQSLLDPVQQQNRDPASD PFAGSRNGSPRQYASRNHSGASSDQNHITLPPETPRIPNLERYDDEDTHLHGVPVVTR HSTQGPMIPPPVRYPSAEMRPRLARQRTGETGRVSYHPPRSMMDYMTEQKPANIAHRL APTIEIAMQERDKFALKAKWTGYALNAAIGLQVLLGSLTTGLSAAATSGRSAAIQTTI LGGLSTVVASYLARSRGTNEPEQSNAKVKDLEHFIRECRAFEMDHGHVVGNDLDHILI EKRKQLEVLLGNTSSGTEVIPNNDSNRSSKVPPV JR316_0009822 MLSDVVKKEDPTSLKLASGRGAKRRKEKKSTASHYTQHHTNLSK RKALEETATFDTNMTRGNQKGGGGEQRKRGYPRRNLELPPETYQPVD JR316_0009823 MTKKRRNGGRNKKGRGHVTFMRCSNCSRCVAKDKAIKRFTVRNM VESAAVRDISEASVYTEYAIPKLYIKIAYCVSCAIHSHVVRVRSREGRRNRAPPPRVR WKDGKKVNPAVAAAEDAKAATATKA JR316_0009824 MHFSLYVALAIFSSTFVQAAPTAALDAATLLKNGQDAQKLNAQF KNATAPTSCDGDQTTCIGNAIAKCTNGKFDTSGGTCSKSESCFAIPSIKTNGTALICT SEKSAQSLINASGATGDVTGAGSTGTPSSTSSTSAPSPTATSTGDGDDCDPEGGDDNE GGDDDDCDSDGGDTTQGGSEDDGDCDETSSAPIPASSTSASIPASSAPVERVTVTVTV SATPLPPTTQTISPSQASEIISSATATASPSSAPSTSSFSVGTTILLTIGGTQTPTTS IATSAPASSASGLVIDLGGIGGSSSTAAPAITSPPASGSSDGGYGGGYGGY JR316_0009825 MNKHVFGSDQLAGFDDLLLKDKLKVARSMGWPTSGSVASVGQIH AERTSITKYGQRSGWGSAEDALPNSGWSSGRQIGAQAAESDTDDVGGFSPSDPPSSSS VSQTPRFEPIISFPAGPLPSLDFRSTNRAPHSVSIIDSNKDLSNKISSYKEDPTSIIG QKFLFKDGNRTWEASAILFDKKGWGPVTVEGKEEKHVFDLEVLLSMLKHGVLDQL JR316_0009826 MSSKTQNSATDIENALTFLNVAKAELQDQPEIYDKFIKLMKTFH QNQISAEEVTQSVRDLFTGYPSLIEGFGQFLPN JR316_0009827 MEPHGRKPKATVGGLNHSSVARDDYNAYLDAVKRAFSDQPAIYD EFLSTLRQWNQNEFSTDILLKRIREMLKGHSDLIQRFNVFLPEGVTMDASTSTRNDAR ASTIQKLDTMYRTIRLTEKVLSRCGQNVYDDMFSILSAHYHREVDQETTTRKLTSLLT NQADLLDSLLYIIGSDGDPMLA JR316_0009828 MDPDSSMPIDSPVPAVPVTLPPLSELAPEPVERIVTPLDPKPAQ SLDTHLPPVATTSQRSRRGSPMDPQSYSNIDRPLNVTDALSYLDAVKIQFQDQPDVYN HFLDIMKEFKNEQIDTPGVIKRVSHLFNGHPMLIQGFNTFLPVGYRIECSKDPYDPGY ITVTTPSGTTIQTTGSGSGRLSWSTAPAAPVPRHELAPGFNTPGEHNAPMPVASPDPR TYGMDGQAIEPAVQYVQKIKQRCDPETYRQFLDILSRYHHKPDTIDEEEVSKQIARLF KDAPDLRADFRVFMPDRSQQLMEDTATTSLSHARDREKTRRTGKLDSVVGSMSNHHST SNAPVALPQKRKRKPAEKEREREKEPTPVKTVAPPAKKAKHGSSTQDVLPTSYPTPKP IASAPSSPRRSSHQVLPPQEVAPRAPPVHDKTLFFDRVKRALDNRDLYNEFLKLANLF AHDYIDTARLVKESRNFLGNTELYTQFREILGWDDKKEREHFLSEQHTQSNWAKPAIA GLPERPGRIDLGEKYGSYRRVSATDANVPCSGRDDMCRSVLNDEWVSHPTWTSEDSGF ISQRKNIYEEALHRSEEERHEYDFHIEAIVRTIAMLEPINNKIAQMNPDDRASFKLKP NLNGTWKAIHQRVIKKIYGREAGLDVIAAMQETPAAAIPVVLQRLKQKEEEWKRAQRE WNKVWRDVDARNYAKSLDCQAVMWKVSDKKAITVKAFVNQVETAREEQHAKRAALIDP LFARTRPRYQLSFEIDVDEGILQDVVKLTFSYLDRTQGQLSAVERKRIENFMRVFVPL FFVIGSVEFNAGLVHQETVVESDVEVGNNGVPSDGEDVGEGPSSTPPPQAPVAKGRNG RKHTANVSSGGDLRKKLLKSEQAKMTNRKTRGGPSPAVSRFASPAVLDDERGVGGEAM FVFPPGAGKRSSKFNNVFFTNTSFYALMRLIELLYARLKVFKGIAAELASKDESSSKG KANANNAATKDNKHHYEILLGQCEALFTNELEQHVFEDQTRAVFGYKEAYKIFTVDKV VSAIIKQVQSIISDPKSQELLELLKRERSLNSPTTQDRINYRHQAENILGPDENVFRI DWLSESKTMTIQLIGKDDSMFDDSEALTGRWQSYVDAFVSGDTTEGVLQSRVKKPYLR RNLPSAVKETVPDVSSQDGLEIKVCLRTYRLFYGSHTEDIMWKYRSKDEVERNSVQLA ARNALRRKWLANLNSSKTDSAIVAPGSSPSEDVALPVATTETTTTS JR316_0009829 MLPFTELFINGRFVPSSTGETFEVRNPYTGAVVGTSASASSEDC KDAIEAAQQALKTWENSPLAQRRDIYLKAAELIMTEKYREKIFQAIREETAGSNELVM MNVAPVAGTLRAHAGLVESLRGDVYPSLTVPGAQVVARPRAMGVIFAIAPWNAPVTLA LRAVSIPILCGNTAVLKSSEISPRSHSIVVELFKEAGLPDGVLNFVSMSRDSAPSLTS EIIAHPAIRHVNFTGSDRVGKIIAMEAAKYLKPCVLELGGKSPAIVLNDANISEAARS IVFSAMAHSGQVCMATSRVIAQRGISEQLLSEVKSLITNIKAGDVGNDKSVILGALFS PGSAENVVKMLKEAQAQGAEIISGDISRNGAVVQPHLVANVKCGMQIWDREVFGPALV YAVVDTIEEAIELANNTDYSLSASVWSKDLAVAQDVAARIYSGYANINGPSIHSEPVD GLVGLGGASGYGRFSIENFTHKRIVVTHPAGRKLPIVS JR316_0009831 MASTALNTPHSEHSASFVPSKSLISDYENFDAIKEIYSGFIDKL EYSTMSAISDDNETFQALLSEFASYNTGRWFEALCRESSTIAELGYANHSPAVRLQAA RFTWYLIYVDDLGQKFPSMLEGFQERLLTCKDPEGSFLQAFRAHLADMYKFWDPLPAN CITLAGIDFINGCLLEQSPEISEMRLSKAATSWPYFLRNKTGSAAAYGFFLFPKELNV DMTTYIQVMEDIVFYTNLTNDILSFYKEDIAGERNNYIYNRAHVSHQSAIDALRDTVK DTLDAHARITEVLKGTNAYASWKTWVNGYIGFHTTLKRYRLDDLGIA JR316_0009833 MFPSNTSISYNPSSSGLHETVSAWFLGPQAENAALLKELFNNIV DSHANARLSYHPEDGVFITQDIKQTPAFNRAVNDLRQEFHRLLDMLNDKSIPFYSPRY AGHMSFESSLPSILGWLAAMLFNPNNVAFEASPITTLLELDAGSQICDMLGYARSGTM QPWGHITCDGTVANIESMWEALKPGAPLHFVSSTLTVYSCSNPNDPVLLRDLDTWELL NLQPQEILSIPDRLRTQFGVSPTFLERALHPFSVQSIGKDSFEKKYGIEPPQYLISST KHYSWPKAAALVGIGSDNVINIPVDSTARMCMIHLKYALERRLRQRQAVYAVVAIIGS TEEGAVDPLDEIVALKHEYQARGMSFLIHADAAWGGYFASMLREKPSQGAPDGMPPCP RRSASRDYVPTTSLKEHTIRQFEVLGEADSVTIDPHKSGYCPYPSGGLCYKDGRMRYL LTWTAPYLNQGKNGENIGIYGVEGSKPGAAAAAVYLHHAVVGLHKEGHGSLLGEVCFS CARISAYWAAMSDSSTPYIVVPLNKLRAEPNALHTEQEKKFIRWKILARSNRDIASDP DPELFAELRALGSDLNINAFACNFRIQDHQYPFNSFVNDDVEEANYLNKCIFDRLSVT NVQDNPRKVPMYITSTTFAAQDYGQCLDVFKQRLGLEIDSKQSLFVLRNVVMSPFQAT ANFAGEIAELFRQTLIEEMSHVVARNTISPQEHMFIMQGTDTLFLVYRPLFHKANSRK QLIIAAKMSGIHWARYLKAREDHPEEIFTMTIPSTTIEEIVSGQKTKGYIYWQGTVVS DCELEDVSVVKSRSLRSRWRDSEYPSEFCPFYMYGSEEQQHIEHMLLKAPNAQLAAED IEISLDRPLLKKQLERGLLAYVMVHEQSMQPFAEDNPPFFFCAGAKLDVEIYEDPFTS EAHGPGLAPTFNDLDGPSFYSPVASGSIQLGSRIFIDMTGLNKQDFKADNRISRYTEV STSEEGKAGWRSMVIDRMDQSEQARRQARVAEGWATKMKPRVSTPSTNMRSSPLAKN JR316_0009834 MFKFDFDIDDADNAEELLGINLESLSIQDPSTKTEQLLELEPFS ELTLTTLLDGVPDQISYSPLFISLSSTRKSTCLLRRDLFDARFQLISEGAGDVVDEPA INQQDENNASDLKTARKALEFLSAPSDLVPGVYEGGLKTWECSLDLVDYLDSLKETSK YESFVGKKILEVGCGTGVPTMYIFRELLYSSKPGDTPLQKTVLQLQDYNASVLQLVTL PNILLTWYTSTHADAYRTSIKDDDMAPIIQDAASGELPITPEFKTAFLKTLQDLNITL RFFAGSWDTFNPTTTSTDESPKYDILLTSETIYRNESLQPLINLMRRACPDQSVNKTY LCLVAAKVLYFGVGGGVSDFLQAVEKEKAAVETVLERKSGVGRKILRLRW JR316_0009835 MSLLTDIIQISREFTRLSLLLYQSVYYLWLSTLCMLTFGAYTVY HTAKFVAVASMAIANYLDSFMEPPAHKPTSYLREKHDGKKGKHSKRDTRFLESGVSSN TQKAGPKLLVTQGARFFNLYTKPVPSNKETFNLDDIFFVNSIYPAIKYGKGWEDFGDF YSTNTPNSTVIFPFNGVSVSWYAFTPQAPYIPSIGSYSIDGNDPIDFYVTGASKDSNV QDPFSRKLFETPQSEPGRHELVVTYLGNSSTTPLTLDRLVVQNATGINETYLPLYGNP KEWTVLNHSRVGLIWGGVVIGWFILIGVAFTLFHIFYRRKQKSKKKQLYEISAD JR316_0009836 MPISHLGVVVRDVKKSQELYLAALTPLGYKVVLYENNGKTAVLG QTAPEFFLAERTPDRDAPKGEYIHLAFVANSPEQVDQVYAGALSAGAKDNGPPGLRPQ YGPTYYAAFFYDLEGRNIEACYIGPKA JR316_0009837 MPVVLVHLIYIHGFQGNDTTFQSFPKHLQERLETIIPQHLDIKI QSSLYPTYKSVKPISHATRNFLEWLSTQPPGPVILMGHSMGGLLAAEAATDVSNNPHR YPGNRPKRIVGVVAFDTPFLGMHPHVVVSGIASLLPKGKEDEEEKAKQSERALNQHPQ VNIVDSGVTDDWEAFKRQINGTHMSNVGNAPYACSPRSLFAHSPHPSRESGVSSPSSY LSLSPLPSRSPSPSPSGSSSLVDRALTFVSAKPDDPLVRWLRKHADEPFTASKRWIVE HFQFGICMFDPSGLKQRYTNLVDWGTRGGLWVNYWTTTVPRAKRNLLLLNRSPLHHEP SSDTLDNNEALLANGILTPTSTTSSSSFDSSQHSYTTGHSFSSSTNSPSPPPYAELSK SELKAAHKEEKKHRKEEEKAKAKSAKQEEKEHKKSKTVRHFVVTPTGLGQVLGGLEKW ENVPIAGVDDEVNAHTGLFIPTQNLDYDGLVERVAIKVLGWCERIPKADWERYGY JR316_0009838 MDPTCFIHSHLDQAAKLGEGIKTKSNSSDNAAAMSPFQDSSKQR PLPAQPRGSSHDNADIEGTSFDGLKTHLHLAATAIGVREISKQLGRAHVQPNIRNVLI VTKAHDNKLIKLTRELALFLMHKHRRGSKRGLVVYVDSQLRHSSLFDAESIQRNHPEF SHPFPKRRANSVYAVSTPSPTATETEDTDDGQLRYWTNDLCRSKPHIFDFVVTLGGDG TVLYTSWLFQRVVPPVLPFALGSLGFLTNFDFAHHPEVMNSVIDGGIRANLRMRFTCT VFRSVPIEEGRKALKKGETGEIMTKDMANGCWEALEGRWNGGFMGDEKCKSRDEEIPC VTARPTEEFQVLNDLVVDRGPSPYVSQLELFCDNHHMTTVQADGLTIATPTGSTAYSL SAGGSLVHPEIPAILITPICPHTLSFRPMLLPDSVELRISVPYNSRSTAWASFDGRGR IELKQGDHVKVMASKYPFPTVCADTQSTDWFHAISRTLKWNERERQKAFVVVEEDRTP MRSGKQPLESIEAPHPISAIDQRLQSNIYGNQDFAPRSEEIFDIDDNVDNVNLPTSAH VLTSTPIMSIFYYEPFYDFERFLEETTPSRTNIDSGTLARRSKEGSVSEGGIPSLKPR MDLHEDSKANLVTATFELPGLKKEDVDIQVNNNRLTISGESKISSEKKAEGYIAKERR SGKFSRTLQLPQGVEENSVKASLSDGLLTVTFPKSAKENSPKKITIN JR316_0009839 MPVRMDEYFRFPTGAGIGGLTLSAAFKAMGLDQKLEINIYEASS QISEIGAGIGFWPRAWSIFQNLGLEEDLSKIVERPPNLDNPQTVFHVRKADQSEGLNI REIVSIGGTTWMHRASLQDVLVKNSNSPIHLSHRLVSVDESDSEVTLHFENGKMAKCD FVVGMDGIKSAVRKHLLTKRGLPKSPSMEPVCSGYVTYRALISKEALRKIFPGHRALD TFVIYSGKWRHFVSYPLSANGMINFAALTADLSTEGAAYDGPTIVPCPLEEIEALFTK WEDEVKAMVALIEKPTRWVIRTVPPMDCYGLGRVVIGGDAAHATTPFLGNGAGRAVED AYILANLFSEGLHGTGNISLPKISEIYSAICCPAGNRLIEQSRRAGRILEMVPPDFQT AEEGDAIIPPEKLFHHFGLYETELGTLKKGQAEEDKERALQMLRDLH JR316_0009840 MSSIPVRRTTRVVRATKDTENANARPTRVAARLKTGVSTAASAT TRATASTAASKAKSVLADAGKEEGGVKRKREALVEVTGLVTNNKPKDSAVSGAKGKEA EKSKELAAKTKGVVKAPVRRLVAGVATRRTVKAAVESTAAVTTSSKTSTQPAVTRKAS VDHGEKMVVDQPRKVTRTAGIVKEEDEEATRVSKRRHTDEITIAKPVLDDSQAEADKI AAELEAADASNAPQLWDDLDAEDWDDPVMVSEYIAETCVYLKEIELACMPDPNYMEKQ GEMTWEHRGILIDWLLMIHARFNLVSESLFLCVNILDRFLSQRPISISKLQLVGIACF FVATKFEETYAPSVKELAYLADNQYTIEEILKAERYLLKILDYDLRAPGPMTWLRRGS KADDCEVRARTIAKYLLEIGLVERRLIGIVPSLMSAASLWLARVSLGREQWTPNLEHY TTYGEKDILPIASILLEYIITNPIQHDSLFKKYAHKRFFRASAYIQSWALELWPENGS VNLEKDVADIKEECQRASLRIEA JR316_0009842 MPLVAREMDAWLSGSPDRVAVLHCKAGKGRSGTMACTYLLSLGD VPQPPQLERNQTSKERAKRRIEDALDVLPPDEENQPPVASRPTSPPFVTPAIGISDTA GIFDAESGGRPSIPTAGAEKSFTDSLKGVLDLHTARRMKPPSEQDGKAKQGVSIPSQR RFLYYWALILAHEAPSHLWGLGSLKSTNINLQSSCLDKNAIQRPKVLLTQLNIRMRET SNMKMNFVKAANMVIERTNMAKAPENTSTQLWASMARYDDKMVNLLEEWEAYTRDSSG NMGKRRPGSDHLPRGESTEDEVLSHIFKTGKWDKGKMVRSFARLGVTDSKKNEGSVVI DEKHGKIRVYALRPLSDKRWEGLKHDLHKHSAQNNDEHQTIEANATTLGVSRSEANSI NEVVPKDAKVDHKIENGIILDAAREVRIKLYMGQVFMGWFWFIPTFHMSQPPPSSTST EKVDPTILKANMTLSRKDIDFPLGVGSAIIDIDIQMEWAMPSPPSPSAVDISNLEPPL RTRTEDSKIGTDPEPEQSGLAAALQAIVGSDGMEGMGNVGVRETVEAKQGADE JR316_0009844 MTTKADHSDSEDDGDYVPPIDEGSDSDHSSDAGGEDETNHISLP REDPESKKKERDALWSNFQASVAESSNPRNPVSVSTRVVKVERKFLFAGKEVIDVVEV PEDTPEAKKWPLWKETIEDSASTPVQNSKESSSSFPIASTTESILKDDMTPVGTRASN ANTGTLSSSVSISDKPAPRKPGPRKSKITLAPLPGPAKAKKMTTLEKSAMDWKRHIQS PDESGSSIQDELEANRRAGGYLEKVDFLKRVDERKEETLESLKSNKRRRL JR316_0009845 MTVLFQLPIDIHVYIFNFLSPSDILTMRKTCKTICTATFLRVVW IAALKGMCIENTVYDPSFPIEEMSIRDMERAAMGPQKWEKIVNKYTDQPTPPIHITTL SEELIEYDAGRPHELFLIPGGRFLVTLLVGYLSLWDLSLSCMATPKLMGRRWMDTAVC TIHPSSDGLSIRILTIPSRTDFDTNK JR316_0009846 MVRPPTRPLKLDDFEAAEMGIASPSTNTTKIIIVSAMFPLEKSK HSKHEYKAWLKNFLAPITTDIYMYTTPELAEMLQEFRGNLPIVVNTSYASPFEIPPLR GLQETYTRMNDVDKEKWHHSPGLYAIWNSKPFLLHSAVQQLEQQGKSYDYAFWSDAGS FRREHYYTNWPDPGRVQQVWEEGSRLTGTKKEELLFFPIFDLPNNSFKNWKVNMGPLA NPVQFSEGSFFGGSPLTVAWFSEKFYEYHDYYLYHWLFVGIDQDVFNALFLLFPERIF TVWMNDPDSPAHDGITPSPSLKPSLESGFLGECGPEWFYYQFWLANRENRDQMRTLWI EEERWRRLGWWAERKLCRMTRASSMTSLLKETFGTEWTKPSGLNDLIIVFQCSPVEPL IAYARDNSIFTKKLKGTY JR316_0009847 MKCNLSAFTFCLMFIHFATSAPLPDSIDYEKPSALLPRTSFGGG SVVPSSSTRRSRKHDEVQGRYDVYHLRDLEDPVFVSAFQLPTREGTSIERTPDLDDAS VSEEQVCFNQIRASTDRTTWDIMTLAQKQATKLEGNL JR316_0009848 MLTKNLFLYLFTALLPFVSALPPPSPHDDQLLARGFKHSHRPLN SPAKSPNQGYDGSNSAPTPSMNGSIIAAWYPVLTLNSSVPTTDPAVLSIDAKSEAALP GFVSNAKKNNVKAVLSIGGWAGSQHFSSIMSTPESRTTFVKTCLDTVRKYDLDGIDFD WEYPGKKGDESNEVSPYDSDNFLAFLQELRKDPSGADLVLSAAVGMTPFFGTDGKPKS DVAAFAEVFDYIAIMAYDIWGAWSPSVGPNAPLYDRCSPNAAGSAETAVEAWANAQFP VDQIVLGLASYGRGYTLKNGESENIGNFPGFEASSIPPGDEDTSTVACPTNTGLFNFQ GLIKLGYLKEDGTPAPDVKYKFDDCSQTPYLFKESGSIVVSFDDARSFGSKTRYVKDH GLCGVAVWHGVGDYKDILLDSVHAAMTSS JR316_0009849 MFCDKCSPSLLAGLAALFSNVLAAPHCNLVPPTRPSLTAQTGSS GSIADGMDVVATGWYPGWLGSQLPPNEISWTKYSALTFAFATTTPDPSVIALDDESAA LLPTFVNEAHNNCVDALLSIGGWTGSIYYSTAVGSADNRTTFVKAILDLVNTYALDGI DFDWEYPSRQGIGCNTISDDDSANFLSFLEELREDPVGADLTLTAAVGLTPFAGPGGI PLSDVSAFADVLDHIAIMNYDVWGAWSTDVGPNAPLNDSCAAVQAGSATSAVKAWTDA GFPASKANISLISNVEALSNSCELFHAKIVLGVAAYGHSFHVATNDALDNAGNLALYP PFDKSQQPLGDSDIPGAPPSEDECGNPVGVSGTFNFNGMVAAGFLDTNGNAAAGIDYR FDNCSQTPFVYDPNNQTMISYDDQTSFAAKGRFIDENGLAGFAIWHVAGDYNDTLLNA ISDALDLEQVCS JR316_0009850 MFGLAVCQTCRLFRETALGTPSLWTFVEISGKASLPWASICLER AGACGLDIFIDCSDGTLMDQATVKSLLDLISPLSRRWRSLTVESSREYVLAPIVTSLC SQQAPALRYLSLDVQDVEHINRFDIRRESKYPQIFDTGTPPLTFVRLRGMAMHFCRPR LDRVVTLHLDDTTHVPISYSTFIGIITQSECLEHLSLCGDFVVCHEWPYKVNVVCLSK LRSLRLCGVGGELYIGVLMGFHTPSLESLVLKDLQDEDLDRLWALDDSSRYTRLTHLT FSNFDLSINTYRLLCKTFREITSFSAIYSSIAECPMVQVLMENSLGQNGLLEFPWPKL EEVTFSYDCTEKEDKLIKKLGKYRDIHGFPISRIRLRTYPEDEEDIFDINTDGLIICE PQYGSERWPRDRSFFDYSDTVF JR316_0009851 MVAEGYQKHYGNVFKVSTMARWMVILSGPQMLEDIRRATDEQLS LKDTVLKTLQTDYTIGVHTRLDPYHIKVVRSPLTHWVTVTACDASREVVCRSSNRLFV GLPLCRDPDYRSLNEHFSMEVITQAQLINLFPSFMKPIVGRLISKNKSNLRRVVSHVG QILHDRLEMLNHNDRENEINQPNDLISWLLEEAKGPQRTIDDLPARILNINFASIHST SMGLTSILFDLCMYPEYIEPMREEVSAIVKAEGWTKSSLAKMRKVDSFVKESQRLNTS SCMCLEYSVLMDRKNTNGYEVSAMRKALKDFTFSNGVTVPAGTYIAFAALPTHLDERN YDNAKEFQGFRFIDIRDEEAEGTKHQIVSINSEFLIFGTGRHAWTSRNSVERLSVYQN AKSD JR316_0009852 MSSKAIREYDAKLLLAYWLERAPPVAPHAVVKTNFQYPAVKVAQ ISWDPATDSITPDTKLPGWVFNTKLVAKPDQLIKRRGKAGLLALNKTWDEAKPWIAQR AGKPQKVESITGTLNNFIVEPFLPHPSNTEYYVCITSGREGDSILFTHEGGVDIGDVD AKALVLNLPVNQPFPSREAIAQTLLTHVPAEKKDTLVDFLIRLYSVYVDLHFAYLEIN PLVVLDAVNGGEPQVCYLDMAAKLDQTAESICGPKWAIARDLSVYERNEDAAVKTAAS KGAKVSADRGPPMVWPAPFGRDLTKEEAYIQKLDGSTGASLKLTVLNAEGRIWTMVAG GGASVVYSDAIAAHGFADELANYGEYSGAPTEGQTYEYAKTIIDLITRGTPNPKGKIL IIGGGIANFTNVAATFKGIIRALKEFKNQLIAHQVKIFVRRGGPNYQEGLKAMRLLGE SLGVPIRVFGPDTHITEIVPLALGIDISKTKSSNVGISGLHSVQPDTPPPQVAPAGEP NAAVGAIHADGERTQPSDVLVHFDPKNPTARPAYRPFDASTRSFVYGLQPRAIQGMLD FDFSCGRETPSVAAMIYPFGGHHIQKFYWGTKETLLPVYTSFKEACAKHPEVDVVVNF ASSRSVYSSTLECLEFPQIKALALIAEGVPERHAREILWKAQEKGVLIIGPATVGGIK PGCFRIGNSGGMMDNIIASKLYRPGSVGYVSKSGGMSNELNNILSLVTNGTYEGIAIG GDRYPGSTFIDHLLRYEQDPECKMLVLLGEVGGVEEYRVIDAVKKGIIRKPIVAWAIG TCAKMFATEVQFGHAGSMANSELETADAKNKAMRAAGFIVPDTFEELPHVLKETYEHL VKIGTIKPKPEIDPPVIPMDYKWAQELGLIRKPAAFISTISDERGQELLYAGMRISEV FKEDIGIGGVVSLLWFKRRLPPWATKFIEMVLMLTADHGPAVSGAMNTIVASRAGKDL ISSLASGLLTIGSRFGGALDEAASMFSNARDTGLTPREFVDNARKANKLISGIGHKIK SVNNPDLRVELVKEYVKKNFPSHSLLDYALGVEKVTTAKKDTLILNVDGCIAVCFVDL LRDSGAFTPEEADEYIKIGTLNGLFVLGRSIGFIGHHLDQKRLRAPLYRHPADDIFIN MADVSQPRVLGRMQ JR316_0009853 MSQIFSAVADLAPAYVLSFLIHHDRESAERILPPNALSVLAPDI PATITVIAADKKVTVTMTLPDGSQFTGTWNFVRPGAKFKDIHNVKGTLFTRSPKSTFI GPTEFWIQQYPPALLGEETGRTVVEFYANNVITGVFKTDNDNFGAGGNGEWVPFN JR316_0009854 MVNRLIVEGAVPKVSGQAPERLEISVFLKDIRQFSLYIQALVAL YSQDRNDPASFWQIAGIHGQPYVDYDGTPCDGNEYCVHSTDLFSPWHRPYVVLFEQEI QKLAREIASTYKYDCDSWMESAAALRQPYWGWDKMESVVPPDEIIVETNVQIMKPDCK SLVFVPNPFLTYYYPEGGNSSFVEHFKFRPNTSRYPNTNWISQTTMLKAALRAEAPAI VENTQRLFSLKTWHDFTLGSNGTTGLEGIHNTIHSTTGGAKGNMAYIEVAGKFKVERK TQTDYWQSPGIVDTADTFNYQYAGRAPKASSSEDVAEPAEVALEEYSHAPTSGTILEW SIRVQCKPFALGGSFSVYIFLAPTVPPTDSAQWLFDPTFAGTVDVFANTNPEQCANCT SHANELIKGFVHINRIFKSRSGAHSIDPDAVLPYLRSNLSWGVVKASGEVVEIEKLPS LEVTAMYTPLKPEITRGRTGGHL JR316_0009855 MPAVSQHVPSSTLPPTSTNTNTNAYRPSASDALDTFEPDAAWVD EMRKKIEESLHSMVLDAQQNMEAQLMRTVVSIDERNRIKNEYFAAMHNVKAIATDALR AEVAEERKRRKKQAEIENLSKTFIKQPAQSIDGLAIESPTDFRVGSVPISDPLPPPHA HAHTHTYAPLQSHTPPIPHIHDEHERSSPRPRASVSASASASASASASASARRTSTSD VKSTSTSTSTASPRDRDLPPDPAAPPSRRHSTTTKHAHAHGHGHGQARGYGQTPAPAH AHAQEDADEPDVMAYHHLPPPAPPAAPRSHRNSIDRPAFPPPPPPPPFAMADRTAEGG SGVSSPSPSAGAGHGHGHGASLRRSSGSIRSGSERGSAAPAPAPASGSGSVADMVWKP TISVSAGEESGSGTGSAASKYAHGHRDRDREHRELREQHRELRELREQHRDQQQQQQV GRRGSSASLTSLTSLTSLTSLTSMRSTGSSVGVGVRPSISETIPERVDGFEGEGEGEG EGDLEGGGDGAGVGRGGGDKHDRRRSSDKAPRDKDKDKDKEKRAMKRRERDRERERER ESRRTRTRTPDIDVSQLPPASSSSATTTASSATMQYATAASSSTTSIPTSTSTSTSMA TATGTGTSIPTSTSTSIPTSTSTSIPTSTATPMRRSSKSSFAPPPPPRSPYTSNDIPD RDRDREREREYTAPIPPSRPMSAKAPFAEYEYPHDYEYAVQYTPDYPREHSQDYQHEY QNEYSQDYQHEYDYPHQHPAVSVSVSRKASFTHDERRREWERERDSLRERERDGLRDG LRERDSLRERDSLRERERDRERERERERERDRERERGREREYWDDERDRDRDRERWER EREREREREYVPRERDAPWDRERDPRERDREREIYTDTRSYPYPSPRPGAGPVYGSSP SNTSAGGLNARNAPYAHTHEYVPPPEPAYEREREHAGMAPGSAQGMYYVPPAQSAFEE WDARDSVRERDRDRDRDSIRERERDREREREREREAGRPIPSRQHSFTTRRGGDDVSV LDGYNMRYGSVGSISSGGVGATAGSAGSNTVPIPPRHPPTAAATSSTLNSNNQTDDGP QQPAWTNWINESGAAAVAGLARRSSDARHRTVSGDTLPSVSVSIAAAAASGGFASSAR SPPPPTSASSSHSHSHHPHPHASPSKKAAHRAGAAPASAPHSHAHAHAHAHAKPPAHS YAHARGGGPRPRPRTTARGAPKRPAAAYDDDIVYPGEAFYDTDDVAVAVPPPPLRRPH RRRKPALVESDDGSEEDEGEDEGEDADEEDEEDDDEEEEEEEEGEGYVHDEDEEDEDE DEDEDEDAMHGDDEAVFRGKREDERWRSAHEAQRLRELEEAARKQKELEEEAARKQRE IEEEAARKQRELEEKEAEVKRQAEEVKRKEEELRVILEAARKQRELEEKEAEVRRKEE EVKRKEEEAEMKRREDEQKEVERARMAEELKRQKEEAEKKEAEVLRLSEEANRKAKEA KKKEADLRKKEAQTKIKERELKEEAEQTRRLREQAEQATRAAQERLRLLEEETARKER EVRERELALTRREEELRRREEEARLKQEEARLKEEEARLKEEEARLKEEETRRKEEEL AAMKQQDERRRQREEERRREMLKTEEEKNARIREEEEAKRKEAQKRDEQRKAAEARKR LEDEEKRKKAEEEQRLRDNFELSSSIGFDIDETMIEEQAYLMQQLKYEAQAAKIISSR EERKRNDSFGNDGLWSSSSSSHFVPSSSPGNPTSAFPPKKPNGSAATAPTSARTPTTP SGWTTTSNKPFSTASPTSARSTPGAGAIPAGKPRTGSVSSGTYPATAAAASSSSPHAG SFSEADHARRQAEFANSQQERFRQEQEMLETRRQMKAAGKPQTKQEALRVMDYHDTLW ARLSSAAVADTGSGAGLGWADIPWPMWKPPSTPDDISSALISAYVLSPYTNKSGKSAK DRVKEHIKRWHPDRFETQHLVRVAEGEREKVKLGAGNVTRCLNDLLKKENENSSSVNI FGD JR316_0009856 MANVQHRPLASTSTASSSDKVRKLWKDFERTIPNGRNVMEHKVS AALVKAEAEYQKMPDKSRVPNEQHEEMKTNLSKKIRQAYYDGVVAQWHTKLSQAGLKA EDWSDITPEEMETVAQALGGGIDAHSDEDMVVVHPAVNPVPQTPFLAAYATSLNQRPI APSFSTSTRTSNVSTVSTASSASSYAVVNPSEFHSEEEDDYFYSNIATSHETSGSEDE DGLPTTFIAPSRNNHFGGWSSSESSLHNSVDSLSNVPYTRPPVSNIIQNMPANSRPIP ASKPASIAQTPNENIHASSFASTSSGASPKTKVRQTYIGPQLEDPNEPLSEADDFELF KMQTRMQKIVEFHREAALAEIRLFIEIYKDRKASASGGTGVLGLGDRKDVSARVIEHQ KRMMQLQKEKEDERKTTVKAERAKRRSELRTGGRPGRSNTIVAPVPSAMVNPAWLTSF QESVSAQVETNFDFSKIISENPDEREGNVEQLLKNMFPNSDNASISYPTSVSSASNSR YNLNATRDGEEYDSDPASPYDSGQRWNPNSGMGVGMSSASASASNSNSSSRQPSRTQM QPQRYSSASAAAANVAAQSAMRKGKGKGRPSPFGEDSGSESDPSDDDDDDGTHGSPAG VSNAGATGLARSLNDELANQLHQDSVFAAAVAQYGGLGAGIGMGRTSNSSSPPMQWQP SNSNSLYEQQLQALMQQSQAQLQEQPVWGRAPSVQPQSSMWMPKEARATPVASGWTRK RTGSILSSDAPKASSSHSGTPLMSASDAFGSSQVDMYASASAAFGPHLGSSTSSFAPA SSISTAGKGKKTMASSMLEQQQQERYAWEQDDQQTPSTKSFAAGIFSSSISNAKGKKP SVEPQQQQQQSIWNEPPKQQQSIWNEPPKKATPPSTAANSKQSIPTPAPAPAPAAAPA PSPPAPAAPPKVEKVAPAPAPAPPAKKMNKKQRQAAMKKGGAAAAAALAAAAAEEAEA EAAAAAAAQAEPEPEPEPAVVEPPPPPPQHQEPASLMSKMMPSMGMGRARKDSITSAF GWEDNVVTTPRPASKIPAHLQQHEAAGTPRPGLPKKFGTSDSASGLGMNASGTGTIKP SQWGASAGGSASNKSIFNLFGGSAAPSTASAGTRQSGMSSSLFGKGGARVPGGFDVED DDGGGGGDDDGGGGEYEEEQEEVEEEEVSPQVQKGPAASVWGLKTGKASATAQQQQVP QQQPLSAAQRFRRMSSAQSSTPIVKVAAQAPPVPAPAPAPAPVAAPAQPAGKKGARAK KNAKGKKATVEDVPDEEVDNRGGSLPVDSSALLLPASPSPTKAAPSKVILEPKPSVAP RMYSSIIDYGDGEEGEGEEEDAYFSSFAATPSTAPSSPPDPFGGDDARLAAAIKELQE GTSKMSKLLGGGGAGGGSGGAWGISAGEKRQQPQLGHATWTPSVSEAEQNPSTFPFGS RSGAKATSTQQQTPVWGQIGSKDKGKNKATDSNEESMKGPVHNMKRNKPATGWL JR316_0009857 MLSGLKKHVVSKDNNKAKDLRGETEYMTGASGLTTDFGVKIADD DNWLRLSNAEDIGPSLLEDHIGREKIHRFDHERIPERVIHARGAGAHGHFRVFDNRAA KWTSAPVLTDPARTTPIFVRFSTVQGSRGSADSVRDVRGFAVKFYTEEGNWDLVGNDI PVFFIQDAIKFPDLVHAVKPEPHNEVPQAQSAHNNFWDYAGLQPESAHMIMWVMSDRG IPRSYRMMQGFGVNTYTLINAENKRVFVKFHMTPELGVHSFVWDEALKLAGQDPDFHR KDLNEAIESGCHPKWTLGIQVIEEKDEHSFDFDILDATKIWPESLVPVQPIGEFCLDR VVEEYFPQTEQVAFCTSHVVPGIGFSDDPLLQGRNFSYFDTQITRLGINWQELPINRP ICPVMNHHRDGSSRHRITPGSINYWPNRENIGHPVSPLQGGYAEFNQRVEGIKQRARG AKFQEHYNQAQLFYNSLSDYEKSHLVAALSFELGHCDDHRVYEGYTKLLNNIDFDLAK TVAEKVNGVVPNKPLRVNDGKTESTLSQTHFASKKPTIATRRVAILIADGFNMVEVEA VRAALKSAKATSWIIGPRRGKISSKGTIVSGAGIVADHYFEDQRSTMFDALYIPSGPE HVKSLMVNGRVVHWIREAFGHCKVIGAIGEAVAALNHALEIPELQLSKSETDHVVSSY GVVTAGKYDVASAAVDVLKIAPGPKGFVSNFAYEMSKHRCYARELDGLTSQVAY JR316_0009858 MTSINDSPRAHIAWGKFLAKYQYKVSTLSSNHDRSTGRLHSLPP ELLESARNEWISDLTKSGCTPYGWPTLPEEIGQLEQVLQWRSSEMWNHLIGLKLGARD SKQQSTFHGSSHHSWTMENEGPMGNTSIHMDATAPTRTEQEDSNLDPSSRVIVFLPRL YGLSPSLFHRIWTSGDESSGLKDYALLKWRALMKEFYSMAKQYGEQVEDLLRKVKNVH DVEKLLLASDHYHQQLADGVYRDWEQAISEWSEGYAEKKGSILNGDIGIMGHDQQNHT KFDISGPQENTQGMETNQRSVSVGSWTASSETTCRGERRSVCLVDTYGDLSSPSSSAL STFLSNTNDIFTSKTFSMPSNSRHRSDSQSDLSARATNQHEEDPQDFVLPPDISAEIE AAYAQAGKLHAEKVRMMDDVYCRMEEGRISVSWNTENYEDLLGQSYDTVLETTESDMQ DPTEEDEWDESNTSTPVPGSPALSSTHLEKNWSLSPLLSELNPLDTMPMNSPWTASPK VREQNGGRVEFLGRPNQKLTCLSDGRVGH JR316_0009859 MVSSNPLFRGTWTARKFQATVINDIWPEVAFFTLVATMVVLVSE KTSKDLSISNQLLTVLGTVLGLVISFRTSSAYERYQDGRKMWTNIISASRNLAQQIWV HIPVDRTGKGAAAETTQLQNIIEKKTMINLILAFAVSVKHFLRDEPGVYYEDLYPLIC FLPKYPSGMEGVESEKLPLWQEEESVNHRHANAPVTELGADSSSRASTRRGSSGVSDP EKAFPDVASDRPLKPARNPPETSILDYIPLLRFFKWVVRKVTRSARPKGRGKKRRQNE YVESHIPLEIILTLSNYTAWTMRNGLLQPAIATGVTANLTLLQDTLSNLERICNTPLP FAYQAHLRMSLWLYLFFLPFQIEKAFTWITIPGTAFASFLLLGFLEIGQEIENPFNYD LNDLDLDYFCQAIQRELHLITAHTAPSPSDFVFSNLNQPFAPADRRTAQQLIATGDVY THPTEPSMQGFDSLRRTLVKGWKQVNTLSRQ JR316_0009860 MEALKLQKKFPEVSRDEMYDLVNRFNALHTDTPGRVDKSAVLHA LQSSGQSYDVARETLKHVSVDASGKVELDDWVELNVKLRSQQKAAITTKAGKVTVQGS NANVSHTINEDERAEFTNHINLVIENDPDIGDRYPIPTDTMQLFDECKDGLILCKLLN DSVPDTIDTRVLNKPTPRKPLNAFQITENNNIVITSAKGIGCSVVNIGSSDISEGREH LILGLIWQIIRRGLLAQVDIKLHPELYRLCEDGETIDDLLRLTPDQILLRWFNYHLKA AGWNRRVNNFSRDVSDGENYTVLLNQLKPDQCSRAPLQTRDLRTRAEQVLQNADKIGC RKYLTPSSLVSGNPRLNLAFVANLFNTHPGLEPLDEQEAKDYGQVEDFDAEGEREARV FTLWLNSLGVEPGVFNLFENLKDGLIILQAFDKILPGSVIWRRVSKPKEGAPAPHISA GGEDDEDAADIGVTPNQSTLSRFKMVENTNYVVDLGKQNGLHLVGIQGADIVDGQKKL VLGLVWQLMRMNITKTLSSLSKTGRPLTDQDILKWANTTAQKAKPSVRPIRSFKDPSI STGLFFLDLLDAIRPGIVDPNLVISVAEDGDYEERRQNAKLAISIARKMNALIFLVPE DIVDVRPRLLLTFVGSLMSIA JR316_0009861 MDPNIPLSPDIVTLGYDLLAFKVIALVSFLIVSESPDQRYYVGM AALLAYDYCLTIRQEIETIWKGNRSLGMKTTLWTAESSCNRFAIVEWIQTLLIVLPAE AVLVLRDTAYLGVSIFFDFTVFIFTICRTVNFSQIKLPTASLLRTILRDGAFYFLVIL SGNIVWMVCSLTGRRGIKLINAQPSMLLTSIMITRLTLSLRSALSKDGTALPISWPRD IMYTNDELATTSHLPTTSTVSDFVIVSRSEEAVVERDNPA JR316_0009862 MDTSSSSDSFSNLAPNISNLSDPNFNIDLFFNHALSSSTTSNPT PVVAPPANPTNDAASNAVVTPPAAPAVAENTVGPIALPTTVTDGLSFPSLPTIPHPGV RRIAKNRFVFFEPTVGFTEFDAQQAITTKLLSIFCAYDRKLRAQTDPTVALSYIPYGY PTISQLFNLYAVGPERFAFWNDTTRRYVTDGVPITLELFTLPVPRVAQSIHPKPTPTS RNSRALPDVDPTLLNTSHGAGRLFNDMLLLSASAETARRKRNDQYFHQRNFKRRQDPT GAKPRYSRNNRNTPSNNSSASQAGPSNPTLP JR316_0009863 MWALVSLLSLLALYARGIVIPSLQSHDVSVPPDSINPYPGKPRL LFKKDGTFKITVFSDLHFGENPWDDWGPEQDVNSTILMNAVLADEKPDYVVLNGDLIT GENTFRENSTSLIDEIMKPLNAAKIPFSSTHGNHDNQANITHEEEIQRELKVAPLSYT RMAPKGVGGTEGPGNYWVPIYRDAKDLAPILVLWFFDSRGGFSPNPDSVPVPDWVDES VAGWIESESQAMEKAWGPAELRGALAFVHIPPHAIQVLQTNLDSDKNPGLNDDILGDG SVQDSSAQGEDIPFWDALNSNVKNLHAIISGHDHGNEWCAREFTKDVIFCFDKHSGYG GYSSAGWGHGVRNLVFHTPDPKAGVETWIRLQEGDTRARITLDDNYGR JR316_0009864 MPSKLLKFVPRPMKCDLKKKFDKLFKRTSGGAAQMGVVTNPDVQ EMPQSSVDDNPAVEREREQDVDEPTPFTVNDARDAHELVHVANSLMAEQKSQLSLSNL DNSVLLFRQVLQSRDSGHPLRKQAMNDLASALGVRYMYTNQRPDVMEFLQLVGDVDQA VGQQADAAVSNENSSEMESQANLATGLLRNFHKSTSLDVLNRIVDNIQKALPHLPAEH ATRMIASPTLVNALYARQHHTDDLTASDLSEAISVLEEAITHDPTSWNLVFQVYILLV ERFNLKGDALDLQSAKSHLAKRYVQMMMPAMTQQPKSAEELFDHYFKTRNLDDLNSAI EAFRKEIATLARGTESYAFRINGLACALWSRFKNGGGDSSDIDEAISLHEQNIDLLPP PHPSLRLFTLNNMAIALSARFDIEKNQNDLDKAISLHRTAISEVKHGTDPPPDSLFNL ANALLTRSKQGQQYAQDSDESITLYKKALDLYHPTHSNRSSALDNLAAALVFRVDQGR GDTDNLNDALSLIKQALALCPPSHPLRSHVLSNMASVLSTRFSKGMGSQADLHEVVSL RRQALELQSGNHPNRANSLSDLATSLTIRFEQGLGTTSDLDDAITFFRQALELRPSTH RNYVATLYHLANSLTTRYNQRSQLDDLDEAIVLHREALDLHPPPHPNRANCLNSLANV LLTRFRQTLDQSNLDKSIRLHRQALELVQQLSDPDHASVLEGLAVSLSTRFMLKDQQC DIDEAISLDRRALKLRPPSASFQPLTNLAVNLATLFDHSVQERDLDEAIELHRQAELL VQSPAHPNRSMTLINLANTLYRLFEHKQQRAVLDEAMLFFSEATKCLHQSPSRRFDIS KQWAHAADRYNHESVITAYETAVQSLPQVAALTLTIELRQQALASTGSDGLARDASSC AIRFMDYTKAIEFSEAGRGVFWSQLLSLRSPFDRVRGVEPDGPMLADRLQEIALELEY GAHRQILAGSLGNPTRLVVDQESSRVNRLGEEWENILRNVRKLRGLENFLLPPRLSTL QTAASKHPICLIISSKDSSHCLLMKSTTIHDIPLPNLNASFLKILVENLQMGVSTSTR PQSDLMNRTRNAVNKILGDKRGISYLDDSEDSDGIFKFVLRILWDEMVKPIIDFLQFE KRSENLPTLQWCLTGHFTFLPIHAAGNYDDEKCIDCALDYFISSYTPTICVLCSEGPP TPPTTTTFQPFQMMAVIQPQALPATTDELQKIQQYVPSNALVALGTPEAPAAAEDVLA JR316_0009865 MSTSEKVSTPPPAHTAAMRSSATSTIVESAVKGDLEHAGNRNTM TLWDYLKADVDPAQTTAPLAAYCFMTGYIDVISFSAIFVWCGFQTGNFAQLALALGRL FEGPPGLRDTSFHRADQQALCSLISFNLGAFVGRIGDRVGAHKRIWLVAGTFIQALLT MAGAIAFWKSASSSIADERDDPAWTNALSFVGLAFMSASLGVQGILGKRLNTQFGTTI VLTTVWVELMSDPRLFKLRQRVMTRDHRLIAAVSLFIGAFVGRAILAQLGTAATLGIG VGFRMLITFSWIFVPGKAARR JR316_0009866 MVNLDIVPAISLIAASVSEFNAFPLSPGFDIKKVAAQALALPTH SWEYGTASEALLELYNPHLTVFGATPFPVPALNVSAVVGLDYAAQKVRFGTGYSALFK DDGAAGDPASLGVSAVMLGKVNSTFAWAANQTVTGLLADVPRYSNGAISHRFSVAELW ADNVYMVPPFLAYYAADKGDNALLQEAVRQLSLYRQVLQPNATTGPNGLWMHIIGPES QDTGYWATGNAWAAAGISRVLATVIKAPGVTNEVWRQQAITQLTAYIKEIIDAAMVAP LDNGLIRNYVGDVSGGGNGFGEISGTSLLAATVYRMAVMQSTIFTRRYITWADNLRAA IAGRDVNENPHITSTGIATPAVNPLWWKDTNPFTKGSPEGQAFVVMMYAGWRDCIKAG RCTVDGSTEPDAIISKRSVQGRALHSGSLRRQLVHPGSN JR316_0009867 MSATNTSESGPAVGSEVSPSSPLEAPAPAFAAPAVGQDLPPSST RTSFTRVDHEEEQRQRTQPSSSLAHDPLTQPAVPTQPDPMSEDTLGDDKAPPLTSPPS QDQQDLKVDSDSPALPELTMDEQPVPQTPQTYLTFLLISGKRRTMSFEPETAVGRVKE LVWNSWPADWQDDRPPAPSYLRVLYLGRMLQDDESLARLRLDDTLPTYTPQQPSSSSS PSAAAPIPTIMHLSIRPYAPPNDGDGLKKKKRRGQDVYRIILE JR316_0009868 MKDLGKLFKDTLSISSALANYFGKSNYGTFHLDIQRKVDGVAQG IKSHSDTWFLSSYTQVVSVYTCMPLIKKCIKSNVLNWICIAYDLEQVLASPVIGVGNL QSEVVNIYNHQFNQMMKESSHHIYFLAYYLHPLFHQYEGIQLVMPPLSKDQALTKENY PTLFKLLLTSVLCIFQGKQLRTQDSGMEVVPILICQLIAYAYNQTLFQQAPLTRNTKP LKWRNQFAQDSNSNLLARVAIKIFSISPSEICDERTASRLGWFNAARRSSITPEHLIS SAKLYDYYVNGFSEKGFKHSARVHLSTISEFPMNSAPSTFSAPTLMDLVNKDNVDPQL NSAALEHLWFNNPDPYD JR316_0009869 MPIIPANLAPPPMPVIPTNLAPPPMPVIPANLAPPPMPVIPTNL APPPMPVIPANLDPPPMPVIRKDLAPPPMPIIPDNLAPPSMPVIPDTLDPPPMPVIWD DAAPPFDPMGGYPSSPPTQSDIEFKDVTDQTNYTKQQLRAIYNQIIDSSVGDSSYDGK VTMFKNLWLHQLQSEILTYNALEEIDSGLAGIELSLEKHLYTGRDE JR316_0009870 MASSSSTTATFAQRLADWEKTFTECYRNGESAFNAQLEQLYRDL VPLCQEHVRNVANFRLVDYIASPIVYSYKTSQGKDGKQVARFEVDWATLHHQVANFKA YQEGQEAQRKRREEEEQEKRREKEEQEEERQRVEKRGKREVRRKREEKKKREEERQRE EKEERQREEERRKREEEKQKVEERRKEERGKREQERKTREQERQKAEERRKREQEQEQ ETDKERNKEETEKRRAKKGKGKTVKPPVEDGPITDAHKDKGKRKAADPVESIQLAPAD YRGPQTRKGEIILHITASNMPGHPAYREKLERLAKSKQGKYKSKGMIESHTDKDADAD VNKDNKGNDQEAPPTTPTRKMLTQSAKKDTNQDDIPPIRKARLRSKKARQVPEGMVDM VERCMGCTKFKVPCHVKGETGTEPLVPVKHQSCKSCKSRKIYCSFYPGHFYPGRNTVA GQFNLLTPLGSYRKVLKLEEGEDVSAKEKAGEGSFPEDVAELLVQLFEQQG JR316_0009871 MTAINARIATFAKTNLAVEKRMKTVKDSFQELKAEWTTAKKQVA GNTSLSVTMFNNIKQAIQDIQ JR316_0009872 MEVKQESGPSQTREPTSAPQSPSPPPPPAPLPSPPPPPAAPILP SPPPPPPAPVLPSPPLPPPAPVLPAVSAPPTALFLPGSTPKVPSPPPVGRPSLPPVPP ILSLSPPPPLPAPHSQSLMSKAAPLSKDAPSSKATPLSKAGPSSKAKPLSKAKPSSKA GPSGNGHSSELSNPLDSDKVEIVEEDVEIVASTLPASNIATKTRAGRKRKAETTLAEA SRSPKKPKAPKK JR316_0009873 MPIIPANLAPPPMPVIPTNLAPPPMPVIPANLAPPPMPVIPTNL APPPMPVIPANLDPPPMPVIRKDLAPPPMPIIPDNLAPPSMPVIPDTLDPPPMPVIWD DAAPPFDPMGGYPSSPPTQSDIEFKDVTDQTNYTKQQLRAIYNQIIDSSVGDSSYDGK VTMFKNLWLHQLQSEILTYNALEEIDSGLAGIELSLEKHLYTGRDE JR316_0009874 MASSSSTTATFAQRLADWEKTFTECYRNGESAFNAQLEQLYRDL VPLCQEHVRNVANFRLVDYIASPIVYSYKTSQGKDGKQVARFEVDWATLHHQVANFKA YQEGQEAQRKRREEEEQEKRREKEEQEEERQRVEKRGKREVRRKREEKKKREEEEERQ REEKEERQREEERRKREEEKQKVEERRKEERGKREQERKTREQERQKAEERRKREQEQ EQETDKERNKEETEKRRAKKGKGKTVKPPVEDGPITDAHKDKGKRKAADPVESIQLAP ADYRGPQTRKGEIILHITASNMPGHPAYREKLERLAKSKQGKYKSKGMIESHTDKDAD ADVNKDNKGNDQEAPPTTPTRKMLTQSAKKDTNQDDIPPIRKARLRSKKARQVPEGMV DMVERCMGCTKFKVPCHVKGETGTEPLVPVKHQSCKSCKSRKIYCSFYPGHFYPGRNT VAGQFNLLTPLGSYRKVLKLEEGEDVSAKEKAGEGSFPEDVAELLVQLFEQQG JR316_0009875 MTAINARIATFAKTNLAVEKRMKTVKDSFQELKAEWTTAKKQVA GNTSLSVTMFNNIKQAIQDIQ JR316_0009876 MEVKQESGPSQTREPTSAPQSPSPPPPPAPLPSPPPPPAAPILP SPPPPPPAPVLPSPPLPPPAPVLPAVSAPPTALFLPGSTPKVPSPPPVGRPSLPPVPP ILSLSPPPPLPAPHSQSLMSKAAPLSKDAPSSKATPLSKAGPSSKAKPLSKAKPSSKA GPSGNGHSSELSNPLDSDKVEIVEEDVEIVASTLPASNIATKTRAGRKRKAETTLAEA SRSPKKPKAPKK JR316_0009877 MPTNASPDADPLPSTANATTHNGPRNGQTAEGDFPPEELNIWTT KEMHVLKKHVQPYKDTSKSSKADYIQNTVIPELQATWDHRYSRRARKEDKQLHKEWKV KKHTVFCEEKSAEIDSKVALLSGNAARGSKDWMKFYQQGRKQVEARLTQEEWDRFMEI LEEWNKKGVSKSIKAKTAARQGRKILQQMEKLKWQRMGMRSITFEGHYDIEGKIKYSI TQTSDLSLDDVRIPSFGQLFPSKLNAFHKAFVQYLIHISEIEKGVSNLALPEALFHEK SLKFSSNGFPIIPSPIYGSTGREVAYAQKSIIRIYMNKVYALAKDRPGSSVPWDALER VLAKFNPRDGSEPAPPGVNFYNSQAMEGPYNIMEPLYPSNLFDRLEAHPIPIPPPPIA ITKHRLRQKAIRLQTQSSSDSESSNLSEFDNGRGSLASTMPRTTARFVTPETDETPTD APSRSSKPPSLPSRSSPTIVNPSSSPTPEVEEAPSKPARKIMPRKRTKPYVGTAEMDG PNEESSEPPQLSQNQLEEFNLGKELSPTQIHLTQWKKMEFR JR316_0009878 MGFKKVPDRGSDEEFPNVREASWSSGAKKQKRGRPRIHKLPAES SSTNLPQTTTYSFQNHEHNILEEEIPHYPGDLTDQQVLDNLRQLDDDRTGGKSQNDYL REWLPKREVYLGTMIGGEAPDPDLNGRNHQFTPFHRVEKWTGLYFRPGALWEVGVKLY LGHNGKWCPYPTDPSHLGCDSGNNSSRSHGHGGNNLPIFEDEHGLDLDPLPASNNQES FTAQLLADPEVPHLVELDNGDDEDNDLFEEVDTTYLDQPRPKAADNNGIPFKAIVHTS GVHYLPVQTCICRSVRLPSINLQYLEMGLFAASFENIQTVFTVEVLEDFWMDNLECKM SAYQYYQKLQRLTSPAFPKKVLNRYCELRRLSREYRDLVLRRHYGEGHTREAVVSYYR HCYDSSPARMGINVFDPINGRSDSPSQELGMSTDPSPGHGGLDGPPAPQMTRTKDYPK VEDRRGKLALFCPACPQPGINLPDTWIDDADSYVADGNFKADHLNQKNEGDDVWLSIG EGYMTALGPYKEHIKEAISLAPRYKLTECLYNYASTYVPGVGIIDGEILEPLWSVLND TSRSTRSATTAHQAEVLDDHMWDSNWKKNINMAATIAAKFKRAREQSGITDQFYRGIT DQQDSGLINTWEDKISKAEADQEQGVADTVGKVMASKVKTAAGCQEIELHLSNMELTS NGATGKAAWISSGLKLEQIGAQGPCQKARKVSFYRAET JR316_0009879 MTFMGKDVLEDIQGDITPILDYEVSDNNNPDLGNVNITRADPEQ QPLPFPSAVKQDFFDGLDAGTNLILKGLHKLELQIRHGHAEDCLEAVRSALIQLSWQY KYQVWTADSIYMGTCAWDGVKLLNASWKLHRQLYNTNRQKMIYLSAGVLQAKLASRAS SEE JR316_0009880 MPPSDDALHTSSHKVDLRPSKKSRRLPALHPSHQKANAPLLDNN TKATRLYIATVTRAHTCHFQEPVIICNTSPSEVGDPKAGCSSANVEAGCSSASVEAAM DDNGMMGSATAVAEPSTKGTKRKRDNTAKGKGNLFLYTLFIAVDANFKLKGKERKLGD VDLVPGTGVFVDQAAYCEHIGNYVEQPEMNTCRLENDAIVRASIRNTPGYANGVGDLQ KVMGVTLACIFITYDIACQWTKNLFKRIIEFPEKMRIAKGTQIQTAIPSWHINGHGPD CCNNYNVGYTKGVGKTCGKEVETNWSHTNPLAGSVREMAPSARRDTLNDHWNGWNFRK LIGFRTSLARKFKKAHKMQKKYKESFKQFSSTFTVDVIKQWTAKIKQWEENPKKPNPY KESTNTITLQDTRHELQKEEAVMAATGKLPAHKVTMTGFLVTGLELEDHQYKLTMEIA SQTVKTTKKQGDIHEK JR316_0009881 MRRKPSSQAVSSLETIFLQEEGDIALAEEELRTARLKYERVFDE SFTVPDVLRHVYPSLTLAELQVRGSMEQIEEAKYEYDDNNNNSVTASSSPALEYCSLS SVPSTPVCNNHSAYIQAAQSTPCPSASGKGKAQCSKPHLQLGSPFKSPPPSGQPPSYS LFPISTSLTPQTPKKSVHVKALSYTPLSSTQPSQSSSTLCYDAQILRTPFTAMSSTPS LQTLLEWAPVSTKLNVPHYTVRVRRRVGFYSSWNLASSLIEGIENKCSWKGFSNYDEA AEDYFRSRTLSLVRVHREPYDDDTIFGPREFAENILARGSKGQAK JR316_0009882 MEERKKDVCSAASGFLTKLRKPSDSAKQIELKEFYKETYLEWQK LWPNRVPTEQEIANSGSKEQAIVDIYVAQEEKLKNWFRNHKRPKLAEKSDKKGTSAAT SSVLKLKKKRMMDKEWQNYVKDWKERNPGTEVDTSMFAFRNKFMQEKYKEQSQEVKDQ VDQHRRKAFEEAQEDDNDIFQIYNRNIEKLPRTMAELTSETSLDPLPPPAQIFPRQGK IRMTLKPSPQRKQAKELASKTQQYKRTTRNSGKKAAPTAAKKMEPIQSAESGRKWLNE RGLTEEGEVLGAIAMVKVLRQMSKWEKTPQEMWRDALLAVAHIIEDTHGEDRIEMVAN AAKGEIERVAREAVKSMEKAREEMERGRGQTKRTDVRGARRSWDEEVEELLANAQDVQ GRDEPATQTQERRRLSRERNSGKTEERRMYAQATTSERRSSPRQDCDISMDKRLQKEI RAREAKKEIQVLIDGLQTKENGKDLTPKDIVDKLNIAWDMASTDAEVTYTDSQGNEKI IAIEESPIRTARVLRNGGVVMEFKDGASAWPLTRDKEFRRQFEGALGITVKERAYTLI VEFVSTSLRDGLLGIKDKLDEVNGLKSSTIK JR316_0009883 MAARASFQLPPPPPPPPNPPQRASESPLHSNGITRVNGRRQMDE QGVQFGLAIDRDGLRLNVAVDGRNGHAKIGVNVDTDNKVEIVVSVEEPATGRVDTEPV VSTHGEIREREEQVVAVKNRIEDSGTVEDSDVKMRDGEGDDQDVEMME JR316_0009884 MSKVLKGFQENFSRQNQNSNVASGSLHQATLNNEPGPMDVDVHH EYLDVDMVPPPMPPAPPPKSPPPPPHPPTPPPPIERPRGLPPRVIRLPQRFRDEVPPE PPLIIPPVVEEEPPQPQEPPSVESLYRTPMNGYGIFREYTYGPPSITPDEHFTLSSVS NSPNIAKDPADSLRKASEGTPMALPSDWSLDSEPKDDKSLLFKNRSTQLIMSWFYNGH GTKSYADTDKLIHQVVLDPDFDPKDFDSSFSTAREAVRLDEISTQKNSTDPTLSEVCR PEAGWIKGSFSIPVPCDGFIFDSEEEVPQFVVENVMYRKPLEVIKQAFAECTSETYTT IPYREFWRPSPDEPPERLFSESYVADIFNEEYEKIKSEPRTGPHRHLEPFVVGIGIFS DSTHLTSFGDASIWPILMYILNQSKYTRGKPKEFAAHHIAYIPKLTDSFQDWHQRQFG KAATSEMLTHMRREVNTGVWGLLLDEDVKRAYAEGEAVELADRTMNVPKVGSKMDMRN RLKLARVDSETCQFDIKTARKALFLGKKVNSKVVNGLLQQTSAFPTRNAFSKALFEYG FNFYRMFTVDFMHEVELGVWKALFSHLLRILYTSSNQNAIATLNERYRQVSPFGLTTI RRFARNASDMKKLAARDFEDLLQCSIPVFEGLLPEPAHNKIIQNLLFEMATWHALAKL RLHTNTTLDELGNLCTRLCDLLRQFQKEVCSQYAIRELPSETAARGRRQAAKAKKAAS AGLPVPPTQPNEPKTRTFNMQTYKLHSLPDYVDSIRQFGTTDGTSTQMGESEHKRAKL FYKRVKKGDHIRGIAKHIYRERVVHRTNRVEIRKLLREDRELLEPTPPDLHYHISSDV RRKLDILPWMSQNQNDPATRDFMLRLKTHLYARLSGVNEFSDSIGTHERLQITILGDR IYEHQVLRINYTSYDMRRIQDTLKPNSSRCDIMVLASNKGADQQVHPYWYARIIGIYH ANVVISTPDDYYRASKHKVDFLHVRWLGVCEDCHYGWKYRRLPQLAFGDINDSASFGF VDPSLVIRATHLIPRFILGKIPTLGPSVAYRSKENNEGEEWERYYVNFFVDRDMVMLY RGGGVGHASTRAATDSLRQDRRADDIASRKKRREAHEAPDPDLEPDGASDQEQDAQDQ AEVAPENSADSDDNEDNSDQHTDSEEEEEEEEESDKDENRGDLDELGFAEY JR316_0009885 MEHSTSLVTKYMYEKFASQTDKVKAAMEENQVEATVAELREIVS RAMDMDLAEAKRAIANLPTHDWMDKGDYHRRGELYDHVSIFLEKRERNQRFIIATETE DAKIKRLQREEALPGYNTSVYRWKSVTTPGGKELYMQVLLLQSKHERLFAKVPPSQRT YNAVSNEWDIFDEVDLPRKYLQFVDPPPRKDGYIYDYPIQAARINPQSIIPDNDVPRV ESVSVIPEPCVDSSSGGATSPHEPQYETFPMDTEEFQEGPSQPPVHRPEPGPSTMDTD TDKASKIHDYDWDTANLISNLRYSYGFVASVVPKKEDKTPEGWKHACQHFGFRKDGAE QFVSNTDRQLICQFHDGLMGSTDRPLPQDIHDLHPQNYLSLQVLGNLSLIHRPIPNLF VFAHHDIHAPQEESDRMSADWSIGVETPEAALYVLRVFQSHPGHTVVSVAHRLLSKGV QFRTLVGRKNVVQVHQPYKEVTFYRKVSYKFTNYDYESSMLACRQILDQQRGRAALLM GGIVGQIAKEYLSTESVLQGPSVELLRNGRGYVANPEAELLAYCDDGLTEHDIAIIIG SYSLMTDFKNQVGVKSWFPPPAVWNEIDRNGIGWLEWTERNEYWYQTRLELIQNGKAQ PLTLQDWKSLLKNKPVRVLRELVRARSAAFVHEHIPVTRNPRR JR316_0009886 MPPTTPSFDWSQGSVFLQDGIIYYSPNCNRPVRIKAPERNHPHP FPEHAEPDPTSVKHPVWWTDTFGWMSFIPLNPSFISDPFDTFTWQPELDVTPSYNTPP GSTLYQLEIHTIKHWRFKEQCLLEAAHKMKLWYHVPASQPPPPSIFKYDKPYTSKEEA YRQIKLARDWFAVWMGFFAYFAACAKYDKYCAGKMVREQGELLPRWYTRLLEEVPMLQ RSWLDGLLTSPACVFSPDTQRAGIVIPWYEYDNRRPEIQFFLDQQIPVFFPWCAIAEQ AIINNPTLCYLEPPANLVRDALEKFLNRFPSVPLAGLILRSYF JR316_0009887 MLTPTQIEKALTAIDTNKELDVIQEGLEFLANHITDGMTRQQIL DLQPKLWSFYEMQDLMPDLMDIIKKCEDNSALIGKVIDLVSSAAAHSRSDDLFKAHKL ISELIPFDLRVPLDLQAYKLACARVNQGYKHPAYAALLIPRVKFAELADLNAREILVR CESLEADTKNGDALDWPACLYDPDIPFDIDDEVQGLFRPPLGPQFVQRMLVGEANRLG GRASKGQIHKLVIAISGKTWPEAKSILKADRLYASILTLLLGLFDDNTWAKETVAWWQ ENIILPSEPVDHDKKSSPLEGSARDGRLRDPVERRKAQMAAAAQAPAPARAPARAPAP APPRAPTPEPARVPTPAPASPQARTPSSHPAPDNGSAPALAKAFAAVSLAEPVPQQVS RRRMALNAIRIPSESPPDLPSEDSSSLSSENERSPSPPAPIKIKLPAKRKAAPRRPGP KRKDAPPSDPFETGDEALPTPNADAVPAPNSDATAADGEEPPAKKARTQAKGTRQPIK RKAKKF JR316_0009888 MSNKSNKEESNSESEEQKVSKPMPQKKEPKQNQQEVSKYEATKQ KNIEQNKVLLRELGLDNIGTKMKKGGLKTWDENEPTSKKDPSTPSNVHTFPRQPSTSS KSGVPNVEKSTASVKEKDLGDTSPQSPTKANQSTGVVPNVVNSVLHDNLEDIAGISIA TTKDNAKVSIQVIREVNSNADLPRDNDLATANPDMDVDPKSDDRCLRRDAGVSVDNAA VGIAPQTFHVQSPSPSASPTTLSAATTPAPPPTSTPLTAPPTLAATADPLTFLASLDD NLKGAPSFLSLNVIQYLQGLSNHTSWQELVSLYLEFEKGNPTLRKLSTAMRPRKVAPW IKRHLNKKHDPVPVNKANYGQRFRDWWSSNQLRGCSSQEEGGQQQQPSQTISPPEQST PSLSVSGSEEILDKEEGDPIDPAFHSPSRQTSFVNLRSISQAQEEIDAQSPISPSIGR SSLVHSPVVAPPAAPPSAAPHDDDDAKEDVEQLRRKTIAERMAKLGGIRFGAAPIPTP ISRPPPRQSEEEDQKEGESSAAVDEEPAVPLSEEEEERARKERIAAKLATMGGMRIGM MMGAGGLPPLPPRLHMVKEENVDRASAPAPAVPPAPPTRAVPLSRPPPPPAAPVQSAQ DTDSEYGSVAASEEGVKVEAGESEAEESGYEHVEEAPPPVPSRAACPSINRQSTGPSS PPSRPPVPTALPMRRSSTLQSSTSTRNVSGGDGASSPPHRAPQMPHTEYVMVEEPESQ EAPPPPPARPAARPPPSRSAPQAPQPPPMLQRPDLGDSISLQWELPSIPNSSLDFSGA SDLTMSWTDAGAEPTSPVVAAQPSSPPAPPPPTKPPAPLANNRQLSADELIALWGRVG VQVCKVATSLFEKSHKTLIGDGSYAGFVREVLAAVPNAAPAPTAAGEPYGYTIYGYTI YVQNGPAVVKRVSDIMPGNLVEIQDAKLKGHKGLQTYHQSVGAAGETLVGVVGEFEAK KSKIRVFQANQHVGQQTVESVSYRLEDLKSGLVKVYRVLDA JR316_0009889 MTLPEFSRSFLPSTTVLTFATVGKNAITLTCLDLQALTERTGSP APSASSGGGVASTDYIPKPKPKGAKPPNVFSNDGSFLDRIRRSMKEEEDKKKEKEALE RKKHFADRFKTRGKRPPPTTIQSAASDTTTPETDESPTKKLKLDGELSINKGDREKAG QNAQAEYHKAMESYPGSLKDGGTGVRPLIK JR316_0009890 MSSPSLSPSPNNDSPLPLSDLVAPDSKSAWTTESSGSAVAPTPT PLQSESSAAQNSTVAAFKSTPIKNTYSGSGRFASEIVPSASQVKKKMVENIRDHFVGP MPFNELLELLPVTKESLKIKPTFSMDYFSGFVVDEKENTMYDPFIEKVAKINAMPGFK LVNTSNYADRAVVKDMKSKPDLAAYKDTVNLDNVTIYREMELVFEFKPQQNTVDPFDS RAIKVSGVVGQASERPFEATPNGRSQCRGQITNYARIMMHRQHRTHCYVVYIGGCYAR IIRFDRSGGLVSERINFKKHGDLLLDFLWYYSQTTDEARGFDTTVRVLPIDDVKAMMA RKKLSAWQPASGSKRVYAMDVPEQSVPAKVPAPTMPTSTVADPVKKLHTVYVWGSLSE PNTVIGRATRGYPAWDPSRPEGEDIVFLKDSWRLCGEGMEKETDILQTLNKHGVRNVP QYLYGDDIPGHRTITQDYTKALWNAGGVAKDIITRVHIRFVEDIVGLPLWEFENPKQL VEAVYDAVIAHQDAYQLCGILHRDVSLGNILRRRDGNGGVLNDWDLAARYNIETHTPL KDKRQQSRSGTWQFMSIGLLEDPSKVHHCIDDAESFIWALLYSVMRYMKTSIVPIRLQ SVMERIFDQVDRDVPGELNTGGAGKQSFVTGNRFSFKVFVVTDNEPLTDLIDTLFANI ISRYGDHVSEPRKRIKQLMGNQQYTYGQAREAFDRETNELGVQLYQLLESTFKEYLNK DWPNNTPGWHDYLNPPKAGKGEKHPRSPAPHIELDNPVASTYNSGLDGSPSRQPRKKR KHSDDTPYRPSGPAP JR316_0009891 MTTQKSKRSATGKHSLLPASQPGHTHEAVPRVPVYSLIAWTLGR GSVVWRIWPAVLLHTAFAAVIVTLDMRKIICLEVPNVMLTVLGVVIGFVISYRASSGY DRYWTGRTCWSDVIRTSRTLGRLIWFHVPLRLSPKTQQETQSGQLDRPQKELNKVMAE KRMALDLVEGFAVALKHHIRSEVGIYYEDLYHLVRPMHNHHHTADDDNNYGATTSALP SPRKAQRITSQIVNFDTPVTRPVVSSTPSSSSSSRHAYIDIDADPIIPPINAYGTFDP NNLGPASRRRHVDLSESSSISAHNEHRLLMPSSQPPKNDNVMDKVHKDLIPKDLAERT RHALANDNQCFPPGRNWQSPVHPRLYTKSRPKIAGGGENLPLEILRCLSEWISVLDDR GVVQGPTLGPMLGAIAAFEDSLSALERILTTPLPFVYAVHISKVILHLSVRLVAIFGY YAIPGVAIAAFIYLGFLAAGEEIEQPFGYDDNDLDLDLFCHSIIHADIAQLKSSPCLH AYFGPETEQPQLTRHRSLNLSEIAGFDDQDQIPAENVASI JR316_0009892 MVSTNQPFQGVKYDVSKGSHGRAIVVEDLTGWGVKYVRIQWVDL TNNIRFRVLPLAYFHKILQTPRPGTSLTKAVLGIVFITVVDGFSPTGEYVYAVDLSTL RLCPYAPGHASVMGWFEEKEPVAGVEGHRSVEVDLCPRTILRRVVEKAEQRCNTQFLI GFETEFILLKKTSPIEAGNSHGWCVASALPTGSAEAQILEEIVDALQARGSDVEVQMY HAEAAPGQYEVVTGPLPPLQAVDALIHTRETIFNIASKHGMRATLAPRVFMDNCGSAA HVHISVHPSSGASPAHLPLSTNSVLTPLESSFLSGVLAHLSSIALLALPTEPSYKRMA DGVWSGGTYVCWGRDNREAPLRLCNASSPTSRNFELKTLDGTANPYLAIAAVLGAGLD GIEHGRELKIKECSGEKSAALLGSAGRAELGITERLPLSWKEARVNFEKSELVDEFFG AEFKTKFLAANKTLQEQMSFGLMDDEELKLFVETF JR316_0009893 MEHQYRRGIYRPKAQRLSISLAPLVAQRSLLFRVKADLATKTNY GELTPTEESDSAVTSESAAPSPALFVVTASASARIISAALSVLSTCSVYSQESWQGGP SPFAPVSAASPISVAAPTRPLTRAPAGLFLNVGDTRRHGVAFSPSLQWETLSDDATSE TDSQHCTESGVEISSNPSPGVQQTLSVEISHAPEPRANLRAHLRLSTSSSILSPFFRG GSPTVSSEEQIPVNLLALDSDGEALPVIVSSKKRASAAKRISKHAKAFGKAMSHLSMQ PINKKDSQIAKTSSRRQTTIAVAHPHLGEVTQERKESSHSENMPVRPRPPRSIRPPCN VEGSLSDATPIQAPRSISPPPAIAIPVMKGRGHRRYNSSPAVPHFGSTEFKGWNRTEM PPMPTLPPKSELAKFKLGSMPPPRPQRSLARVNSVGQGHVRAVSVDCL JR316_0009894 MESDLARLLQCNEMPSQRMMAEIKHFLQEPLAKFRANQLEIERL EYAIRTLNNEQAKIKEIVKPYNTVLAPIRRLPTDILREIFSHCLSSHRLPIISATEAP LLLTHICSSWRTIALSSPLLWSKIIISLPSQTYYDNNDSDEEKEDKYILSEEEMAQRQ NYERVAELRAEVTKIWLDRSGPNCPISISIVCPYTRYPCWNNSIANIIDIIIQHSRRF HSIEMAVQQNLYSHIQELLSDADLSMLKAFKLKIQEPISYRTPARLPIDTPVSLPLKN LMLDTFSLSWKTCTKDFTVIPISWNHLRRLFLHSPIQQKDIIPLLSECSNLSECRIWV SNYFQEPETTPKTLTRKVFLPRLHSLFIHDTTRSPVTAAAYAAIDAPALRTVGYTRSY HGSVDRYGTVTEDLSAQLSSFCHIVEGASVDKFMLAMAYQLSETIVGVLKRTTWPKHV IIGQKPLPFRLAESTGSPRYLDTTGPQTLPELNQFDLSSLTITNESHSTGHLLPRLEY LEVYGISCSSDDAAATMIKSRLSAYKAGYVSCLRTVILHFNRPMERDIEGEIRQYAYD AGVSPVKLKLMYKPVKSRLPHMMTYSSSYGLSNRKGFTWSGAMEYDEFGDSVDSGEQD DDEY JR316_0009895 MKSLFALVLLATSSFVAAGPCDIYASGGTPCVAAHSTTRALFNN FSGALYQVRRGSDNATTNISPLSAGGVANAATQDAFCASTTCLITTIFDQTGRGNHLN QAPPGGFSGPEPNGFDNLASATGAPVTLGGHKAYGVFISPGTGYRNNAAKGTAVGDQA QGMYAVFDGTHFNGGCCFDYGNAETSSRDTGNGHMEAIYFGSSTTWGTGSGSGPWIMA DLENGLFSGVNVHLNTGDQTINDRFVTAVVKGEPGHWAIRGGNAVSGSLATFWDGSRP SVSGYNPMSKEGAIILGIGGDNSIGAQGTFYEGVMTSGYPSDDTENSVQANIVAAGYA TASLTSGPALTVGSSISFRATTACCTTRFIAHTGSAVNTQVVDSSSSTALKQQASWTV RTGLGNSACFSFESRDTPGSFIRHANFALVVNANDGSKLFSEDATFCPQAGLNGQGNT IKSWSFPTRAFRHFNNNLFVASNGGVHDFDSPTLYNDDVSFVISAGFA JR316_0009896 MSSIIKVLPLEIISLIIEDLGSDEHPSQSLKSCALSCQTFLPLC QKELFRKMSLLDDRTRSTFVELVRQSPRLAQHVRELAYAPKAEECVDSLDTDTSISAL LHVFKKLRRITITFPGPRRSSFQDWQQLSPSLRSTLLSLLHLPLITSIRLRNIRNFDY FDLVPCTGLRELDLHEVLPVELGNAYSMLRSALPVKPIQLQRLKLTDQHPNEKKVVPS FTEISRIVKYNRNDSASVLDLAGLVDVAITQLVDLGIASNIIKRSWSLKSVVLGFSFY NPDVIID JR316_0009897 MSDTPRTAQEQQTPHTCTSSSKELEAGQLYAVLANEFGLGSWAF FVPNPAVLPIGTSGTVFFLLDRYSANKWMFMTEKVTMFLTSSIPIAILGLANVGFLGE YQVIVETLQLILKTVSIPRKTTIDFDSRTWFLQAVRVLDDCGVVQCEDSGMLEKEMKT CQMDALFRFRSRHGWKAGVLTSFNASNQQAMGITPVKTVVQATSFPSYPKDLDPEQLY IVLTYRGELALWNWSFFVPDPSVSPVGSAGTTFRVVDPDLKGSWKFDIQKEGVISSSP LVVTIVRLADISILGDYRNVVEDVLVSMFTSVHIPTEASTEFSSQRWCMDAIHALHDF GVIVVDRGLFDQLGKEIKRHGFDAMANYLENKGRDILIVITNVCRSDEFSNVGWTYYQ AEMCS JR316_0009898 MSSATRCLSSSLRVATSAHSSSSLACGRALTLGTRSYGQAAVEQ QDPSRKQSNSIPRRIPAVKFASKLWRQHGPRSPNEAPQTSKSAESQESQVPPHFAEYM NRIFSPLQFPPELAQRVLTHNSHALARRGHNAGLSFIGRRVLSTYLLFFLESSSNLTP SHNTEEIISTTLHTNLLGEHVGHAWGVGKVLIWQPAAPLHDLSPLNATALRGAGLYKV QGEAVQAMIGAIYQQHGAAAALNVFHSHLLPLLRVKGGLPAAFHQDVRMVSRKIRQTQ QIEAAEAETPVKVET JR316_0009899 MSTLTIGLSPAPGTFPFTAKNIPISSTKVILGSIEVTEPGKAAR IPSTNNGWFPPKSTDSVTGVSPLPLSSSHAYIWCDGGKVYIRDLDSAFGTFVNGMRIS NDTVLKSGDTISLGSRIVRNEKTPAYITDLHVSPIIATVSIKGSRS JR316_0009900 MLLLYAKFNPLSIDRGPFDGHVGGGMIMKELTSPWTHWFDTNRD DFVNSLGSTKDQPSKCDPNDALNDVLFSGPNGPPLSLVRRAEDLEPIVELSVSKWYDA RFAHDFLDANLKPLSKTTAVRDWVGHILLSRSMNIAASATSTPEVKQQANINGVPATL FFNSNAMQRLLPDAGPSQAYTIVNEVYVQAVNSLGLSLYYADYSTKPPTQRITVQGSE GPFAFPIIESGVEDYQAMLMVDFYNPIYSARREGLMQYVPHDATLKADGKIYDVLDQF LTNLHASPASKEPESAEFELLELLATPDASYAKEFTARVNTYLKKVEERMNSEVAIKI SAVEEYMALADGRRRLYRGRENEKESSGLNEFLLTLPMADKTLPLTRMMEDGTVTVMS PEEIEFSARRIGKGNML JR316_0009901 MPKFFKLNTAATIPWLGFGTGTALYKKDAADFVKLAIDNGVTHL DGAQIYDNEESLGRGIANSGKPRSELFVTTKLYLPALEPGETIVESLKKSLSKLKLDY VDLFLVHDPTPARKKGNLAEVWEGMEETYRLGLSKAIGVSNFQVKDLNTVLENAKIVP AVNQIELHPYVWKAAKPIVEFSQVKGIAIQSYGGQTPVARVPDGPLSPVLKQISERLT QTRGKSVTPGQVLSKWLLQKDVIVITTTSKVSRLQEYLDTENVPDLTSEEIHAIEEAG EKLHKRIFMQHAFDE JR316_0009902 MVASLSPTSEVPQVIPGPGLPSLESLGLTSSDLHVDHLKRDLTA RKAEMITCEDFEGSMVSAANAQACINYLNSIGTTSCGVPADSSDVIFCETADAYIWGT NLWGAGQAETASW JR316_0009903 MTAEELAPLFNIGWSGFTGVGYPKTVPTAIANHIEANNLQDDPA TKKKFNLFVGASVGPEVEDRWARLNMIARRYPHQVGKDIAKGINAGRIEFADKHLSSA SPFSDTPLTSIPNEPIVFPQDLTYGYYSLRRNHGDPSKPLDWAIIEATAITEEGGIVP GASVGATPEILQSAEKIIIEVNTRIPNLEGLHDINQSFLPPHRQPYTVSLRLSSPIIP ITGQNSPEPRKAARVLSHLCTSAKHENRRVEPAGLGEALGTTTYTVHALVQLGSRVQL VSAAEGSGLGGGSRARREEMVVVVMSASGDIDVV JR316_0009904 MQIQSNIENLAKLCLPLAVKAAIIASSTAPLRTSRILEIFELMT AYEDSIDQFGALTAEIRKYPCSSKKTFDDLYALFIPRLCATLVEKNLDICAPPFSDLI HDVVGMYLANILKSKGCAVHIISERFGCDNCTECYTVDVFYRDPNCSEIVMPKLDPVC REHVLQNLKLERAFCTVEIMRTTRPMSVKLVKTPEVVLAATWLERRKVAKNFLAAIGS EDVIAKIMGESYTMVKDAIGGKASFSQRPRCCQSTTTSRGGRGEKEGKGLEIIKRQ JR316_0009905 MTEDYQDAQEAICHDFKLPGLRFGCITDLSVGIAIPYSGQWNSR NRECDGYLWYLRLLLMLVDDLRPYVNVAPQYSIDIWPDNQPRLDSSITTIPRPKAKQK VPDFVILLARPIDRVTKTVIFPEDYVSLFEWMKIKVEKLTMAIVVEIKRQPTRSSYNE GLFFTSLWYNLSRARNDASRQGALVFKKDSDKEELILMAWSGVWWSWRLVTRLTILDQ EKKGQRVQKEEQEQEEEEDGEEEEEEEEEEEEEEEEEEEEEEEEEEQGEEPEIVAGQE AEEGEGNPASANRHNNDDAGNRRRAIRRFYSGPGNEFVRPITGNIFEAIPRKTWTAPL LLGSVASNQHFYLIHFHLKKYLHDIVPERTARQACEKARVEKEAAAKAANQMAEKAVK KDVGTNTECNEIPHPQASVA JR316_0009906 MSQTDLPRRPQAEKKVRIRPPSVSVRSAEFKNPPEGWSILASPP LASRKVPYRVLGFPLRWSDCIRFAERNKISVGESYLEKIPNAMTEMALYLNKYKCELV ATPMGNWPEVPVIMVADNSSKKQLKLAEDVKRIYFVQTYLGVPNPPFWLFNSGRRDNQ QLEKWYEEDYIKIKERYHKDDKTAHESQKNENSEQAPGGAHSHILTASQGEDDKAE JR316_0009907 MSLKAQVKGSDPEKVVEVDVDKESSLNDKIWFSGLTRTLLTWGV ESRGIAPVPLDERVGHPFSKIFFVWFSMNFNILSFSAGTLGPVVYGLGLRDSCLTILF FNLLCCFPPAYIGTWGPKLGMRQMIITRYSFGYYGVIVPCIMNLIGMIGFSILNCILG GQALASVSSHNLSWTVGIVVIAIISLLVSFCGYTVLNWYERVAWIPVLITYIITMGVG GKHLSNPPPPTPVTASAVLSFASTLAGFAVTYSSLSSDFTSYFPPKTSTWKLFLSAYL GFLIPIVSIQCLGAAVVSSVSNVPHWEEGYAGGNVGGLLQAILSPVGNFSKFLTLLLS LSVAANIAATFYSISINIQVFIPALIVVPRYVFSLVATAM JR316_0009908 MIMIDKHLAAVLQLPEDCEEEEASSALVALITSAVHDKDPRSTL SDLHKIISSTDAPKYLDPLNTLPHLLSSKDVAAKDIISVIGHYCSAKEVVMAVQEALE RLEYSLDVESEHEEGHDITQRQGDSKYDLTLNQFIILIDTYTSAIPRLTLRRKSAADT LRPMCKELGSLIGSVTSKATRVQGLSVLSSIFSLVKEIGRWSDTLGQEKLDDLNACKT IVNNLLDRSLLACERFIKANIAQRTLEECHPRLSKLSRTNVDWQEGAQIMSKFLETYN SLGISSTTSQCTTSHLVASAYQPPDIAAERLLSLLLPVLITSLQTNTFLDESLAVLVK ALHEQPRGRDLPHDITMPLFGLLPSLASAHPDPAVRHQAFRVLSLLLSLSDPQLKFQH LVELTRDSEFPQMRVASVGLVKESLLQALSFPGHGQNPFLSPLFLRTFGPIIFRPDPP DLFASKLTLKGFRDTHEPARLVECLSLFYVLLLRDDKNLTGIRDKDIIKSIDHNLLAP LRRNLTQWMDDPSISKDLHDITGVVPLQISLERIDTALVSRSWPCTLS JR316_0009909 MSFEEKDPIPSAVVDVEHQNLSIASEEAGSDVHPSWKKRILSWG VEERGILPVPVEERTDVQFSKLFYVYFSSNYTILTLSLGTLGPVVFQLGVRDSCLVIL FFGAFCSIFPAYYSTWGACLGMRQMTLSRYTFGYYGVIVPSILNLLGVIGFATLNCIV GGQALASVTNGHLSWTVGIVVFTAVSVIVSFFGYKFLHWFERYAWIPVVITTIIAVGV GWKHLGNPPPPVPVSAPSILGFAGTQAGFLITWSGFAADYGSYLQPEKSTWKIFWWSF FGIFVPNVITQSIGAAFAVAATSVPAWSDGFQGGGNVGGLIEAVFQPLGNFGKFLTVI MSLSVAPNLVSILYSASLNYQIIIPRLFVVPRYVFTILTAAIVLPISIVGAHRFDAAI TNFLGLIGYWASVYVIELFIEHIWFRSNNFDNYDREVWNSPRRLPWGLGALGAGFLSF GLIIPCMSQVWFTGPIAKHTGDLGFEIALVISAILYPPIRWIEIKLMGHL JR316_0009910 MNIPLQSATTWKAVVTVLQLLAIAITALRLFLRHRRQTLWVDDI TVALALVMELVGMIVMWFVYVQDDNSTVKGRVALYWFASIAWITTLWLTRITMILSIT RIFPDWGTIRRNCRGIAISFGIFYIGFICWLCTSACVPSSSDAMTSRTDILDCSNERH FLVIASFSADIFSCCVLVLYPMFILFVLPLSRGQYKLVMGLLIAFTLVLLSSIVFGVF SYSPNIDGPGQPFVTGMLSQLEAVLSLLSCNVVAFTSYLFRNGSGADATDDRIEWKKP ASPTR JR316_0009911 MTTSYAESSSQALISIPSTQHRPHFERGRHSNSHFSGNHHIHTV TAQELWQPILHSSNQVVLYNPRSHALSVASAAASEELASGVVVASRMRREEISNNTRL ITNACPYCKQSLPAGFSGYPSSTTHPEASLGQESGIRENEDAWGYINTSDEDDEDSGE VQAVSTDPAYHSRASDYFRLLAIANETASVTSGSRRPSISPSHNQGNHEGEERRRPRE RSRTPDNRSTKGAFPAEKMAQGYFKTFFQEEYKLGMGASGSVFLCQHVLDGNPLGHFA VKKIAVGESHSYLLKILREVRLLERLHHPNIITYHHAWLENSQFSSFGPTVPTLHVLM QWAEGGSSLDDFIDVRLGRKPAHIHMHPLLSSTSSVFTPSVPPSPASSNNELPGGEVP TTPTPTAASFKHTRFATESPGAEPRHSPSKPEDAQSRAARIQAFRAYQRAPPEEKERM RREMENVFGTGPGEGPAGTAKTQWTAVHLLSAQEVTSLFRDVTEGLAFLHGKSILHLD LKPGNVLLTWDEGKLIPRAMLSDFGTSRDMINSSRVPRSGNTGTLEYTAPESLPSPET GMLRQIDSKADMWSLGMILHKLLFFKLPYRYASVGDANGEPISREDEGGKMERLEKEV QEYPGFKSVPSMVTGFENRRLPRAFLVLLENLLHKTPVGRPSVERVVTAIREGKLDPL AEIPSQNLPLARPRGELSVSSASGAPDTIKNEDYSEPTRVLALPSPVEVLGPEETSLR NWLTWKMWKPLLTGVFFPVKKGRRSKKGVPAGKNWHHSRNRLGLRLIRSSLLVVKVLT ISRVCLNPATRPRPVVVALLLVAAVSDTVIDHEDEGTERQLRTGSRVRGVWVTVGLAL LHFGILGLSAWSEWGGVDHFYAWKRICCIPMAGTSRGPLQHSELA JR316_0009912 MTNYNRKLIQFVAVACILEYLSANALKAFCDISAVHLRDPAPGV HLCLKVPHTNIFYVPPIPTILGRNTLLPHANNHASAQQRLSFSVIYTVSRQYHDSFHN LKLPARSINRITSLIRIAGPRLILNLREAYYKPFKDECNREWDDDIDLDLERP JR316_0009913 MATVVSSNYDMFLYFLAIFLPPVSVFLKRGCAADFWINVCLSIL GWIPGVIHAWWVFVFLTRMIMKRLI JR316_0009914 MSMAPSVPALDTFASLAFLCISALYLKARWKSSRLPLPPGPKGY PIIGNLLDMPHRFEWEVYHRWCKDFDTDILHLNAGGTHIIVLDSYRAAQEVLERKSTI NSGRPRLPMVNELMGLDFNFGFMDYGICVRRSRRLMHHAFHPSAAKQYRPQEINSARR FLRRLLDDQDDIMGELRLLSGEAVLSSTYGIEIASKHDKYITIAEDGTEPVLTAIIPG TFLVDFIPALKYVPEWFPGAEFKRKAREWRQATFRMRDVPYEDAKREIERGTPLVSFC SMSLGKIDERLDRDAQEEDIKSVAATLYGAYTTAGADSVMAAVATCILALLNHPDVFK KAQAQIDSVVKPGRLPDFDDEASLPFVTAIIKESMRWREVTPLGLPHRASAESEYNGY RIPKGSIIMANSWAMLHDETVYPEPFAFKPERFLTNKGQLNKSVKDPGHAIWGFGRRI CPGRYMAASSVWITVASFISAFDIARVDESGGLDHEYGSGIIRIPLPFKCSIRPRSKA IEDFIRSTTRE JR316_0009915 MHFVDLPPEIWHEIAQYLSPSALQHVRGVNRYLFDLAMDARYRD VRIWELDDYAVHVLDALQDVRNAQRVRRLLICSDAFEEGTPSLGLKVNSAKGRSMRST IINAMRLQRHAKERILSPPKSVQVDSHSTSSTLGVSPVEAREKLAVIVPSLTSLTHFT VDWRLYDNVSAPYIDRIWTLHGSRLVHIGILATTTKMLAMFPLPPPPLGNVRSLDVAI RNKQSMLREEPQMVQSQLALSSFVNSLCGTLEKLQVSCIPMHDLSFFYDNLLGPGDFQ LLSTLNLNVTAPVHLQPREYRATALLQRMGPAIRSLTLSVYSAIAPLASYQFTFSHLY LENLDSLTVAADVMAFSWDHTLDFLNRHSSTLRSLVIDGPIALEELIKLLTVVNHRTG KSNLAKLSLAVYQVNAEFFAILAYELYRLESLCLRIRMITSTTTRSITPSQFFPKDIG GENEHPFIQEMKLLKAPFADWTLNDITIIRRSCCGEIVLWGLLRLCAECIPSIRSFDG NGHSWLNIECPWHCPLTVSL JR316_0009916 MASLPPEIWRQVASYIAPELLQHLYSLNKAFFDAAMDVRYREVR LDSECLGEGEGERVLDRLGSPTVSSRVRRLYLSSHDQVEDGQSPHENESQRSKSLIKS LARRAFGSRQHQVTRVASNDTTTQLREKLERAIPLLTNVRAFHLSWTSSKDHASPYLD IAWKTFALTLTELHLTITTTKALALFPLPLPFPSLQILHIHILGRTSIAPCQAVLAAF VNTLHATLQSLSIRSTPVRNLSDFYLGLGHFNYLSALDVDISTDATFKSSVVPGYTAF IDQQRQVTEELTLYIPPDQSTTWRLEEPRLSKLEKLTIAAGLVDNNWDQCTRFMKRHM KKLASFSTDGRIYPARLASFLEVFHHRNPYSVLCTLSFSAASLDVRLMDLLANGLFRL RSLTLLIDSVAVHAKCTVIPSEFYPRGSPADPPLPFIRGLRACDALKKWELEDITIKR HSCCGELILWGLMEVCAGVVPSIKSFVGVESLSVPVPSNVPPKRCVGRGFGASEAEAL LGLSFKFSSCMLRHKKRRELAATREEHWQARQELWKNDMSYLNSRTDIASEKSGQAPI PVSREIDSMQSPYLPWSDRKSISKDPNSQDSQTYTQLAHAIVRFLGLESQEELAEYNL NNAGELVDLISRFTTNTFTVSTPALAPLGACVSPLVALINHSCDPNAVVVFPRATSRR EDEPLMQVIALKHIAPDEEVLTAYIDTTLPREHRKKILNETYHFTCRCPLCAPPPPAA NSSALDMREAMWCPKKCGGMCPLPTEEKSLTRCNRCKTPVKDTDAVLDAVRVGQEALH KAEALQFTNPTKSIQLTTKLVPILVSVGLVPAAYPLLALCRLCASLLISHLSPATSDV EEVLSPELQSSSSSSSSSLPADPMNKRTDPRTPEEQQEALDDAIRAATRASSGLSLIL AEGLPVRGVALAELGKLLAVHEPCPAGVEPGSQGVSSPGAPAISPSPPSPLPLPSPSP SPSPLTMHPHPHLNSTPTPKTHTHTHTLPTLRPTLRPTPPQTRLRNARARAG JR316_0009917 MSQPHLQGGTSASGASCPSCHPTPSPSSKSSPNTVPHPTANNNN PKSPLANDETDKMLEEITNTNTNSNAISEQYDRFKTPSPKTIGGIHAMPPNPNPQHPQ RPRDSARRRNRARFSYSSDIELSSDEALHIHELSRENGKLLFEYFNQTYVAHDEKKGR ISPGRARAFKRARRVLDSPFLESSASALSSSSSSSSSSSALAAAAVSSIVVQREGEDD TYQVDYMRVDLEGDMLEGPSASSSAAASATGLDGLDGLAQMPVDSADADADMDGGGGT FQLDSFANSNRN JR316_0009918 MFTTPQKAQSKFGNWSPGIFKLNVNLNLFSPTPPGSVAALNTPS GPTPHPPPPPDTPFTPSPPRRRHGRSSQPSTPTAAAAGARSEPRARRHASKFATPTRV QHSASFNRRVKHAQLPPRKDAAPHPPSSSSSSAPDPAAADSQPGFTELKQQVVATSRE SKVAPNPETQIFLAQLEKLDFMREVVEARINTYTDDVDEDGDAAKEHRANQKAEKARR VVAEQRRVEEQRRVRARARERERERERDMKRQREKELETQAEAEVRALENAMHAKRQR QRAEMLERERLREEREAAEKEEARLDTMRRKQAAQMAKQAAQVAAMKERERRVEEEHA ERVERRRREDEEARIAAQMGDAARRERERREREARVQELEQRRREQDARLQEENAKLE QRRRDEEAHRLAEAEAARLKLEEQRRQEAEAARREQQRLEEESLRFAAEQERIKRETE ARARWDQELEAERRRREEEAHAAEILRKAEEAARWRQQELAEKRAREAERMAREAREL ARTALEHARVTLGHARAEAERARRDVESARAQAEEARREAERAFARAQAEAIHLRFQT EQDALQWAERLRAQFIVEDQERQAANAQRERDLAAKEERIRDAEEAFRRKEAEFAANQ YAQWNNQQQSTPPPQYGHEQYQHSPPQQHKNFNYHQQQQERQQQQQYQYYQQQYQQQH FQQHQQTYEHHDTDVPMRDASFIVDMDASMQDLPPRPPTPPPSPPPPPPPPPAEPTPE PGPEPIPLTAANFYDQYEKSWKSLLKHTPNHTLQLTHIKWPVLHRVTSPLDITDEAVR HFYTNPTRPVNLQVPIRSLCRKELLRWHTDKFAAKFVDKVVPHEWPTVLECVLVVNRF LVQYNQERG JR316_0009919 MSDFSTLKNKRRAKETKSFVTASSTSVPAEGAASQSQAHDDGAT SNSPEVAMQVDSEEKQQAKSHDAASTSAITITPLDCDGLYKSLPPTLEVRMSTGATGN GRGLYSKYYRRPGDVLLSIKPHVAALSNEHLEDYCSNCCAPKTTNLQRCTGCKLLHYC DSKCQSSDWSFHRYECAAIQRWSATMSSEKSGQAPIPGDAIRCLGRILWRRRKLGSDS IWSREIDSMQSHRKSISKDPNSQDSQTYTQLAHAIVRFLGLESQEELAEYNLNNAGEL VDLISRFTTNTFTVSTPALAPLGACVSPLVALINHSCDPNAVVVFPRANSRREDEPLM QVIALKHIAPDEEVLTAYIDTTLPREHRQKILNETYHFTCRCPLCAPPPANSTLDMRE AMWCPKKCGGMCPLPTEENSLTRCNRCKTPVKDTDAVLDAVRVGQEALHKAEALQFTN PTKSIQLTTKLVPILVSVGLVPAAYPLLALSRLCASLLISHLSPATSDVEEVLSPELQ SSSFSSSSSLPAVPMNKRTPEEQQEALDDAIRAATRASSGLSLILVEGHPVRGVALAE LGKLLAVDEPCPAGVEPGSQGVSSPGAPAISPSPPSPSPSPTPLTMQPTSYPPSGPPR LKLAYETLVRARAELLVGFGNGKNEGGEVGRAVRTMAVDVERELGVWRKGVRDALDGR GTRRRG JR316_0009920 MQDENLNDSPSRASASGSDEKYSEFTDQIDIYTLHELHAGRLIL DPLEAKKELGDSVAAKLKLTKDGRYVLWPQPTDDPEDPQNWSDRKKNFQLFIVILASI IPDFDNGIGWGGIFFVMLMRRYGRLATLFWTQLIALGLLAGATFAPTLQVFAAMRSLT AFFGTCPQVTGLYTITDLFPFHLQARKINYWTMAVVLSPHLSPFLFGFLDARTTWRWA YGVGCLYGLAVLILIALFLDESLYHRKAYSADHPPVHRRKGSIVQRVKDVVGITGVRQ ARSDPPWSDICTAILKIAWRPHLFLILFFEAFVFGFSIGINVTITVFFQTPPPFGFGF SQTTVSAIYATPVVAVFIGELLGRYLNDWFMRREIKRNNGVFEAETRLWYLHLLLYWQ FPAAYILN JR316_0009921 MSLSRHIDAERLIEQIKEHPHKKHSSAESRRGLHRSWRRDEDAA DLPKYTLPKHGINSKAAYQLLHDETALDGNPLLNLASFVHTWMPEDADKLIMENINKN IVDMDEYPAASLIHNRCISMLADLWKAPKEGKVIGTATAGSSEAIMLGGLALKKRWQE ARKAAGKDYFHPNIVFGSNAQVALEKFARYFDVETRLVPVKEENGFVMNPHDAIPYID ENTIGVIVILGSTYTGHFEDVKLMSDLLDDLEKRTGLDVKIHVDGASGAFIAPFAYPH LKWSFDVPRVVSINTSGHKFGLVYAGLGWVLWRDESFLHRDLVFELHYLGSTEYSFTL NFSKPAAPVIAQMFNFLNLGFEGYKKIAYKDMRNARMLSRALESTTYFKVFSNIHVPR NSDSAHVSSNNKDDPETYHAGLPVVAFRLSDEFKQNYPNVRQVWIQTLLRTKGWIVPN YNAPLGAENIEILRIVVRETLSEDLIERLIVDIVAVTESLTTEEGNVFAGITASGAVV KPDLDEARPDSSNFNDSGDGEAQGQTGYSRQC JR316_0009922 MPRLNFNVDEATLLKAYKISSLNPTKWEEVDHDFDESIANATTM LAPSAAGNTSDKQREDLLGLGPAKSVKDLDMETKSSLLLSSRTFSPAQYLAFAHPNAT YHDLSRGVAHLQKSIESREEALRVLVEEGFDRFVVVKGAVDGLYEDMKQGILSPETEH GTQPLRNLLKDGARKANQIFLPALETVSKADKLRTTLSVFERSRFFFNLPSFIMESID AGKFDLALRDYKKGKYLLENRPGQLLPISSSTGSSSGTSSSAYPTGTASETHLKQMQA QQKRILNKVWASVEKAMGEMRKVLIVQLQDSSRSIEDHERTLETLLELQTGAISNAED LIWTYFDNHHLHIMDKMNSTHRTGMKMITGKLTALQGMKSTGSSHAEKTSAITNSLVS QLRLAMMSLDAGDSDRDIARLASRSEPVWSAILNLVKSVSEVVSTSLPSFWKVGKDFL EGRFTKPSTDKASSRRSPRQCRTMVYDIVKLYISLISQAFMLSDVAVMSRSQSSQLLT GGPESKNYHSKNYQGAAPYQSPAIFPHESASLSTAFYLQNILGEVVECAGDLIGLDLD APGSAEVKNGLKSLIESLKWRSIDFLGNEWIRDAGLFYHLEVWVASSTTNVDSKSKRS IIPTQHLEQFERFQRYMTTASYKIASTTNSEFFPSSSSAAAKAAISPLGRQAQAKVVL PQMLVGKVTRAFVDASYKFLDGLMLLASDDAPVARGDFEQVTAIAGSEMSLSQLFDLR DGNIRLLLVMANMDCFKKVFIPGMIIQLEKAFNVSMTEDRAALGNAVDQQDQVLFEAY IKPRARVIKEEMSKALLPGGDIDWYRTPQPVALRPYVSEIMNYLVEIHSQVCNVAPSL LDRVVIALIDELNKLVMELTFIHKSLANYGRDTSAGKRLEEIYTKEATQAYAPSARED KDFQKAFDEMQETLANARRSTGVQFLCFRKMKEKEKLKESDDRELSRKDKHAGRMRVP RNK JR316_0009923 MSTESDSPFFIPQNIQIAAISGNLNAVLVSTLLTGFYSVVYLGT MYLYISRMPTSQGKIVILSAISLLYALCLVQQAIQWYYINLILIFNGDTRLDIFFAVL ERGSVAVYAVFQFSFCFIFVVSDALLIWRCYHVWGKSFKIIILPLALILGEMGLSLAV TLVPVVKPPTFTSSISSFKNLTSIQTLVSFGTTAICTFLIGLKIHKASHQNFTGNGIR STKRLGHIIKIIVESAAVYSLVTLVYAIQGIIPFSPETIVESPLLVEGLYMQVIIVAV AGLAPTVIAARIALSTPKSTFREPTTRISDMIFPNIQGTNSGGTAVITNIRC JR316_0009924 MPSPLKNQPPLNIARFTGFFIECVLTGVYWVHFFLYVESVRKRS KSSGQNLFKTPMFAVGATIFIIATGHFIVLVISSFLAFVNCVTTDAPFDQRGYLSLSS HWVIAYSSMYIPIPVVADAFLIYRLFIVWGRNVVITIPAVCLCIALLVTEAVAANLFR TSFNTIFDKSRRWLVSAFALTFACNIYCTFLIALRIALAQRSVRDTKLNPKTTKLQKY LEILIQSAALYCFLALLSLIFSVVESTAVYITIGATSPTIVSAFRYGNSVRSDRLIQG ICFCMIVTRPYNVDVGQTIGTVTSVHPSWRASGVPLAEQGSEGFRALEDPERTLAGNE TKGEERHLERPVTQPCIPAQDDVFTTDSLDCVTTMQGKHLSI JR316_0009925 MYQDTKAPNSATEQPRLWNVREPYQYAPPKPKDDPWTTLVEPLL RKDKMQCDVWKDEVQNLLIFAGLFSAIVTALIVESYKSLQPDPNDAIISLLSRIALRL DGPINATAGLYSSPAQIFFTPAPSAIRVNTFWFLSLVLSLTSVLAGIISLQWLREHLV YADLSPREKYATYYMRADALKKWHVDKIFTAMPLLLQCALVLFLAGIVDFLHSLGFRQ VTVPATVVVGLTLLFLVATTLLPSLQILSLYTHFPGRQGRPSRPISSPSKCPYKSPQS RAVLVLVRLVSSFCCRLYSHILNLIEKLGKCLNLPKSNSDPVWQPKDKFTRYLHQALH ASPRWIDFDEAWLNIRDAYMRRAVGKEPFFREPTLGRQYPQLPPIYDIVGGLQAQSWQ KASAVSSHHCFVEISELENIYDRLHQEEYLYELLTNDECLKSDLSLCRWLTGDTSGIL DRSTLGSDILRQQNTFLYLRSSSTYYPEVELLSTHRVEIGIRLMIYFYLEKRAIASVH AADLNSPSCLSVDSLNILNPIFRDPQNYDFIWQIVNFALALLIQLEEGYRDDVDPPLN IKTQQSHFIALIPVIAHLVYMTSMQTHEHSIHSTASERLSATRSLLDAIPAHLERRLS QELSETQTARHAPSVFFYISAQYCSKATPEQVSHYASLCSTLLQYKRVTVDVNQLNQE METTHEKINAGSDSLLKDVKSFSEDIWEYLGTISGPT JR316_0009926 MKRKFVVSLARLFTVVTFVTTCAGGSFYNAHDERQETCVRGQRW RWSRRKETEKRCEYHPLLLKEHQQWFQRRQTTRCSISDGPHRSKAR JR316_0009927 MNKKNVLPSGTTSLSSSTTARALPGLAAPVPKVPIVPASLPSKV VYAGTPSPSLSSGPTPASGSGAPTPAISDDLLRKVAEAKRRVADAQTKLAIKDNPYMT LAQSAKRSSKSSTPQIEPTQQGAGLKMAAHPLLLDTTPVLPQSKKDRYKPMQPKFASI KANVRNQPSPSPASIVPSVVPSPAAAAGANPYMAGGVGVAGAAGSGGKEVGMFEGAPR ERQGRKFHFNPKGKYVALGNQMRQENQLEALKQRIAESARKAGLDGDMGIEKTIKRAP PPDSEWWDAALLPSKSYNDIDTFGLNKLNIRTPDSPVTIYIQHPIPIPAPNEKNKIEL KPMMLTKKEQKKMRKLRRKQELQDKRDRIRMGLLPPDPPKVRLANLMKVLTSDAIQDP TRVEARVRREVAMRKHTHEKTNAERKLTPDQRRAKLETKKADEDRRGITGLVFKVLTL TDPAHQFKVRKNAEQLNLTGVCVFGPSFSVVYVEGAGKYIRNYKRLMMQRIKWTEAAR PRGGEEEVELENPESEAEDTGETPKVKAPESSSGDAAKNLEDNKCYLIWEGALRDREF SNFKARSCPTDRDAREMLGDRMKGYWDVAKNWKPEDEEIF JR316_0009928 MSLKYHDPPGFVDDLTEENKKLWSQQISSFMLTDRVTPTLEPQF YDATKVMEKDPQNDAKITWIAFPKQVKIANPADHKRWKVADAARDVQDEYCEWSIKRD DKGKMLRIVFCNEGPEYYKFLGKYQPDTLVELYQTLNPGFDIKKADLFDQQGVYNPRN KWNNNTNTGSIMHLIQDANTLGAEAFGDPSTFADGARATVLRKRLDGTPITDADELIR CSGYGNPNRNSDPHIGAEVNTFARSGAMVTLKNPVGLYIDSISWGQIEAPDGDDPSKW WKWTRGREGTYMRAEFEVPSDKPYVLGDLLVKGVALEFGGQLADFISISLTGHASDMD GQNQITPRLCSEPSSNRNDAISHKKNLR JR316_0009929 MASSEPILSSIAGIDPSPRYLSPKEVAELTDWFGQWLAKTPISS RQLTLRSLLKEVLPADSYSIFAVADGIPIVYDHANPDNPLNSVSRHLRFIIIALPDKA LQETFKLKEAILRRTLCIVGSSSPDSKSKFLQCASWDPKGLNQKHGFGLMHFYERLGP DWIYVGNSLNAFNTLSINRGPFDGHVGGGLIMKELTSPWTHWFDTNRDDFISSLGSTK GHPSKCDPNNALNDVLFSGPNGPPLSLVRRAEDLEPIVELSVSKWYDARFAHDFLDPD DLKPLPKTTAVRDWVGHILLSRSMNIATSPTSNAEVKQQANINGIPATLFFNLNAIER LLPDAGPSKAYTVDNAVYVQAVESLGLSLYYDDFSTDPPTQSLAVKGSEGPFAFPIIE PGLEDYQGIRTLVNKSSLATLLPQKAITAMLMVDFYNPIYSARREGLMQYVPHNASLK EDGKTYDAYDQFLANLKASPASKDPKSAEFELLQLLDTPDESYVEIFTKRVNAYLEKV AERMNSEANIKVSAVQEYMTLADGRRRLYRGRENQKESAGLNEFLLTLPIMDQALPLI RMMEDGTVTDMDTAEIAFFSKKNQEKIHALDMCYASAPGLGGCPAMMAQRHSP JR316_0009930 MFSLSSLSRRTLGGLSRVLAAPAPAQAPTALSPLSIFTRARSQL APKQVKHIKRHKGRVPIPTGGSTKGTTLAYGDWGIRIKGNGARLSAKQLTTAQEVIVK KLKVLKGSKVYLRVFPDIPVCIKGNETRMGKGKGTFEFWATRVPTGRVIFEIGGVPIR EELARDVLRLAAAKLPTQMEFITRSSLPRLGNLLIPPPPPKQVDVSAIPAAPSAESAP PSA JR316_0009931 MKPDAIVTVSARARIQGVLLSTPVQFFIAWRIKVVSQSTIMPAI IVFFGICSFIGGVAVFVMTVQISERMNLTVVEPALIVWLGSSAVADALITLSLSMSLF LRTWFGLWRDTARLLNATGTITTIFALADFIVFLLLPATNINFVFDFTLCKLYTNSLL STLNSRDPQVHTGNSASVGTDAARSITQNDANLLFGRLTKRLTAVVTPGKIIRPSYIA AAGASSSDRKNTAIHEVIELDTKDHARRSQNGKSGLEVHVHKVVGSTLV JR316_0009932 MNEKSALDSVSTSSKGHDSASEIDLLSFHEQRAGRLIIDPECAC LSAPVFLTDSDVLPITISREAKIELGEEVASRLKLSADGTKVLWPQPTDSDLDPQNWS DRRKNILLLIITLAAIVPDFDSGIGIACIFALAKQFNTTPDVVNNISQNWSIFLIGWG GIFAVMLVRRVGRLPVLFWSQVLGLAFCIGCTFAPNLKTFAAMRCLNGFFATAPQATG LYCVTDLYPFHLQARKLNIWTMGFLVSPFLAAFAFGMLVSVSSWRWSYGIGSIYSAIV VLLIIFFMEETMYDRTVKPIPVPTTSGLRKRFEDLVGITGLKMQKYRISWHDSIMSPL RLVWRPHLLMALIFEAMLFGFSIGINVTNVVFLGTPLPFGYGFDQLSIGASYATPIVG VLLGEIIGRYTNDFIMNVSIRRNNGVFEAESRLWACYIAIPLYICGFVTLGAALERHL SVGALIMGWGIAEFAVMINTVAIYAYCNDAFPKHQGEISALINIARTLGGFSVAYFQI PWALKHGAIQTFGCEAAIVAGLFLLFVPLLQWKGTSLRAKYSLH JR316_0009933 MFKRIFTFFLSSMVGCTVTQAAGLINQGRGAQTCADPSLSVTFF AGYSMQNTFHVVAPRWLFVNQHSLGGADWQLQGALFRAWQTPQDFTFPMYRLFNPVTT DWIIALSTDGTVPVVSGFDNADTELFGYAYSTQVCGSVPLIGASLQSKGDHWYTIDTN EHSSLLAAGWVDAGTVAFVLPLNDCECSLSQ JR316_0009934 MPRKAERAILGENDQLAFDASTFFSAVRTLAQISVTSPAFRLVL NDLLGIVRDIVAHAAADVGRAALQVQDAARGVEEQARRGNDIIGKGPDGELKMDTTIK DKGKEVANNLKSTAVDVKKEWVLSRVQQDRASRSAMRAILILVRKYAEKVVVSSEVIS STFEGVATEAKDAIKETEAPTYPPQPYPQSQSTKPTVDVKSDEILRDLKEVAQRVAQG HSLDGLFTAFGHVIRDLNDVPEIIATESQNTLNKKASSSSSTPEPQSPTPQPLGVPAP TTGSRKKNKKARKKQRAALQQALSSPAISPSQSQDETPSTPVEEEKHGISNPNPFRVY IGRLGAYLDRAIDEPGWAASADGANALEALFDEGAELVSVVGDSVINVAEDVAIEPSG TTGDSPQHNLSHEEKAKRRFKHDIVALSNEIEAYISSLENDKTTMGLVRAFEALGGDF SSLVSQGAQQSRRTLMQSLAGMRGWTAWVGWAIPRLLRMLPTSAIPVPSIEAKTDTME VALQALFVQGIAKGTLDHEPVESSLVPDEVVLREWTEVKIDMAEENDDGESLSGTTLH PGVQTTSRLRLHMDGVRARVEGMGYYFKYKGGIVGYEDEGVISVDVGMGTPHGGLGVD IEVEIEMDNDDSSAGFDAAESASTKSLYATSSSSSLANFAHSSDSSHRPDVPEIVIQD EETGESKPVDEPANLDVQTAVAPVGAAVGHQRDVMRDRGLYRSAEPLFRVVDISAAVR GLRFRLDQSRHWILNKLVLQPLAGPVIARIVKQAVEEKIRSSLDVLALSLGEVARDAK IKGETRRRDRYRERIAQGEGIVSKDSVMAGLGLTKHELEDEVAAADGFADILSDWWSA VLHTGPAALKRMSQSDSTRDEGNQHIETSTSTEATSKGLIFTSTTTTTTTTTEEPRGI PAMVYHPATNSMERVDMTMDAVDGAYVPHEAEREEEETVQIAVGAGAQLFPGKAGPYD GSSGEHSGEDERRGFVQDVKDTTNKVVDTAVQGASEGLNTVQRAEERWEDRSKKEHKT GKERTWKSKAFDF JR316_0009935 MDYCLSCFGKSKAKASGEREPLLPKHRPHAIAEPSRPRATAADV ERPIVEKVVDVLVALNAGKLPSQDQISRLLQALLNSELLREDKGKVISGNGPTSKQGR KVLADVKGLVQAVLRFGLEKNGAKRIYLLSIAF JR316_0009936 MSFLTISLLLGLGAVAVQAQSPLYGQWLDRRDNLCFWSYLRGFQ PMYVRNCCKLDQPVTFCADYSQCLPGVASSSSTAKPTSTASSTSKPATSVPATSTSAP SPTCSASLTSRATGKLSHVGINIAGFDFGCNSDGSCTASGAWPPLLKYYGHDGEGQMQ HFVNDDGFNTFRLPVGWQFLTNDAMSGTINQTNLAKYDDLVQACLATGASCIVDVHNY ARFNGQIIGQGGPSNDVFAQLWTGLATKYASESKVIFGVMNEPHDVPDINLWAQSVQA AVTAIRKAGATTQLILLPGNNWTSAQTFVSNGSGAALMKVVNPDGSTTGLIFDVHKYL DSDNSGTNSECVTNNIEEAWAPLANWLRCNGRQAFNTETGGGNTASCIQYLCQQIAFQ AQNSDVFLGYVGWAAGNFDPSEFALLSPIKCSLSLTVRRSTVSGYVLGETPTQSGSTW TDTSLVKSCLAPNSGSQSGPTS JR316_0009937 MASTDRHDYLLNASNFSAVLAGAKSDTLTFPGPLIRAHKGDIFR MNVIDQLVDTSMLLSTSIHWHGFFQNKTSWADGPVGVTQCPIAPQHSFLYEFATGEQA GTFCYDIDDESTIITLADWYHIVAPQAEKQIVPTFDATLINGKGRYPGGPATPLEVIN VLPNKRYRFRLISISCDPNFTFSIDGHPLTIIEVDSENVQPLVVDEIQIFVGQRYSFI LTTNNPVANYWIRATPNVGTIGFDGGINSAILRYQGAPDADPFVPRYVLRSSFTLVT JR316_0009938 MKTAYIIFTLLQALASNALAPREPDTVTAERVYHTMITEAPYMV DMTTTVTWTVPNTQIQSSTSVPTASTTS JR316_0009939 MTLLSLAVFLGLSLAVNAQSPVYGQYYSQCLPGSASPSSSSNPS TGPTSTSAPSPTCSSSLVNRATGKLRFAGVNIAGFDFGCGTDGTCDTTKVVPPLKQYN GPDGQGQMQHFVNNDGMNIFRLPVGWQWLTGGAQSGTLNQNNFAKYDALVQACLGTGA YCIVDVHNYARFNGQIVGQGGPSNDVLASLWSSIASKYASQSRVIFGVMNEPHDIPNI STWAATVQASVTAIRKAGATSQMILLPGNNWTSAETFVSNGSGAALLKVVNLDGSTTN LIFDVHKYLDSDNSGTHTDCVTNNIQSSWAPLANWLRCNGRQALNTETGGGNTSSCMQ YVCQQIAFQAQNSDVILGYVGWSAGSFDQILTPTWNGNSWTDTQLVQKCISPNSGSQS GPTS JR316_0009940 MPRENSLTDSEGRSLTPELEEEESTTLPGLPNYSHVPEQTIPPR SDTLKTKARTKVSTIPRTVSGPMPPMERFRAAVRKVMAMQRGASLLGNVGGVGAEPGI DPRRPIVDAAYAHIKEQCQIEVVDYSAVRSTVRRMNNEQFVEFIGDLDSSDLPSRDPW VKVRWINIGGISWDVVKSLAVKYNLHPLALEDIFHGHSKTRSKADYYPQHLFLRLLCH ELLDDEEKVSRASRTQYFAAPRSSSPEPLDGHSPDDEEEGIEEPDELEKPGKKPLLHV ESLVRRYRQASLLPSTRHDLKASRLIGSRTQIASNLSALIMRDHAHQRSRKDREKDEI AIQALKQGSGIAVDVTPMFFFLLRDGTIISIRPIPHLNLTAPISFRLKSRDTVLRSSA DPSLLLHALLDLVVDKAVQVVEAYHATIHKMELESLLSPQMATVRDLHILSGDLILHK RTLDPIRTLIYGLRRYDIDRCAALIDFSDPANKNVKVVGFMSHKAKIYLADVYDHMDY ILSSLDIFAGIAQNLIDYSFNMSSYEMNDVMQVDNSFFDEKVSDSYPLPSRRRLTTAT ITCLPLTLLTGYFGMNFKPMPAVDNHSDLLFWEIAIPIMIIVVPLALWNDLEKFWRYV QKRAATKKAMKAGGYGISSSAPAPSLTYASKKKTP JR316_0009941 MYRKRSFAVEEHHSSSEDEDIEDDEEVSEPEDLPNEGTSDARQK GKGKAGYRAEESQIIVETAFDAYFSYNKPGRVQTSSNVYSQLVLPLSPEEYAESIGTK SKGVDSIQPSILHESNRNVVFSRYMCELNEGFNILCYGIGSKRRILNQFATNSCSKRG HVVVGNGFQPDFTMKDLLNAIENIPPIQELDLPSTAIDKQARRIHDFFADPDQKQHLY IIIHNIDASPLRNQKSKSILSLLAHNPRIHIIASIDHINAPLLWSSSEVYARKPDNTG SIPSRGFSWLWHDLTTLVSYDFELAFADRSSLAGAHTGGARRKTDTLAAQNATAMSET AASHILASVTQKAQKLFTLLGDRQLEAIDNSADSGSNDLQQFGMAYDTLFHAARDNFI ATSDTALRALLGEFRDHSLVVSAQATTGGGEVLWIPMRKERLANVLRALNPT JR316_0009942 MSDLSFYGGLQTEKELASYVYVSCSAVRLAAQISTCMRFEGWMA GIYTIAISFTSLLFTLRVRAIFKGDKCVTTFFGFLWLVVVGTAAMTTQSVTVVRIGST GYCISEHVAGYVTSAAVAPLVNDTLVFLAISWRLMQNSVVGEKHRFRLRAMILGQRMP SLSHALLKDGQIYYL JR316_0009943 MAPIDPFINAADLLAVAQPLLTSLQIGGLVTWGLQGVLCVQVYN YYLSFPDDPKYMKGLVYSCLALELAQTLMVTRDTHLVFAAGYGDLMALDHLHLLWLTL PILGGIVGLLCHLTFAWRISLLSGSKIVGVIIAALAIAGAVAAFVFGGKMHSAQSLSN LVLIKHIYLVCGLWNGLGAACDIVIAGCMTYCLSRSQTGFRNTDLLLTRIMRLTIETG VFTATASICSAVLFVGFREKMTTSVYFVIPAIMLTKLYAITIVATFNNRPDAVGGPPP LSDVDNSFDDNKTYSRNFDRIQGEIRIGRTVVQQVWQDEVPMSHLNIYRGDEANSIKD DTASQASGKAPESLSPNDERATRPLKI JR316_0009944 MGPTRKLPPQSTTTTQQMNQPPSSSSTPLTTQIPAGVSLGMSPA SRYNHNIKVLRRRDPSIMSIFDQFSFVCLYHHDGEKWLKHGFEGTMFLFERNTYPPYG LYILNRVGSEDYIQAIYPEDELISSGAFLIIKSFPKFLAARLRAIQPTATGEPHDRFS DVYAVPGVENIPSKDKGPPTVISLWMLAVSSRDTMTQTMQRLHSYIRQNKPYPEELRY GPGKPPPPHSLRAATRPKAGSNSESESQQTSQSDSENDMGNHSDAPSATSNRLSEIDL LFQNLQTPSLPPTPSHIHQQPYEQQSTNISLNTLFASASSPSSGGSSPYATSNTGKSL LNTIFASAGSQQQQQQPAIYAPQPSTSAPQVLTQDVLSNLLGLPPTRTASAASSFSTS ASAVSHPSSREGDNEDDGESESPGGLMDETPEMNQRRGLGGQELLQTLGLAPPRLGQH GKINGDVTPRGPFNNALQRPQFPSAIETASSISTVRADSAVAQSTPETGGSDGKPRAN RTLVPFEPDSELWPYSRAPVEESSASEAGEDGEIVELSFEETSVLSDPAAFDKVLKNR RSAVSLRGQANGHGTATYQNGHAGYGGVDESPKGRKSKKSRKEQRDAKAKEELERSWD LPMPSPATNRAISHQDLMNLPPASPSPCPSPENQNYSQHQQSIPEMKTPTMNANVLLG HANGHGYNSNIASPNKGKGKAVPNGRAKANGHATQVDAEAVSGSLLAAVEAQPNPIGK MERNEFVKEVLTLIHTDKSFVDTLWQEYMARRV JR316_0009945 MTTTFLQQRSAAQSAGFAIIRRILATHSFPDGVTTKQLYKLAVQ EPIPQGFQPYPLGIKYPKAPSKPVKQKSSKVVVKEPSYPEHPEHPIRSIRFLKEYILP FLQGMREIKMTPQPRTRPISESELTNKKKKKRDTSIEWVWKVIPPNERMPPPGPPKQK EVVGTEVGVGLDTSHLNKRRQEARVGKVSREVDRLKAYKRFTDVRDPLMERLEGSAEV LERVHKKMEKSPDAGLDVLATEAKKASKAKASKALPRRAPFTPIAGPVLRAKLDAARK QTAYKNQPQDA JR316_0009946 MLLLETAQTGMVSHDVFVTFAASLSDAAGVDDMRMYWFSIPISG GGIAQLFFAYRMWRVSGKKSKGGPLIISTLALASIVSALISARAFFQARRFSILLDND DNSGSFASIGVWNGVGAICDITIALCMPYYVRAKIKRRLTVFTDAIAIFAILHLCLYF ATSPAFIVPGLTVSKVYANTMLVILNNRMQIVNGRFVTGSDVEDDGTATIGSQNRIFA SEQSRSQSPTETETRSTERMSRRTRARSTIIVARDRLVIRLGDVDVDRKSQRTVAPGD KQKHGRVEDRRKRSAEGDVLSVDTEGTPSSVQDSQPNKYAHAARGQMRDARGVNEVNG FVRFNA JR316_0009947 MSQTQHLPSDFLFGFATAAYQIEGSPSAVGRTPSIWDVFCQSEG ATKDGKSGDVATDSFNRYKEDIALLKTYGAKAYRFSLSWSRIIDFNSASGTDVPGSFD PINESGIKFYRQFIEELLKAGITPCITLYHWDLPQALHDRYGGWLDKRVVDDFVHYAK ACFNAFGDVVKHWITLNEPWCSSGLGYGYGIHAPGRSSKSRGGNTATEPWIAGHHLIL AHAHAVRYFRSNVAPLHGGTIGITLDSSAYLPYDDKPENVQAAQRAYDCRLGWFADPI YKGFYPAALKELLGERLPQFTEQEIAIVKGSSDFFGLNTYTTNLVEEGGTDELNGKVK TSFIRPDGTQLGTQAHVPWLQTYPAGFRALLNYIWKTYQTPIYVTENGFAAKSDVTLP LPEVVHDVDRVEYYSGYTEALLQAIHEDSVPVKSYFAWSLLDNFEWADGYTTRFGVTY IDYDTQVRYPKDSAWFLKKWFEDHIQKA JR316_0009948 MADPLSWTPPVGPVEDHGHISRNLNISLIVLAAVFFFHRVYIRL VAMKNWGADDYAATVAFGLLMTLSAFEIIEVKHGAGRHLVDIHPKDVEAFFFNLPTMQ LLYMSSSYFIKLSIILFLLRFHNSKIYNRVVWVALVTTTIQALIIFFLFAFQCRPPKA LWDMSIKDKTCMSSADQAKLFYAHAGIGIVVDAFCLAAPLFVIWKLLMFRDMQIRLTA IFGVGTFAVVCAIIRFSVIVTIDMGIDTTFNILKASIWTDLEVYIGFYVSCFPALSPT FRFLRRKITGQSSTGKTSQGKPSNPIALSMQRSGHTHSAVHSVSMHGKSANVEIPMYT VSHTKSGMNDSEDNIAADHGTMYNNLEFKVHVEKEVYSSSKA JR316_0009949 MKFSVLTSLLALATATYAAKCTNPLVRKEWRTLNPLEKFSYLAA VRCLQSIPAKSRSNIPGALSRFDDFSGTHILQTDNIHFVGHFQPWHRYFVATYEKALR QECGYFGAQPYWDWSLDATSPENFMKSPVFDSVLGFGGNGPYTEEGVVAAPFEIPGRT GGGCVRDGPFAHQRLHVGPGNSVAPNSYCLTRDFSPIIVMSALNTSVVNRVLSQPDFN NFDIVLQGGITLETFTVHAGGHLGVGGKFGTIADVYSSPGDPLFYLHHANLDRLWTKW QSANASRLTDISGPVSKFGPPFGDAPNSQNVTLNFGINLGKLAPAITVGDVMNTQGNT LCYKYAS JR316_0009950 MSDNGSHSHHHHHAHGVDVLAEANKKFFNDMDYKEVKMPQDPEF DLQNFINKAVEAVLSRYAFNKESTTVMDFACNIGLFTRGLEPYTKLLIGVDISEKPVE LFNKYVKENGISSDKMKAVCTELKGVEGELDGLKFDVITCSASYHHFTAVEEITKTLA FFLKPGGVLFVVDNTPRQDANSADPSIFPQEFGHVVAHTNGFTEKEMKDLMEGAGLDS FVFERIMDFQSHGRDATLFISQARKPLKV JR316_0009952 MKPNRQEKEQSEYRLPTNVKPTHYDLTFKTDLKKATFEGVVIIA LEVKKDTSTITLNVSRLELTNATILSEEGEKPVAVVQTDEAQERVTYKLDEKLPSGSQ TKLKIYFSGKLGGNMMGYLRGSWEYEGRTEYYALTQFQPTAARSAFPCWDEPLLKATF TITMISRADTVSLSNMPALSEQPILEGLDAETWKITKFDTTPPMSTYIVALANGPFKF LEKSVVMPLSRKTIPLRIYTSPAKVSQAEFVLGVTASVLPLYERILGVEYPLPKLDTV AGNIVDGAMENWGLIIGRSAAFLLDTDNADLLAKKRVASTQSHEIAHLWFGDITTMEW WNYLYLNEGFATLIGEVIVLGEVFPEWKVNSKFITEHLNPALNLDAKLSSHPIEMECP DTESINQMFNLLSYQKAASVFRMLSFYVGEDKFLAGVSAYLKRKLYENSVTRDLWDGI SSTTGLDIAELMDNWITKTGFPVVTVTESVGGITVRQDRFLESGREPEYDNDTLWNIP VALVSTKPGKVWGRKIVIDKSLVLREREMNIALDTTKPFKLNAGTNGVFRVLYTPERL SLISREAAKPDSIFNVDDRIGLVNDAAALSKAGLLKLSNTLDLISQLKDEKEYLVWQA IAETLSSVRDVWWEYHDIVEKLDAFRRSLFAPLVEKLGYRYPKGEAIDKMLLRTLAVE HAYQAGDSSVVGELLNRFRAYQKTGDETLIPPDLQGSIYSVAVQHGGREEYDAIVKIY NKPKTPGEQYSAARALGAPRNLSLIQETFDFISHKAQNPDVGTIFNALGSNAIARRPF TKYVQDNYDTLYARFKGSFSLKLLFPAAISFYSTTEDYEGAVKFFQSKDTSAYNQTLE QALDGIQTRIKYIERSTENLKDWLSEE JR316_0009953 MSPDTVFPRTILCPDILDTVSTPRDLWKTTPMTFTYKCPDTIYR LPATSGTSSLTLNSTRYLLKNPECLDTTHRVPPFKYPDTMYRVPATSDTSSPALNSSK ITSEESRMPRHDISRSREMRISVNVWTSGGPNQENALYVVLI JR316_0009954 MKPNRQEKEQSEYRLPTNVKPTHYDLTFKTDLKKATFEGVVIIA YGDSDSRSLASLEVKKDTSTITLNVSRLELTNATILSEEGEKPVAVVQTDEAQERVTY KLDEKLPSGSQTKLKIYFSGKLGGNMMGYLRGSWEYEGRTEYYALTQFQPTAARSAFP CWDEPLLKATFTITMISRADTVSLSNMPALSEQPILEGLDAETWKITKFDTTPPMSTY IVALANGPFKFLEKSVVMPLSRKTIPLRIYTSPAKVSQAEFVLGVTASVLPLYERILG VEYPLPKLDTVAGNIVDGAMENWGLIIGRSAAFLLDTDNADLLAKKRVASTQSHEIAH LWFGDITTMEWWNYLYLNEGMLTPPRIIDRFLVFTRNGALIRQRLPPPEGQTGVTSA JR316_0009955 MSPDTVFPRTILCPDILDTVSTPRDLWKTTPMTFTYKCPDTIYR LPATSGTSSLTLNSTRYLLKNPECLDTTHRVPPFKYPDTMYRVPATSDTSSPALNSSK ITSEESRMPRHDISRSREMRISVNVWTSGGPNQENALTPDCPDAIYRVPASYAANS JR316_0009956 MKPNRQEKEQSEYRLPTNVKPTHYDLTFKTDLKKATFEGVVIIA YGDSDSRSLASLEVKKDTSTITLNVSRLELTNATILSEEGEKPVAVVQTDEAQERVTY KLDEKLPSGSQTKLKIYFSGKLGGNMMGYLRGSWEYEGRTEYYALTQFQPTAARSAFP CWDEPLLKATFTITMISRADTVSLSNMPALSEQPILEGLDAETWKITKFDTTPPMSTY IVALANGPFKFLEKSVVMPLSRKTIPLRIYTSPAKVSQAEFVLGVTASVLPLYERILG VEYPLPKLDTVAGNIVDGAMENWGLIIGRSAAFLLDTDNADLLAKKRVASTQSHEIAH LWFGDITTMEWWNYLYLNEGMLTPPRIIDRFLVFTRNGALIRRLPPPEGQTGVTSA JR316_0009957 MSPDTVFPRTILCPDILDTVSTPRDLWKTTPMTFTYKCPDTIYR LPATSGTSSLTLNSTRYLLKNPECLDTTHRVPPFKYPDTMYRVPATSDTSSPALNSSK ITSEESRMPRHDISRSREMRISVNVWTSGGPNQENALYVVLI JR316_0009958 MKPNRQEKEQSEYRLPTNVKPTHYDLTFKTDLKKATFEGVVIIA YGDSDSRSLASLEVKKDTSTITLNVSRLELRNATILSEEGEKPVVVVQTDEAQERVTY KLDEKLPSGSQTKLKIYFSGKLGGNMMGYLRGSWEYEGSTEFYALTQFQPTAARSAFP CWDEPLLKATFTITMISRADTVSLSNMPALSEQPILEGLDAETWKITKFDTTPPMSTY IVALANGPFKFWEKSVVMPLSRKTIPLRIYTSPAKVSQAEFVLGVTASVLPLYERILG VEYPLPKLDTVAGNIVDGAMENWGLIIGRSAAFLLDTDNADLLAKKRVASTQSHEIAH LWFGDITTMEWWNYLYLNEGMLTPPRIIDRFLVFTRNGALIRQRLPPPEGQTGVTSA JR316_0009959 MLHNSSSPRDKEPTELDTLRKWQEERRARRLRGEYESAVLHLSQ VINDNIHTPMNISAVRIEGAKNTRSSFLASIVAPAVQRSGDDTEDVPHTLEHVLHTTR HLAAALKKTDIFTSVEVYLDRPRDTTAASGDVDLVFKTRERGRWYLSSSTELGNSEGS ASAHARIRNVFGGAETFEAAASLGTQTRRSFRGTLTTPLSASMTAFAELSAYVQDRDL SSYASCTEALRGARAVVRTGTPRTGAHEVAYDAVIRHITALTPTASFSTRQSAGTSLK SALSHTLLYDTRSDPLGLASTSGLYLKLTHELAGGAVLGGDAHHYKVEGEAQVSRRIG DSGVSVSLSAKSGIIYSLAPNGRTLFSDRFQLGGPTSVRSFRANGMGPRDGADSTGGE IFYSLGASLISDIPTKPNWPVKAHLWLNAGRLDNLNRDGSTNSNAGTEGERAPVIPPT FLSTPSISMGLGLIYRFDPVRVEVNFGLPLALSKGEAARRGLGVGVGLEFL JR316_0009960 MSPSTIYLVTGANRERGIGFALVSLILSKHSDAFVYATVRDPSK PSRLDELKEKYPTRIAVVKWIATDVAVNRELAKEIEQRHGRVDTVIGNAAIIGGNNQI RDIKLSDMEEHFQVNVLSHIVLFQELYALLRKSSTPRFIPITSIAGSIGEPAIELDMA NTAYASSKTTLNWITRKLHFENEWLVTFPLSPGGVDTDMFDYTRETDKTGVVANLVAK YGDFPKADTAAPLLLDIIDGSTREKDGGQFINLDGRRVPW JR316_0009961 MIKARTLLLALSLALVGLVDVASGQTTVTVSATASHPIPSTMWG QMFEDISSGDGGLYAELLQNRAFQMVTPEGTTAALAGWKSLNGNITVVADSNPVSAAL PNSLQLTVPAGNTNNIGFANVGFNAGLNVIPHATYKASFYYRFQKASKFRGSFVISLQ DGSGTTLTSQKVSITGSQTSWKQVKVSLNTNIAPGSTSNMFAITLNGDSAAGEVINFA MLSLFPPTFKNRENGMRQDIAQALADMKPSFFRLPGGNNLEGQTVNTRWQWNNTLGEL VDRPGRFGDWGYVNTDGLGLMEYLHWCEDLGMQAIMAVWDGYTLSGASLAENQLAPYI QQAIDQINFVIGDPKKSEAAALRASLGHPDPFPLKYVEVGNEDFFASDTYVYRWRDFV TALQAEFPDIHFIATSNAFNPILSPNPTEYDVHVYQTPTWFAQNSFYYDGFERNGTKY FEGEYAAISTNPDNIFGSTSQGRLTFPTMQSSAGEAAFMTGLERNSDIVFAASYAPLL GHVTHNQWTPNLLAFDAGSVYRSTSYYVQQLFSLNRGDEYLPSTLPEQLGTVFWSVVR NTSTKEIIIKISNTASTPSALTFVLPFKNVASKGSLQLLTGPATSSNTPTNPNLFAPV TSTIITGETFNYTAPAVSVNVITIKAS JR316_0009962 MSEPQTDDNSATQWHHGPITSRLFALIIGINTYDDSKYFFNLRG ARADALRLKAYLEESLLVPETHIKLLLDKQASRQKILETLEELANDKRIVRDDPLLIF FAGHGTEIEDVVDGEKSKIQMIVPADYIAIPDFIIGRLLDYIADNKGNNITVIFDCCN SASGTRGSIVTSNPSAPLTRAIDPSHVKYKKDLDQVLLRRIRRATQDGLRKLGVQSHM LLAACQSSEEAREKNGFGVFSSALLNLFKAKPPTILRYCDILDQMDVILGQNPQCQGP FQDRMLFDGKCKTRSIKSKFKVQTGPFRDPMSNMTIHAGSAHGVTNGAVFEVYDSVDA SFTRPLARATVQPVIRAFCSPAILDPGHSLHKYDSKTLVAIQVRSGEKEALRLYVPPG DPFRKIYSDAI JR316_0009963 MNAANDVVFELCDEAVTKYGVSRRFPPVPTDDGHTLPWVLNRVA HYFSELNRRNVNSQLAEKVTVEFYKLQELDDDTDELEKVEPNLCKGNIIDFVVEDGSP YGIKLRNKSDHDLYPYVFQFGSDLSIFEDEYFQSSKPGITYKVEPTLKKHDEITLGFG PNNYAEPCSCVLEDSQNTDVTFLKIFLSTTALDLNHIKQETPFETTRALKTCTKPRKD KWDALTIPIIQRRAGTSSTYS JR316_0009964 MDESPRPPSPSRQSQSPPPPPPQSHTGSPTDFLKGVVGKRVVVR LTSGVDYRGVLSCLDGFMNIALEQTEEHVNGVITNRYGDTFVRGNNVLYISAAEPL JR316_0009965 MNLFSRKKIPQKDTNQEENEGQKRPANTAFKQQRLKAWQPILAP KTVLPLVLLLGLLFVPIAVLLIWGSSLVTEIAIDYTECENQSASSSNSSLNFVNIPSN KYSYKLRSKDAKESHEISTPRYAFLDNTNNATVTDVSDKKQCAIQFQIPADLEPSVLL YYKLTNFYQNHRRYVKSLNSKQLKGKFVSATDLDKSDCKPLSSIDGKAIYPCGLIANS VFNDTFSNPVLLNPSGSSQSSQTYELSSRNIAWPGEANKYVSSPIIPGGYSSFDEIVP PPNWHNRFPNNYTKENPPPDLHNDERFQNWMRTAGLPTFTKLYGRNDNDTMQKGTYQI IVGLNFPVLSYKGTKSIVISTVSWSGGKGNFLGWSYIAAAVVYLLLAVFGAARQLIKP RRIGDISHLSWNQQKIL JR316_0009966 MDVDLPYKPQWNTGHEDRAWHVAWNPAKPLLASCSADKTVRLYS YASSSNEATPGLEFQLITSIPTGHAKTVRSVAWAPAGQTLATASFDSNIGIWEKEADE WECISTLEGHETECKCIAYSASGTLLASCSRDKTVWIWEVHPDGDFETISVLMEHTQD VKFVSWHPIEEILASASYDDTIKLYIEDPSDDWYCFSTLSGHTSTVWSLAWSPGTSSY LASSSDDKTVRVWRRVAEHKWECVLVLGGHDRSVYSVSWNKAPKSVRKSTPGCLGWLA SAGGDGKVLIWELSEPILEDNTSGNVSLLPPRHKLIASIPSAHGVHDVNSVVWCPREG YEDLLATTGDDGYTRVWKIVPTT JR316_0009967 MYTFNYGPGTGDANICRIDSNGNVVAGQAGCYNLPSNCSDSIAA GQSADSSASYKFKGSGIYITGVLNSLSPVYTVDLDGTSKDVDGVVDSLPFTCAPLFSA TNLDPNVEHTIRLSIKGPSPNRNMTVDPDGKFLTFAMANFMYAVLYFPVTKELMMW JR316_0009968 MSLLHAETSYPLVKRLVDPSEAEVEQATKVLVDAFKGDPFTNIL VGGNMDLEPLQLGANIRAALIGGQVHVITLGPQPEDIVGVALWYPPGSSSFSSEEERA AGWNQFLEAAPDDLRKWWTDYFIPSMTRLNADTLGSGYTHNSWHLHIFGVMRARHRKG YGKALYRLVEKQAKETHSSIVLETTTVQIYQRLGLGVRGEIDIESNYGKAHIWLMSKE N JR316_0009969 MQTSGHSSSLQDPNSAPSQVKAGESLTRKSLTLSPNRRTETGWS SSMGDKVEEPIPKLGYEVASKTALISQEKLDSEAKGEENHVQFATYRPDKFRQVNPPS PQDPNRKFFVPLPLRVYFWIPLVVFLVLGAIGLEVALHFSNKNQGWPSKESDNAANAM HYVYTLPPVIVAAIVMTMWAWTDIEIKKIQPYVDLVHGNSPPHRSLLLDYTRQNNFLV WTQAASNHHYLVALATLMVLMTLSFQPLASALLVVKDTWTELPNIGTKNLQAISLNQN LQFNDLSSFLAAAGYAGASVLYNLDPPPFVRLPYTVANFELPTVVSNGSAFANTNAIK SNTNCQPAQVQMTQVGPGRWTNTAVVGDCSITFSVSNSTTDTLFGTDTPSCTTSTVPD QFNQVVFWFFSYVPSAQASVTFCSPTIELWEVNVGIDVGTGNVTQLTETRPFSSSSNF SSLSANVTGPPLNGRAYNGIKFQLSTEDQFILARENATQLQLPASIYQAAVKSPQGYV GSFEAGSFHVLSDQVYSIYLALIARQVYFLPDNEDFSVNVKTFRKHVWISDVAAHLLA VAMLILALFGTIVHLFHREDRRNLRLKHEPGTIASAVSIGASTGVGNVLANRHGEEEM KEALKDFKFRIDTNTMKIVMEGEPGYETAETITTPMTRRKSIFEVLQRGRPLRTFSGN DASISPAALSPKSPKSPKSPKMPQETHMA JR316_0009970 MSLISDTDFLIHSVRLSYLRDVEDPYGPRIITLDPAYQSNPYIL AASLADVERWPELALPASPNLSEDEQERPLGLPGARLKHTQTIMGGRTGGLGMRINAK RASTSKRMSVTPQQLDMKNFVSGNAPVQEALSETVPIAKTLARSDPGGESWIKIEESD DENSPEPTVHIHQATAPEEAPVTKVVQFIPKFKGAAEMEARRRIRMAARRGPGGAMTR QPQPQNLSFDTSSEEEAGEPVISDESSSDEFEEVGGKAAESMDEGDEFDPVFAASRVP PTSDTASDDNSMFSSGVNSNPSTSVPISSSQTNNRIRPRLSPVSETTGRNPQRHRRPE GGVTTSRTRGDSTTSIHTSSPSAQLSTFDNLFTRKKVTPFKPLKSSLTAMLASSGSSS NPFAEMYAAISGRGESASTNVQVYFPHAKQPRGKAMDLNVKRDATVEEVIGFALWSYW EEGWQPKLDEGLSENDPKASTRLSAVGWILRIAEDDGEVDDDFPPPDRMGKVVKFNAD AYAVLEATPTQIQQNQILESKIQRRPSRTSAARKPEKLAVPNAPSTAPASTAYGSSLG SVLSTSMGPSQSHGPQIFLRIRVADHADVVHISTTIEVSAGMYLQEALELVCRKRRIE NPSEYALLLADKSIIIPLDRTVASLQGKTELLLVKRNMLPYMSAVPLRGVGRTTDPNA SIFKPNETTEQQVSAALDFTTSYKKYTIYRKVPMLVTRQERTLAIDGVYIHIMPSTNK ARAVFDSGKTSSFHIKSIADCQQSTKTSSIFKIILNRGAGNKRYDFEAENPRLAAEIV QNIKNLKMAVERSGTISKQRRSRQIV JR316_0009971 MKTVVVVGGGVAGAEVVRGLSSRLNPEKHRLILITSRPRFTFLP ASLRLLASQDTAIGSVFMPYDAVFGKFPGELKVGTVTSIEENKDPTFKRGGFVVMEGD EKILYDVLVVATGSNWYGHLAFPNDEEGFKDHVKSWQKKIHDAKHIVIAGGGAVGIEM SGEIKDAYPNKHVTIVHANRLLLGDIYPDKFRENIESRIRLRGVNILFNDTIEGTPNP HAPLKTGNGVPLPCDLLLFARGGRPNTSLLKFLRPNVLSDRGYVRVKPTLQIDQHPNM FALGDIIDWPEAKQLMKISMGHTAVVIANVISYLEGKVPKKTYNKSPELLWISNGRAG GASYLGLRGHGLTFGNCFTKLVKSNDLMVAYVRKSLGLGPNYEKD JR316_0009972 MTILVTGGNGKTGSILCKLLHSANVPFLIASRSGKAPEPFKAVT FNWLDPTTYENPFQADPNIDKIYIVGPDNVFEQLPYVRPFLELAVSKGVKRFVALTST QSQPGDRPTGVIHQVLLDLNVEFTVLKPTWFIDNFATMFYTSIRENNEIVTTSGNGKV PFIAAQDIAEAAFKALTADKTLQEEFLILGPELHSYDEAAHILSTVLGRTITHRHISV EEKTQILSHFLSLEYAAYLADIEHKIAAGSEEKFLEEADNKKFVGKRTLLQFFQDNRN LWIK JR316_0009973 MATFITGGTGKTGLALAKLLHQAGQPVLVASRSGKAPEPFQAVE FDWFNPATFKNAFQTGTTIDKLFIVAPSAYDAIRHVEPFLELAVSQGVKRFVAITSTQ SEPGDIPLGTIHQCLLNLKVDYTILRPTWFIENFSTTFYMSIREKNEIFSCAEDGRVP FVSAEDIAQAAFDAFTAERSPNKDYFLVGPELYSYDEAAKLLSSVLGREIVHKRHTVE QQTQIFGYFLAPEYARHLAHVEHLIASGAEEKILDESEDRKYVGKHTLKEYFEANRNI WIK JR316_0009974 MPHPKYPDNTTAEKILKMEKIVLQKHPLHRHYNTTGVVVNLWCQ GSFVQLITTCQSVVECILGYHSTGVMNFVTFEKVYSLYPNATFGYQVSLLQPSADLKR TQKFLAKYHSRGLKFVLSIPSQTLKMNRHIQSRIQSIRDNVNVGYSSSRGHVLVVLDY DPYLELFSPGIRRVGDRHCWVYSLPLLPKANQTSFVEANSWALLLNEFDCLHFGVRRI SGIALDFHYTAADVYQLHKRVKKAIKSWERGIRQKDDCVHATVLYLLSRKADIFWLHR PQPQSLLWNGYL JR316_0009975 MSNFDVDIGAMDEVIFRLQGIVSDKMLPPMAKPASTVKQQPYLR TTIAITGLGDIVFNKVMEKLEEVFLRFANNFPADSVSGYDPVLYKDTGFHPLVDPDNV LASMVGDSFIHAIDNKVQFLCREILPDGTARYYSYNPASIRIGDIVEISVAFVAFPAQ GNKYKFVVALCGILVLDQEAREVSNVTLNSLIAIHNFQQKADILRMRSRYTPAKRQVA VLCRTKRQLYKGQIDIEDTQQRMAHMRLNEDTVHNSNTMSQD JR316_0009976 MTTEPAKFVAYIGSQELAKVSSLLPSNRNRSMIVHSLISSLGLL NSNNPHTPHKRLKVVTPRKATYKDLALYHTRDYLEVVLENKLHSDNTWESRSLSIDTE FGLSDDCPMFPGLADYIRLVAGATLTAVSALQEDFADIALCWDGGRHHARKSHASGFC YVADCILAIMAFKRMQATPVTNQSPCQSSDIQQSNITHLKRTRVMYLDLDLHFSDAVS EAFYSSTVSSLTPQILTLSIHHTSPGFFPISERSKLPDVLSPDFDPFTLSIPLRQGAS DATYAKIWPLVERVKDTFRPDYIVVQCGVDGLAGDPCSTFNWSLGRSEGSMGWCIKKI LTQWAGKKLLLGGGGYNSPNAARAWAYLTSIAIGNPLDLEAQIPDHTGFPLYGPSFTI SARYFPFRVRPLKNLYSILLSYYVPGTMIFSETCEKITITKGVLSADCKKADKKTTIH SSISLNDYIGNTEGKLTWGGRGFSHHAEDVHIDNGVLSAKLKFGGKMVESKLDLNLYI QNQDGILAVIPKSHALDPLTFEHVSAGKHLAPPELSRGISEASVSSVASAASGSSMFS AASATSTSTTVSTTSVKETKSSSSYSTFSSTKFRSESQILLIEETCAKFELKGTFLHA ECHRIDGTVVHSSIDLDTVIGFSDGHLQWDAQGFSSHCFDYAIDGFFLVVKYKVHKGD QYRIARLDLRTRLRNANGVLTVVELNKKLSVMLSEVPWMKFKVIAEPDLSVFASHPVM RETLVSIAESTVEHVTVEMHKMLTIAMETAITAITASAMKHVSAQMEALVSGVVGHAS ASASITAAESLHLYGRGQFSSATVGNGYSYRAGSNGHAAHHESHGSLHAAHHESHGSL HAEGIAHHEHRDEHSYAEIAKHAQVAHVA JR316_0009978 MAPDKVNNSDKPSTSASSLREHLSHNHRKIMTILITGGTGKTGL ALAGLLHDSGYSFLITSRKGTAPAPYKAIKFDWFKPETFEAPFQSDSNIDKVFLIDPP AFDIFPRVKPFIDIALSRGVKRFVGLTTTQTNPKDVPLGKVHQYLLDLGVDYAILRPT WFIENFGTDLQPSIRDDDEIASSGEDGKVPFVSVKDIAAAAFIALTAEESLNKDIFVI GPNLYSYDEACISLLDDTLGRLTWRNSLRTVEEQTEMFQQFLSPEYAAHLARVEHLIA DGGEEKFFYESEDRKYVGKHTLAEYIESNRALWMK JR316_0009979 MPGLTSPTTVTTTLSYFSPPVDGEKPYQKVITDPETGDRSFKRN WSGDERSNIVIENLRGKEETVSLDKTGFQFFQHTSNHTSFVNDEEIKAEYYPESVELI KKLTGATRVEIFDHTIRKHLPELQQKSVNGPVPQVHIDQSAPASIARVHRHMPVDEVP KLLEQRFQIINLWRPIHHAAYDWPLALCDYRSVDPVDVVPVALIFPDREGETLGVKYN PNHKWKYLRGMTPDEIVLIKCFDSIQDGSVAVYTPHTGFKDPSTPEEAIPRESIELRA LVFYD JR316_0009980 MDRKTSYKLPPTTIRDKLVSQEIRRNKALEEQRRRRANKIDSAR QLDLFADLNLGPSDDEDAKIDEEDTQEPEIRPDPGSIAHYAAMLKVEPEDAISASPST ATIDTRVPVPLNTDTPIETETSKSTGKKKSRGGPNRQKRRAKKASKWADRCMYAELLE MSADDPWVSSDQSNANAFNDGLPSDLESAWVAVAPVPVGKRCLAVTQQSAGVAGVVPN TTLRSRLLGKTLLPRFPSNLPPLTVLDCILDDKWRENGILHVLDVVKWKGQDVADCEA GFRFWWRDTRLAELAQSSPPSSINFLLNQKASSSTSAECFQKYLFPYPTTFIPVPYHA TTTLSALDNIIIPAARSWRQVSISVPTSSNWNGLGEGGMEIEPSGSLNAHIPFQPHIA LTSTETAIKPDGMLLYVAEASYEPGTSPLSSWIPIRGYDIDGEENVHRESVSQDAQIC ASHREIPEGPLDLFQRCVVVLFVLRSSPHFELVFEAGAKTTDHATFYWNDVPAILSFW SIGGKHSRRRGVYGF JR316_0009981 MPILGLKPTKKSVYPAHFDIEKVIRPNILSLQPYRCARDDYSTG ILVDANENSLGHSIEGEIEGAQDTLSLNLNRYPDPSHPLIKSRIAALRGLGSSSPSST SDEDTGADHIFLGVGSDEVIDLLMRVCVVPGRTEKILTTPPTYGMYGVCAQVNDIGVV RVPLELSGDQGEGGERGRFSAQVDQIKKTVAADPSIKLIFLCSPGNPTGTLIPISSIR SLLEFSDFKGIVVVDEAYIDFTEDPLKQSAVSLVKEYANVCVLQTLSKGFGLAAIRLG IALAQPPLIQVLTNTKAPYNISTPTAHLALSALSPQSIASMRAKAQTIVASRAKMLAA LSEPRFTDLGVGKSIGGNDANFVLIPILENRQGEPSSERANKIYRSLAEENGVVVRYR GNEPGCAGCLRITIGSEEENKIILQKLEQVLRIL JR316_0009982 MASVKAIYACVMGALSASAHPLPILHRRGIDPSSDAWSETIAGI GPLILLVGEQSTKQLLCTVTGLANAFSLATAPLGLLSIVTSLIRLCGLEKLRAFIGHD LEARTVAAVEMTRVNCGGVYAELVDGYLKRNTNGNPAGVAIEISMLEGNFDTHNQEVV KQVMECDSYQARKRRKGIPDDCAQIHWCTQIVDPNTTADTVDKIVDMLTSIHGIDSTC PKLQRFRTRLRQPYRKASRKPTRDMPFKPETLNIDFSPPHSTISFDDAAMKLTYVLSF DAVSEFSTTASPSNVATAVQGLASMATILAMQFLTLWQENWIPSSGWILTFLGYLGIV LGVIGGSLMIQSSCEHITLNTMSSCSTANWVDGIIASTLAPESMDTSGNPLWSTTTHR PQRFEAVWRKEVTLRTSQIASAMAFFLVVSYVCHYLGLRALPWWVSSGELLICLLSAF VRSVLKDAQAQFKTVEGVKMDRRCFSTGVIRVQDSERIQPSLRRPNSIDVRAYDQHFV SIQTPIDGERIAWYIAREHVKNRAFRLQIHQLTGLFVQVVDDPKSYNNLVVFVSFRGG VLVEEGLAYPDNRMTIAFRCAPLDLGAPTPLLARALMRQPRWMVEHEEMKSRGMPLGN VYIFSINSMMNWWTISEDRNDMADFQKHLNWPMLLVNVAFFMSIFSDLESDPTDVRLK GAFQELDNRLTFSAIEKEVSEGIVGYMQNIVQVGSDKKDN JR316_0009983 MSKTSSVLPRNRLSALDKAKMLVILLPAPALITWALIKSQFTED GKAKSWKRVAIDRVSLRALYNMNRRQIRAFFGETQDTYAAFIKAEKATPITEEIGENA KLLWIGPKRTDRIILYLHGGAFLWGMMASTPKFLIYLQEELEKKKKPTGVAILNYTLV PDATFPTQLKQVIAAVQHLVNSGVHPQNIQLVGDSAGGIIFHQLLSHILHPVDGVPTL TFSSPLGGAYIMSPWTLLTDEPVAHTNEGRDDILGVRTGLYWGSKVLEGLPESATPYV NPLSAPDDWLDGCNDLVKRVLISAGEGEVLRDAIIQYFKKLEKHHKDAKLFVEEHGIH DDLLMKFLVGDRDLGKLAPFLVNWLDEGFST JR316_0009984 MRTSTQLAQSPLWRISPDTLNFDQRIALTYARVKSIVKTYRLTR HDLATVSPRYWEFHSDPIMMMDCSAGTLVTIHYNLCGGTLSMYAGDREDVAQILEKLL SFELSGQYCLTELGHGIDVRNMETTATLLPSGEFDLHTPTPAAAKYMPPTAPCGTPVV SVVFARLIVNDEDHGIKPFIVYLSDGVRMNPGISCKILPPRGGSRPIKHALTYFNHVR LPAAALLGNLDKPTDVRAAFFTTIYRIVVGALSMGALCLSVMRMSSYIGGKYSLRRTV IDPVTGLPRPIIAFSTQSQPVLTALSQTFVMEKMAKHCHGLFTAKTELYEKHFIAAVF KTTISRFAQSIPLILSERCGVQGLYEANQLSVLHSDIRGAVIAEGDVLAISIRFAIEV LLGTRTPPKHDDANSLLSRHEISLIKNLQDNLLSTVSSSRDPKIAGRLLPACQPLLEA IGHRMAYEIAVKEGIDKDILELFLASVIKLDQAWYSENAGITRDQQNKMEADAAERLL PRLEDFIEALDTKEYITAPIVSDESWNQFVDSLETLDHFSHGRSAQVSDFSRVSAHL JR316_0009985 MSAAAPPALPKLDNTFGALLIGVILAAALWGIGTAQCYWYYITY PKDRIGIKALVAAIWILDSVHQAVIVQSSTSSLPDHDRPALDNHIWSLVIQALLEGLV CLGVQGFFVYRIWKLSRKNYILVAIPSVFVIAKFAVTCAYTHRAFQIHSITEVLHEIH HLSISINGTAAAADIIIAATMTYLLYSQRTGWSNSNNMVNRLVVFTVNTGILTSMVAL VTLIIAVSLPNSPMYAAFYFITGKLYFNTMLASLNARNSIVTAKKSSTFELSTNGAAH TTHTIPRYERKVERIVTYDVDPNDSGTLKPQSLSDRPKYGETV JR316_0009986 MELPPGPKYLLEVFPWFALPSLITYSVLYLLEKTNTNFNVPFWA TFFLVVSARPIIVIFNYYYSIWQNNRAALANNAVLPPSVPKSMLSIVSEFTQSKENRY PGGVFLRFTEDYGSIVRFNVLTDASLITDEPEHVKAILATQFDSFHKGSVFYSQAHSL LGEGVFNSDGEMFHRAMTRPFFTRERITDFDIYDRNWSISLKTAKARLNEGFSLDIQD LISRFTLDSATEFLFGGEVGSLSAGIPYPPGHEKANSPVFYNHPSTSFVKAFTKGLSI VAERGNFGKEWALVEFNSDQVTPLRQIIDDFTEPLLSKALHARSNGTNLKTNEEVTLL EHLVKHTQDTKILKDELVNLLVAGRDTTMCLLTFAVYMLAEHPDIEKRLREEIYDKVG ATEAPTYEKMREMRYMKAFLNEVLRLYPPVPSNGRTTTKPVLLPAIRPGEKPFYIPKD TTCIYAVINIHRRKDLWGPDGKFYPLRTLEYDLNTLFTALEFDPDRFLDDRVKKYLVA NPYIFCPFNAGPRICLGQQFAYNEASFYLIRLLQNFTEFTLDNSVNIPPPAQWKFRDS LTAKEKIFPTAHLTLFVKGGLWVKMKSLDNEQV JR316_0009987 MVCLAQLAIQWYALQWEFIINGKTRQDSYLAILQNPEALVLASF VTSYSVVVIADGLLIWRCFYVWNRSLRIIAIPFLLFVAETGMILAAIIINGINGSLVL TQKQAMIMNNLLAAGFFVSFGTSLSTTLLIAYRIWTVYKLDGKPLRRFKSVLDIIIQS AAINSVALLLTAITLIIPDSGNIFNAPLFALGNYAKAFFVAISGLAPTIMVARIAFID DDSGNTFIPSQTQRLSNLQFGGGMSTQDRSVTQDMYRYSDAGAKIQNEEGV JR316_0009988 MLPTEQTSAIRERTGADVKEDNRSESLESDPKEKVDVTSPSDDD DDDENKPTLYTKYRPYILTAFALVILGWWISATVLEATRHRWIVQTVFAWAFIAIIAF RFIDNSVISGPISAVWEPTVQKPWYRLPYRARLAIGWLCLLAIVFGSAFGFKLQGNTT FGDRAISVLGLLVFQFGFWATSKKRSEIPWPTVIVGLFLQQVIALFVLKSGAGFSLFH WIATLAADFLDQALAGATFFFDADTINKHWFFVNTLSTIIFFIAFVQMLYYLGVMQWI IKYFAWFFFKLMGVSGAEAVVAAASPWIGQGESACLVRPYVDHLTMTSGFSTIAGSVL AAYIGLGVPAQNLVTSSVMSIPASMAISKMRIPEMDEPVTRGRITVDRGEDKAKAPAN ALHAFSRGAVFGLVVAGHIFTNVLTVLSLVAMINGLLTWIGRGFGIHQLTLQLILRYL FYPVAFFMGVPRAEILRVSELLATKLVANEFAAYLDLHAITTGPNPLSPRAFTITSYA LCGFANLGSLGIQVGVLSAMAPSRAKIIARIALSAMICGFISTMQTAGIA JR316_0009989 MSKALQKRALPSKEQSLFKELLTLYETRQLKKGLKTAEQILKKV PDHGETLCMKGLILTNMAGRKDEGVELVKKGVRLDLTSHIVWHVFGLVQKADKNYEEA LKSYTQALRFDKDNMNLLRDSAQLQTHLRLYESLVDTRHAILKLRPNQRQNWVALALA HHLNGNPQEARMVLEHYQRSLKNVPDYDIEHSETLIYYVNILEEIGELSEALSVLDSS AKSRSIVDRTSILQIRARILTKQSVPEADEAWRSLIEHNPDCYESFRGYFTYLGISLD ESNPEAVTKLEEFVVQLPRASAPPRLQLNVASGDQFKKLVEKYIVKRLEKGIPSLFAD LKALYVDKEKQQTIEGIVEALREEYAAASTLPASSDANLEPTTYLWTLYYLAQHYSYL SQPDKALSVLDISLQHTPTLPELHMCKARVLKRAGDYLGAARCQNDARLLDGQDRFLN TKFGKYLLRAGMVDEANSIFGLFTKKDAPSPAADLEDMQSLLFLLNEADAYRRNGKLN LALKKYIAVKKVFDDFEDDQFDFHGYNLRKFTINIYIKLLKWEDQLRSHPGYVKAAIE ASKIFVAVSDDASIVSSLTSTSKMSDAEKKALKKAKKAAQKVQEDKKATQATNENKDP ELIVPKDEDPDGLKLIGSPDALEQASKLLHPLTTLAPKNIDVWIAIYDVAIRRKKLLQ AVGALSRAAALNPDHPELHLRLVDVKQRASSFPQAPPPPIGPVFTEAIAKFIPDDLSL ETFNSQYLQKHSTDSHTVLAAAKALHKLNLPLADVENTAFTLFSPDVRLDVPTALSTV DFLASLKSSRLDEFRAQCQTTFPLSTVFKPSAELAPLREQVMLGSAPEPATEDTTP JR316_0009990 MPPKTRHGAQSSKNRLAFRDRLAGKGITADTLLKKLQTLHTQLA ALDQDNVDVASLSTARTELIDRSLLLHKERGVKAYTACCLADILRLYAPEAPYTQNEL RDIFQFFFRQLSAGLKGQEEPYFNEYFHLLESLSTVKSVVLVCDLPSADELLHDIFKD LFNIVKRDLPSKVERFIADILAALIDECSSFPADALEVLMAQFIEKNARPEQPGYRLA TQVCNAAADKLQRHVCQYFGDLVTSTSEEDAEEDLDNMRTSHELVKRLHRSCPAVLHS VIPILETELRADGLNARLIATQTLGEMYADKGGPELVRKYPSTWQAWINRKSDISVAV RLKCVEAVPALLAKLPESRESLDELLKAKIYDPDEKVRTAACKVYFHLDYESALHHVS EEQLHRVVERGLDKKASVRTQALNSIGRLYSLAYPEIENNEPMAVKQFRWIPEEVLSI ATTTETRGLVEQVLFDYILPLPSISTSSATREKDVDEVAWTDRLLSVMRTLTPKTSTL LLSLSGLKTSRPNVYDHYLESCVKNNGGIIDKDEEIIVNRLKNTSQYLAASFVDTFKA NEDLLAFAKLNENRLFKLLKTCMDPQTDLKTLIKSSNEFLKRLEQLSPSIVPTMTAIL RRSSYGIVNQSSIPTLFKRLEKGNQEGASGKARYAANNAQSILLAISKHCPALFKSHI AELSKAIADESKTQLVEVALMALANVVKWDEKLGASVDKKTNERIVKLALSSNWRHAK FAARYLAFSKNKNTSCSQVIESIATNIESDEPELPVAQIAALVQLVKFAPDAFEQKSD VIITYLIKRVLMVPSPADPNEEDSEEEWIENDEIPDELRAKVLAVKVCRNRCLSHAAS EQALEIATPVLKLLATLLEHDGSLSLQVEENPKFKSRLRLQAAISLLHLCTIETFSTA ISPKFVRLACVIQDSCFNVRLAFLTKLISFLHPRKLSPRFNVIPMLTVLDPEPDTKTM AAQYVENIKRRMTKEIIFIRLLHLLAHHPDFGTSQDELLDMATYIQFYLDLVATADNI PLLFHIAQKGKTVRDPESHARSENFYVLCEMAQFLVKARANANSWPMDSNPYKIRLPS DILRALPSVEATNQILKTTYLPAEATRWMSERFKLGGAKEKKEKKEKVPAKRKAPPTK SNGHSKRSKKRRSDEDEDDEEDDGGEESAADSDVEMANGTTPTRAGRARKSDASEDTR QQTRAERLSARIQAKERLSTKGKKAGSPSEDEDG JR316_0009991 MPVPGSSQELYPKHHHLGLEATFCGLEHPLSTPDTPIHQFLGIK YAHIPARFRQSVLCTTYPPIVDASKHGPICPQQRATKSMEETLFGIPLDEIPNQNLKQ DEFECLNLNITCPAGLTPDSRLPVMIWVHGGGDRGSGSEWYYDGGAIVRKSIRYNKPI ILVTFNYDIASASVSWASPPTPLFVTTTKQLASMGPEIMASLRDQRNANDWVHRYISE FGGDPNNITLFGSGSGAADIVCHLLSQANLTRPIFHQSIIQSPVFEPTLPDVGSAGWC LSRVVSALQLTNIEKYRNIEVEKLLGLGQTLRVVDDRVFFRDGWQNFLGPKPNGGHSL TEHSRIHHGVLNNLLRPTGQRSKSRSRSRSAIRNLRSPSRPKAKAIPTIPEHTHHITQ PIIIGDSSADSYLWSNPISLWTSAGVVRRLKAVCQSLSKTSAILRAYDVSQYTPEEEI TERVLELVNDARVAWPTHCIAQNAAQERGDHGVWRFVFDQEGPSRGIPHHAADLMYLF DNVPLPASAKMGAVMEMDMFSVELDDDVSDELEDEPCVSPGIASPCPGADGEGDCARG RTRCRGDSFSSALAAKCESIAPRESKGGSSSSSSEQDAEQDEWLVAPVDEYSYCRVRD AMQERWISFAYGEVPWREDKVFVFGPEGETGERSREIFEGRRRRRLWSEALEPLGAAL VQKLGVELSRGPAHGADR JR316_0009993 MKYTLWNMFIDQTFKSIPGVVTKNLEGRTVVVTGANGGIGFETA KHFAKMNPARVIIACRNQKFGDEAATKIKQDTGFSNVEVWLLDLASFASVNAFAEKYE KEGGRLDILVENAATIPNGKMVLTDDGWESVFQVNNLSTSLLALLLLPRMLETAKTHQ TTPRLVVVSSGVHFWTKIDDSVIKSENPLKAFGTSPKYLGRATNSIAAKRYYDTKLLN LFFARALADRLRGQPIIVNSVDPGYAYSNLRRNLKGIMAIVDWLMEKAVAITSEQASR QLIYAAVHDPPVANDLRGAYIMQGKISEPSDYVISREGISVQNILWDNLIEELTKVNP DVAQVVKEHLREPPTNTRVY JR316_0009994 MPQPASSASSSSPSNPLTVVAEPNQPPQDGNPLRGFDLLIKGFK DMTLKELEANSCGGKEGRVAILQQRMGVLSRLEVDLHTFADRQAKARQIAEEHRDLVF EAQKLKEDLEASKEQCKESQRASKHWENQHRVAVNRARTAEEELKKRATQTDEARQQA EQERKKAQKLQAKVDELQKLQLQQQKVAQGSGELSKKPTKDTANPDLQGLSRKNDKLA AQLKKKQEEYQVKSLALQAATKELGELRTEVARLTTENGTVKSEIDMLRHIQKEVKAE SDSELAQAKVEIATRMRQLEFLGRRYQALKADLEKTDSTLLSEKETSERLRQKIRELT AYEPLGDAEIADRIRIRNLIDQAQERLAIAAGLEPAPGESLALTWRLALGPGTNDAVR VERAKELLSGNNLSPGDIEFISNEEALHHACQSYSRFRAPGNDLHNMLDRAGYLTSLD RYLKHVHSESARAPLEAMVDYVCPTAPDPSE JR316_0009995 MSKLLPADFAYGFATAAYQIEGTPAGANRTASIWDTFTHVKPKS GHKRVADDSSGDVAADSFNRWREDIALLKSYGANSYRFSVSWSRIIDFGGSKKAAGYK DPANWEGIKLYREIIEELVRVGITPFVTLHHFDLPQALEDRYGGWLNKKIVDDFVHYA KICFESFGDVVKHWITTNEPYVAIVTGYGYGIHAPGRSSYREIADEGDSSTEPWIAGH HFILAHAHTVKYFREHVFPVHGGTIGITLDSSSYIPYDDKPENVEAAQRGRDFQLGLF ADPIYKGHYPASVKRILGNRLPDFTAEEIDIIKGSSDFFGVNAYTSSLTRDGGHDELR GNIETTFIKPDGTQLGTQAKSSWLQDYAPGFRLLLNYIWKTYKTPIYVTENGFSAKSD VELSLPEVLHDTDRVEYFRGYTNALLAAVVEDGVPVKGYFAWSLLDNFEWSDGYTTRF GVTYVDYKTQMRYPKESSQFLKKWFAEHIGRE JR316_0009996 MDLDAHQLLLSGIISSIDVEGDILKNDKNRSFYWVHIYVDNHKV IKSKKSQSRSRELSWKWDSDNKIGFTPSSVVKVELHRGFKGGIIGKIPQTKVHVAQFA ERIERLLDNDSKSFALVDRKGKTIAQINMSLSLCATRDELISDFMKKVDGDVDDLKGI EGVSKRALLVLGPVLQNTRVLMNSVADATHQTEIQDQSIRELAETLREMLATTTAKLD LPRIPDAVDLIKEIGFQSLQVASLIHEYTQPSFSERTLRLYFGDMSSRITECQRRCLV LKERFYERIQLETNKAVKESKDIMNEVKNEVCKVKDDALAEKIFKWLWPSDRPIDISK NYNEAHGKRQRQTCSWFLEDERFGRWLHHPGFIWVHGKAGCGKTILMASIINKLPDPS SSTGIVYFFFDARDGQTDSQLHIKFIRSLAYQLCDSRHGGIPQEMVDLHTKCGASQPL DDQLEETMQHILEGFDRVFIAIDALDECSDRQKTLDWVRKLLTNTQLQTDIHLLITSR HETDITNVFKDLGGDSIDVVNPTNKDIEDYISKQMECSKLQRFNEQIRGEVESKLRDC ADGSFRYTALMLAELEQCSTLSKLEKALTKFPNGLDEIYDRILRKCKDEDALDLRRFL QFLAFSMEAITLSELAETVTIEFSSDDQAVFNPKRRYLNPSDILELCGGLVVMSKDER NTNAHRPWKEEFIKLSHFSVKEYLISTRVQNRFCLANTAQSRIDISKTLMSYLLETYL INDTSDASAFPLKNYAAETWDLHVGYHGIDADTTVFKMAARLLRSGIPVLTKVAIGKF DLSPKESQCLKKGLVEIEPRPLQWASLLGLVGVVEHLLAEVDNNNPDREQLEAASSRS SVHASKVCQKRAIDVNVNTVGGKYGTALQAASNRGHELVVETLLKHGADVNAVAGKYG TALQAACYRGHESVVQALLKHGADVNVVSGKYGTALQSATLRCSRQSVVEALLKHGAD VNAMAGKFGTALQAASYEGNQSLIELLIKHGANVNAVDSKHKTALQVASNRGNLLAAK ALLKHGADVNHTVPGYFATALQAASSKGHYSLVDLLLNNGADVNVSGGYHGNALQAAC FQSHNKVIELLLNNKAEVTSLVHLARINDPALQEKLRAAYSADKKNVSLGFLPRPRLS JR316_0009997 MEAARDTNGTASEQVSEGAHTTQFKYLSAATIYLSIFALILLLA SLIIVSISSPLGETHGWQYLATFRLLTISVLMIVSLAVSTVYIYVDCPTLLGIIVNGT LLRYYTIQLDGFHAIYPWQSLCMPYRHYPMYPGGPRDPFPPVIYPHPACKDWKLALKI LMGIAAGAAILVGLIYLYILLVLLRKSRFWRRETWTIPPGTHVSLSFSLKRTSTAAPS SEPEHGPIYI JR316_0009998 MPFNDSTVDCNSCGGACGYLTPDPDVAGLGVVIAFLTTSSITWV CAVIRILDWFLNYTSSPNKVDALLQQYHYRKASSCPPSRISPLLTHELYPFSPIVRPK KSLAARVARLSSIVCPVLLSLHDTQLASGLAISVTALIRSRRPVFSVYHFSIAADLTW IASGTQMVSFIFIRSDIKDDQALLARGINTHRIVQILRAACMFTQMVVLLWLSYIQGE RRWAESYACPVLCLQENPTLGGVPLNWMIANYVLVLWSYPVMLLPLCTPLWSRWKDFK VILDKAIDFPNATHSKVRKTVIMLWAFLGSMTLEILVQLGWFGYGVWNLVADRQWGDA YFRGDSIVGNGCDPIYNTEDDWGFGQIFPVMILIVLLMTLFQAHGDAKVAEIMQKDAS SSAKERRSDTLLLLEAGAWASTIDLRPLVQVPAPSPALHGLRSR JR316_0009999 MAQNLIIRYYAIELALFAGEISISLVSRKLPSVLEVVSANDMQS AQALISFATTIISTFLIAYKIHKLSTPSSSSSRNLFKHIVMILVESAAGMAPTILVAR VALPRSDGSFASTISHISGIETRNNLPLSAEASATGETRAPHVM JR316_0010000 MTEPSQASYKKDLIQYAMEVDALKYGSFTLIAGRTSPYFFNSGL MCTGPILATLAAAYASTIAQALKDGSLPEFDILFGMAYKGIPFASNAAVALYSQHGIS VSVAYNRKEVKDHGEGGIMVGEPVKGKRVVILDDVMTSGKTIHGAIETIRQNGGEVVG VIHALDRQEVGQDGVSSAVKVIEGLIGEGRVFSILTMTDLMIWLERKGLVKELESLQA YWDQYGLK JR316_0010001 MAEPSQALYKAELIRHAMEVDALKYGSFTLKSGRISPYFFNAGL MCTGPILATLATGYSSTIAQALKDGIFPEFDVLFGPAYKGISFASSAAMALYNQHGIS IGFAYDRKEVNTYAEGGKMVGVPVVGKRVVILDDVMTSGKAVRGAIETIRHHGGEVVG CIQALDRLEVGQNGVNSTVKEIEGMIGKGRVISIFTMKDLMIWLESQGLVRELEGLQA YWDQYGLK JR316_0010002 MPQLHEILDPAIDVAFSIPGSAFFDNDNDIDESQQHSYRIPRDL HHCNYAFEPLSIPTTYKPPRHDTLPFDVKEIILNLIDSPRDFASLALTSRDWASTIIP DYLYRELSIPINHSTIWKVFAERPHLAKCTHTLRLLEPARNKSGAPLEVSGPSEDTLR NMSLALEGFTSLRKFCWAGSRSTLQIDQSFLQALARCPALEELDLGPVSLQSDHQLPS LSSLWRFPCLTKLILKGDWDLTGVDFLYLSLINNSPNLEELSILFGPASSHFTSCPLP KLRKLHLWHDSLYIQFPESELYPFDVQILNFLRNHPNIEDLRWLTWSWNRLTFPSGFL PSLKRLRAYGHLYRSLLQDPTLIDTRKWECISRATLTADMPILEQLDLSCLKEMRLLN FEGFDSLKQLAEIAPSITTLAIPSFGPPMNINCGTHSTPPGRIPSMVELDLENYIDCL AHFPSLETLLDTRLWSAILRLSTEERSEAVTQLTSRCRSLSRLNYWDRLRHAEMDIIF SKCEDGRVSWREEPSQEENWERFGGL JR316_0010003 MNSVLQGLIATRLLSDLVHFDPIPAEIQRKAATPIISRRSPQLT NGHNLAGPYEHAWVNSMPIGDMFLTLVYKAWDSQRNRRRDTLSPKSILNALGQKYDQY LDFAQQDAHEFLRILLDAMRMEEQDIIKTRQPPPPKLPKKRRRTTITSGNPPSISVPE SSSKSTEPASNVEEVVSTSIESSPDQAKDPKDDVTLLSFADMLFSGQLTSILVCQKCK HVSQTYEEFNDISLSIKAEDYLPHNRKRDRFKKIVGRLTAFPGSSSQGQSKDSRKLSA HLPLAPNHLVEMQRSSSVPPSPRTEREIKQLGTDDEQHVSEPPRRRSLDVPVGVSVPD SNTPEISHQTLAPAVEVIESVPPFETTLQEPDRQDDGGAESEHSHILVNVTGPDDKHV EFIEPKIDRKDKGNVGQSDSTDTEDSKKDHGSSKEKSKQDDSWAKIGRRISMSVGLGR PKGSDKDKDKKVKDRKSRSMDRGGGLGSHMKEIKEAAIEVADLGIQKSLSAIVPPSKS AKEVTSDTRRISSDGRSAVSSSTEASSSGIPSSKPSKTLPNPSISSQSNNSLAPASNS SSAVPSIFPHVQRSKSPKPPKPSNAEAEYLRKILADVAVSSSSNNPFAIFKPPLLHNH SGHNTRPLSTHAPGGLNSHEKSAGATWLGIGIRNLSGLEECLRMFTAVEVLDGDNMVG CRRCWKIQNGMYHGTKEKDSDQEDQEEEEDRLPFEGGTAKNDPMTVNGVVNPPAKKIP HPLQVVSSSLSASAAVHIPTSISTPTVSMFSQADVNSDTRSISSLPTATTSASDLSLG QAKESDDSGDSQVSLSAMSEGSSSASANTKVERSGPGGMPIPVISTTSPPETPQWPTD PHNSIADNKVLGDNADANRSASYARLIGATSGPVPPSIPTPNPKLTQALYGFNTSSGS KDSLLIPQIGRPKYQKRRSYAESTFTDNDSSGDESDTSIGTSVSADSLRSSGSQNATI ASSLANGTKSVPESSATAASIPQAQATKVSPPKKAPKPPKPVIMRPAYKRYLIAVPPP VLVIHFKRFQQTAKTPLMSFSHGFKKLEDYVSFPEHLDLLPFLAPRKEDYGLGKKGKG KESKVKEKEESCMYRLYAVVVHIGNMLGGHYIAYTALPDNLSRPARAAGADGDGKGTE STSDTAGQEKADTNSDPPSDAPKPASERQWAYISDTTVRLTTLEEVLHAKAYICMYER C JR316_0010004 MSNESQPQPFRIDIDDATIDWIQARVRNARIVPDVKHPKGMEWA DGVPGNVMDRLVKYWREEYDWRKVEAKLNSTYKMFTLDIPEGNELIKLHYVHHRSDRA DAIPLLFLHGWPGNFTEVEYLLSLTKPEDPSQQAFHVIAPSLPGFVFSSSPKEADFSI SKIGSICNQLMFKLGYTRYIGQGGDWGSFILRSMASSFPKSCIGIHINFLAAKQPSPF THPLAYLRLLIGDFTPDQKKRIERGQWFFTDEWGYSEIAGTKPQTLAYSLTDSPIGML SWLFDKMTPLVQPGYVWEPEKVITWVMLYLLSGSSWHARIYKYGAPVIPPQNDVKIVP GEVEFGASCFPYDIGYIPIWWAKAELAKNIIFWKEHSKGGHFAATESPEVLKADIREF VERLSDKARKSLKY JR316_0010005 MELTRMRSTADNKALKYRLPTKVKPYHYDLIVKTDLEQLKFEGF VKISLDVKDDTSELVLHVKDLELRKAWVSSDCWTSEKDVDISSIDDTLERVTYRMPEA LTTGSKAALNIRFSGSLTGNMMGYYRSRWETQGKTQYYSLTQFEATAARRAFPCWDEP LLKATFSVIMISRMGTVNLSNMSVVSERLLEELDSSIISTDRNNLFYPFSKGEWKVTK FDVSPPMSSYVVAFANGPFAFIERSIKMPLSGRTIPLRIYSTPDLIGQAQFALDVKAA ALPLYEKMFGVEYPLPKLDTLVASDFDPAAMENWGLITGRLSSLLIDPDRPDTRAMKE VASIQSHEVAHMWFGNITTLKWWTYVYLNEEFRLGIEFINKHLVRALKQDAKLSSHPV QVDSPDASDINQIFDNLSYSKGASVLLMLSSFVGEESFLKGVSIYLKKNLFGNSVTRD LWDGISAATGLDIGELMNSWITATGYPVISVTENANGIIVRQDRFLEAGHASPENNQT LWTVPLAILMIENGRSVIDRSVLLKERENFIALDTNKPYKLNAGTQGVYLVLYTPERL SLLAAEAKKENSLLDVKDRLGLIHDTIALALAGYSKLSVALTFVSQFNFEEDYMVWQA ISDNLADIQDIWWEFLAITNKFQEFRRSLYSPLVSKLGYNYPAGEPLNAKILRTLAIS QACDAGDECVVSELKRRFDAYIETGDDSQIPVDLQNTVYTTATRYGGMKEYRIMRNIY DNPKSPTQQNSAIFAMANTQDTDLLEETLIFVLNNARDQDILIFVVALAKNYKARRML TTFIKENYDQLVDRFKGTMSFSYLISRAFQFYSSKQDYQDIVDFFKDKDTSDYNKSLA QGLESIHTRANCIERNTRDLKQWLSKFLN JR316_0010006 MDLPVPSSNEKSSDMSSSNAEGSTQPPKNENASDGKRTVEETVH QVATKTDPVVQQPSAHVEPTKAGLVPLSSHTSPPRRDNPYNFLWGEDNDPYFPVRTEF EVPTTGEPSGLVRTSSGLARTPSAAYSFVGEKSTNSVELDVPHTEAADVHTPVVTQDM QTLFAQQQREIRDALAKQSEKAPPLKARAVPAKVTPKHTPSQSTAALDVRESILERRE REFDRREREIDRRERDLERRERELERRQREFDNDRGRWNTNGHNVPWQWQDAMKNFKD QITADLLAERKKAIEREASFEEKIIKKVNKVLEHERQLFGMMRMSENEVNEMVENSVG YLLHNDEDIADRVRFQSLLHLTQERLASEAGLTPPPGSDSFSLAWRLALGPSVVTEDR YKKALELLKDKVLQESTKKVMECKAAMEYAVEYTSHLRKDGPETGDISFSFGRIPRSD YMESVIRHASQDPGQLEALTILVDFVAPEI JR316_0010007 MVNIPKTRRTFCKGKTCKKHTPHKVTQYKKGKDSLFAQGKRRYD RKQSGYGGQTKPVFHKKAKTTKKVVLRLECTVCKYKMQLSLKRCKHFELGGEKKTKGA ALTFVNDFLRLKMCERIARNTRFIIVVTMISIQLMRSTPHSSEMLVDDLHTREIHWMA GRVTVVKGLSGQDAHFDELQQYFYEMQKVTVETATPIDFVSFAACAYFFGVIMADCDA PEMEEGGDEDAEGELEEDTEPVLEADYPESSAPTSPPASSTASTFSIIDPNRETSQEP EDDRIIFERFSRLSEQDKVLKWREAFMAKVDDVGEEDVQYPSPSRCRIGVDSDNEDGP LSYVGKGKMPENGGSFLVPHSPDKFSAVMSNVSDDPESFINWPEDSPIDNDVQGQSSF TDDSPSLAAVPEVYNPISPVIVDKDFGREYLETPRYRAPVRRLPKPAVDPAYQALLYE EAVRHRDELRAKRIAEYERKQVKQARQLGLQIRPLPSTPTVASHPQKVNKPIGLIYLW NSQNLDDPLHMGECSLGFYITPEFRQKKHLVDALNDVVKQAFQDDQCHRLQSIVVEND DKLYTQQLLSATGFRHEGTRRRAFFSPTAQEWKDVTYFAMLATEWVYDNNNTSSSSVR LRTTSIWDEILTRHQRELDDLHRMKEKMLIRSPSLETIRQQVPLTAPSSHTSSDTRST ADSELHEGNRLSIHPPLQRKKLVLGAGAQARRPRQGSISAVGTSGSTSGQAMSPRSPT SPSVVRARYGPTVPASPTRPRKRSIGGQSLSSASSSSASSQWEFVERMDA JR316_0010008 MADQRLERVLSRLQNLPSISLPTDYPRPTGANKLIESVHTAQLS EQTSLSLLKLALYSEDEDHEEEEEDVESSHKRPSAFHLLLAAFIVLLHRYTGDTDIVV GSSSASAREPLILRLSVDPADPYWAVVRHVQQTEKEAEADALPYDVITQALNKGKEDS LDRPLFRVRFFDETDEPTNNFIGSTSVTSDLTVFITRPPASTRASIAPRLSLRVLYNS LLFTSARITSFLDQLSVFLRKVAATPLSPVGSVPLLTPSQKAVLPNPTGDLNWCGWKG AITDVFSRNARQNPDRPCVIQSLPTESPDKPQGKVIFSYGAILRASNVLAHHLIMNGI QREDVVMVYAHRSVDLVVAVMAVLKAGATFSVVDPAYPPSRQIIYLGVAKPRGLIMLK GAGTISPTVREFLAQELKIKVEVPGLEVFPDGHIVGGLDPVGEDVLRAHNHLGETDPN VVLGPDSIGTLSFTSGSTGIPKGVRGRHFSLTHFFPWMSERFGLDETSKFTMLSGIAH DPIQRDMFTPLFLGAQLHVPTADDIGTPGRLAEWMAESEVTVTHLTPAMGQLLSAQAT RQIPTLLNAFFVGDVLTKRDCLRLQALAANVRIINMYGTTETQRAVSYFAIPPVSQDS TFLATQKDIMPAGEGMIDVQLLVVNRNDRNVPCAVGEVGEIYVRSGGLAEGYLDQDAS AEKFVNNWFAVNAPPRKDTILHPEEGFAGPESRYWKGIRDRMYRSGDLGRYLPDGTVE CSGRADDQVKIRGFRIELGEIDTHLSQHPLVRENVTLVRRDKDEEKILVSYFVPLEGS ALEGYASNVPDDEDDGKGLVKGMKKYRRLIKDIREHLKQKLPKHSVPSLFVPLSKMPL NPNGKIDKPALPFPDTAQASYAAGPSAPGASATEIAMQKIWSTILPNAPQPIPTDESF FDLGGHSILATRLIFEIRKVFVVNAPLGLIFEKPTIAGLVEAVDALRNADLGFAYKEP SAASAGATTSADVKNAGVAAAAPHVEYGQDYLNLIEKLQPSYAPLPADFNDHPITVFL TGATGFLGAFVLYDLLSRTDRVKKVICLVRGKTVEQGLERLKEGSTDRNVWSDSWVSS GRLEVVTGDLGLDNFGLSQETWNNVANEADVVLHNGALVHWVFPYEKLRSPNVLGTLT AVNLASTGKQKVFVFVSSTSAIDTEHYVQLSESLARGSTDSKGVPESDDLEGAKSALK TGYGQSKWVSEKLLFEAGKRGLRGHIVRPGYVVGDSHTAVTNTDDFIWRLVKGCVQLG LVPDINNSINMVPVDHVARITSLAAVSPLPDAPLSVCHVTARPLPTFNGMLSSLVQYG FPTESCEYVVWRRKLEQHVMEVGDNALFPLLHFVLDDLPTSTKSPELDDSNTVAVLRQ GGAQLSATVGDDLMGLYLAWLVGAGFLPSPSLPSPQKALPTLANAGNIKAAGRTGV JR316_0010009 MATMDALILSSPEKPRQLEIVTVPIPEIKEDQVLIKGHEIVGVI SQIGAAVHGFSVGDRCVADNTILCEACFYCRRGQHVLCENFESLGVTMAGGFAGYVAI QSKKVYKIKNLTDEEATLVEPASCAIHGLDKLNPAVGVEALIFGAGPTGIILAQLLKL NGASKVVIAANKGIKTQIARQLEAGDEYIELDRNDPSSQWNRMKEEYKHGFDVVIEAT GSEMVANRALDFVRRGGSLMVYGVYSNSDLVHWSPAKIFQDEIKIIGSFAQTHCFPRA VAYLDSGKIKVKGMVTDVFSIKDFDKALEKMKSKSACKVALKP JR316_0010010 MASDAANVKVYTVNGATAGSSSSLPDWLTRKRAAKKGKRALREH IEGTIELIQGFEFPEASNRIKTTRDGHHVIATGTYKPQMRVWDLDQLTLKFERHSDAE NVDFVTLSDDWTKSIHLQNDRTVELHTQGGMHYRTRIPRFGRSLAYHFSSCDTYITAS GNEVYRLNLDQGRYMTPLVLEEEEDEDILGINVVDINPAHNLLAFGVDGNGTTQFWDP RSRTRVGTLRLPRERLQSVGTTQDALSVTAIASRIDGLSYAIGTSTGHTLLYDIRAAK PFALKDQGYGLPVKNVSWIEGGSRMAGDGMVLSADKKVIKIWDRNTPATNFVSVTPAA DLNDVHHVPGSGLIMTANEGIQMATYYIPQLGPAPKWASFLENITEEMEDQTTRSVYE DYKFVERSELKNLGLDHLVGTPALKPYMHGYFLSLKLYETARLIANPFAYDEHRERLV REKMEKMAETRIRTKKDPGVKVNKALAEKVLRDQEKAKLRAERKSKRKAGADAMDVDE AGEEQEESRPSILSDPRFASVFQDPAFAIDENSREYALLNPSSVGRGKTAVEDEEDES DKFSDDDDLEGSDEESDDGASSDSSAEGELTKFDPRSRPGQRNVRAEEAYQRERDSNR AVWAQKKQLKKVNMVPMVPQTGGQSQKLGDKDATFGQRRAPLPSKKTGPSKPRMDADA PMEISWVPSSSSGGRNGGDGEGGKSSNKDKVRRKGVETFGAGLERGVEEKVDMGDSER HGRTQRRKGVRSGSKNAFRGI JR316_0010011 MSSRINIARPQTNYSVDPHGLSNSRPSSGYRAADPDDPLEKIKA FAKTVEDNVEIYSQPLKPHLPAIGRFLIVVTFLEDALRIVTQWGDQIWYLQQHRHFPW GLSHTFLIVNVITMLVGSTAVILKKNTEHAVAGLLAVVIIQGFGYGLIFDLNFFLRNL SVIGGLFMVFSDSMVSRKSVFAGLPSISETDRKKYFLLAGRVLLIFLFLGFMIQGTWS IVRVFVSIIGLGACVMVAVGFKAKWSAAFLVIVLSIFNVFANNWWSVHSAHPQRDFLK YDFFQTLSIVGGLILLVNMGPGGLSVDEKKKTY JR316_0010012 MPKRRQPLSVDSPNSGTDKETPNFLDDSEHEDASFTPTTKALTR KKRKVTGTRNRNVDNASLSQISGSQEPDGYDAPCHSRSTHTIVSPGPMRKALLSWFKT VHDTRGMPWRRAYDPNLGTEERAQRAYEVWVSEIMLQQTQVATVIPYYNRWMEKFPTI RDLADASIDEVNSLWKGLGYYSRASRLLAGAKKAVAEYDGKLPDNAKDMQSKIPGIGR YSAGAICSIAYGERVPVLDGNVHRLLSRVLALHSPPKAKPTLDILWAAAQTMVEIEDR SEGSIGATEKQESDFLSPQYPGDINQALIELGSTVCKINTVADIEDICDVCEPFTEPP GVTAYPMKAERKKAREELDVVHVIEWHSDSSPRDRMFLMVRRPENGLLAGLYDFPTSV DVPMKITVRDQESLTLKILPQIIQGASRISRQKKRNTVGHNPSQPEDLVIAEIQPVGD VVHVFSHIKKTYRIQLVVLEGGVKPPAILENPLLHDERPTKIERKEGRAAKNVNVTTA IDASKPAIWVPLNEVVDTMYDNDSYI JR316_0010013 MDKETGIHRKADGDYLYAVLNLSKNASQAEINERHRSLSLIFHP DKQVDTTLKVTATKEFLEIQKAYQGSDGLAVQWPPTLRFQTQEKLTGEKIMEVLDHHG SEMKRRRLKQIMSTKAKVNCSFDASPLFNPYFLITSRSYTSRIRERIQATRIVSQSLA YTIEKRLNNNTTFSFTGQTNPSKSKVSILSFVGTVRHQFSPRLNVLTSMTFVKPYPTR FEVNYEATGNIVNFKTALSPLLLDSYPPIMLSFSRQLFRSGPQRAKVDLNLTQSPSLS FFYISPPTLKINKQEDAVPPQLGPPTTLGIKYVAFERAYGVVFDRILPTLVAETSMTL VELSVRLKASIQLGFSGALYSLGAHWSSESIEAGSTLILNSSALVLQLEHIQAAKKAF DEDSDSRRERNAVESLLKDAVKKQLRHETEAEGLIIQEATYGVAESELGEGKDALSLD VKIPLQALVRKSQLHIPGGTSKTALQGFSDPAPFASKSLRIRYLFRGRLHYAEIPDYM PVVLPLSEHLVG JR316_0010014 MQHPTLQNVRIRSTRDAMQVFNGVANHCLPLITRRLDAEERRNI IPGNVYVWEERGANTEPTGLGMERWTDGMGWGPSPIKRRVLGIRLGVRDKDSDVTDDL VNPVTPWAQMMRRPTGRVNRPFVVTIQPIPEAERLIKQTYSVHVSLPADRPRGIIRKW HLTAYFSPHKLEELDTIDNIRGVGDVPVPEGWFRSARIGRNRQNSRTNGIMPLSPDIS NLPPTPPGSNYHYGSGSHQAQPPLYIPISPLPSGYPSPESPSPPSSSSHDGGHRSGSQ LVPLEVLVRTSGPRRDPADEQTLRRFVS JR316_0010015 MDSKPRGANRSTKVAGKLKVLPEQPEDLPVLGSKPPYSPPRDNV ESTGTTGDSDDGDVEENDDSQEYDVEVYNQISLIPEGTARRDALRLTKKKAKSLPRVT AYATASSYRMNDLMKFFNARRNAYHTDPKLIDDVIYTPYVYDPPPNSHETRSSLPPTG DLLGIPELREDGDMDQEEPTKKRKSSKFDTTATQAEIFMFDYGTVVIWGMSEAQEKRF LSSIKRFEIDRLASEDIEMEDLNYYYANYSRIYNDVITLRKGSSYMTKLSLSHALSQS VKISLFEELISSKIEETKDIPEVISETGKIGMPHKEIMQKIGQLFLLRTNINSVGSVL DSPEVFWVSVLLGRPTCTYPDLQPLYDAARSYLEIPQRINLLNTRVEVLQDMLQLLKE SVSSKHAERLEQIVIFLIGIEIVLGIITILVDLMAA JR316_0010016 MPRLNFEIDEAALLQAYKIGSIAPTKWEEVDHELADSVAGAILS PSIAGDSEGDPIGLGSSTEGFGYGNEYAALSLPYVSRECLVDSIALLVVVVEAACLIT SKSFDPKVFLSTMHPNATYQDLARGIAHLQNAIEARSEALRILVEDNFDRFVAVKSTT DVLYAEMKEGILTPGTQFSASPLRDHLKNGVQKANQVFLPVLENASKAQKIQTTLSVF ERSKFFFNLPSFIYESIEAGRYEIALRDYKKGKYLLENRPGQLLPIGNFKDTITADAA EQQQRRILDKVWTSVEKAIAQMRSVLISQLQDSSRSVEEQEKTLEVLVELQSNDDPVW NYFDSHHKHILDAMNKAYRSSAKVIEATIQKTLADTPTSDSLGTSLQTQLQTVIADLM AHKPETLIAKSPGEPVWQAIHDMIKNISEAMLSSLPSFWKIAKGFMDGKFKKPANPPA GTSGTRRSFSQCRTMAQDIVKLYITLISEFFRLSDVAVMTSMGGSYNAAPLPLVPKNT HSPCTAYYLIKINTDIQDTVNELNALDIAQDTGLKSLLESVKWRFEDILIDGWLRDAR MFYWTEAWITSSTDPFTTCYLAQIDVFQRHMTTAAYKLAGGLDVGSSSSSRAKQAPIS PIFVSKITKAFLDAVYAILDGLVLLASDESPVAQGKGPAILNTDREGINPIELLDLKD GDTRLLIVISNFNNLSKSVIPSMLLQLESAFNTSIAEDKKTLMTVVSELDKTLFEGYV KSKSEIVTSILRRGILESDVDWYQTPQPTDVRPYMFDTLMTLVSVHAQVCNVAEPLLD RALHALVEELAAEALRCFRQVKRFGMGGMLRATLEIEFMHQTLGRYVTPAAAKLLTEL YNRISQAYSRRPGDENLQANLDGVKKTLAETRRATGIEFLCFRQSKSSTSSSSRGGTS APRPRDKAPSRSERT JR316_0010017 MSKRIRIYTAEDVASHTESSSCWISRGGKVYDVSAFLSDHPGGD DLILQYAGKDVEEVMKDKLEHEHSDSAYDMLDEYLIGRLGSGESIIRDDWEATDDFHP EDTDSARDFEKNVFLDLRKPLLRQVWEANWSKSYYLQQVHQPRHLKESARLFGPDYLE MFTRTEWWVIPVFWGPITFYLFLRSLFQFTGPLPNFFDNPALPISSLYMIPVESIAKT MACFFLGNLIWTFLEYLMHRFIFHVDDMLPDRPIFLMLHFLMHGIHHYLPMDRLRLVM PPFLFIVLETPFTQLAYSIFPVAVANGIISGAFTFYIGYDCMHYALHHKKLPQYIKDM KKYHLAHHYKNFELGYGVTSKIWDYIFNTVLPV JR316_0010018 MAVTRAILRHVSSSSFPAARNAFPNRTLTKSAVQGPLDPPLSFK TLPEYFNSEVLSKFGDRPALICREELPRAHGGPASHNLGVGTHLAWDFMEFDRHINAL ARGLLAMGVQKGDRVGVIMGNNSSYAMLQWACASIGAILVTMNPAYRLTELVSTLNLS SVKHLFVVPQIRTSTYVRTLSEAFLEIRNHQPGELQIPDLPHLRNLVVVDNKDEHRAD LAPLHIKGTVDWREILVWREDSREARLQKDIYAGLDKDEVINLQFTSGTTGLPKAVSV RNLAAWAHGSSIVYPSAIFDAPSIVDAVVEERCTALHGVPTHFLGVLAEVKQRQQDGR TPDMSTLRTGIAAGSPIPIDLMKHLISELNLTELTNAYGMTETSPVSFQTTPADPIIK RVETVGKVQPHVKAKIIDTQGNVVDVGKPGEICVAGYLLQKGYWEDDEQTRSVMKRDE DGTLWMHTGDEGIMDEEGYLRGKTVVGRIKDIIIRGGENLFPVQIENAMTAHPDIREA AAVAVPDAKYGEVVGAWIVREPNTHISKEEVRQVVSTNMNPQNAPAWVWFIGEDGNPA ELPKTASGKVQKHILRKWSKDLVLKNLGRVSV JR316_0010019 MSADRRLCYVASACTSFDTFRGEVAAIELLLLKKHAMLTSGKVP TILRLSSAVACDTAIAGSLSYYLHTKRTGFKRSDKMINYLILFSINSGLLLRLNSRQV LFNDTFDEKDGPIVKVKHSTRHRSRRSNANWVIDRSKTPDKTPTQIYVVTETIIDNVP QHLDPEMIRSPKDTQGKQLSESSSSSGSDSSSELASQRGESV JR316_0010020 MLRLNSRQILFNDTFDEKDGPILKIKPSTHIRHRSRRSNVNWAL DRQSQTPDKTPTQIYVVTETITDNVPQHLNAGLVPVSRPNFIQYKQEASTDA JR316_0010021 MQAQPGSRSPRFHLLLSFLIVLSLPHLIGAESILGDNNTCDNAH ILERNADCDPQRAAMTWNTTKETTANTGAKMYGYRYPQVPLEVDNYPVGPEGLQLEQV HVYVRHGERTPVGVRLTDPPASIPEYWMMCKTARRFRAAVSSALGPSPNQAPHLSVRN DELEETLQTQKVVERKDGTLVEGECLLGELTDLGRQSTYSFGQNLRRLYVERLGFIPD TLPSSDIVYFRSTNMPRTIESLQQVVHGLYPTNKCLDGAQPPLRIRNGKDENLIGNTY ACKRLEILQAGFANAAAQAYNRSLERLDKKVSKYLNGNPIRVDGKPRASGIMDTIRAS IAHGIKVPPEFEDKTIVDVIDVCSTLFLPYGHPLRTIYALDKTEEVRRLAMGRLLDDM SRKMQTKIQQREADPLKILVHSTHDTAIAGLCSTFDVFDDKWPAFTASITFELFKTRE PESDQTRSQSILTRMGSPSSSSQYYVRMRHQNKDMTLPICAQSGNHLEGHPEFCTFSA FKARVKELTPTEWDDECLPAGKP JR316_0010022 MPPRLSISASPNLPPNPAPPRYINKTQNEIIASMTSGKPLIHYH PAPAGGHPPIVRVPNNKSVWDESLWNTSEVSDAQRGTKGECQKKFCLDDKDMLDLCWI DGPVNIYGRASHMYLLVEVERRAWEKYGGPQGLKAHRKLPIPERKAAKLMARKLHKEL QLAVPSAVAEAGQPNSPTNQQTARRRAHRRRFSDASVDYVEIISQRNVPGPSSSPISA VNTSSPASAPYTSPPDTPGPSTPVRTPKSNRHVRKPYNTPIRRGGHQSSCSPIKSDSR RVEIDLTIEPEDDVFSRFHTEQDIIEISD JR316_0010023 MDYAFELTQSLSNYMKAKIASRLWHAELPNQIRENFSAETMFEC NLAVEVILQAFENQEYTTWDAEEYLTHLSARCTGQNSAVEARLKDQFPPVHSTLQYQT LPGTVIDSAGNILVWYLPGILSETRVESVWNSLRDIETMIHKAAPLATSWRVNDSYFR HEPGWLQPGNLNFSPAWFQQGHETSNPLEVSLDLCNPIGQEFIRDMMTSSALLGAILS IIHPEQYRAGIKFLQRLAAEPEFVHKAEILKQILTIWSSPFGVMTAISNRDTPYHRDN GSCYSWYDFLMPLGKGEHGRLELPGLGLRYKYDPMTLVAITGRLLQHGAVCEGDRAVI VYYMRRNVFKELGVQEAGWSTTYDLFANLPATNTFDFEI JR316_0010024 MGFKKVPDRGSDEEFPNVREASWSSGAKKRKRGRPRIHKLPAES SSTNLPQTTTYSFQNHEHNILEEEIPHYPGDLTDQQVLDNLRQLDDDRTGGKSQNDYL REWLPKREVYLGTMIGGEAPDPDLNGRCQQCQGMSGVWRCCQCFGSRILCSECLRRNH QFTPFHRVEKWTGLYFRPGALWEVGVKLYLGHNGKRCPYPTDPSHLGCDGGNNSSGSH GHGGNNSPIFEDEHGLDLDPLPASNDQESFTAQLLADPEVPHLVELDDGDDEDDDLFE EVDTTYLDQPRPKAADNNGIPFKAIVHTSGVHYLPVRTCTCRSVRLPSIDLQYLEMGL FAASFENVQTVFTVEVLEDFRMDNLECKTSAYQYYQKLRRLTSPAFPKKVLNRYRELR RLSREYRDLVLRRQYGEGHTREAVVPYYHHCHDSSPERMGINVSDPIDGGSDSPSQEV GTSTDPSLGHGGLDGPPAPQMTRTEDHPKVEDRRGKLALFCPACPQPGINLPDTWIDD ADRQVLVILQGYVADGNFKADHLNQKNEGDDVWLSVGEGYMTAPGPYKEHIKEAISLA PRYKREQTCHNYHAQKAENRVSPGKRVRGIGAHACARHGCFCPSSVVDFDKGEKQMHM DWSLTQARETTNTQGITKHLEIYDINCQYCVNLARRLSESTKMHWPPSVKMIFAIGLF HVHGHKTECLYNYASTYVPGVGIIDGEILEPLWSVLNDTSRSTRSATTAHRAEVLDDH MGDSNWKKTINMAATIAAKFKRAREQSGITDRFYRGITDQQDSGLINTWEDEISKAEA DREQGVADAVGKVMASKVKTAAGRQEIELHLSNMELTSNGATGKAAWISSGLKLEQAQ LELRDHVRKLGKHPSTAQKLDLVNKCRSMRTRVEAFCRSAMTFMGEDVLEDIQGDIAP ILDYEVSDNDDPDLGNVNITRADPERQPLPFPSAVKQDFFDGLDAGTNLILKGLRKLE LQIRHGHAEDCLEAVRSALIQLSWQYKYQVRTADSVYMGTRAWDGVKLLNASWKLHRR LYNTNRQKMIYLSAGVRDEDNIRKQYPILQVHDCKHSNAVSDPNIHGGSSDRLSWIWR SRQGLDNDNQLYVNEFFRLNWLRARAQRNRWQEELALTKKEMEWTVRFYVYMAKTWRA RHDFVPDRLTSSLNILVVSHLVEFMHLPRLFKPPVEDEHHLLTYDERRALAKVHVHVC GARIRAGYHLFIANGDSVSSVRRQKWMYLLDLEVAILLRVLGYCYVNGFQVDIPFFIS EILNQSVHAVLNRTPHHRAVLFESLNQSWSAWEDDEILNQTKSWGYWWRDGFAEGDEW QVAFVTVESQAREFWNKVVLPEYQQEVLRLNNQRKEAKEGASSSTSGPPRNQENNPKG KGKAAERTSVPTSGKHGSALTGKHGSAFSPPTGKPNPPTRVANTRDETSPIAISDHRP PYCPRCGQPILTGVMRELAHLRRNVADKMKNAHKAVTTSSAALGRYSVLEKMWIDSKE HPFSNGKGLSAKYKFQHPVHPSPDSWGAITAQARSFEVSKLKVASFYINDIFYSFIAV KELPFHPLWYHSPPSNTANIHLPTDTLPTPGSVSPPSNAHTSNAFLFNARSRPVFPGE EDDIDSTSNTTESSTPATFLEHLAQDFEEEADVESSAGDTTEESDASGNSEELSEDVS ETPWDEES JR316_0010025 MPTNASPDADPLPSTANATTHNGPRSGQTAEGDFPPEESNIWTT KEMRVLKKHVQPYKDTSKSSKADYIQNTVIPELQATWDHRYSRRARKEDKQLHKEWKV KKHRIFNWFRNHASNRIGVKLEGLNSRITFQTVFREEKSAEIDSEVALLSGNAARGSK DWMKFYQQGRKQVEARLTQEERDRFMEILEEWNKKGVSKSMKAKTAARQGRKILRQME KLKWQRMGMRSITFEGHYDIEGKIKYSMTQTSDLALDDVRIPSFGQLFLSELAAFRRA FVQYLVHISEIEKGVANPALPDASFHEKSLKFSSNGFPIVPSPIYGSTGREVAYAQKS IIRIYMNKVYALAKDRPGSSVPWDALERRSAEMIDPEYWPSSIPVTDPSRLRLESTSA ILKLWRDRQAQGDIPFKFSKVFGNGYDIMEPLYPSNLFDGLEAHPIPPPPAAITKRRL RQKAIRLQTQSSSDSESSNLSEFDNGRGSPASAMPRTTARFEVTPETDETPTDAPSRS SEPPSLPSRSSPTIVNPSSSPTPEVEEAPPKPARKIMPRKRTKPYVGTAEMDGPDEES SVPPPVKPKPTRRIQPRKRTKPYSDPLNTVEEDGVQTGTTQDTDNTRAGRDVTCDAFV ETVTSPDTDETRARRNLTSDALALQEASLLAVAGKRQRKKTLKA JR316_0010026 MAKEQVAGSTSLSVTMFNDITKRINHVRDVVDDLLEQDERRNPA PKQAAGPSKTEVEQESGPSRTREPTSVPQSPSAPPPPAPLPSPPPPPAAPILPSPPPP PPAPVLPSPPPPPPAPVLPAVSAPPTALFLPGSTPEAPLPPPAGRPSLPPVPPILSLS PPPPLPAPRPRSLTSKAAPLSKGAPSSKAAPSSKAGPSSKAKPLSKAKPSSKAGPSGN GHSSELSDPSDSDEVEIVEEEDVEIVASTLPASNIATKTRAGRKRKAETTLAEASRSP KKSKAQKK JR316_0010027 MAASSSTTATFAQRLADWEKKFTECYRNGESAFNAELEQLYRDL VPLCQEHVRDAARFRLIDYVASPVVYSYKVIQGKDGENIYRFEVDWATLKHQVANFKA YQEGQEAQRKRREEEEQEKRREKEEQEEEERQRVEERRKREVRRKREEKKKREEEEER QREEEEERQREEERRKREEEKQKVEERRKDERRKREQERRTREQERQKAEERRKREQE QEQEQETDEERNKEETEKRRAEKGKGKAVEPPVEDGPVTDAHKDKGKRKAADPVESIQ LAPADYRGPRTKKGEIIPHITASNMPGHPAYREKLERLAKSKQGKFRSKAIIGSHTDE DADADVDEDDEGDNKGAPPTTPTATPTRKMRTRSVKKDTEDDVPPIRKARSRSQKVRQ VPEGMVDMVERCTACIKFKVPCYVKGETGTEPLVPVKHQSCESCKSRKIHCSFYPGRN TFVGQFLTTPLGSYGEALKLEEGEDVPAKGKAGEGSFPEDVGELLVQLFERQGRIMER LDGLSASMTAINARIATFAETNLAVENRMKSIEDGIQEVKAE JR316_0010028 MVALQVASVLAAPAESLVDSLGAKRSVFELSDDLVSTPGGFFLR SKVHAVPEGALVAHDKDVIHIISSNGTTLHTSTFTPSNGVGCHNISPAARRSAQFKEG YVVTPSWHNTGPSPVASFTTTWKVPPIPETVDGQILYLFNSIEPNTFQSVIQPVLQFG TTPAGGGDYWAIASWFVVGSDVFYTPLTQVSPGRSLTGVITLQQTMKDLDTGNITGFI YNSVFKGVPISSLTISVGDELTWLQESLEIHGPTQPSKLPRGKTVMGSINIMNQDGQI PNVTWSPCNFTGVTDTADGFCLVVKDIDSRRNYTPVPTVATEPVVE JR316_0010029 MSSNISNSKESKRTARTDDLAPPPMPVIPDNLAPPPMPVIPDNL APPPMPVISSNLAPPPMPVIRKDLAPPPMPVIPANLAPPPMPVIPANLAPPPMPVIPA NLAPPPMPVIPANLAPPPMPVIPANLAPPPMPVIPANLAPPPMPVIRNDTAPPPMPVI RNDTAPPPMPVIRNDTAPPPMPVIRNDTAPPPMPIIPDNLAPPPMPVIPPTLAPPPMP VIPDTLDPPPMPVIRDDVAPPLMAAHVSYTSVVDKIIMDSRPAISSERKQGRYSPPPE ACHLLLQNPYFRTYGRFDPMGGYPGSPPTQSDIEFEDVTDQTAYFNPPFLYNYTEQQL RATYDQIIDSSVGDNSYDSKVTTFKNLRLHQLQSEILTYNALEEIDAGLAGIESSLEK HLYTGRDE JR316_0010030 MAASSSTTATFAQRLADWEKKFTECYRNGESAFNAELEQLYRDL VPLCQEHVRDAARFRLIDYVASPVVYSYKVIQGKDGENIYRFEVDWATLKHQVANFKA YQEGQEAQRKRREEEEQEKRREKEEQEEEERQRVEERRKREVRRKREEKKKREEEEER QREEEEERQREEERRKREEEKQKVEERRKDERRKREQERRTREQERQKAEERRKREQE QEQEQETDEERNKEETEKRRAEKGKGKAVEPPVEDGPVTDAHKDKGKRKAADPVESIQ LAPADYRGPRTKKGEIIPHITASNMPGHPAYREKLERLAKSKQGKFRSKAIIGSHTDE DADADVDEDDEGDNKGAPPTTPTATPTRKMRTRSVKKDTEDDVPPIRKARSRSQKVRQ VPEGMVDMVERCTACIKFKVPCYVKGETGTEPLVPVKHQSCESCKSRKIHCSFYPGRN TFVGQFLTTPLGSYGEALKLEEGEDVPAKGKAGEGSFPEDVGELLVQLFERQGRIMER LDGLSASMTAINARIATFAETNLAVENRMKSIEDGIQEVKAEWTMAKEQVAGSTSLSV TMFNDITKRINHVRDVVDDLLEQDERRNPAPKQAAGPSKTEVEQESGPSRTREPTSVP QSPSAPPPPAPLPSPPPPPAAPILPSPPPPPPAPVLPSPPPPPPAPVLPAVSAPPTAL FLPGSTPEAPLPPPAGRPSLPPVPPILSLSPPPPLPAPRPRSLTSKAAPLSKGAPSSK AAPSSKAGPSSKAKPLSKAKPSSKAGPSGNGHSSELSDPSDSDEVEIVEEEDVEIVAS TLPASNIATKTRAGRKRKAETTLAEASRSPKKSKAQKK JR316_0010031 MPTNASPDADPLPSTANATTHNGPRSGQTAEGDFPPEESNIWTT KEMRVLKKHVQPYKDTSKSSKADYIQNTVIPELQATWDHRYSRRARKEDKQLHKEWKV KKHRIFNWFRNHASNRIGVKLEGLNSRITFQTVFREEKSAEIDSEVALLSGNAARGSK DWMKFYQQGRKQVEARLTQEERDRFMEILEEWNKKGVSKSMKAKTAARQGRKILRQME KLKWQRMGMRSITFEGHYDIEGKIEYSMTQTSDLALDDVRIPSFGQLFLSELAAFRRA FVQYLVHISEIEKGVANPALPDASFHEKSLKFSSNGFPIVPSPIYGSTGREVAYAQKS IIRIYMNKVYALAKDRPGSSVPWDALERRSAEMIDPEYWPSSIPVTDPSRLRLESTSA ILKLWRDRQAQGDIPFKFSKVFGNGYDIMEPLYPSNLFDGLEAHPIPPPPAAITKRRL RQKAIRLQTQSSSDSESSNLSEFDNGRGSPASAMPRTTARFEVTPETDETPTDAPSRS SEPPSLPSRSSPTIVNPSSSPTPEVEEAPPKPARKIMPRKRTKPYVGTAEMDGPDEES SVPPPVKPKPTRRIQPRKRTKPYSDPLNTVEEDGVQTGTTQDTDNTRAGRDVTCDAFV ETVTSPDTDETRARRNLTSDALALQEASLLAVAGKRQRKKTLKA JR316_0010032 MGFKKVPDRGSDEEFPNVREASWSSGAKKRKRGRPRIHKLPAES SSTNLPQTTTYSFQNHEHNILEEEIPHYPGDLTDQQVLDNLRQLDDDRTGGKSQNDYL REWLPKREVYLGTMIGGEAPDPDLNGRCQQCQGMSGVWRCCQCFGSRILCSECLRRNH QFTPFHRVEKWTGLYFRPGALWEVGVKLYLGHNGKRCPYPTDPSHLGCDGGNNSSGSH GHGGNNSPIFEDEHGLDLDPLPASNDQESFTAQLLADPEVPHLVELDDGDDEDDDLFE EVDTTYLDQPRPKAADNNGIPFKAIVHTSGVHYLPVRTCTCRSVRLPSIDLQYLEMGL FAASFENVQTVFTVEVLEDFRMDNLECKTSAYQYYQKLRRLTSPAFPKKVLNRYRELR RLSREYRDLVLRRQYGEGHTREAVVPYYHHCHDSSPERMGINVSDPIDGGSDSPSQEV GTSTDPSLGHGGLDGPPAPQMTRTEDHPKVEDRRGKLALFCPACPQPGINLPDTWIDD ADRQVLVILQGYVADGNFKADHLNQKNEGDDVWLSVGEGYMTAPGPYKEHIKEAISLA PRYKRTECLYNYASTYVPGVGIIDGEILEPLWSVLNDTSRSTRSATTAHRAEVLDDHM GDSNWKKTINMAATIAAKFKRAREQSGITDRFYRGITDQQDSGLINTWEDEISKAEAD REQGVADAVGKVMASKVKTAAGRQEIELHLSNMELTSNGATGKAAWISSGLKLEQAQL ELRDHVRKLGKHPSTAQKLDLVNKRRSMRTRVEAFCRSAMTFMGEDVLEDIQGDIAPI LDYEVSDNDDPDLGNVNITRADPERQPLPFPSAVKQDFFDGLDAGTNLILKGLRKLEL QIRHGHAEDCLEAVRSALIQLSWQYKYQVRTADSVYMGTRAWDGVKLLNASWKLHRRL YNTNRQKMIYLSAGVRDEDNIRKQYPILQVHDCKHSNAVSDPNIRGGSSDRLSWIWRS RQGLDNDNQLYVNEFFRLNWLRARAQRNRWQEELALTKKEMEWTVRFYVYMAKTWRAR HDFVPDRANAQKQIAMWNDLGRAADKVFRQINPEYPLTSSLNILVVSHLVEFMHLPRL FKPPVEDEHHLLTYDERRALAKVHVHVCGARIRAGYRLFIANGDSVSSVRRQKWMYLL DLEVAILLRVLGYCYVNGFQVDIPFFISEILNQSVHAVLNRTPHHRAVLFESLNQSWS AWEDDEILNQTKSWGYWWRDGFAEGDEWQVAFVTVESQAREFWNKVVLPEYQQEVLRL NNQRKEAKEGASSSTSGPPRNQENNPKGKGKAAERTSVPTSGKHGSALTGKHGSAFSP PTGKPNPPTRVANTRDETSPIAISDHRPPYCPRCGQPILTGVMRELAHLRRNVADKMK NAHKAVTTSSAALGRYSVLEKMWIDSKEHPFSNGKGLSAKYKFQHPVHPSPDSWGAIT AQARSFEVSKLKVASFYINDIFYSFIAVKELPFHPLWYHSPPSNTANIHLPTDTLPTP GSVSPPSNAHTSNAFLFNARSRPVFPGEEDDIDSTSNTTESSTPATFLEHLAQDFEEE ADVESSAGDTTEESDASGNSEELSEDVSETPWDEES JR316_0010033 MDYAFELTQSLSNYMKAKIASRLWHAELPNQIRENFSAETMFEC NLAVEVILQAFENQEYTTWDAEEYLTHLSARCTGQNSAVEARLKDQFPPVHSTLQYQT LPGTVIDSAGNILVWYLPGILSETRVESVWNSLRDIETMIHKAAPLATSWRVNDSYFR HEPGWLQPGNLNFSPAWFQQGHETSNPLEVSLDLCNPIGQEFIRDMMTSSALLGAILS IIHPEQYRAGIKFLQRLAAEPEFVHKAEILKQILTIWSSPFGVMTAISNRDTPYHRDN GSCYSWYDFLMPLGKGEHGRLELPGLGLRYKYDPMTLVAITGRLLQHGAVCEGDRAVI VYYMRRNVFKELGVQEAGWSTTYDLFANLPATNTFDFEI JR316_0010034 MAKDLPLLGDKGAPKFDEQKPEELLRFLDQLDDLFEKYGVKTDN EKKRVVCRYISPTTESEWRAFSSFQNGTWKRFRKDLIMSYPEAVNLHRGSIEALDKIC RKHSGNNQIESHDSPGLMALVRTFRAEAGKLLQPPALVSNRDLVERFIGCLTSEFAGR VGQKLDFKLDTTNVVKNLSQPPAEEDNADDTDEIELVRWEDRHKFVDVVEAAISIAER AAKWNSNTLMKGTYSTARAYGTSDLVPESKDKIYVKLEEQISQLSDVISNQEHKRALE LKMLQESQHKQMLEFQNMMLTFKNSLQLNTVPTAAFPQTAQTAFTPKARISQTTGCHY CREETHIIVECPHVRRHLENKWVIKNAEGYVRLPDGSQVHPMGNKSRKEVVESLHRTP GVIPVGKTSSFWQSHNDSPLDAAHYRLHRQYELSKSLMSLREDFGDDAFEAVMGRQSG LDEEEEPTLGNFP JR316_0010035 MPIIPDNLAPPPMPVIPPTLAPPPMPVIPDTLDPPPMPVIRDDV ALPLMAAHVSYTSVVDKIIMDSRPAISSERKQGRYSPPPEACHLLLQNPYFRTYGRFD PMGGYPGSPPTQSDIEFEDVTDQTAYFNPPFLYNYTEQQLRATYDQIIDSSVGDNSYD SKVTTFKNLRLHQLQSEILTYNALEEIDAGLAGIESSLEKHLYTGRDE JR316_0010036 MASSSSTTATFAQRLADWEKTFTECYRNGESAFNAQLEQLYRDL VPLCQEHVRDAANFRLVDYVASPVVYSYKTSQGKDGKQVARFEVDWANLHHQVANFKA YQQGQEAQRKRREEEEQEKRREKEEQEEEERQRVEERRKREVRRKREEKKKREEEEER QREEEEERQREEERRKREEEKQKVEERRKDERRKREQERRTREQEKQKAEERRKREQE QEQEQETDEERNKEETEKRRAEKGKGKAVEPPVEDGPVTDAHKDKGKRKAADPVESIQ LAPADYRGPRTKKGEIIPHITASNMPGHPAYREKLERLAKSKQGKFRSKAIIGSHTNE DADADVDEDDEGDNKGAPPTTPTATPTRKMRTRSVKKDTEDDVPPIRKARLRSQKVRQ VPEGMVDMVERCTACIKFKVPCYVKGETGTEPLVPVKHQSCESCKSRKIHCSFYPGRN TFVGQFLTTPLGSYGEALKLEEGEDVPAKGKAGEGSFPEDVGELLVQLFERQGRIMER LDGLSASMTAINARIATFAETNLAVENRMKSIEDGIQEVKAEWTMAKEQVAGSTSLSV TMFNDITKRINHVRDVVDDLLEQDEQRNPAPKQAAGPSKMEVKQELGPSRTREPTSVP QSPSAPPPPAPLPSPPPPPAAPILPSPPPPPPAPVLPSPPPPPPAPVLPAVSAPPTAL FLPGSTPEAPSPPPAGRPSLPPVPPILSLSPPPPLPAPRPRSLTSKAAPLSKGAPSSK AAPSSKAGPSSKAKPLSKAKPSSKAGPSGNGHSSELSDPSDSDEVEIVEEEDVEIVAS TLPASNIATKTRAGRKRKAETTLAEASRSPKKSKAQKK JR316_0010037 MPTNASPDADPLPSTANATTHNGPRSGQTAEGDFPPEESNIWTT KEMRVLKKHVQPYKDTSKSSKADYIQNTVIPELQATWDHRYSRRARKEDKQLHKEWKV KKHRIFNWFRNHASNRIGVKLEGLNSRITFQTVFREEKSAEIDSEVALLSGNAARGSK DWMKFYQQGRKQVEARLTQEERDRFMEILEEWNKKGVSKSMKAKTAARQGRKILRQME KLKWQRMGMRSITFEGHYDIEGKIEYSMTQTSDLALDDVRIPSFGQLFPSELAAFRRA FVQYLVHISEIEKGVANPALPDASFHEKSLKFSSNGFPIIPSPIYGSTGREVAYAQKS IIRIYMNKVYALAKDRPGSSVPWDALERRSAEMIDPEYWPSSIPVTDPSRLRLESTSA ILKLWRDRQAQGDIPFKFSKVFGNGYDIMEPLYPSNLFDGLEAHPIPPPPAAITKRRL RQKAIRLQTQSSSDSESSNLSEFDNGRGSPASAMPRTTARFEVTPETDETPTDAPSRS SEPPSLPSRSSPTIVNPSSSPTPEVEEAPPKPARKIMPRKRTKPYVGTAEMDGPDEES SVPPPVKPKPTRRIQPRKRTKPYSDPLDTVEEDGVQTGTTQDTDNTRAGRDVTCDAFV ETVTSPDTDETRARRNVTSDALALQEASLLAVAGKRQRKKTLKA JR316_0010038 MGFKKVPDRGSDEEFPNVREASWSSGAKKRKRGRPRIHKLPAES SSTNLPQTTTYSFQNHEHNILEEEIPHYPGDLTDQQVLDNLRQLDDDRTGGKSQNDYL REWLPKREVYLGTMIGGEAPDPDLNGRCQQCQGMSGVWRCCQCFGSRILCSECLRRNH QFTPFHRVEKWTGLYFRPGALWEVGVKLYLGHNGKRCPYPTDPSHLGCDGGNNSSGSH GHGGNNSPIFEDEHGLDLDPLPASNDQESFTAQLLADPEVPHLVELDDGDDEDDDLFE EVDTTYLDQPRPKAADNNGIPFKAIVHTSGVHYLPVRTCTCRSVRLPSIDLQYLEMGL FAASFENVQTVFTVEVLEDFRMDNLECKTSAYQYYQKLRRLTSPAFPKKVLNRYRELR RLSREYRDLVLRRQYGEGHTREAVVPYYHHCHDSSPERMGINVSDPIDGGSDSPSQEV GTSTDPSLGHGGLDGPPAPQMTRTEDHPKVEDRRGKLALFCPACPQPGINLPDTWIDD ADRQVLVILQGYVADGNFKADHLNQKNEGDDVWLSVGEGYMTAPGPYKEHIKEAISLA PRYKREQTCHNYHAQKAENRVSPGKRVRGIGEHACARHGCFCPSSVVDFDKGEKQMHM DWSLTQARETTNTQGITKHLEIYDINCQYCVNLARRLSESTKMHWPPSVKMIFAIGLF HVHGHKTECLYNYASTYVPGVGIIDGEILEPLWSVLNDTSRSTRSATTAHRAEVLDDH MGDSNWKKTINMAATIAAKFKRAREQSGITDRFYRGITDQQDSGLINTWEDEISKAEA DREQGVADAVGKVMASKVKTAAGRQEIELHLSNMELTSNGATGKAAWISSGLKLEQAQ LELRDHVRKLGKHPSTAEDRSL JR316_0010039 MATTIIQRTPVDMPVPKSSAAPKFTGSYVDVKNFLDHCDRIFDQ YNVTLDNDKVRYMVQCCNQESREIIEGLPSHHAKQWERLKTDMLKIFDHARTTQKFTL STLRAYAFQHSNLSMRSLDDFREYQKQYIRIAGWLLNNNKISKTEYNQYFWLGINESL RPALESKIMVFNPHIDLSSPFSIEDVTKAVEIIFKRDRFDVGIFDNPSARPFTSLIPP KDSYPERSSVFDEIKKYLQEMFPNIETRDARERPYNPPEETKRIFQDLDKEEKQAHKD DEVENLIKQMSKLTIHDSSYAIYYLRAIKLEPALANMLIAPAIMNPSAQPAQPVPIAS QSAPPAPRAPRQSTSEIICYGCHQQGHGINNCPTLIDLTNRKLISRDSSNRVVFPDGS RIIRQNGESIAQAVLRQQQPPPPPPPQVATTSIAVSEAYYGQMFKNYRAMVAEEEEDD IGTWDGEDEFEFTLAGPGNQIPTEKRTRAARKQVMDAVVPPEPAYLKGKRAEMSKAKD SSQIPSILKRPANSGLPNNVPSSTSIQPVPIPSINQPSAEMNPSIPVKQAQPNVPIRA NPTEHPETAQRHEVFDPADDDQIMEDVTPSLERGKSVTKPRAAPQKRVSDISQTVDTM AILRRCLNQPVNATFGELLGVSKDLRTLLINSIKGKTLTVDEFKASLANGNLKLSDKE VLDIIRSAEKDVPVQYMHETNSVESLRAHEPLLRITLMCNGHELNALIDSGSTQNILS EQAWKKIVKLPMDSRNTIVMVDIHGGKSHMLGFVGNVQLDIGTVRTRAHCYVSDKVQF DILLGRPWTRDNYVDILERPEGTFIAFYDVKDPTREQKFLVTPDTQHDRRYFTDTIYD KTPHTMLAHNDLSLANPDAEEGEIEEDLEPGELLDDLRYPSPTPSMIELSILTRQQSL NRDESANPNEDLSTSQDAPSFSDSNCEHDSTQDSSHGLYASDYDSMYQDDSGTSQFNA TAHYGHISTPPYDSTHEAPQIEQPPSPSVNPSIKSHTSDNNDPHEEEPADDDPEMEQL SSPEVEAIQFSSDNTHLTAMGMLNPHLRFEDWILYDATYSSPTRVVSDRTGTAFVHYV YPQRDSHINLTTTPTILHFSRTGISSQSNHSASVSAYPMGQCHSASWTHFIPQSIRSQ NASSIPQPHSRSSALPETPLSIMIHQETHVSSTVTANVDEHHRGPKALVHPLRVAHDS PKEPLNEDEEELLIAQNGKEVDHNSSLLPLPITSTMHESRASNMDDGNEWYYQYGEES PFGINNTEEHPLDANTLSPIAEFLEKHAQPPKGMLYGISISNIHHHHASNTPRTPTES GRASSYPQRAKNQAYGQDKKDDHSITSDGSRPTEISDRSKHLCEIMVTQFRYYC JR316_0010040 MTFMGEDVLEDIQGDIAPILDYEVSDNDDPDLGNVNITRADPER QPLPFPSAVKQDFFDGLDAGTNLILKGLRKLELQIRHGHAEDCLEAVRSALIQLSWQY KYQVRTADSVYMGTRAWDGVKLLNASWKLHRRLYNTNRQKMIYLSAGVRDEDNIRKQY PILQVHDCKHSNAVSDPNIHGGSSDRLSWIWRSRQGLDNDNQLYVNEFFRLNWLRARA QRNRWQEELALTKKEMEWTVRFYVYMAKTWRARHDFVPDRANAQKQIAMWNDLGRAAD KVFRQINPEYPLTSSLNILVVSHLVEFMHLPRLFKPPVEDEHHLLTYDERRALAKVHV HICGARIRAGYRLFIANGDSVSSVRRQKWMYLLDLEVAILLRVLGYCYVNGFQVDIPF FISEILNQSVHAVLNRTPHHRAVLFESLNQSWSAWEDDEILNQTKSWGYWWRDGFAEG DEWQVAFVTVESQAREFWNKVVLPEYQQEVLRLNNQRKEAKEGASSSTSGPPRNQENN PKGKGKAAERTSVPTSGKHGSALTGKHGSAFSPPTGKPNPPTRVANTRDETSPIAISD HRPPYCPRCGQPILTGVMRELAHLRRNVADKMKNAHKAVTTSSAALGRYSVLEKMWID SKEHPFSNGKGLSAKYKFQHPVHPSPDSWGAITAQAWSFEVSKLKVALFYINDIFYSF IAVKELPFHPLWYHSPPSNTANIHLPTDTLPTPGSVSPPSNAHTNNAFLFNARSRPVF PGEEDDIDSTSDTTESSTPATFLEHLAQDFEEEADEESSAGDTTEESDASGNSEELSE DVSETPWDEES JR316_0010041 MDYAFELTQSLSNYMKAKIASRLWHAELPNQIRENFSAETMFEC NLAVEVILQAFENQEYTTWDAEEYLTHLSARCTGQNSAVEARLKDQFPPVHSTLQYQT LPGTVIDSAGNILVWYLPGILSETRVESVWNSLRDIETMIHKAAPLATSWRVNDSYFR HEPGWLQPGNLNFSPAWFQQGHETSNPLEVFLDLCNPIGQEFIRDMMTSSALLGAILS IIHPEQYRAGIKFLQRLAAEPEFVHKAEILKQILTIWSSPFGVMTAISNRDTPYHRDN GSCYSWYDFLMPLGKGEHGRLELPGLGLRYKYDPMTLVAITGRLLQHGAVCEGDRAVI VYYMRRNVFKELGVQEAGWSTTYDLFANLPATNTFDFEI JR316_0010042 MARHNALLSSSTSRNGNQGTSTPTKKEASRELLFIKASDSEDDS DDRLGHELRPTSPIESPRCLALFLTSPTKPRDSTRSAPRTPSVAGPSTPVRTPRSSSH RKAPYKSPIKRPQAKREPSSQPKAEPQSGRNLIIGPEIIDLTVESEDDVFYNRTPSRK ASTRARIPNKSSQIIDMNKGEIVDISD JR316_0010043 MYPNDPYNDPRYGRWSPASDVNIFTPEPRLSGQAENYLDSVGEG EKVLIEWNNPDDGGITFPPIRRRQNPESNWNQDLWPENLVHGKDQWSKSHVKSFYLLN EDDLQDLCYIKIYRGLAAAQRRASTSLAERRRNNYEKEQLRRKIEETKKRVSKSKKSK KAQIKKKKRATQKSKNQATSNASRFDDQDTDLDALNLSLRKLSGNMAAFYHELF JR316_0010044 MVLPEQARSTVFVPKPRIPNPENYREYFFRDIDSDDEGGCASAE FFSSRVRWNKPKGGALEFPPIKTKPNEQSNWDESEWKRTSRGPKNEFYNKKKCQKLFL LNDDDMADLCYTKEPIPGRPITHCEHSYLTEEVERRAWEKYGGPQGFAAAKKLAAEKQ KAKRINGGTPSPKKHAGTAHAHANSINS JR316_0010045 MKGMMKALWYNAPKDFVIKQVPIPQINDDEVLLKGEHLYCNLAP FKLKLFFRVCGTDGHIDEGEFISQFPLIPGHEAIGTIVEMGKNVKGFSIGDRCVADVG ITCENCFYCRRGQSLLCEDFHACGVTQDGGFAEYIKYQQKKLYKIHNLTDEESTLLEP AACAIHGLDKLNPGVGIEVLLMGAGPTGLILAQLLKQNGASRVVIAANKGIKMDIAKK LNAADEYIELDRQAPEAQWQKLKADNPYGFDVVVEATGVEKLANESINYVRRGGTLMI YGVYEDKALVHWPPAKIFGDEIRIIGSFSQTFCFPRAVAYLDGGKINLKGMVTDVFKL DDYQAALDKMNSRGALKIAIKP JR316_0010046 MAQTPTFYASYTGNSPNIVTTLAPAVPAPHTKFVIQIAQTAESD HGDDLSYLGTVMTADLTDQFWTVDDLRNNDEVKADTFHGAKNWVFVPI JR316_0010048 MGSTVATGGEVASTIRTVDHVGPALPDDDGIMTAKKAAKDIMFG SIAGMVAEVFEYPFDLAKVRLQAQVLTPSSSNVARFEGPMHCLMQTWKEEGVRGLYRG LPAPIVGSMAETASLFLAYTAFQNAIRSYYSTTSPSTPLSIPQLSLAAGGAGFLTSFV LTPIELIKCKMQVQMLNFHPPPAAPGRIPRRPDPTFATALSLSNASHNSHSASTSATC PQATTTGRKPMHDLACKSTSLTTQTLRPPGPIALIRSIVDKYGVRGLWLGHTGTLFRE TGGTASWFVAKEYFARKLVDYRIRQSPYVTVAVATTTPGGNAGTVRVPRTHANTELLA WESALSGALAGAVGALLFYPADTVKSYIQTEEEMRPKGATPSTPRTQSTFLGTFRKMW VRHGLKGLYAGCGMTVARAVPSSGIIFVVYDGLTAYFA JR316_0010050 MGSTTSAPEPTAESPDSQTKITPQDIASSDGISELKLSRDGSRV VYTVAPLYKAGDNKVSALWLADTFADESARQITLGGHRNFSPAFHPTSPSQVYFLSDR DEAGGVAHLYTITVTDRDSLDTKKIDETATPVVELGDRQGVSFYSISPDGRFLAFILD TKTSKKGEKEKIEVWRENVNFKTLNLIDLTDKSKSFRNLLSNQTSHVDTFSWSPDSSS ILYRTISHNDIEAQAEPTEEYVVSISTGEVRKVFQYPQQPRGATIWRENGKIAMLQAA SPSELISATCLWSRQSVQGVGSERTAYGESNDVNRIGDLGVKSQFAVEVHDGLITKLD VYDENDQAFTVFQTSVDYAITLWDMVLTDDGKYVLVVTKSSTVSGEIENVWSGVGEPG KDCVLSKKLSSHNAWFDTKTPPDGDAFYWTASDGEKMEGVINYPKGVELKNLPTIIVA HGGPSSRDTLGLGFTCSRWRPYLASHGYLVLSPNYRGSTGRGDKFTMAANGGVGGIEW TDIEEMIQEGISRGIVDPNRIGIAGYSQGGFLSAWGVTRPNNNFKAGVIGAGVTEWGM LAATSDMPDVEAALGGSGPWTPGKPTYLKGSPLKDAQYAKAPILFLHGKNDARVPLTQ AIGLLRGIEREGKTTIPPQLVVYPREDHGFEERGNAEDILKRVLDFLDKYVK JR316_0010051 MSNITDHSFYEIPQNLATKAQLSRTDALLAAVGIGIAVHLTFKK YESYEPLVVFTLLLGVPSGLTSLYLPHASTLWTAVLTIFPLFWGSILASLVAYRLSPW HPLAKYPGPLLCRVTKLYGAFMSTSGKQYTLYSKLHAQYGDVVRVGPNELSIIDPHSV QPTLGATGLAKGPFWDGRVPPNQPVKPLIAINDKQEHARRRRPWTRAFSTNALKGYEE TVIKRSSQLVDLVLSQKGAGNFTDFTSYFAYDIMSDLAFGGGSEMMKAQADEQNLWHM IEASQQSATFMSHVPWLAGILFRLPFGLGDLKEFRNYARRKAAMRRTQGSTHKDIFHH LIDEDGVASERPTVAEIVSDGGLAIIAGSDTTATALAHIFYFLICNPTIYKRLQAEVD GLGNDIMDSSKQAHLPYLNAVINESLRLFPPVLTGSNRRDTSGRMLGPHFAPEGTTAI VPIYTLHRDPRNFSPAPDAFIPERWMPENVRKELEPQIFNDSAEYIHNANMFIPFSMG PANCAGKNLAYQEMRMVVCLMIQRTELKFAEGYNPTKWIDDLQDFFITVKGPLPVVAT PRRAFDFTMTLYGSVDDDEYRLPTNVKPNHYDITVKTDLKELTFEGYVKIRHVNLEVK NETFAIVLNASEDLDLRKAFIYFDGSKKLQNATISVDKTKERATFSPPDKLLAGSQVE LRIYFAGKLTGNMAGYYRSSWQNEGKTEYYALTQFEPTAARRAFPCWDEPLLKATYSI TMISRADTVNLSNMSVVFEEPISESTIMSESSDISNFILGPIRNENWKITKFETSPPM STYIVAMANGPFKFLETSVVMPVSGKTIPLRVYATPDIVHQAQYALDVKAAVLPLYEK IFDVKYPLPKLDTLVVSDFDAGAMENWGLITGRTSGLLLDPERPDIRTKKGVVAMQSH EVSHMWFGNITTMEWWNNLYLNEVATLMGEVIIPDRVYPEWRVNSEFITDHLNQALKL DSKISSHPVEVDCPDANNINQIFDDLSYSKAGSVLRMLAHYVGEEKFLKGVSIYLKKK LFQNSVTRDLWDGISQATGLDIADLMENWITKIGFPVLTVTENAEGINVRQDRFLETG NPSEKQNQTIWNIPLNILSIKDGKAIVDNEPVLRKREQHFPLDTTKPFKLNAGTNGVY RVFYTPERLKLIGAEAAKRDTIFSLEDRIGLVYDTMALSRAGFANISSSLSLVEQLRN ETEYLVWQASAGSLTDIRSVWWEYPDISGKFDAFCRSLFVPIVDRLGYDYPVGEPIDD SLLRTLAIEQAFHAEDQSVTERLQGLFKRYQDTGDNSIPADLEGVVYLAACRYGGREV YDVMARIYEKPKTPSEQQSAIHAMCSVKEESLINATFDFIVNKARDQDVGTFFSALAS NFNARRPLTKFWEDNYDAMYKRFEGNFMLSYLIPRVTSFYSTKEDFAKIKAFYKDKDT SKYNQALAQALDNIHARARSTEDLKKWLAERH JR316_0010053 MTARVLSSWNTIHTHCRLRKLNLSRYLSAKPAADNNTYSNTLLL PKTSFPLRSNPKETDNTYRDLSCDSLYRWQWENAKGPLFVLHDGPPYANGDLHMGHAL NKIIKDIINRFHLSQGRKVHYVPGWDCHGLPIENKAMKEMKRSPLNTPASVIRNAAKE TALREIDSQRNQFRPLAVMADWDSQERTYRTLDRHYEIRQLRIFQKMVEKGASLAEAE LEYKDDHVSHSVYVTFDLAEPNDVLRKLVSNRPKVQFLVWTTTPWTLTANMGIAVHDE LVYEVVVREDGGVLIVARDRLDALSSFLGEVQVIGSITGKDLVGVSYHPIFSSASSPA QPLKIIHAPHVTALSGTGLVHCAPAHGAEDYDAFRLQNLLQTSGPTGSNVLCHVNSRG QFTSEVVDVVGPEHAPKLVGQEVLGDGNKAMVILLKELDKVLKIQRIKHRYPYDWKTN EPIIVTATSQWFANLDHIKADALGALKDVSFFPELSRKRLEAFVRSRSEWCISRQRVW GVPIPALHHIASGRVVLDSPTLEYIINALETKDVDWWESPIEEFVPPWLLEDGKSAAE TWERGRDTMDVWFDSGTSWSMLEEMGVGRDRAGSRTAGNGRQFDADVCLEGSDQHRGW FQSQLLTAIGSRSSEDKAVSPYAALITHGMVLDEKGKKMSKSLGNIVSPMTIVNGSQD GKQPAYGVDVLRLWAATVDFWRDMSIGPTVISQAAESLRKLRNSARFCLGNLDTDSLD RLGRVPKAEMNILDRYVMHELYTLEQTALEGYKSYNFPKVISALNNFANITLSSLYFD ITKDCLYANTIESHERRAVCTVLEQILGTMTKVLAPVLPYLAEEIHATWKADQKSVFM TPWTPLGMEWKDEQAAADVSQLLGVRTTVLSLLEKARRAKYLRSSLEAEVKIVYPGGH HNETLVQLLKREEDLLKTLLIVSDASVSDKADFSTEDLEWSYANTTDIKNA JR316_0010054 MAQFLSLILSRIRQPRVIAEVIGGVILGPTVMGRIPGFRNAIFP NEGMASLTLTSTIGLVLFLFLVGLEIDTRLLKRNAFASSTVSIAGLVIPLGLGAALGV AVYNQYVGPNAGINFGHFLLFIAVAVGITAFPVLCRILTELKLLDTEVGLVTLSAGIG NDVVGWILLALTVALVNASSGLTALWVLLSSAGYTVFLLLPVKWAYVWLAKRTGSLEK GGFLAGLIVPHDNGYSISIVEKIEDLVSLALLPIYFTLSGLKTDLGLLNTGTDWGYIV LICLVAFTSKFLSCGITAYFNGFNWREAGAIGSLMSCKGLVELIVLNIGLQANILSQK TFSMFVVHALVLTFMTTPLVILFYPPKYRVHERAANTKAELENAVKPLDDLDDGKRTK FAIVLDKIEALPAAMNLTQLLQPSSSSPTFSSETSTINEKSVDAGFSSSTRRYSKAVK VDVLRLMELTNRSSAVLRSQHADALVYNDPVVSIYRTFGKIHNLKVSANLSVVNFNEF PETISRHVEDSGSQMVIIPWPRGVTSVLDEKQDDDISIRNPFDGVFHRNITTQDQTSS IIYSEYIRSVFAQTATDIALFVDRGSNADSTSNQHLFLAFFGGPDDRLALSFLVQLCG RTTTTATVVKMEKTEAHSGQTTNSRTGAGASAFHHNTVVAADTVYGQPNTETRLSSDT ADNLLWGYYTNPNKTHSPDVAAALSRITFRSESSPTPLRRVIQLVKEESAACTSELMD PKSLIVLAGRSRRLAVESLSSELAALVLESGASAVSSSVPKTLGDVSAALVMTGVTAS ILVMQASTVNGN JR316_0010055 MAAASDIVQKLAALSIKPASTVSHAESSSPATWKEAVIASGSAP ASFELIKTLVYKPKTAKSATPVPVVVIAREETETNSGAIGKKLSLKELRLASEDLLTE FFSLDKNSLSPLSITESTFSKVVTVLDTSIATSSSTFAIHANSSSQTLFLAGKDIVSY LKSLETDDKKVQEIDFQELAASNAAATPAPAAAAPAAPAQAASAQIPGAVQIAIGVKK EVDFPTWYTNVLLKADMLDYYSVSGCYILKPWSYSIWEEIQSWFNSEIKELGVQNSYF PMFVSASVLEREKDHIEGFSPEVAWVTKAGSSDLEEPIAIRPTSETAMYPYYSKWIRS HRDLPLKLNQWNSVVRWEFKNPQPFLRTREFLWQEGHTAHLTKAEADIEVRQILDLYR QVYEDLLAVPVIPGVKSEKEKFAGGLYTTTIEGFIPTSGRGIQAATSHCLGQNFSRPE MFNIFVEDPNDGSKSYVWQNSWGLSTRAIGVMVMVHGDNQGLVLPPRVASIQVVVVPC GITAKTTDEQRANINTSCEDLAKRLKKAGIRAKADLRDGYTPGYKFNDWEQKGVPLRL EIGPNDLAKQQTLTVRRDTGAKNPIPLADLENSVSAILKTIQQDMFTKAKETYDSHVI EVTKWEDFVPALDGKNIVVIPWCNEEACEDDIKERSGRASEPQDERAPSAGAKSLCIP FDQSKWAPIEPGKTKCTACGKDAKHWTLFGRSY JR316_0010056 MKLFAAIVSLAPAVYASLPGSTFGITYNTTSSLIPPTGHLALFN PASSPDAFTTISPTTPDLGAFFTVAGVSAVLHESCNINALAALVPPSPPIEGLKYALQ WTTAVSDLPSGSIETGFQLGPSPTFDTLINTNVGPGFWGVSKLTDSDTYVVLKVGWSE DETAIPVTLVKAGTEFINC JR316_0010057 MVTALLSSTDALLSVVGSGLAVHLIYNKFESCDMTLGAILLLGW PLALSCLYLPHTTGFVSAVSTVLPLFWTTLATSIVAYRLSPWHTLASYPGPLLCKITQ FYGAFRSLGGKQHLYYQQLHDKYGDFVRVGPNELSISDANAIEPLYGSNGAAKGQFWD GRFPPKDPVKPLIALRDKTEHTRRRRPWTRGFSTNALKGYEELIVKRSRQLVDLLSSK KGVTNLTEYISYFAYDIMSDLAFGGGSDLLGHGDATGLWHMMEAGQQNAIFMSRVPWL GILFFRLPFVAGEIKAFRVYARERAGIRKKEGSRYKDIFHHLVDEDGVGSERLTTAEV TSDGGLAIIAGSDTTSSALSHFFYFLLCSPNAYKRVQAEVDELGDDVLDTSKHARMTY LTAAINETLRLFPPILSGSHRTEYKGNGGRMLGSHYLPEGSSAFIPTYTIQRDPRNFS PATDSFYPERWLPEAQRRELEPKVFGNPLEYIHNASAFIPFSMGPSNCAGKNLAYLEM RMVICMIMHQLDLKLEEGYEKGRWAEDVKDYFITLKGPLPAVVTPRRGGL JR316_0010058 MTVSLDWFRSNCLFVAGLATGVPLLVVLLNVIWQLILPRRSTDP PIVFHWIPFVGSAISYGNDPLKFFFECQQKHGDVFTFILLGRRVTVALGQKGNNFVLG GKSTVFNAEDAYTHLTTPVFGKEVIYDVPNEVFMEQKRFIKVGLSTEHMRSYVGMIEE EVEEFLQHDPLFNTHQIRGSPEWGSFDVVKFMQEVTILTASRTLQGREIRQSLDKTFA ELYNDLDGGFTPMNFMFPNLPLPSYRRRDWAHKKMSEFYINFIRKRRACGSDDHEPDM ISALMQQKYRNGRSLTDREIAHMMIALLMAGQHTSSASGSWALLHLAANPDVADALYK EQVKHFQTPAGTLRSLTYEELRALPVLDSVIRETLRIHPPIHSIMRYVREDVPVPASL SAPSENSIYIVPKGHYVLASPAVSQADPNIWNNAGEWNPYRWNDAQGVAAQALKTYID ESGEKVDYGFGAVSKGTESPYQPFGAGKHRCVGENFAYLQLGTLLSTVIRQMELKIED VPHNDYHQRINEHFIDYDYYAQNSQKNILPKKDNINRP JR316_0010059 MLSFAVALGALIIPAYGTVLQHVSELSATSYDFIIVGGGTAGAV VANRLSENPRFNVLLIEAGPTNRGVVDSIIPGRWASLEKTIYDWNFTTVPGSGIHNRS LDYPRGRLLGGCSSHNDMFYTRGSQDDYDRWAKVTGDSGWSWNSLLPYIRKNERWTPP VDGHDTRGQFDPAVHGFNGMIATTLPDTRWQVITSNVSEVPKELPSVFPFVKDMNSGK PLGLGWLQTTTGKGERSSSATAYLSNQFTSRKNLHVLVNTKVTRIIGNSKGSFTGVEI TGSSQVLKATKEIILSAGAINTPQILLNSGIGDRNQLKKLNIKTILNLPSVGQNLTDH PLIVVQYSVNSNGFWNALGTNKTLQNLALKQWNRDRTGPYSGPSGTFVAWSRLSPQFP LLKKLGDPSAGPNTPHIELIPINPSSVDSVPGASGSMIIAIVTPLSRGSVTLNPSNPL GNPNIDLGYFTDPEGLDIATATEAVKLTQTFYTAPTWKDYIIARTAPAANATDAEISD YIRSSTGTIFHAVGTAAMSAKGAHYGVVDPDLRVKGASGLRVVDASIMPFIISAHTQA PVYYIAERASDLIKDAWK JR316_0010060 MILGEIIALLGESFSRFPAKRYSVIFLTFDILCLFIQGAGGGIA ASGDSLSQTKLGTDVILVGIIFQLLVIIAFMGCALEFYHRFQNNKPFPRHDVQRIDKD CLNNRITPGIRWMSLGLMLSIFFFLIRSIYRIIELAGGWDGTIIHTQIYFNLFDGLMI TFAIYTINILHPGRLFPNPAAKGYNKETNELDIITP JR316_0010061 MEPGDGATGQLTSTTNVHRTGLGETVDIEISVILAMKYENHHKI LARNPSFFVELSIGEEKQCTNAVQIKGGRVVWDKGMMLTINASSNLIIRMYCKHRHVR DDHVVAEASYEVLELERNTDIALDLYDPKSSAQNRTVVASVTLRLKVALSVTFKIGEN TEMDFRSLTCLKHMEAIVGTISPEKFKQLRPAWVRLFSSLETFSSLAQKVAELDSRAQ VAVGAMASAIKVLVDQIKRDERIEALGRIMCELYEYVVDACTVRKIASFEKTLQRLLA QTAECAYFISDYQRITMFAQRAVVNMISNADEMISNFEAAFGELKIALILGSSLQTTL VSYRILQIVERIENLIRIDRLPILENVGWDSAKTCLRGTREATIRSIVQWSSQRGDNA FTNNICLLSGPASCGKSTIVHSIAKLFHEQNRLGVAVFLHDEVLDVSSRKISSSIVHQ LAGYDSTIQARIADKIKADQSLVWADIERQFRVLVVDTASSADSKLLLIGPILIIIDN LHLISDEERYKVLTTISDHSKTLPPNFRFLLTCRDDDDVAWRILRNVSRTQRVGYDDS DNGSNGELSQYIADFLTDLFSKRPLLSEHYNSLQLQGVFEARARGMHFWVSLVYRSVL ACNEVDIIEFLSLLLSAPSPLSNNEAMDQLYHAIFKVFFRLELGAQHLFDVMIQSPKP LPLSYLRRMACIPWDPTNKVNIVDTTKALGLIIEIDRPEKGESLYGIHPSLEDFLTNP RRCETTGVYVDRNVPIDHSAAEVCIRIMNQSLRYNICRLDDDMTTNAEIRDNNQLIEQ HLSRSLRYACFNWILHLENEDQELNDTLRLKLRTFTSSHILHWIEAMSILGHVEDIDS KLNKFLRWLQARDIKTGDDLYVVTAYALCFVRKFSAIISKGPLQIYISALPLMPQVSG LSLLRQDVSYLLPSRTIYHNELIEPSWTATSTTELEFSDDSCSFNHGTFIALGSRSGL VWVINCRTNYTMEWQINVNKGVQALTISPDRKQLGAVMETGQFSVHNFENGERIFYAE TGVKNPFILYSNDCKSILIIDYCSGTSRTSDYHLWDALTGSLIVSGKILLHDPLGFAL SPNGHRIAVPDEVGVKVIDLLTNKEVTDVSTGPRKRMSEKNCILAWAPDRSTLAIARG AESILYLWNMHDGGTGWACTIDAMRGPISSHSLHFTADSSLLILCLSCPRTQEREAMI ILHTWDTRTGLLIRKQTILQGKKIALSRNLYIFPDGQEISLLSNSYSRDNHNGWDISM TLFADNTPAYMTHPPIFNDQKMVPKIFHVLSGREPSSTYASKVDEDGCIVNSTGKPFV FAPYPNYDISTSHLSSTYTQLEIKHPEAKLVVFKYVLEWGSKG JR316_0010062 MASNNSESKDVRHKIVLTRDLGPDVMGLLRDREENYLELVLWDK EEPCNRDWILKNAPGASALIVLITDKIDTEILDAAGPKLLVVSTMSVGYEHLPMKELA KRGIKVGYTPDVLTDAVADITIMLALMAGRNARETMNIVNEGKWPEYNWAPFLFCGRQ LSTSASSRKRTAGFIGFGRIAQATLSRLIPFGFTDCIYTSSPSSKPTPTRDAQLAQTL GLRSVRRVNLGTLAEESDAVFVLAPGGEATLNLVDEQFLRKMKKTAVLVNTSRGTLVD SDALAKALREQWIWGAGLDVVKGEPGISADHPLVKEQRCAILPHIGSATYETRVEMGT LAVQNALAAIFEKPMPAAFDLTGYQ JR316_0010063 MSSNIPTRRVTRTTRAAAVKENENANARPSRISTRSKPLGLSST TAGLTGATGGVTRATAASRAKASVTATDSKLENLAGKRKREALGEVAVASNNKQTGGA SKGKEKETYDGMMIKGKGVATRQPLRTVASTRQETHAVTKKDSTSTVHAKSKHDSAAV VDANAMVVDVPNHGQVQLPKLAVRRSTVIKESQITAVRRPDSQRRASLRSGRRIVEEE EPAHKKRRTSSVPPEEEPEAVADARAQTEEDLHHARLLAEIEAFAEEPEADPENSPWD DLDAEDSEDPLMVSEYVQDIFQYLKQVELTTMPNPNYMENQKELAWKMRGILTDWLIQ VHVRFRLLPETLFLCVNIIDRFLSARVVSLAKLQLVGVTCMFIAAKFEEVVAPSVSHF LMCADSSYTEAEILQAERYVLKILEWNLSYPNPVHYLRRISKADDYNVKVRTLGKYLL EIGVLEWRLIAAPPSLMAAAAIWLARLALGMEQWTPNLAHFSSYRESALIPTANLMLN YILKPIRHESFHKKYAAKRYFKSSVYMRNWALDRWGEGTQVDLAKELPTIKAEIKILR ETEEAAANQVEDEEAGESMLPALQLRGR JR316_0010064 MFRSCTSSGSRAVASSSQFQTQPSAFLHTTSALSSQASKRIGLR NKKLNIANTSKRLAEAAKLRPSVVLGTRPGEEETKWKKCELAQVLVDEEKLYQSTAAK TTRFSVPMVREQGPVGTVHLPQHFGHGVGPAEKQLLFEKLPIATVNMSMSTHSMKAHI ASRSLNPQPANPLTQEELFDAESKKELQKSNLLAKALDLRNANAGGIAFENRRRIILA FSTPENPYDTGRTEVQVALITYKIRNLWEHLKRAKRDVANRRGLTKLVHQRAKLLRYL KGVSRDRYETLLEQLALDPESVEGELVL JR316_0010065 MSFGRIGAMMNSYAPIKDIPQITCSDDPSQQCQTRVHIIRSFFA MTFLCAAVSVKPNMGDAGERQWRHIFRQVKLAFWAAIVPELILLWALKQYVGARSLQQ EFSRQRWTMAHGHFMQMGGFTLYGTDHKPIGVLSSVQFRKLLLSGQIHFPEITEDDIW DRSKNNTPIKLFSLCQMTWFMVQIFERGRRGLLMTPFDILGLSSVVASALLFRLWWDK PLNVRCSVPVHLLDENDSDHADYMPKLKKINTAALPFSKEKLIREFGTKLEPGCMRVP TYYFVNTADARGLDDIFPRVRLPLSCIATALLGIIYCSSVFFNILEFPTWIEDMLWKG CMMVVVTAICVLLVEMSGIYTYHNLRKSILARRFLKSYLVARSLMLVLAFLSLRSLPV RALTTVKWVSNIPHV JR316_0010066 MSTSVLEVISFTASEAFTADHSLVDKGLEYLKNAEGSLSVFTGF QVEDPKKAYIFIVWKAYENSLNVPKREDYPAYIASTAHLIAGDWSLQHVELDLDPTAA LSAPVTEISKVTPKEGFTQSDVDAIIVQLREHADVIPGAHAPLPWGKIIQAPETYTLA VGWDTVQAHQNAVQVPPIDKLAGRLFQISDLVVSHVALHRI JR316_0010067 MGGSLEPVESRTSSADTLVTRKPQQIPSYLKNVVYLSLLVSTLA FTFAVVNYSIYYQYNDVPGGIAPPVIAYLATLPHHGAVLLRYWLERHQSEYIFPFTPA SVYAISYSGVSCALWTVSTVVAAINLRRDLTGWSSCGYDVTVGSNGTIVETVNCNIVY HFQPTISDRWGSFAVTLTSSLEMLLIGAVTIAFYLQYRRAKRENILLSSPSSSSSSSS SSTTTKVSA JR316_0010068 MGRVEKSESVPDVVPSDLNIPDNYVQHTLTTTKPLPPFRWSNFI NDLNWLNVFILCVTPFLGLIGALTTKLRWETFWFSIFYYYFTGLGITAGYHRLWAHRS YNASLPLQYFLAIAGAGAVEGSIKWWSRGHRAHHRYTDTDLDPYNAHKGFFYSHIGWM LVKPRRKPGVADVSDLSKNPVVRWQHKYYLPMILIVSFVIPTLIPGYFWGDYKGGYVY AGVLRLCFVHHSTFCVNSLAHWLGNSPFDDKHTPRDHVITALATIGEGYHNFHHQFPM DYRNAIKWYQYDPTKWFIWTMQKVGLASHLKVFPENEVRKGQLTMELKKLKATQERLA WPTDSSDLPVISWESYQEQAAKRPLILISGFIHDVSTFIDEHPGGPHLLVKFIGKDAT TAFFGGVYDHSNAAHNLLAMKRVGVLHGGMRQGLDDKSIPPSQRLKIARYNELSPYNS STAYSDGEGMLG JR316_0010069 MSFNTETSDLVNVRPQEIVFETNIPGEDLTMPSTVPDFARKLFK SRLLLLEDPANGSIISWSSERDSFTVMDVNTLSNTILPRVFNHGNFSSFVRQLNKYDF HKVRKTSQDLHPTWTFRHPHFHGDRPGDLKYIMRKAVVPQSEVPRTEVHGSRQEERLP KPSSSYSPELDVYSPQQAVPTNKELLDVISRLEGEIRDLRSKLRTLERYSTERIEYLE SRVKSIEAAIPTQQSNHPTQQDIEQGPHDNLAPGVFSRSGHPGIPTFEQGPPMLQSPS NLPPGLFTHSPLNISGGRRDSQQGNTSSLSSYPSSSTAVMMPPSANLISPEAPYYRED LFANVPAHGGHEQYDEGAGYQNTSELLPAEGSSSYFPVFTSSLPTEEDREGHPWARAT KRLRISQARHSWPSNLNRASGSGSSMDKGPNIDS JR316_0010070 MSSSSAQTQHLAAILTSKGSPFDIAYRTTPTPGPDEVLVEVKAI AINPIDAYQRLSGFVIDSYPAVIGSDVGGVIIAAGINVSTDLQPGTRVSAFAPCFFRR GAPDYGAFQERVLIPASNVALLPEKISFKEASLFPMAVGTAWAGLQVVGIPRDAEYKQ EDKKVLLIWGGASSIGSAVIQVAKSLGFITYVTASENHHDYMKELGADKVFDYKAEDT VDAIVKSAREDGVKIQYAYEASGRAVKDAFEVLKKAKGKDIAKLASAAPLRPDCPTAE GIEVKFVAVPTDVGARREFSSFVYRDWLTKKLADGEFVPSPRIKVVGKGLESLQKGLD EWSQGVSGVKLVVDLEVNNAYEHS JR316_0010071 MFELNCGIRLRAFKSQPADDIENILSLYNNIQISPFISPLFAVP RGDKFKKEFQDLISNEAEMFCIIETIPGQPAGTESDSKKQPEPEFIGFTGLWGLLERG HRHTNYAIIMQPKFCNKGYGQKITRFMIDHAFVHMNMHRISLEVYEGNDRAINVYRKQ GFIEEGRQRKAMWINGGWKDVIHMGILVEEWKEGQNSRVEAGHI JR316_0010072 MMDPIIVANSFVFCKRHGDEYCHLCTYDHRFTNNYQIQDDPALA DLIENGYDLDNRQPINIYDLGGVAVGRRSEATESDCKCRKHGTIDCNTCFNWVEYIKN GAKEAESQEKWLNKREKYYKNRND JR316_0010073 MGYGEPMVIVNNFAFCKKHGDEYCYPCFYDHRDTNNFTLLEEPA LRDIGDNGGVYSMDSRESINVYELGAIPIGDGEDCKCRKQLVKDCKECFDWVKHILNE LEEAKYRAKWLKKREKYYRNRED JR316_0010074 MDHLLSFTGSKSGVVAAAGIIVHLIYRQLEPRQFSVALVLNFGV PLALSVWEPYHKSFVLEALRVFPLFWFTLVASIIAYRLSPWHPLAKYPGPLLGKVSKF YIAFRSLGGKQHIYLDTLHGLYGSVVRIGPNQLSICDVDAVNPLLSNPGVPKSQFFEG RMAVVDSVTSLIATKDKSEHARRRRPWARAFKADSLKGYEDMVIKRSAQLVDLLDSSK GVVNISQCLSYFAYDIMNDLAFGGGSEMMAQGDVTGLWHLMEAGQENAMFMSHVPWLG KWLLQYPQLFAKDIQAFSTYARSRVAARKAEGSSYKDIFYHLIDEGGVSNEPPTAAEI ASDGGLAIVAGSDTTSTALSHLFYFLMLNPTAYRRLQAEVDTLADDIQNTSKQAHMSY LNGAINETLRLLPPVLGGSQRETATGSGGSMIGSYFLPEGTTASIATYSLHRSPRYFA PETEAFHPERWLPEEDRVKLEPKIFGNPDNFIHDTNAFIPFSHGAANCAGKNLAYMEM RMVVCMIMQRFDIELAKGYNPAQWLDDIKDYYVSVKGALPVVLTPRNLSM JR316_0010075 MQFNTVFFALLASVTSALSAIITGFDAWEAAQPSRSVTLEYPPR SNSLSLAGHTTLAPMVQAWFVLTVLDAQQHLQASTGKVLQFSKPHCLQARP JR316_0010076 MSHLSYKLGRKGQLNLPGITHASKKTVEDLLLKDAEIHHCFFNS AGFHNHLSHHLLAAYDLGASPGHLQKMYDDEARYQRPIVSQDKEKENVVDDNNWVQYL GNSSAYNAFVKFFANKVQTLGVTGTLEKYVFEEEANADHVLMLNRVVSGAIHPFILLG YGAEFGNDTLIVTGLAQAAVHRAGTVLTSKSYVEPSQSVNLFELLREVYDSEALKPAL PYDPNAFINARIQRVVENGGAEEIRRLCAKYSISRDITDSELAQKTEEVIWVSTLLTF ATGRKGRKPRLDFFLMHILTSSLFLRPWLSVLKKPEHKAELLRVYIPVLILTMLSRGR PRIDPEYIMSFTDVPRPPLDKSLFPKPIKTSLGSPTNDEDYDPWPALIEGVQYHQDAH VLKALRTLIYGAQHFGITPPGEVPGTFRSSNNKEETHIGMAKVDGTVFVRAAGLLMNT LGWAGYGQEEGEWDRSALGWNAAWEGKD JR316_0010077 MSSASPDPPKTSKRKWDQPAPGEESSTASKVAKTDEGKSASEAA AAAAAIAAKIAAQFANGTLGGDKDHEEFTHDIDINDVRNRYLLTRGSTQDQIHEETGA SVGTRGVWYPDRSKATEKDPPLYIHISARTKEILDKAVAKVNELISMDMGSLVEKGDK TRERRKWPEEKLPVGLESLRNFNIRAKVVGPSGSFVKYIQAETSTRVQIKGAGSGFID QETGQEEPVPLYIHITGPDEGQVARAKVLTEDLLLVVRQEHAKVQAMLHQQQMELHQA QAQYAAYSASAMGGYAPPPPAAPAPPPPPGEGPPPPPSGTPAGDAAAAAAAQYNVAAG PPAPSDTEAYAAYWAAYGYDVNSAEFKEWQASQQQQYAQYYAAYAGAAATATQPSDAP PPPPPS JR316_0010078 MAFAYWFGLVSALIILLICYVRRNDSILTSIPSSVLAFGPKRTT DQDVLATAERLANSPSLESREVLPPRTGRRYIVVGGGGFLGGWIVTKLLDRGEDMHNI RLIDIFPPTNHPILLDAIQKGLQFLKVDVTDAEALEAAFTAPWFESAKNNSADAEPEL TVFHTAANIRFYERKLEFLDRSARVNVGGTENVIRASRTAGASVLVYTSSGSVGVWST RLLLWPWEKEPEHFVQVINDDDSRLPKRHEDFFSNYAATKMKAEMLVRASDRANTGPS GSKKVIRTGCIRPGNGVFGPRGDMLCGAYLKRLTNPSWAKTIFSSFSYVENCAVAHLC YEARLIELQNGGRNPDIGGQAFCIADPGPIPTYGDAYTTLEVLSDGECTFPVLSASAM LAVAHIIEAYVRLQYALASSGWMLGKLLPVITGPIVNLQPSVFYLTAVHLIFDDSRAR LPPEKGGLGYTGTWTTIEGLHKTAREHKETALREGPRGSGSEVDIGLTFFSRKKANKQ KEAVKLNIDVPNVVPVEVTAPS JR316_0010079 MSPDGSLENAIPPQSSNWHSIRPWAPSLSLGVREITSVSATFIL SSTLSGELDSSLASIGLEAAEDDANNVYDDNGVSTPTSRRSSSVIADALAKGLSVNVN GSAWQRAFIRIDDQLDEAVIIIYALMPGRQYDIELALASAGQPATIRRQVTTQDDSEP ETAGNTTDPESPNPDNSSSSDPHSTPSTSPSRTVPGTPPAAPQITLEDRLNQLQHTLS TVNAEQESLIASLKSARKDAQKADAALRSEIETLKRTSEKNSSAELRGKQKVLALQES VKRANNATKEMEQAVEEIKSGLPELTSVKDQKEAEYAKIKQEADRIKKEREDVEEKER KRIDTMKAELAGLTNKLEKLGGKKDKLESTIIPDLEGKLSEVAGEIEAEELELARLEE EDNQNMINQRFQRSLESDQPIDTGSPSYIPMQRNRYHAPGEQPIPIGRPAPAPIQRPH VEINHSVNGNQSTSNLLWSPPMSLRQAQSLVHPHTPGQMQTHSPRSQSYNAIAGHNPS TIMLSPQRRASLNSKSVLASAPSISTLATSSTSSFSSLPSSTPTTSSSPASSPINSSP TRSSPGMTAATSTLSSRAPAFEPLRPILNGNNQLHGQGHSNSNTTTTNSSGFSSSHSS LSSQRPRGFSNSRPGAPPASSKNGSHSYTLWNGAH JR316_0010080 MRSGDKLQEEDNDLATKSLADYHSNRSLAIKVTKSNLGLGLYKL KALSTNVHANREATKKLIIGSLSGSYDMDGQVNWKWDANTQGWVAETPPEHPLESVAE EVIAGYIFDVISSMKAVEEVIWKPERKDSEQTHKLVMDALKTLPNLRHIDVSVTWLRT PLDLDSVTSLQEIIMHEISETLQVDMFEGLAKAVAQNPQLHLIDIRNAGFYRRNIDEF QCLHQVFKYYPREAPPLRLRDLRMFECRFRLDDITIPHLQHLTSLQLLCVEEHCTLPS QPASQSGANLDRDLVLQGKDSFCSNLEDVWTAFRSIGVQLENISIDMVPSSFLDYLAS YSGLKKLNIDLHKLRNSELSDSMAAKFYDKSIIGHTNTLEELILSTSYEGLWCIGPHN FKTLAQCKNMERLCIGVNLSQLPLPSDRNGDYKTAARSDIEHNLIKRPSWIAALPGHV KCIRYKVRQVLESPPRRS JR316_0010081 MAQSVDTETYILDGRTYTLHTITLPASECSEEATISCLLEPALI SLLPNPVPPAHKPITNPNFCVLQTEHKGAGLFAARDIAAGELIMVEHPALILPTGRFP AAVYDELARRLPAKRRAELLAMANARSEEECPSPVEGIVRTNALMLELDPKGTISQEK REIYGGVYPTVNRANHSCGPNATVKWDLSTLTETLYALRPIVAGEEIQKTYINPIFSR EKRMSILLNNYRFSCDCPWCNIRSRAQPCANVDLPLTEEEQALVNASDKDRALLGTWI FTHPGYNKWSTDLSRKDDVIINSHMEALALLDKEGMHGLQNLFIEEIAMCYAMLGDLD AFKMWGERVVQLSRIEDPSVARKFEEWLVEPTKLMKKWAWRKRQREQAPGKRKLKTGH AVPVDVVDGFDSFFNDTD JR316_0010082 MAEQSVGINEHPVPEAACHKGPCGKALFSPLKVGSKTLKNRITM SALTRNRAEGTYPTDIMKEYYVQRAGAGLIVSEGILIVRQGTEWPLAPGLWDDQHVAG WKKITDAVHAAGTHMYAQLWHTGRTAHPDVPEQKLAGTPVYAPSAISARGGKFRQLPG APGYVTPTEIEDPRIIIKQFKHAAVNAKKAGFDGVELHGANGYLVAQFLDNTSNKRTD QWGGSVENRCRFGLEVLKVLVEVFGPDVGVKLSPCGGYNDVGMPLQDTIETFSYFITE AEKLNIAYFTLVRYTEAFDVTFDGERRATKFNVLETFRPYVKKAKLFLNAGVTPEEGT ALVESGKVDAIVIGFNYVTHPDVAQRVYHNIPLNNAPDIKHMQTKGDADWSTGYTDYP AAVA JR316_0010083 MAFFSAGHNVMHISKFVVKIVFSAGLAFFLRLTENRLGYQFRFQ KSLGSSRPANPGSSWQHPPKMDDAVPPTTEAVVPLVTETMISLVLETKPLVVQDTHGE SNITGSSHPEVLYSAHNTSLMACIIGLSVCSLFAIIAATCIILSPSRHSIQKARPKLK AKPRSGSRWWNIVKWMWALNPRRQVPTGTNTSDGSGQSRGTSPELPGSYPVDFALGFG PPRTRDGSGNFCFVRGGMPPPPPPPPMHVEAMFENNWNDWLSFIILLPFILYVLRTTG RLLLRFMKSIMRRVSRRRAGPRLLRVFPDRPSKNPPLQVKVPKAPPAVVSSRNSTLTQ DVIQSTNSQRSLPVRSQLASSIISGTRLLRKTLKILALITLLLHSCIFYMAPALIVLI MWYYLPDILLRLLSGPNPRSMVREQSQTANRPIDVDQISEESEANRTLVYTRAMHGSK SRPGKTVHTPADVPEAMGPCYVRV JR316_0010084 MFGNLKTKQTHYRIWETMTTVLIVDLRRLGVTLLSPTTSTPIKL LPGVYTSTTNPQLLHNALTSSASITTSAGFGNSSSLSLPLNLALQPGVSIYSGPLYSG QAAFTALPSSPIVGASTPITARALGLATNIWIALSAGDSNNRFIVWDPVPDVNQLPSG SQGTLALTDIQSTACTPGCSSSGICTASGSCQCAPGFGGTSCEACVPGFFGPKCQACP NNCDTCDDGITGTGRCIKPTLTNDPAKCNCKNGVCGSNGQCTCNAGFTTGNDGIACSK CLPGFFQTSDGDCKACQLGCTQCTDGTGACTQCLPNFGRDGNDPTKCTPPTQINSNNQ ICPDGSFGNGAQCTRCSASCLTCTGPTANDCVVCASGLYTLNGQCVSADNNGVCAGTN LIADNNKHECDTCGAACTKCQIPNFNAASTVDQLQCTACLPGFFLSNGKCVSSCPSGT TVSSQDNLTCIACDSSCSTCLGVCLSELVSQTRPSTAPPLPTVTGIDTPTTVVKSGRL EWWQILLMALGCAFIFLVILWLFRRRQRKKRAQKTAIFTAGHVSRGPTGWRWRLIRWG EKLFGHRRSRKAPGAAGPTIVHLGPLEYQPETEQSMLLKMRAAEEARTAYEPITYTPR PPQRPPRPASQEVDMIDLIGSYNATNEPRQTTYFFKQNNKSLGHLNGPQRDPRQSISD DSSSQFSEPSIYSQMTGAPRRMPEPRQPVKERNTTSRWSAASFDLTELKKKSRNPFWK JR316_0010085 MITTRKGAARLRILILSVCVTNGDIPLFERFSLVTSTRPEINNS TESPGSPYLEDETPLPTQTVSPIPVTPVDAGPKDYREWNTRTLKELHSCIKHDNCGSN QRKIALLASHWFTWGVFNNWRGGEGVWGVSVFKSLDTLGYTILFAETFEEAVEQHREF PDLVKVVIRHLAGQCHSNPNCVKSPSNPLGIPAWKIFDLEFFPVFAEHDDGSLLGGKW ILSANPDHLLSEEDSTVQYIGYSIQDECRQTSPVPLSSRWNQAWLLMKQIKYVYDNRF AWDRSFFSRASHELNIKLVGAWSLDQHYGAWDPEKDGEMKDIEDRDRGVYNLGENLNA TSFMHQVGMSKVMIGVGNPYWSPSPYNALCQGVPFINPIIYWDENQPQRKSAWITQHP SLNQFDPPYVYNVHARNWTGFVEAIKAASTTEIASFIPEHMTETAVQARLKKLMEENW RSKAAKLLEQRLQEKKEGKDVYVSPLSSRQRCPV JR316_0010086 MQHPSYLESAPNTVKALYSYFNVRETPTPNSSELGPTFDMHVVR DARMPTHLLAATQSDQDPVKTSPLMLPIDRVLFERGFRIDLNFPPATSITPKPRSITV GDSQVLYVNLPVIPINVPHLSSLALLLLYAMGLETNLNILAWKLLPVEVVEEFPNAAA MSTILSRRPQAEQESIYRHNQGLWKNILALGLNNARIIQVVQTAWNVTADARRVMLRN NLKQY JR316_0010087 MLIDINSLCLNLSVSLLLHTDRDKAPHLPSPISTDSRRAFTFCT LLAIIFNLIVDTHRHKTHQNGCRFIVHNLYISAHNRDPPRYLTPTAIRHSSYDTRNTW QHCAKHLQGASLHSAYQTLGLSTTNHESDKKLTEILNVRYRPGQQRTTHIDSSVSRLK LAVSMAPVSSSPSLAHGTPQPVFAPPHSRSSTLTSLTAGSQEVLTHQPSSSLFQSFVT IFSKRPRWKHGVTMITIAGRHSEVDSWQAQGRENPAGVVLNLDRLRYLERCLPTIQFS VRQHEFTKNKLTGPRARLFNIHRDRGTYLQSQQPTAHLVSHTAPTAPALRLHSSLLHH TIPLAFIDFQRDSDEEHDCSSTSRDRPDNACKEQHQTSMSVSKTARSDLDMQGAYSDA SPTSPNTRRHRQARISSCLSSCQHKPYQKHDDRDTYGGGRTFKRGLDEEEWVRCVAVK GACVICDCSAPILSLLYSNATVIRTRHPARQRGATNTYDGVSRTSSSSCLNTSLQHPD KEHDDKTLTGEAVRLDVVITSARMGVNQQKDIPARKTHTEKARHEQGGLQIGECELDF CDRQQSRLVVVPVQREEWGARCPRLEERRGERSGEAKAG JR316_0010088 MHVVCDARMPTHLLAATQSDQDPVKTSPLMLPIDRVLFERGFRI DLNFPPATSITPKPRSITVGDSQVLYVNLPVIPVNVPHLSSLALLLLYAMGLETNLNI LAWKLLPVEVVEEFPNAAAMSTILSRRPQAEQESIYRHNQGLWKNILALGLNNARIIQ VVQTAWNVTADARRVMLRNNLKQY JR316_0010089 MTNTARPSPHSAIILYGQTRPRSNEKPDRVDAAVDSPGALRAET TESLPSTVFGWGNATIHTVTSLADDVLEAFAQAPYVQIIAGLVQQILKHFEVPIPFP JR316_0010090 MLIDINSLCLNLSVSLLLHTDRDKARYLTPTAIRHSSYDTRNTW QHCAKHLQGASLHSAYQTLGLSTTNHESDKKLTEILNVRYRPGQQRTTHIDSSVSRLK LAVSMGSFVTIFSKRPRWKHGVTMITIAGRHSEVDSWQAQGRENPAGVVLNLDRLRYL ERCLPTIQFSVRQHEFTKNKLTGPRGARFPWTTMDEVKDRGTYLQSQQPTAHLVSHTA PTAPALRLHSSLLHHTIPLAFIDFQRDSDEEHDCSSTSRDRPDNACKEQHQTCEAQLQ DQHPDKEHDDKTLTGEAVRLDVVITSARMGVNQQKDIPARKTHTEKARHEQGGLQIGE CELDFCDRQQSRLVVVPVQREEWGARCPRLEERRGERSGEAKAG JR316_0010091 MHVVCDARMPTHLLAATQSDQDPVKTSPLMLPIDRVLFERGFRI DLNFPPATSITPKPRSITVGDSQVLYVNLPVIPVNVPHLSSLALLLLYAMGLETNLNI LAWKLLPVEVVEEFPNAAAMSTILSRRPQAEQESIYRHNQGLWKNILALGLNNARIIQ VVQTAWNVTADARRVMLRNNLKQY JR316_0010092 MITIAGRHSEVDSWQAQGRENPAGVVLNLDRLRYLERCLPTIQF SVRQHEFTKNKLTGPRARLFNIHRDRGTYLQSQQPTAHLVSHTAPTAPALRLHSSLLH HTIPLAFIDFQRDSDEEHDCSSTSRDRPDNACKEQHQTCEAQLQDVLTFQCSSPSIAS FIYSNVSQQDGTERPRHAGSLLGRFSHVTQHSSAPSSSCQHKPYQKHDDRDTYGGGRT FKRGLDEEEWVRCVAVKVMPQSSEHGIQQDSAERLILTTQHPDKEHDDKTLTGEAVRL DVVITSARMGVNQQKDIPARKTHTEKARHEQGGLQIGECELDFCDRQQSRLVVVPVQR EEWGARCPRLEERRGERSGEAKAG JR316_0010093 MTHLTPSLASLFCTATPSTSALPTLAVVHNPVYPWRPTTRFTPF IASTPCLFSLRYAAIVDTLIFLSVWYSTSIVIKTSNSFPVNRHSASAAPGLPRTSTLL IVLPIGFNIVVNTERRKLTDVDAKSSCTTSGSLAQMPFSFDAANEQCLSTSIPCASTS LSACYYIPIAIKRSSYDLANGRCLLKASFNLNFSPVLDTNRNKTPGSSPTCRHSSYDT RNTWQHCAKHLQGASLHSAYQTLGLSTTNHESDKKLTEILNVRYRPGQQRTTHIDSSV SRLKLAVSMGVQQQPLI JR316_0010094 MHVVCDARMPTHLLAATQSDQDPVKTSPLMLPIDRVLFERGFRI DLNFPPATSITPKPRSITVGDSQVLYVNLPVIPVNVPHLSSLALLLLYAMGLETNLNI LAWKLLPVEVVEEFPNAAAMSTILSRRPQAEQESIYRHNQGLWKNILALGLNNARIIQ VVQTAWNVTADARRVMLRNNLKQY JR316_0010095 MRHLSYDTTTPGSTVPNVYGSIVAHCQLVIGFINSPIDRRDIQQ EAALESRPHQDHNHGTTFRSGFMASASPREPYWRSAQPRQAALSRTLPTDHPVQRQTA RA JR316_0010096 MHVVRDARMPTHLLAATQSDQNPVKTSPLMLPIDRVLFERGFRI DLNFPPATSITPKPRSITVGDSQVLYVNLPVIPINVPHLSSLALLLLYAMGLETNLNI LAWKLLPVEVVEEFPNAAAMSTILSRRPQAEQESIYRHNQGLWKNILALGLNNARIIQ VVQTAWNVTADARRVMLRNNLKQY JR316_0010097 MRYVKGLGRKVQGFDEETWVAAREKIVLEGNLLKFQQNPEIKEK LMATGSKHIVEASPRDRIWGIGFGEKNAMGQKERWGLNLLGKALEKTRKRAQLAVKAL NIVAANKPTTDVWANRIENIADSGSTNTHLSPELWPEIGQQPKVTVGTVAGSSRRTVT TTGSTEGGGRSMSSTARVQSGIAQSRNNINVSESQKVKGQQQQPSLITETITTTTRST SPSTSSSSQAPKSAWNVPLVPTVTKPQHEQPIPRIVLASADEQESQTSMGPSTSSGGG KIIFGTIRPLSPPPPTIPASVSSPSPSTSASLGTPTFVSSTSIPFIIGAASSTVKLKS RTSSGSLNTKTRARLSSITSIGDSTNTSNVGSSSEFGYTKKWKFGTTSRGGTPPPVLL SVSSSATSSEDASASALSSATATTTPSVSVSVSVGTSAPSSPLPPPLPLELDDDMRVR DFGYGFGQTPQGERVQGDKENENKAREEGQEPNRNEDQSLNQQPYPHPYWAPNPNAYH LPYPQQYPFLPLPPQHYQGATATSPPPPPPLPLPLQRASVEEASSPRQVPVPIPLPNN YYVPPEQMGAYPHLYSPPGPPYPYSNQLNPPPGMGGGRGRRGYGGRGGRGGARGDGFY GHAYADRERGGYEREREKEFVRGGGDRERGFGGNRDREFGRDRETGRDFGRGSGPRRG RGRGYAGGGGERERNRAEHYFTADRRAYSSNSNGSSVSEARAPPFVVTPPPRFVPLPL PLPHQPLAMRYPPPPLPPPPGFAPPPRPILQSGFVPQQQQLEGPPSNGYNVPLLHPHP QYSSSPSPPSLPNPSSVAHPQGPRQLSPLSQTVSVGDASSSRSPVDEKSTGQHTSVTP SSPLPKTMSPQPLQPPKTQPHHHPAPPPVPAPLTPIPFPLDPTRYWLLGQLEYYLSPQ NMAHDIFLRRNMDSKGWIPIELIASFNRVKTLTMDVALVRDVLALSSIAQVRRGGAAA LSGDDVVESKGKEEQGADNEDKSAKEGLGGRVDANVTPNVAVGWVRMQGWERYVLPDA RPSTVPEQEEENGVGGYGYTQTLGYGAPYGNALYGLSPPPPPLPLHPEYSLGPSVAYG YGQPPFPPRMIDRHAGHAVGWEENPAGRHHGLDGGFWRPPPVGGFIPGTVNGHGYGYE QNMGQVRMEALQVEGPGAKPVNGHSQDTPMEKVSVGADELAVGVEGLRLGDDGSQVSP GHVNVKDKETPSTKKGHREEGDEPEDEDEEEDEEDIIFVMGTQSNVSWVS JR316_0010098 MLVTKKKSLTALPWPATRGNETDEERKARKLRAAEAKRRNDAIN RELEAERQRIHKQRAGKILLLGQAESGKSTVLKNFQLHLAPKAFELEAEIWRPVIHLN LVRSVNFIVNLAMSRGQLPRQENPKVPRGPRKLSTSMTPELRKLTVRLAPLRQVEEHL IQILSGQEATNDSGVALVQPYNPTKAPDITLSNGTQWRKAMTVHRKHSIDSMSSTASR ESTEIIQCRRMLTALSSDIEALWANRSVQEMLKTADIALHEQPGFFLDQVKRVTGENF RPRPDDVLKARVNTLGPEEHTIVAESGSEKEKHYTIYDVGGSRSQRIDIIIFLAPMSG FNQVLAEDTNVNRLTDSLRLWQSICSNRILAGVEFVLFLNKLDILESKLKSGIQFADF VTSYGNKPNETEPVSKYLLDVFIRIHKQYSPKRRKLHSYLTCAVDTKATSDIITKIQD VILMKILARVNLV JR316_0010099 MPTRLKNVSTIDPIAAHKKHFWDYLVSKSPVLRSLALLVIIAYA CLAFALHRGPITGRKLNLPDLYEATVLELQAGMDAGHFTSVDLVKAYFARIEEVNLKG PTLRAVIEINPSALTEAARLDEERKKSGKRSLMHGIPMLLKDNIAAIGTNTTAGSYSL LGSIVPDDAGVVKRLRKAGSVILGKANLSEFARYRSALASGWSGIGGQCTNAYFPNAD PCGSSSGSGVAASIGLAAVTLGTETAGSITCPSSYNNLAGIKPTLGLTSRAGVIPITE HQDTVGPMTRSMTDAAIVLSVIAGKDPNDNYTLSQPQDVPDFTKALNKDAFKGKRIGV PRHVFLNDSISGNHPSIAIAFEQALNTIRELGATIVDPADIPSAEEIMNSHNDSLIFA VDFKIQMNAYFEALIENPSGVRSVADLIAFNNAHPELEKPPGYESQSDFYEAEATQGR NETFWKQLAFDVELGATRGIDAALKAHNLDALVAPARGRTLMPAALAGYPIVTVPLGF LPDDVVPVPAGPTTVYPAPGVPFGLSFLGTAFSDFDLIGFGYAYEQRTRTRLARRAYP AAIPKTQLVDVIGKTTL JR316_0010100 MGRTVNTARRAPHSAIILYGRSRASIDSTANKTTQTASDLPSDN RSQTQSSSNEKKESLEKRTITNKTYFKPTYVQEKATAQTVASMAVDVLSLFEQVPYVS VITGLIEQIIKISDEIESNKDRSKQLIAKVMIYAKVVFDALLGLDEQTAIGLKHDLED LAAVLESIHGVLQEMTGPNQASLISRLVYRNEIASMLAEQDRNLDTTITAFQLKCAII NRSGKERVESKIKEIPTLSPAASASLTLGLRSKPQIFYGRTKEIDLIITSLQGSADVS QATVSPPKLCILGPGGIGKTTLALSVVHHELVVRQYQEKRYFVSCEAASSVELLLNQL ASSLRLAVEELKVSLLEAILAHLRKTDCLLVIDNFETAWDPLHTRSQVEGVLSDISAL PTVTLLVTMRGSQQPAGTRWTNVIPPLLPVDLESAISIFTDISHKNDKYAVDLVRAVD CVPLAVTLLANLAAVDGETTEALWARWEAERTSMVENGQDRLSSLEASIRLSLNGPRM QKDPSASHLLSTLSLLPDGVSPATLLACENNLPEVQSVKKAISTLRQNALIYEDANKD LRILSPIRLLMCARHPPSNKCRSFIHEHFINLALKGTQYQDPPTRSQLQREVNNISAV LMYMLNDSKENLFRVVEAVINFCHFTYVAGYGTTQYLSLAIEKLENVKPATISAEPAV LAAPKPKKVWQRSFVGFGQSKVAKPIPSVISKDTGASVVQIATALQLRADCLGCFGQI LNRQSQFDQAREKFELAKELHIQSGDQSAHAYDLLNIGLIYSRNFDTLPQALDTVKEA LNLHNNLGDATGKAYDLLGLGHVYQDLCQFEEAEDQFELAAQIFKELDDLHGQAAAMN GLGATLVSVSAFEPAAKYFKNAINLCQNLGDIVGEAENLGGLATTLLLRSRFPEALET IERALALRQPFEDPEHLHILGRIFIAMDRYKEAKAALERSLSIQKDIGNTRGQAANLL YLGYIEFDQGSCSDAQALAEQALAIPNNSLVEADINILLAITNIRLLNFSEAKEILDA SAEEFTDAGHTLGEAYCLYTQGLLFLRRGQFGNAVVALGDAITLHQRVGNVQGHADDL NKICEALLSRGNIEDAMTLSAEALALHIQIGDRRGQGDDLLIQASLFLAQGRLTDAEK FVRHALELHSAAESIYGMAKDNALLGYILWQRMHGTERAVQHMQTAMSLFQRLGAVGE ITECKNQILHMKQDIALEKIEVSAWVPLETDASVDYDSDDSGYYDFDDDDDDNEGDGK SNPAWVPLFPVYVH JR316_0010101 MVRSSDVLLILVAILFPPAAAAIVTGCSCDLLINILLTILGYIP GHIHAFWLIYKKMQAEERYGNGGFVYVGNGQYQPLYQNNGPAQAPYYGATVGEGEKKF JR316_0010102 MSAHYTATNIPGLPGSSNTLSLVYNISNKAPGLETPPEDEADQP HYVPGATSLISTAFFSGSHNSISDVVFRSSDGVMFYVGTDDILHKSGNAFEPFLGSSL SEERFKIRNGAIIDIPESSAVLDVILHTLYHISCARHAPTIDDIEEAVYRMPVYGIDP KAHIVPANPLFDLLLSKAPLYPLRIYTLAGHFRAHELALKTSSHLLSYNLANLTDEIS IRMGPIYLNKLMALHLNTVDSLKKIILQPPDPHGRSNLCGSDEQKALARAWALSASYL AWDSNPGELARNARVPNFRHRCHYSNFLTVVFFRMEKMSR JR316_0010103 MVLFMFLGKSPEITVHLEKQTQPKQHAMTKPVDFIDKFQIYVVS LPGRGARKADMERLRGALGLQWKYYDATYSNSALIGKILHWVENVRAKLLSAIPSTDS DVSGSLGNDDSYSDGDIHAEDVLFWPEYLEDIAQSNLEIPLLDPLIWAQPVRRPPYEP LTCSEQDFYLLDKAPDEVPEYKRLTSGRVACWHSHLSVLHLHANSLPTASGKGSIALI LEDDVDMERDIMQQMEFLWKDLPEDWDMVFLGHCWSDETRGSLITKESPTNGSLPTWS KMYTSTDPKCTHAYAVSRAGARRLLLHLTHPPFAYSRAIDQAYAWLIESKRIQSFSVV PNLAMQRKVTVSDVMEGGTVNNLWIDSLFNSVLDSLQED JR316_0010104 MASVPPSRLQALTKLRCSIFQTTYNPDSLRTGAKYLRARLRGPS LVGYYPERVNISQIVRSYRNRLEMVDDDEEERLQDVIERRRRGKGAPPKAKKGAYCDR LNLTVI JR316_0010105 MVETSVLGPIDPASLKKDQEEEKSAPWIVRKLVGSVTGRIVMSS YETLRATGTNIICLSPWGDSSPLFLPCIRFRDLAVHTVIAATGGMAAVAAPVMGPVSD VVVGSLGDTVLVELGLHAGFGLTTKLANDLVFDKTIKKIVPIHSDRLETTAVKVLLIT LKYKHTMTDAALGFYRSSLHSDNSLFAAVKDYLSVEKGWFSPYLFASARRPIIPRNMK PDIIFCHGPFLSGEHLIHLYAILPSVACEPKGDYKIGETLLKESAHVIELCDAPPAEP TEVEEEDHHLSLKNLSIPSLSTMFNRSRTPSPEPELTPLPNPPKPRRMVILLVGLKPH RKMWTLSARPSESVMNYILLNGCPAIVVPVKLGGPLVAWDGLTLEQLWEIDLPPSDGA LSASGKFEGIVDVVFEYIDLCVDWERVNIPVAESETEQEGNLQTVVSDLKGGEALSRK LLKDAVILLVAAAIRSRSSKEAKKEIDGERSGIAMWRIP JR316_0010106 MVFKVADVSLAAFGRKEIEIAENEMPGLMYLRGKYGPSQPLKGA RIAGCLHMTIQTAVLIETLTALGAEVTWSSCNIFSTQDHAAAAIAATGVPVFAWKGET EEEYLWCIEQTLAGFKDGKALNMILDDGGDLTTLVHEKYPQYLSDIRGVSEETTTGVH HLYKAFRDGKLKIPAINVNDSVTKSKFDNYYGCRESLVDGIKRATDVMLAGKVAVVAG FGDVGKGCAESLKSYGARVLITEIDPINALQAAMAGYEVTTMEDAAPRANVFVTTTGN RDIITGAHFNVMPEDAIVCNIGHFDVEIDVAWLKANAVSVSNVKPQVDRFTMASGRHI ILLAEGRLVNLGCATGHPSFVMSCSFANQVLAQIALWTTPEKFPLGVHMLPKELDEEV ARAHLAQLNVKLTVLSETQSKYLDIPVQGPYKPSHYRY JR316_0010107 MDKQETKKCVIAEIVQHTCSLKNERNGTPTFHCFPLPRILKLYE LHHLLAFRSSQFLSSCPGQPAVELTKFINIDMKSGEIDIPPNLSASSAKARAWKDVIR SNPGRGYLPEDFWAND JR316_0010108 MSNNAAYGTWNPTGSKSSHSRHGPVERRTSDGEDQSSYSVPSYG MAESFIPPDLRPMSSTSSLTGAMGDASISNSRHSRSPSSSNSGNAYSGNLQTPNSGLW NTSSQMNMIAMNNSGYPGVAGHGGMGFSSMSQSSLDMSLNEYTTTRNVSPESISPHSP NYPSEYGGDAYRHHLSPQQGGAPMGYIGTYPSSPDSKSSEIASLRRRIKELEQECSRA KVALQAKTGLPAAPRSASFQASWRARTETRKKMFCSLNRAGNALCAWHDSRRERRAYP ARHAPPGYLNCGCTYEEALFEESLSRHGVGSYLPGETVRMDPALRNPLLRLLEQRYGY KDGDFEHDPITETWFEGESPMAWEQKAQSGQVVKRRTDADRH JR316_0010109 MLTVHHLNNSRSQRILWLLEELEIPYELKKYQRTPDGLAPPELI AISPLGKSPLITDGDVTLAESGAIIDYIIATYGNGRAVAQQSGYIHNLYFSHYAEGSL MPVLILKFIFTIVPKKSPFLIRPFLSFIFRQLDKQLAEPQITQHLKMIEEHLAKSKST WFAGGEEPTAADYQMAFPLEAVASEIPNFVVNSPHIKKYVETVHSRPAYKRAIEKGGK YSYAKL JR316_0010110 MEDLTDDWVKRSKEMEYTNSGLQSAYAGLATDMNNYFSNFHEKL NTLELAFAEIASEHASRLGTFMDTMDSIVSSRIDDILSRHEGQYQLMNSLFLATQTRW IEFGYDLNGMREVLFNISDQAAQTAGALSLALREAEDLRDIQHGAVLASNALTNTVVE LTDMAHAEFDSINGSAYLIKQYFQNQQNEREVWQTWIAWLLRLVFGADQGSYAFLEGA LPIRAISLIFRFTWTAFRTILSAIMNTLVTGGTFVDSRVEYHGISKQSTGFDNLVKAS SPSAFYNAGSNFDSPKCHPNTRVAILDKIMEWVGGNLETLDGYVLWLYGPAGAGKSAV AKTIAERCSSRNLLLATYFFSNTDPTRNQVNALMATLAYQVALYLPMARDLIEMVVDR DPLIFTRSLQDQFHSLIIRPLLQLVHSGAFTQTSPRVIIIDGLDECLKFDMQSLVLDA ISSEMQEVETRLPLRYIIASRPEPHLYIQFADPQLFPKTTRFPLDDKYYPTADIRQFL EDSFKKIKERHPLRRHIPGVWPTVRDIETLVNKSSGQFIYAATVIQYVSAPRNQPAQC LEVILGLRPPRSNHAPFAQLDALYTKIINPAATVEDISSTMKLLGFLLPQSTERIDLY QNVLKIPRFLEKFLALEDGEVQRLLIDLSSLIRYQDQDTELRVIHASFVDFLLDENRS KGYFIDPVEFKDRLSIMYCEFIQRSHISQDYSDNDEVTLLRYSCRQLAENCSKAPPSK DLIAVLLETPVVPPLFYLGTYQDLEYFLDFFASLESPNFASASHVYDHHLKVWDEYLL AELQNFPSLLYIYVCSVLLHEDFTGSIYSTLCCLLPDHWDSEFSHIKWLYTWDRSGYE FGIIERPSQAYRVRGTTKTRIGDRDESEMLGHFWESDYYDMRSPSSPPALDIEDDHGP IIKDALAFLPTLLSKSNYSGTLMRQIESHSFRDECKGLEELDKAKQAMLQYRERIQDD EMFQKAPKLRKKYGRSL JR316_0010111 MENDVATLVTYAAFLVDGNVITNLLSIGGKTSFTGPDPPAPAIV GGLNTHAVFEADWQPLVIQLISFFGNNHDFNETLFDQFVDFSNRFGAGKFNYTVAAEL RAERIKQSIATNPTFSFVSPRYYTAFAESTFPVNFFIDGRRTDGQLDMTTARGFFQDS RMPDGFFRHNGSIGIDGLGQVFNPHPIAPGRNVNGVNTYTLDPTSADFTDFCLLYTNF VNETVRNLYPNPTGILRQALNTNLGFLFQTGFEDSGCVQIFPFGQD JR316_0010112 MTEYDYSESVYLNRSPYPAPSRAPNETKLLFRAQRVSSPITTDG PINTKARDGLLNRVSKLLKLSRKTRKRLTPISNLARDTGNHSDIERGRSRVQKHLAAS TPNLRISHRSISPQLLKFPPPSPFPRFTKPISDGNQEQREFNQQERRRQRQLRRQERE KIRQDLWARRQMEDEKRDSEGDSAFKILHSRPILSNRLSERNLSTSRVHLRSRNSSVQ GMPEGSSKTNKSGLVPDLSSPSLKTKGLPVKPRQRLSKPLPLPPVGRFTVIDPYVHCG EFSSQRQRHSPQKSRFIRHPRRPSPHPSSGQRSSRSSYSRSSSISSFGGLSSIPQGIP HQPSDCSRQSSPSIRSTSYSRSHSPSSMHSLSPHSAPLLHTTSSHNREDNHRRKIFKL RLLFKGHKFTFVVPSTIKYGHLVDRIRLKLRILELYNEGPLNIDVKDVEGNFTRIETT EDMQQIFQQHQQNSDFIELSITPA JR316_0010113 MSTAKGKQRETASGATQVNQALPPSSDTTTPPIASLKKKSRKKK VDVSSQPVDVAPPNPTVSSMIIQGRDYLTELVDVIQDVPFDPKSILPNSKPHKSVARL REAHESAKKNSHSQSLTFSCIDGTVRTFDVQTSSYSVVEEKENHVISEPMSLDASTNP PPSTSRQQFEAFGIKAFRTKAIYNEVCFDFVSHKRWCPRGTACYRIHPLDRSTHRPTV VKAAASYNKMRSELQSSNTVGPSGHVYGPAPEISTSEDPAVRVKLPPTQITSGNSGPI AGPSRTSKSQNKTRGHEYKSNSAEDIRTPPWADHPPSDTSSSSNGTDNMEALLWFPQA NTDDWEMDPEDYARCSESFRWLLDQPPSVIYTPSPSDPQPSASTQQSRQIHPLPPRPI VPEVSPSTVKHYRPKHGKPCYRWQRNECNLGFNCSYVHGDLKYDEDPASVAAPPRVPL TQVQPAAVLSNRVEQSPQELGAMSSKMPVVAQSPQRPQALQQASIPQIKHPRPKTNEI CRRWQQKSCTYGYNCKRIHGDLKYDDDEEPLSRRPPEAFGFTLHDHMRIRVGPGFEVE DITTGFESRWVNVSNLPYLLPDPRLMTLMREFGEVLSIHRESQSPQARVQFSKPADAY KAYITLHGSVHFGRKLELRMAVETKVGGSRIDITGVRIDWEGPHRNVYMGYESMEVAN EIVNKAKYIPYEDYVTQANVHIGVPAVGMVTVKFAGVPVNVTEEKMAELYGPHQGMVT ERPNYKDFTAEDTIKGVRKLLNQPQFRGKVTNLEFRPPPYRDGKMRAWAHFNTPSDAK AAAEDIHDRKPIFTGHTRITARHIKTIEYDVHNEKYRRVASEIKALSDTIRKEGGGYS LNCNDKVYHVTLSLCGEDLQVLRRWKLEVERTLNGELILDNGAVAWHDFFGGPVGFSF LQEVERGILGVRLERDASRRTLRAFGIAEVRALARDKIVQKITALRSLNSYMIRMDGR LTYAFSSDGYAKLRETLGAENLVLDIWNRELRIHGNQDAFDIAMDAVAASRRRLELSD RGKKSMSSSAAVCPVCFHEPTNPVRLGCGHEWCRACIHRYLIASIDQKFFPLTCLGKE GKCTERIPLLTARTLLSAVELDSVVHAAFAAYIQSNSKEYHYCPTPDCSQVYRTAPEG VFIQCPSCLVRTCPSCHKDAHDGQTCAEVKSGDDLFKIWASKHDVKRCPGCSVPIEKD EASDQVLSLYPPNVFRFSLYAMRFVHQISTHLFAPWKYIVCDNDIIINTQSKVQGKKY KSVFCHGDLGPHNIIWNDGKAVFIDWEMAGWFPEYWDYIRTHEARWWFTSWLDMFKEV VDRYDDEWDVQFAMKGYFAFR JR316_0010114 MSAVPSQKVQSLGKYFLQNPNGKSAQSVAWQIDHRLHLVSFWDI KPGSRVLEIGCGQGDCTIVLADRVGDAGHVDAVDLGAPDYGAPYTLSQAQDFIKSGPL GPRVTFHLTVNPIEYLNNLPESFVPYDYVVLSHCIWYFASPEVLSRTINSLIGKTKQL CVAEWGLRATKIQALPHVLTALLMANVEAKRKVPSTWNIRSVFSPAQILSHITEGEKF GLEKQQIIQSNEGLRDGYWEVWGIREERKDIMRTLQADGVGEKELAALIAAFDAVDVS VALLDDSGDAAAGLKQVKSMDVWAAIFVAS JR316_0010115 MQSGNYTQPDTSSSTLSKKKSGYKMEFNQGPDPQIYNKAVTRVK GAMHLPKLSTALQAIVAAMMLATAMANPIPDTDVIIPYVIVLEFPAQTAKESLECAPI JR316_0010116 MATYAQPYQSQHYAHRSTYPPLQSAPVSSQSSWARGGTAAAVAA PPPVPYAGAPPPIPAGVSVNPQQWQAGSWQINPAYRHPANAPAQQQHVPWIPSHHWTQ QYQPPAQHQQQPYQQQAAQQQASYNPYKRVPKPPSKEYLETELSANPLGLENMVPASV EEYYRRGHQTPWIWKPRDLDDDESSANGSTSTSTNANAPRRHTDGDITHQPSEPESFT AKRELQPTFSSKIVRTPEHYRNTPSPKRAGSYSSIDSSLSSRMSSFSISTGETSSPTP LSRQSTMPTSLGSSSSSSSTSSYLWSNSASPTKREFGAAAQPMLADQLSEEPDSMLSP LVLAKTPLLPARTVRNHPNPLLSTQSLDTIPEGPARQSSLNSTVRAPEHIKYYPPPPQ QQQQQQPAVGGGGGGGGGVGTNGNYYSSSSSSLQHAHTMPNLPKSQYIYPSNSHSRHQ KAEDHNWEREREREEREKDRERERERERERERGLGSRHTTYVDPHLPLSANANATTNA KTPSPRNHVPSHPSSAHASPTNITPPNYPTSPPHQQSQPYAYAQQHAHTAYPSPSATV TSPASHTVFSPTSSLSSSTGPSSRPSHTSPSSSSTAVAPTNSNPLPPPPQLPTRPPIL PTPRTPPAKYNTRMRRGFWNRRGDHLTADGYIVYAPASQAYPNDLVSYPDEGVGYQDH HGLFTAHMARPELPQSLPRWGREPECPYEKFVVYEYT JR316_0010117 MSSPSPSSSAAAAASMDRSLSSLSLTSTQFESEDDWDRSLPDPS VSSLDVDINDQAQASSSSSTPSSTPAHASSTTPRNSVVFPATATTSTTPSRRSRYSST HSRGPSLDKAGKKRTLSELLRMHAEKGCSARVSNEEAARIADVLGQWINASSSPYELP EDDFFSPAHSQDDLSIAAKRPIPVHVQAALRPRGRSESGPSPLAMTPVNGGGGAGSRP PSSVGFVGAS JR316_0010118 MATYILNTFIARALGSPYSAAITISVGLTSYFFCGNMSAQYMGA MSLAIYPEERKKLQLNTAQAVELFGYGYRKGARHFGGAGTMAGLVLLTAAFLTPPSGL FFSPKNYLLFLAPLNFAHGVYTLIFMLPTNLRLLALGDKIVKARSTSKESPLTPAEEN EAESLLQKWRQLHYARLTAGAVGWVATCAVFLTTV JR316_0010119 MPAAPLVWLITGCSSGIGRALAIAAIKRGDLVIATTRAKSFDTI RNLTEIGAHIMELDVTASLDHLKEVAAEAVKVYGRVDVVMNNAGFALRGSLEETSHED SLAQFNTNLFGALNVSRTFLPHMRERGTGTICFIGSCFGWAPFPFTGLYNASKFALRG MADTLNQEISQFGLRSICFDSGCFRTQIIDHIAPIVSNIDAYNEAGHSNNTLLFSLNG KQKGDPERGVNVIIDVVKREGLAAVLSDDEIPSGFALGQDCYNAVKEECEKTLARLEK WKALTFSTDYPDN JR316_0010120 MVICDACEKTFKTKHAVRIHRITCAAVQADLENVCKPLDVDRDT GSAKRVKIDTTVNHELNKDAEWEDVSMTYENIDDGVILPPRSDPPDPFPEGFVPPPTR LGRVRKYPKKHVDFLPNSSTRIPHMPPPIPRVRRSAPKPVSPHELTPEPAPSFEPTVT RTLPNEFGLYREYPTFPRQEVDDFEELDNLCNAPGLATSIPKESSSRIAKVMGISLDK ASVSNRFAPFLNATVFRLMHWFYGNTTLKSVGELDRLVREVLLAEDFCVKDLENFSAK RELARMDNATSAPESSQSTFRQEAGWINATVKIPLPCEQSLHPSEDAAPVLEVPNVYY RSLVGTIKAALQDESAESWHFTPHHLFWKPSPSSPPERVITEIYNSDAFYDEYVDLCK QQNQEAQLSDTHEIAIVALMLWSDSTQLANFGNASLWPLYLLFGNQSKYVRANPNSFS AHHIAYLPSLPKTLQDIYMKAYNGLTATAATITHLKRELMHAVWLILLDEEFMEAYEH GIIIKCADGVIRRVFPRFFTYSADYPEKVLLATIRYLAKCVCPRCFIQKRWVSGLGTH VDQQRRSHIRKDDQQRRDRVENSRKWIFKHGKGVKSTRVEDILQDTSAVPTRNAFSTR LSKFGFNFHTMFVPDFLHEFELGVWKSFFTHMMRILLAAGGNCVQRLNWRYRKVSTFG RSTIRRFHRNASDMTKLAARDFEDLLQCSMPVFEGLLPPAQDKFIQDILFTFCEWHAL AKLRMHTNSTLTGLGVATRQLGKELRSFVSKICPLYATKELPKETAARARRAANNAKK GKPTAPGSLNAKVSKMLNLFTYKLHALGDYVKTIWMFGPTEGYSTQRGELEHRRVKRF FVRSNKGSQFERQISRHERREQLLRSIAARVSRLEESEASEKANSTSKDKQATAQPSK KRPRASRVKKSYIKPPHIVSASESESLPPISPSEHHQISTSKRHKLYLFQFMNEYCDD PAVTEDFVSNLEDHILSRILGHSNTGQVRTYSDQERAQVNILSDRIYLHKVLRVNYTT YDVRREQDSINPRNHCNIMTLSRDGDSGRSMAHPYSYARVLGIFDADIQHNGGKTQRF EFLWVRWFEVDYAFRAGWTARRLDRLKFIDSDSPDAFGFLNPSDILRASHIIPAFNLG MTDSLLPPSIARQAYWEDDNYPDHDWNFYYVNRFVDRDMFVRYLGYGIGHKGTNEYTR SMRPWYFGSYFNLSDEEPLDDEEEVDLPVTAEQEAAMNASESENSDESEKEDQDEEND EGFVGEDGEEPWDMDDTEAVGFAEF JR316_0010121 MAPASQIHTADAQPPHSTQHAKSRESISSSDGSNEDSSGSDTDQ DHKEEVVIKKRKKALKVTFRKRASRKKLKSSAVLEPFMHHSAWHIRNGAIYTNWEHVI LTGLKAEQGKFGSLTQQEFAEKHKKALSAYDDLRAAIPTFDDDIKVIARDPAYLDRLC KTMVTAAGTARSNDISSLKKDALTYAALCLPDGRLDPPINPNDSKKTTRGFKHPQLGA LLVPAACFEQYQNDPEYRRNLSLNKVVIKAKAMPHLVYPYGKYDPEHVLEGMFMAPAL VAVFQHIFMSPSSALKTPGITRTGHGKARKHHMKSVTIPSIAYACTHYRYAISGCMDW RQNDRHFNYEEFYMEVVKMLEWARENDPEWWKDFVTWWNAQVFPVEDDSVTESSSSDE EMTTSTFKKMKAQVKASRAARDIPANSAPPPPPPSQYQREMLSPSPPLSLRPVQSPAP GSSIPPSVGIPGRQHHAQYLAGPVDANIDPRLQIPGPHVASNHPPLGGPSRYPAGVPL FYNSSHPIASASTPAPALSRGHPTPRALSSSVYTQQFNNSPEWQLENTYDESYFADHT RKKNHIGHRHPSVGPQMNPVFPDITNW JR316_0010122 MSSTALVWLITGCSSGIGRELAIAVLERGDHVIATTRLRSFESI KDLKDLGAHVMVLDVTESIDKLKAVAAEAIVVYGKVDVVVNNAGFIMPGSFEETTHEE TLTQFNTNVFGALNVARAFLPYMRKQRTGTISFIGSCYGWRPVPFCGLYVASKFAIRG ISDTLHEEIAPFGLRSICFDFGAFRTPIIEKLPKWVPILDAYKDAGESANATLLAYKG TQKGDTVRGAYTIIDIIRGEGMATGRQVHPGFALGSDSYETVKKHCENTLARLEEWKP VSLATDYPDN JR316_0010123 MSNNKLKKQPPIAMSATLALASSYNTKQSQYDSQGANSHSSTWL PQRYKGSIANSSLVSTTTTLDDITNVNMNTMHMQPLTAKEQYWATRALKAEALLAAHE DHKKEIKNLGYAHDMKRERELVQLAKEQKEKHDTLEKLVRLLVGIVFLLIGVVVYLAT HYARHSMLLQHKQQEKWWSMIGASHFTIPILSPFTSVVEHESSVVGAKVIGTLAAVGA CLAFFVFRKWLSTQGNHDANAGRGGIGASGVAAIASAVSPMPYNIDR JR316_0010124 MPSELFVLTSADRTLTGAQTLRGWYLPEAAHPYYLLAPHATIDF AAPKGANPPLDESSVKKYGTHQRTQLYKDEESVRFLTDPVHKKLSEVQFRDYDAIFYV GGHGPVIDLPTDKDNIKLIGEVSPPNRGRAEEWVYNLLGNADGKLNFAGRTFTGFSNE EEVQVDKVADIPFLLEDRIQDLGGKYVKADKAWGVKIAVDGKLITGQNPASASAVGKA LLQALGVH JR316_0010125 MRPPHLMTLADLSPWQIKHIVNHAHMLKTRAKPWMGPQRSSAAV DGSTTNSVKANLPAESLHAKTIALLFSKRSTRTRLAAETSVALLGGRALFLGRDDIQM GVNESVRDTARIVSGMCQGIVARVGDHSEIEEIAKWADVPVVNALSSLWHPTQILAGL LTLHDHAAVFQSLSKPTPTPTPSPTSTHAIAQPETTPALPALPPLTIAYVGDCANVLH DMLVAYPRLGHRMRVAAPLQYRPPAEVMRRVVQLGCNRGIEWFENPLEAVYGAHVVVT DTWVSMGQEAEKEERIRAFEGYQVTEKLCAHAHPDWMFMHCLPRKSDEVNDEVFYGPR SLVFQEADNRKWTTMALFDLLFGQWSLGPEDP JR316_0010126 MVANKLRLYLAYFKRTNPSGANPERYHVSFMICPKKPKDNEPNA RILHAVDFLDKNRKLRQIWRFEAKDSSPRTIMLSGVMLLGKLEPAITPEIIEEVLTKI YIPPTDADASGADWRCTNWVWASLEILAEERIIPRFDGTGQDLWNVGVNFVNGATNGN GIPVHDDWLYTCDMSGRQIDSEIGPYVRK JR316_0010127 METKSEGKEEDTLYASILLRGASSITFQVPWFPVRELSGFELFK YKLRRRLRNYTPDWKFLSFRSRTFVKAHRGVRYQEALSMEFIAQNTTIPVPRVLDVYT VNGIVHIVQERIPGRVLQIDWDHLSTEEKQSCMLQIKDFFTQLRSLKPPHPEHVQSVD GSGLSDNRIENYIWGPFSSHDEFHKFMHHDVFRQRPHHYPNLQEPLSKVQGKKYKSVF CHGDLGPHNIIWNDGKAVFIDWEMAGWFPEYWDYIRTHEARWWFTSWLDMFKEAVDRY DDEWDVQFAMKGYFAFR JR316_0010128 MDTKSERKEGGTSASGIPFQVPWFPVRELSGLELFKYKLKQRLR GYTPHWKFLSFKNRTFVKAHPDVRYQEALSMEFIARNTTIPVPRVLDVYTVNGIVHIV QERIPGRVLQVVWDRLSAEEKQSCMLQIKQFFTQLRSIKPPHPEHVQSVDGSGLSDNR VENYIWGPFSSHDEFHKFMHHHVFRAWPDIYPKLREPLAKVQGRKYKSVFCHGDLGPH NIIWNNGKAVFIDWEMAGWFPEYWDYIRTHEARWWYTSWLDMFKEVVDRYDDEWDVEL GIKEYIFKI JR316_0010129 MDTKPKGKEAETSPFVKAHLKVRYQEALSMEFIAQNTTIPVPRI LDVYTVNRIVHILQERIQGLVLELVWDDLPLDEKRSCMLQIKDYFIQLRNLKPPHPEH VQSIDGSGLSDNRVENYIWGPFSSHDEFQKS JR316_0010130 MAEHKRAYAYTSASASAFDFERYNDPNNENYNNAFENQENYNHH YNENNENNEDGSGNGNGSGNTRRVDFTSLIRPMSARKSKSHSKSQSQSQSNSKGVGKG KGKGKKSVLSVNSSPMKPRNGDARVLGVSSGGNVDGDFDGDVDWDMGRERERERERER DVREIDTHRMQLISPPPEETLSFRRQSSVRLSGQFTPSLLANANVNDENGSPPRGSVG ANANSNANANATPTTTAVNATTAKRKRATASAKRANKDASPAAAVVSVVDAPQHEQLN ELEPPQEIELEMEIEQTPNPKPRKLGRHVRVESMEMGSPTRPSASSSSVPYPYLDSHA QQENEPPVPSTPTRKRNAHAGVNGTGNGTRNGSARARRMMLRSPHADNPDADWIPPVS VRIPIPMLSLVSSAERGDGNESGSEDEGEGGSARNAAAAAAAKEMAEAKEKEAKAMRR LSRRSRSLTPIPPYEPPADVFTPPREVYLTPVPKSVTKSRSAAKKQKRSPTNSISTDN GNNGNTSKAKKVKAKSQLRIQVKQEIPDDIDLTAPMPPPSPSDDPLLLSGPAEPESEP NQMEQGEREEERWRRRSWVERRLSFSFPSSEGGGSGGGKREASVQVEDAASTDHVEAG VAMETGMEMETGTLPPSSPEPLPLDSEDMDAVRTFDFGFGFGAGGGSMNTEEEEGDSV MQLDPRDADVSPVRLFVDAGGAWSDSDDDEPVAVGENAAAKEKEKEKAEEEEGEGEYT GRWRMTTVRTKMDPPSSATRVRMEEWGRPISPFPKGAVLDLVGEEEEEKEEEEVRRLS VEPELEHVSVEEVGQMSADEDEEEEEERQVREMSMSFDDEHDEEEVPREPSPAPTIKD AFDFSAIESPQPAPSKANYSMVELSPSVLKALVDDDASMRDAFVSGREDDDDEEEEDG DEPGFVKITSSDPRAAARAAAILKQHDYECYTKIAMKRRASHSTLSDPARSARRRDIL SAGISKSASKSKSVHKGNRRSMGVIGDRVFIPGTPVMTLPELLKEAEEEVSMELQLHK SPGPAAMERVWSARAQAQSEVLTTPVMNRRRSTVVEDNHQHYHHEDKGERVWAKDDWK LLDACFTEQRLVVGAALALPGAHDTLALDANALDANAHDTLAHDGNALAPVEMVALDD VVDRDNLLERARALQRKQRAGKVVAPTTPRSSLSVFTFGLSPASASRVPEASSAALAL GGTRRTPTMEVPDFTPLGRRPGPPRLGLGSKLGSLGSRLGAPGGSGAPFACLALEANA LEDDGNALEDDKRDERKEKEKERGKEIEKENNKNKNKKKLPPTLFAPRYSHLLEEAVA VGKEGVLVHPFPVEFPRMGSEGEEEDQREEDMSFASESQTSFNVEDTHLSQNRDQDED ENEHEDEHEDEAHNNKPNPTLTKRVTGFLFSYLPTLSSTSTSSSSSLKTKSIPPRPLR NHHLGQALPMPPPEVLKKTRMRGPVVTPARRPMERVRPPRELVRLHPPPPPARPSSSS SAAASSSAGGRGQGKRLVELHHRELPENDKEREREKGKGVVPRPRRSSGSSVKDLVLG FETLRRAEEEAAGVGGRAGAGVGGSKMGHGGKGKGGKGVDVVVRPRWRL JR316_0010131 MTSTSSPVHIDTLLTQITTSNSPAALNHTFKTAFTRDAREILLS SFLANGQDPLAFLDPAVNTIGSLYILSSRLHANYSNTPPPSWQTIGDFCSSFNADDAR LAPERVTKLARGIQRYAAHIGTPSLAIQPLSDLVTRYPPTRSHLTAIHPIFLLTCVTT RHFRAALPVLAHPITEIDTTTTSPDLTYTDNLVYHYAGGIALAALKRWAAAEECFEIC VTSPGTYPAALQMEALKKLRLVQLISTGTISNLPKYTHPLLNRMFKNTAYNAFINAYP KNTTLMREILEKERATFAQEKNIGLIQQAITRAPRWVLKKLTATYVTLHLSDIARAVE IESEDEVRALLLSMIESNDITATISASGTVTFSDPPAQFSKAQVDAALRGVQEQTALL AFLELEAGRSREFLGKVVKSNDGNWAPAPDEEIFANLGAQHMWEENIYS JR316_0010132 MQHVQRFSKPRELFGCIIQCHTNHGYIGSFRQRFKLQGEISCPC GEADIQTQEHILRECPRYTEARRELLQASQYIFLPEILGTTQGIKALTSFLAASGAYT QSGTQPLPPKPPLFDNKPVPDSKDNKSDLDL JR316_0010133 MFPNIETRDARERPYNPPEETKCIFQDLDKEEKQAHKDDEVENL IKQMSKLTIHDSSYAIYYLCAIKLEPALANMLIAPAIMNQSAQPAQPVPSASQTAPPA PRAPRQSASEIIYYGCHQQGHGINNCPTLIDLTNRKLISRDSSNRVVFPDGSRIIRQN GESIAQAVLRQQQPPPPPPQVATTSIAVSKAYYGQMFKNYRAMVAEEEEDNIGTWDGE DEFEFTLAGPGNRIPTEKRTRAARKQVMDAVVPPEPAYLKGKQAEMSKAKDSSQIPSI LKRPANSGLPNNVPSSTSIQPVPIPSINQPSAEMNPSIPIKQAQSNVPIRANPTEHPE TAQRHEVFDPADDDQIMEDVTPSLERGKSVTKPRAAPQKRVSDISQTVDTMAILRRCL NQSVNATFGELLGVSKDLRTLLINSIKGKTLTVDEFKASLANGNLKLSDKEVLDIIRS AEKDVPVQYMHETNLVESLRAHELLLRITLMCNGHELNALIDSGSTQNILSEQAWKKI VRLPMDSRNTIVMVDIHGGKSHMLGFVGNVQLDIGTVRTRAHCYVFDKVQFDILLGRP WTRDNYVDILERPEGTFIAFYDVKDPTCEQKFLVTPNTQHDRRYFTDTIYDKTPHTML VHNNLSLANPDAEEGEIEEDLEPGELLDDLRYPSPTPSMIELSILTRQQSLNRDESAN PNEDLSTSQDAPSFSDSNCEHDSTQDSSHGLYASDYDSMYQDDSGTSQFNATAHYGHI STPPYDSTHEAPQIEQPPSPSVNPSIKSHTSDSNDPHEEEPADDDPEMEQLSSPKVEA IQFSSDNTHLTAMGMLNPHLRFEDWILYDATYSSPTCVVSDRTGTAFVHYVYPQRDTH INLTTTPTILHFSRTGISSQSNHSASVSAYPMGQRHSASWTHFIPQSIRSQNASSISQ PHSRSSALPETPLSIMIQQETRVSSTVTANIDEHHQGPKALVHPLRVAHDSPKKPLNE DEEELRIAQNGKEVDHNSSLLPLPITSTMHESQASNMDDRNEWYYQYGEESPFGIDNT EEYPLDANTLY JR316_0010134 MATTIIQRTPVDMPVPKSSAAPKFTGSYVDVKNFLDHCDRIFDQ YNVTLDNDKSREIIEGLPSHHAKQWERLKTDMLKIFDHARTTQKFTLSTLCAYAFQHS NLSMRSLDDFREYQKQYICIAGWLLNNNKISKTEYNQYFWLGINESLRPALESKIMVF NPHIDLSSPFSIEDVTKAVEIIFKQDRFDVGIFDNPSARPFTSLIPPKDLYPERSSVF DEIKKYL JR316_0010135 MLSLQPNIKLAYCKKMWNEEYYNKEYNALCDMFNLYYKPRELAS QSLQSQNSFQGKSAVKSSYASAWIQNSIQPKVNSQMAASNPQKELCDYLESNLEQYHS KQYPTLSWIACNYLAIQGSFVAAEHSFSAGRLTNVLNRNQMDPELFGYLQILKGCYKS KLMTATNEAKAHEPMDWESI JR316_0010136 MSLSASWTAQEELSFMKFLVDYKAEAGDDGSFKSATFQKAALHI GPFHKRKAIKNAKSCMNKYSMDGHGMTLLVHQLISIRHHLGMTMLECTQRLSHFTTRA GPRASSPSSQPCTLSLVRSDRGNLGIISAKESSDSETEETLNSSTGLNAKQKREPAMP TPVAAKHHCSFNGAAALMSMAESLGAFNTILAIAFAQPSTAPVPASSTPSIPVISTTP LIQAITSVLTHKKSIVYMK JR316_0010137 MATTIIQHTPVNMPIPKSSAAPKFTRSYVDVKNFLDHCDRIFDQ YNVTLDNDKSREIIEGLPSHHAKQWERLKTDMLKIFDYARTTQKFMLSTLRAYAFQHS NLSMRSLNDFREYQKQYICIAGWLLNNNKISKTEYNQYFWLGINESLRPALKSKIMVF NPHIDLSSPFSIEDVTKAVKIIFKQDRFDVEILDNPSARPFTSLIPPKNSYPEHSSVF DKIKKYLQEMFPNIETCDACERPYNPPEETKHIFQDLEKEEKQAHKDNKVENLIKQMS KLTIHDFLYAIYYLCAIKLEPTVTRNFCSRVGSLKS JR316_0010138 MLTDTQELLSILERVATAGELKNHPAEKHLAIGQIILHQPEVDW DQILDNNMSNLESFKALASAQPGDASGQPVAIFAFHIAIAKFMETNYDYLLALDQPCP DSNILSLDFAITMKIFCKQPLHNYSNPTVPSNIWIKSLVFQPLSPKKQAQQELKQKQG YNKEEAKEAARRRDKHDTCQRIANEVAKEQYAPLKLWHTRPQKDKAVPMEELVKLVNL THDLYTKAGVKDHQDLLAKLERQKLETIGISRMKMMLKNLNID JR316_0010139 MSTIVRNVFSGLVSFGNGVKYLFASGCEVVEWLCTYGGPVFGAV ARYINKHSDALVVLRNRNGGNLITDVEAQHPSATDADFEEFIRVRQEIKNLKKLLDNR SARTRPGTSEAHEIQLDRFKLERKNVLNDIAIEAQIRATYPARTLYLAMCETYDVPPV RTN JR316_0010140 MSSSHPSHEYIRHLPLITPRIEFLLPSQEPLPKITPRIQWTPEL LQRHAIPRGAAPQPSSVAAPGPEELIQAEGPSGQDTVPVPPIGPSIATPATHVQVDPG QPIDPAMILQVAGPSHPRVTSPVPGGEMYKKPKGEPGRRGSGGFNIQTVLRDDFHWSE EDIGDMVGYITREARRSLDMSKSYRSQKKEKIEAICQEATRRWPVLRDYDKCWPVHSV LKLKLKYRAEAHRRVEGRRESARVRAALANIAGVNDAE JR316_0010141 MASAKGVTMTSGGAGGKVLKEQELSPVVNDSNHQHPPWQVTYKL PSILPINTLLFSEDARLLISGGDDETVYVLDTKTGECIQTLEDDNWGQITTVVWGQQE LPNRENGVVLCIGTGRGCLALVALDYDATKPFPVGANTSPVFAFNDSVEKMAFDKLNN RLAVTSHSGEIKVFAVNNTSLRLLWADNIGKVITSGLFFFGGSNQSLLTIGLETSEMK CLDASKRGPPLWTKHLIGGIGSASLSSDETLLLVDNLATGNFDVYQIADNSPLRSLPI GSTRRFSKQCAFFEGSKIAVCGSDTNKVFIVDVANNYVVQTLTTCRGTYMTQTVCVTP SSSRNVFVAAACRGYVYIWEKSTPQVQVNVCADDPPPSRYRRVGSAILPYLGFAVAGT VGHWLPHAWKLGQDIIMKTADYLIQNGADRTMELPREAVDKIFEMAAAASRALDMSSA LVSSRTLDMAAIATTTYTAATATATMTSPSATSLFDMAAAAAGH JR316_0010142 MSQPSRSRSNSKSSVTGATPIYTAMLSREHYIKAVSARSRKNAG KSASRQRRSPEAGDASMVAGPSRFQEVDDDDMDQSFESNSTALVTNTLTSNKTQAAGS IQRAYTAPMVSSFAKNREVSTEGPSTEIANVSVDAGRDNLMTRRPPIQVANVNAILAI LPGRNELFPAVQHNRSIANGSYAASTNVQNDRGITNQQSGVDAGIDQVIISQVREVQA DRERIAALERGLTEQGETFKWEIDKASKMYTELLKEKVAQENLYLETKRENDRLKELE ETVLGFAKCTICYEFMEQICV JR316_0010143 MKRVMRNVRQFLDTEAQNGTLKASCPECCDRLDLTVFTDAFIND GAEVDGEDHIGSMAFHRPEDDSEEDSFNQLLARLEAQAKGPRQPRPVIRLEEEDRITM LQEKIARLPLENDYPLWRVGCRIGSEDAAVLSLLQTAREIHHIRSAFTRGSIRGSIYV EGIMDPALVNLLLSTPGILRNHLGVKREIVDRNHQHELLTMRDVKKDFEVGTWVLVKK GIYKGDVGLISATFSWGAQVLLIPRLNSQSAKSQKRKSSVLVPPAKLFDPEEARKLIS TPIIRNADGSYTLGLLKFDHGLLEKDFDYTSIANSVMDIPYSHFSMFRSTNHPDIMRA RMPRPREWCLGLEEEVLFRTPDVANRSAKWEPAVLKKLDTYDVEAEQSTIEGEREIRY SVRGTWLDILKSPKIGQFVRVVSGPYFDHRGWVVGIHGDHALITKSSVHGRISIVETN AETSSTPTNGKEQYPVPTGNDDLRKGSAETDLGTAVEAQMMPGGIMVHSDIALTLDES AATSDEEEMSMPGGSSCVTGVGKPTAAQESYNQGAVVSTTAASNQFNSGVGDDNKVEI VEHFAVHVNLLDTSFTEPLPLVDVTLLSESDPVHTKFLRHPWTGLEVIIQKHLHPRKG ETGQIKDVLHHTDNAGLQLVIQLTRFNPFAPFQTIVVDYDDVVELSTFNELVLFLDPG PKFFRPIPKSSMKHVRVLPGVPQTIASVSGTPMYSEPTATPAWDPSSRTPIGTPQSIT PAWDPSSRTPDPTAHSPTSLALSDVSTSVSSSSETNCHTTSSSVCEHVLLNPKLVDIS LNVVVNGGQFSNKTLVASTVWDANNLVLRCKKYSSWTMVDPAWVTPKYANRIHDNGPL VVIKGEHCGKFVRRIHHEGTSDNPTVLVAVVTRSKDRVDVLTGERFILSTDFLCSVPE SKKDRDLNSNVMTQLKDQYKKKIL JR316_0010144 MDDASMENLSLPGAFNSSPTEQMDITSSDDVTRNHPGPSQTPQS PTEQMDITSSDDDARNHPGPSQTPQLQSQILKPQESGKRKRSDHEEISSRKLIAVEPE YLQELEFAVDELTKKLKSKDKEIKRLQSIEPSVNDLKDAEIRHLRVVEQKVETLIIGK IYISKEKSASDGAYKTLQSQFEDYKRVRFPGFMLSYLRLSIEQDVDRQHKEASRAKEH EMSELEAKFKQQIEELQIHHDRTVEELNKKVESQSLQISSLVEDSLEESETAKILLNE PNGEGSNILEEDTSHIKELEDSNMAFTEQITAYEAQIAKLNADLEEQKAESSKLTHAV QELEGQSVDGISKQERITELEALVRAKTTELEELKHSTSHAQDLENRINELQARLGEF EREREGQSIDGISKQECITELEALVRAKTTELEELKHSTSHAQDLENRINELQGSLQE SDSEREKLIQAQQSSSHRKNVLASELQTVREKRSEQIKTLTAQVQLLENELSGNQTAL KDQDQELERLRAASTQRRTADEAEIAKLNANLKALKDSQQSSADRGALDADHIQKLEA QLTAQTNRVQQLEIMNAASIENASAYETRVKQLESDLEAQKAKSSKLTRAVQELEGQS VDGISKQERITELEALVRAKTTELEELKHSTSHAQDLENRINELQACLGEFEREREGQ SIDGISKQERITELEALVRAKTTELEELKHSTSHAQDLENRINELQARLQESDSEREK LIQAQQSSSHRENVLASELQTVREKRSEQIKTLTAQVQLLENELSGNQTALKDQGQEL ERLRAASTQRRTADEAEIAKLNADLKDQKAESSRLTRAVQELEGQLTDGIDRENRIVQ LNNDLDAKTAELKKSREESTSHAQQLENRIGDLQARLQDHRENVFASELQTVREKRSE QIKTLNARVRDLEKELEQAATNSRDSLSKERERYQQMSVEFQKQQAALSQTTEDLSTV KAALEKEFEDHGNTKSLLELLQSDLVDSQKAISILNSQLEATMADHIEFGEEAQDSDS AMDPDAPESTPSATGKSQKAAGKRRQMSMMPDTTLYTLMNHRNGDLQVNMEPENSAER PTFSNFFNQTEFTPTLSTSANRSSPVRDGDLASSSNPGPSIPNRFSKPGHYRRGRATH QSPPPPSSAIPRSGRDDVRGVEASTAFHADTPATVADPSVVPPHSVFSSTPPVTPVPP VASVSAAERAFQERILNTLSTLSSDVRGVVSDVQELRSNANTQPATPRRRIPNRKPYS PYKQAGPNASRQLQQYLLGISEDDDIFIMDSIHIASPAEVDKFENSLRDPPPLEPLQL HFDQVKVKWNAYLADLFAEQFLRLHSNLNATADQIKEHFMARVQMFREKLISIVPRPG ETSEQCFDRVREERLNANRRKRRRTRQRNKLYVDRYSNCIDAGRHDIADVVLTLGKDG MSEDETDGEDSTVVLLTQWRNPKLLTPLKIADSLRPATSVNGTRRPGSRPLKRRRLQS AREGYQPAPDQRPENYYYSSWRACLSCYDIALLKMKDAKPFFGE JR316_0010145 MPVTREGHDVDNHSDDNDYQNQKQMIEAKLKELISMMRAVFCDT HGRRENDDEDGKNSEQDEQDEDLDPWPANSKRSRMRGASRSPNKLRGSDSD JR316_0010146 MKAQIASRLWHAELPNQIRENFSAETMFECNLAVEVILQAFENQ EYTTWDAEEYLTHLSARCTGQNFTVEARLKDKFSPVHSALQYQTLPGTVVDSAGNILV WYLPGILSETRVESMWNSLRDIETMIHKAVPLATSWRVNDSYFRHEPGWVQPGNINFS PAWFQQGHETSNPLEVSLDLCNPIGQEFIRDTTTSSALLGAILSIIHPEQYRAGMKFL QRLAAEPELVHKAEILKQILTIWSSPFGVMTVISNRDTPYHRDNGSCYSWYDFLMPLG KGEHGRLELPGLGLRYKYDPMTLVAITGRLLQHGAVCDGDRAVIVYYMRRTVFEELGV QEAGWSTTYDLFANLPATNAFDFEI JR316_0010147 MHLPRLFKPPVEDEHHLLTYDERRALAKVHVHICGARIRAGYRL FIANGDSVSSVRRQKWMYLLDLEVAILLRVLGYCYVNGFQVDIPFFISEILNQSVHAV LNRTPHHRAVLFESLNQSWSAWEDDEILNQTKSWGYWWRDGFAEGDEWQVAFVTVESQ AREFWNKVVLPEYQQEVLRLNNQRKEAKEGASSSTSGPPRNQENNPKGKGKAAERTSV PTSGKHGSALTGKHGSAFSPPTGKPNPPTRVANTRDETSPIAISDHRPPYCPRCGQPI LTGVMRELAHLRRNVADKMKNAHKAVTTSSAALGRYSVLEKMWIDSKELPFSNGKGLS AKYKFQHPVHPSPDSWGAITAQARSFEVSKLKVASFYINDIFYSFIAVKELPFHPLWY HSPPSNTANIHLPTDTLPTPGSVSPPSNAHTSNAFLFNARSRPVFPGEEDDIDSTSDT TESSTPATFLEHLAQDFEEEADEESSAGDTTEESDASGNSEELSEDVSETPWDEES JR316_0010148 MGFKKVPDRGSDEEFPNVREASWSSGAKKRKRGRPRIHKLPAES SSTNLPQTTTYSFQNHEHNILEEEIPHYPGDLTDQQVLDNLRQLDDDRTGGKSQNDYL REWLPKREVYLGTMIGGEAPDPDLNGQCQQCQGMSGVWRCCQCFGSRILCSECLRRNH QFTPFHRVEKWTGLYFRPGALWEVGVKLYLGHNGKRCPYPTDPSHLGWDGGNNSSGSH GHGGNNSPVFEDEHGLDLDPLPASNDQESFTAQLLADPEVPHLVELDDGDDEDDDLFE EVDTTYLDQPRPKAADNNGIPFKAIVHTSGVHYLPVRTCTCRSVRLPSIDLQYLEMGL FAASFENVQTVFTVEVLEDFRMDNLECKTSAYQYYQKLRRLTSPAFPKKVLNRYRELR RLSREYRDLVLRRQYGEGHTREAVVPYYRHCHDSSPERMGINVSDPIDGGSDSPSQEV GMSTDPSLGHGGLDGPPVPQMTRTEDHPEVEDRRGKLALFCPACPQPGINLPDTWIDD ADSNLYIRSYVADGNFKADHLNQKNEGDDVWLSVGEGYMTAPGPYKEHIKEAISLAPR YKREQTCHNYHAQKAENRVSPGKRVRGIGAHACARHGCFCPSSVVDFDKGEKQMHMDW SLTQARETTNTQGITKHLEIYDINCQYCVNLARRLSESTKMHWPPSVKMIFAIGLFHV HGHKTECLYNYASTYVPGVGIIDGEILEPLWSVLNDTSRSTRSATTAHRAEVLDDHMG DSNWKKTINMAATIAAKFKRAREQSGITDRFYRGITDQQDSGLINTWEDEISKAEADR EQGVADAVGKVMASKVKTAAGRQEIELHLSNMELTSNGATGKAAWISSGLKLEQAQLE LRDHVRKLGKHPSTAQKLDLVNKRRSMRTRVEAFCRSAMTFMGEDVLEDIQGDIAPIL DYEVSDNDDPDLGNVNITRADPERQPLPFPSAVKQDFFDGLDAGTNLILKGLRKLELQ IRHGHAEDCLEAVRSALIQLSWQYKYQVRTADSVYMGTRAWDGVKLLNASWKLHRRLY NTNRQKMIYLSAGVRDEDNIRKQYPILQVHDCKHSNAVSDPNIRGGSSDRLSWIWRSR QGLDNDNQLYVNEFFRLNWLRARAQRNRWQEELALTKKEMEWTVRFYVYMAKTWRARH DFVPDRLVGHRANAQKQIAMWNDLGRAADKVFRQINPEYPSVWRFIITD JR316_0010149 MPTNASPDADPLPSTANATTHNGPRNGQTAEGDFPPEESNIWTT KEMRVLKKHVQPYKDTSKSSKADYIQNTVIPELQATWDHRYSRRARKEDKQLHKEWKV KKHRIFNWFRNHASNRIGVKLEGLNSRITFQTVFREEKSAEIDSEVALLSGNAARRSK DWMKFYQQGRKQVEARLTQEERDRFMEILEEWNKKGVSKSMKAKTAARQGRKILRQME KLKWQRMGMRSITFEGHYDIEGKIEYSMTQTSDLALDDVRIPSFGQLFPSELAAFRRA FVQYLVHISEIEKGVANPALPDASFHEKSLKFSSNGFPIVPSPIYGSTGREVAYAQKS IIRIYMNKVYALAKDRPGSSVPWDALERRSAEMIDPEYWPSSIPVTDPSRLRLESTSA ILKLWRDRQAQGDIPFKFSKVFGNGYDIMEPLYPSNLFDGLEAHPIPPPPAAITKRRL RQKAIRLQTQSSSDSESSNLSEFDNGRGSPASAMPRTTARFEVTPETDETPTDAPSRS SEPPSLPSRSSPTIVNPSSSPTPEVEEAPPKPARKIMPRKRTKPYVGTAEMDGPDEES SVPPPVKPKPTRRIQPRKRTKPYSDPLDTVEEDGVQTGTTQDTDNTRAGRDVTCDAFV ETVTSPDTDETRARRNVTSDALALQEASLLAVAGKRQRKKTLKA JR316_0010150 MASSSSTTATFAQRLADWEKTFTECYRNGESAFNAQLEQLYRDL VPLCQEHVRDAANFRLVDYVASPVVYSYKTSQGKDGKQVARFEVDWANLHHQVANFKA YQQGQEAQRKRREEEEQEKRREKEEQEEEERQRVEERRKREVRRKREEKKKREEEEER QREEEERQREEERRKREEEKQKVEERRKEERRKREQERKTREQERQKAEERRKREQEQ EQETDEERNKEETEKRRAEKGKGKAVEPPVEDGPVTDAHKDKGKRKAADPMESIQLAP ADYRGPRTRKGEIIPHITASNMPGHPAYREKLERLAKSKQGKFKSKAIIGSHTDEDAD ADVDEDDEGDDQEAPPTTPTRKMLTRSAKKDANQDNIPPIRKARSRSEKARQVPEGMV DMVERCTGCTKFKVPCHVKGETGTEPLVPVKHQSCESCKSRKIHCSFYPGRFYPGRNT VAGQFNLSTPLGSYREVLKLEEGEDVPAKGKAGEGSFPEDVGELLVQLFERQGRLMER MDGLSASMTAINARIATFAETNLAVEKRMKTVEDSFQELKAEWTTAKEQVAGNTSLSV TMFNNIKQAIQDVQYVVGVLLEQDEQRNPAPKQAAEPSKTEVEQESGPSRTREPTSAP QSPSPPPPPAPLPSPPPPPAAPILPSPPPPPPAPVLPSPPPPPPAPVFPAVSAPPTEL FLPGSTPEAPSPPPAGRPSLPPVPPVLSLSPPPPLPAPRPRSSTSKAAPLSKGAPSSK AAPSSSSKAGPSSKAKPLSKAKPSSKAGPSGNGHSSELSDPSDSDEVEIVEEDVEIVA STLPASNIATKTRAGRKRKAETTLAEASRSPKKPKAQKK JR316_0010151 MSSNISNSKESKRTARTDDLAPPPMPVIPDNLAPPPMPVIPANL APPPMPVISSNLAPPPMPVIRKDLAPPPMPVIPANLAPPPMPVIPANLAPPPMPVIPA NLAPPPMPVIRKDLAPPPMPVIPASLAPPPMPVIRKDLAPPPMPVIPASLAPPPMPVI PANLAPPPMPVIRNDTAPPPMPVIRNDLAPPPMPIIPNNLAPPPMPVIPPTLDPPPMP VIPDTLDPPPMPVIRDDAAPPLMAAHVSYTSVVDKIIMDSRPAISSERKQGRYSPPPE ACHLLLQNPYFRTYGRFDPMGGYPGSPPTQSDIEFENVTDQTAYFNPPFLYNYTEQQL RATYDQIIDSSVGDSSYDGKVTTFKNLRLHQLQSEILTYNALEEIDAGLAGIESSLEK HLYTGRDE JR316_0010152 MSAHLLSRTLTIPTDISGWLPLHLGYFYNCFTSVSRRSGTEKTR ALSSMTLSNIFGVLDYLSWSLFTAAFDEERSDSLVRNIAMHNSVDVFSMGESAPWAAI QQHFFSKVSSHPAWLAPSADIFTGLIIASLIVLIFVAVFLLREWMSQNARLGVFEEEE LPDLPPVYAPPPQPQLQHQPRLVRHFAPLDPASLNLERGGNAPLSMSVRSRLYVWRQC VRIWTQTSPWTPTDGEQTPK JR316_0010153 MHRPPSPVITNTTLHTPAPTHNLTLIRTAAELIELDVKLRSTHP GLKIPSLPIDPAGLPLARGFMRVRLDEVRQKMDAEEQARDVFRWNGQIPVSEVLYSIH LAEIVLADACMYGLIDTGG JR316_0010154 MYHRRLADKQTGKTSIGNIFIAGLGAGVTEAVAIVTPMEVVKIP LQAQQHSLADPLEAPRYRNAGHAVYTIIREEGISTLYRGVSLTPLRQATNQGANFTAY QEIKKLAHKYQPDLVELPSYQHMMIGLISGAMGPFSNAPIDTIKTRLQKAKATPGQSS FQRIFAIAADMWKMEGVRSFYKGITPACCAWHPGRRSCSRFMNA JR316_0010155 MDTFLDTEPVLGEFTEEDLEQEQDTYQKPQTDADRTDGEGYETN TAPSQSRSSSIRMVQSQSQLQQGQGQD JR316_0010156 MSTIVSNIFSTIVSFVNGIKYGFASGCEIVEWLCTYGGPAFGAI ARYINKNSEAPVVLRNRSGGKLISDMEAQHSPANDADFEELIRVRQEIKTLKKILDNR SARTRPGTSEAHEIQLDRFELERKKVLNDIAIEAQIRATYPARALYLAMCETYDVAPV RTD JR316_0010157 MSQMYGNGKEMEDTDQVDPGFISFFTKLPSKSPELGTLRLFLRT SNDQYYAAYGPDAYYVAQNVYHTNSVIKYLGAGGRSSGLASVSMKVSVAHMLLRDALT TKQLRVEIWVPDAGQGKKSVKFRLDKEASPGNLQAVEDLLFVNSDFVSAPIVMAIKLA TAPLAESTHTKVRSVGVAFADTTSREIGVSDFIDNELFSNLESLVIQLSVKEAVIPTG TISGTTDRDIDLNKLRAVLDRCGVVVTERKPSEFTVKTLSHDLPQLLKSHVGPSSTAD SSTTISQLTLPTAPSALSALLLYLSLLNDTSNHGMYSIKTHDLAQYMKLDASALRALN LVEGPNKLTSNQNTTLFGVLDKCKTAQGSRLLGTWLKQPLINLHEICKRQNLVQIFAN DTNSRRTLQDEYMKAMPDLLRICKRFLKSIATLEDVVRVYQVVLKIPGMIESLGGIQS DDEQDSVLLEEVYLNGIKECESSLEKYGEMVEQTLDLKELDNHNYLIKPDYDPRLQEL AEKLAEIRDGLDEEHRKTGEELDLELDKKLHLENNQDAKNLPKRYIELGTNKSGVYFT TSRLKQHAEDFKEASAAYAKTQHGVVKQVIEIAATYTPVLETLNTILAHLDVILSFAH VAVNNQYVKPEVMKQGSGSLVLNEARHPCLELQEGIAFIPNDVEMIKDKSEFQIITGP NMGGKSTYIRQVGVIVLMAQIGSFVPCASAQIPVFDSILCRVGAGDSQLKGVSTFMAE MLETATILRSATRDSLVIIDELGRGTSTYDGFGLAWAISEYIASQIHAFCMFATHFHE LTSLDQQIPHVKNLHVVAHVTESDKGTVDQDIALLYKVEPGISDQSFGIHVAELANFP QDVIKLAKKNAQELEDYNDSEPTKEFSPDVVNSGISIMEDFFRKWSSDNGENGDEDVN MDDDDDDEVQLNNLKKHVNEIRPLIQENPWLQSIITAL JR316_0010158 MAKFKKGKGAKGARKPKDDRTDLIEKIDMSNERLFAYYKAQNIV PEEEWDVFVDALRQHLPTTFRVAGSRQVANSLNSIIKDTHVPSLSNVTFEGQRIPPPV QIPWYPDGLAWHFNVPKKVLRRSPEFKKFHSFLVFETEVGNISRQEAVSMLPPLLLEV EPHHLAMDMCAAPGSKTAQILEALHAQDTATSTSIPSGLLLANDSDNKRTHLLIHQSA RLPSPALMVTNLDASNYPSIKIKTPTRAGGSRTVPLLFDRILCDVPCSGDGTIRKNMG IWKSWQPMDGNGLHGLQVRILLRAMNLLKDNGRIVYSTCSLNPVENEAVIAEALAANP AFELVDVSSKLPELKRRPGLSTWHPSADRVSATTYATYEEFMNSSTDSTIKAKMTPGH WPPPNAESLHLDRTMRIYPHLQDTGGFYVAVLQKTQKATGQSERKREADDAEEEPESK RLKTDEDPQIEDEALPAPMDVPQDEVKEDEVEEPSVAEEVDMLPESIESETTTPAPEV QTEVNPEINQNRRKKKSQDGGGFKENPYTFLKPDDPILLNCIERLHINSDFPSSNVLV RNPEGDAARSLYLANDIVKNVIVNNNYERIRLTAAGTKVFAKQEAGKGGEAQFRVLGE GLPVILPYVDPATIIKGDMVSLKTLVESYYPLCSNFVDEFREAVEARPTGSHIVRFPL GQHDGTNLTHDLMLPIWKSNVSLTLMIDKKAKSALSLRLFGADITTAGKEGRTNVTQK AGPDESVATAEESEEL JR316_0010159 MVHQTALSRYGGWLPKSRKIHKAFIDEQVKRAKLRPVRNVEELE PSVAAFKNAIDSDPVMKSLMTKIFEQVSPENLIPDFDSLVTMMNNVIGEAPKFQILPG LESEPIGVPMYLLFDLLSNTGAAYDLFRMPAFNIALKNVLDAWGEYLTTDASNSTLTD KPEGWFGKIALKSLEDGRGDFNSTYITPDPEAVNRGYQSWDEFFTRKLQPNVRPIDDP LNNCLIHSACESTPYRIPSPSESVKAHDQFWLKGQPYSLWDMFNFGPGHNDERQFYVE KFVGGKVYQAFLSPQDYHRWHSPVNGTIVKTFTLPGTYYAVLPDNGAEPDDPSLEERD PHGALIRSQAWLTMNAARAIIFIEADNPDIGLLCFIGVGMAEVSTCDVTVKDQQQVKI GDELGMFHFGGSSHALIFGPHVNITFFDDVVIDQHLWVNSIIAGVEPRK JR316_0010160 MSNFDVDIGAMDKVIFRLQGIVSDKMLPPMAKPALTVKQQPYLR TAIAITGLGDIVFNKVMEKLEEVFLRFANNFPADSVSGYDSVLHKNTGFNVFHAHRQY FTKVSAYQDKSDNIGFHPLVDPDNVLASMVGDSFIHAIDNKVQFLRREILPDGTARYY SYNPASIRIGDIVKISVAFVAFPAQGNKYKFVVALRGILVLDQEAREKADILRMRSRY TPAKRQVAVLCRTKRQLYKGQIDIEDTQQRMAHMRLNEDTVHNSNTMSQD JR316_0010161 MASALSPRFQQVFPPPIDDVYMDQMDLKTLYRFSWTCKKLNDRV SGYMCRAFRPKNLFAPIFKPNEHLLFRLLQFKTGLVISGSTVLHFTFFSGPTQSMA JR316_0010162 MDKIFVQASSKNEVKDFDHLLRLLNEIVDAAPEFCVVPGREGEP IGVPMYVLFDLLSNTGAAYDLFRMTAFNAALKNLLKHGVPISSPMVQIIPSRPSLMVG LGSLASDHLNKTAATLMQTILPLILLLSTADTSPGTNSSLGNFGTAFALLRNRRIPTR LSSTMPASPLLITYHRHQTVLRRMISSGQPYSLWDMFDIGPDREYYVKKFEGGKVYQA FLSPQDYHRWHSPIHGTIVKTFILPGTYYAALPDEGVKKDNGERSYAGALIRSQAWLT THATRAIIFIEADDTDIGLVCFIGVGMAEVSTCDVTVKEQQKVKPGDELGMFHFGGSS HTLIFGPNVNIKFFASVNKHAWINSPIAIVTKKPNADN JR316_0010163 MPALASQSQIKKIDLSARDGPSDADVVLVPFPKNTVGVIFGQMI AEWPQRFNTYLTDSDTNFVEDPQVLWDANKDGSRFNVTAVQPTSAKPLDPNVFSLGPY TEDRYIAIYCSHKAPGDSSFKPSEPKYTFESFQIGGKNAITFTMVHAEDGGDTDFHDT VVGVSVN JR316_0010164 MSLSSEALVKRINLSSCNGPSNATVVVVPLPKKTVGVIFGQMIA EWPQRFNTYLLDVNDNIVMDPQVLWDARSDGSRFNVTEIVPQSAMPMDSHVLSLGPYN EDRNIAIYCSHKKAGQSSYTQSDPRHTFIGGKNAITFTMVKPVIFQQFGPIIDCSYLQ INAEDGGDTDYHDTVVGVAVNFMTK JR316_0010165 MAPEIQTTITPHSQKPYVSRTYPSAEELDKIIHHATVAQKDWKK VPVEERIAIGRKFMEEFRKMSDEIPLELSLQMGRPVSQGAGEIRGFLERSEYMLNIAA SSLADVTLESTDKPGFRRYIKRVPLGVVLVIAPWNFPYLVSVNSVLPAIIAGNAVLLK PSPQTPLTAERFALALTRAGVPEHIIQVVHMSPELTTRAINNPAVDFVSFTGSVQGGA SVSKTAANAVGFKGVALELGGKDPAYVRADANLDYTVPELVDGAFFNSGQSCCAVERI YVHEAIYDTFVEKFVEHTKPYRLGDPTLKETNLGPVVSLASAERIRKQVADAVAAGAK NLLPESFFPQAKTGTTYVAPQVLVDVDHTMDVMKEETFGPVVGIQKVSSDDEAIKLMN DSRYGLTASIWTNANDNPDSEKAFLQILEELETGTVFLNRCDYLDPALAWCGVKDSGR GVSLSKFGYDQLTRAQSVHMKIKTSSYISRQVLDSSLDSQN JR316_0010166 MAAPNVYRPTGFDFTNELRNNFLTQKGLPLPKATSTGTTIVGCL FKDGIVLGADTRATEGPIVADKNCEKIHYITESIRCCGAGTAADTEFTTALISSNMEL HALQTGRKPRVVTAMTMLKQMLFRYQGHVGAALVLGGVDATGPHLFTIHPHGSTDKLP YVTMGSGSLAAMAVFESGWKPNMEREEALRLVKAAIAAGIFNDLGSGSNIDACIITEN NTEMLRNVEMPNQRVQKERDYKFRRGTTAWKKEDVRKFVVDEQTTLIGGGDEMDTS JR316_0010167 MTGSDFERLPGHIQRAIDNAFNKAINPNNSSKFLPISNTASSNP VSTSLGNDDGGGGGFLVDDNEDVPEDIDVEPSTSNSSDDLIPLDLIPTALQFLDLPPD DEEILGVLRNAASGWSSSSSLQTPKPLDPQTRFVSREDWRSVCAVLLENRESDDDDDL SENAQQRDEDEDGDTFMDDDFENSVSSGGGGDSDEEYQGERRRSTRTRRSKTSQQRTD GLSPEHSEAPKKLTKRQYQTCLATYALFFPDIPNEELLKKRILVSDLQRVSNLLGEKT KAEEIIMRQMVEMLEMFSTSKDKAMSFDDFTRMMITAKLA JR316_0010168 MSSEKVDEKHGTESNASHSQTEGSEIDIYSFHEKRAGRLIIDPA EAKIELGEAVAAKLKLSADGTKVLWPQPTDSDLDPQNWSDRRKTLLLIIITLAAIVPD FDSGIGIAAIFALAVQYNTTTGVINNLTSKTAPQVTGLYIVTDLYPFHLQARKLNIWT MGFLVSPFLSPFAFGFLVARTTWRWAYGIGSIYSAIVVVLIALFMEETMYDRTLKPIP LPTSTGLRLRIENLVGITGMRMQKYRISWFESVVSPLRLVTNAVFLGSPPPFGYGFSE FAVAGGYGTPIVSVILGELVGRYTNDWIMNASIRRNKGVFEAESRLWACYIAIPLYIC GFVTLGASFQKHLSVGALVMGWGIAEFSVMINTVAIYAYCNDAFPKHQGEISALINLA RTLGGFSVAYFQVPWALKHGAIQTFGCEAAIVTGLFLLFIPILQYKGSSLRAKYSLH JR316_0010169 MLPHDLCSKIQNAFRARHQKLAVNHSTQNLGILNILLRAGFISS ITRGTINGPNPAEFNAAKESERRIWADLKYRDDQPVLSNMELISLPSRRVFMDLAGIR AICSGRRNKQIPPLGMGEVAMVKTQDKEHEWLEAREALQMKIPGEVICRAR JR316_0010170 MSFSCASTPIFFSEQIGEHLSFTYTDREAALNFPSHEGANVPSA SSQHPAAPFGIDTSPTIPPRRHSARHREPGHIPRPRNAFIFFRSWYVDSMRASKEVQQ HELSKQAGKVWKNMSDEEKKPFLRFAAIEKQEHYAMYPDYVYSPSAKVGAKSAAVKSR GSYEFSAYDVSRMASPSDTMDISFSIASWYHTEEEEFHNIKQEAPSPFHAHLDYVPSQ AAYLQHAHDLVPSYIPTLPCTSDGLFSQSPEIMQFGISASFSSQRTDAGHPTASSLTC DNPYPSADYLALMKHTMSERKLEGNNLMQQYIDEVLAETAANFVGKDDA JR316_0010171 MSTVNITSTTNSQHSVAEDLQKSRTAHPPTYILPDPLRNWPYER AINPYFERAKAESAAWTKSFGQFSPAAQAAFDDGVFSLSAALGYPTASPYILRSACDI MQAYFILDDNTDAVNAEVARLHCNAVMEAVVNPDVPRPEGEPIIGEITRQCWKRASVG APNAAKERFVKTWLAYVETIYLQANRRDKSYICTIDEYIATRRDNIGTYPTFFFIEMS LGVDIPHHIMDHPTIVRLNRDTIDLTILGNDMYSYKKEVLAGDASYNAVTIVMHNLRL SLDEAIQWISDLQDDLVINFLKLREDVVNKRNFPTYGAEMDRQIVSYIDGLGQWMRGV TDWHFECGRYFGDEGLEIQRTRKVVIA JR316_0010172 MSSTYAHYQGPSSLPTDYAIVSSLNNRHRIHDDDDQNDNGDELS RTVVPDSVLTPSPTQRRPSFRASGPYYSQNTRPRNPTIGSYPRDDSESSSSALPDEST PLLLGGANPPIPRVEESIDRNPLADDESAMKMFWEELWILLKYATPVFGTHVLEYSLV IVSVVSIGHISTTALAAISLGSMTASVSGFSILQGFASALDTLLPAAWTSDSPQLVGL WAQRMTVVMFSTLIPMFLIWFNAESILLLLKQDPEVASLAAVYLRWISLGLPGLFTVP TRIVLIAAPVNALLNWLLVWGPPPFRLGFIGAPIASALSFNLISLLSLLYAILYTPLS PTITTRNGETISVKTAWHPLSARMFSGLGVLWSLGLSGVGQTASEWWAWELVALAASL LGPVALATQSVLLVSSSTTFQAPFAVSVATAVRIGNLLGECKAKRAGVAAKTAMVMAL LIGVFMRYTSQARLSPTPTEVNANITTLTTEVVQLTADIIPLLSLFQVFDGTSAIGSG VLRARGKQFLGALLNLSAYYVFGIPLGIYLTFWHDMGLHGLWIGLTFSLVYCALCETY IVLRTDWDREVGRAQRRVKDGEAGKKGMEAREGGEGVDRV JR316_0010174 MSTVNVPYTTTQAGSATSITGDLPKYPSQTTHPLTYILPDPLRN WPYERIINPHFERVKAESAAWTKSFEQFSPSVQAAFDEGVFSILRDGCDLMQIYFILD DNTDAVNAEVARLHCNAVMEAVINPETPRPEGEPIIGEITRQFWKRASANSPKAAKER FIKTWIAYVESIYLQANRRDKSYICTIDEYIATRRDNIGTYPTFFFTEMSLGVDIPHH IMEHPIIVRMARDIIDLTTLGNDMYSYKKEVLAGDTGYNAVTVVMHNLRLSVDEAIQW ISNLQDDLVADFLTLREDVVNKRSFSTYGAEMDRQIESYIDGLGQWMRGVTDWHFECG RYFGTAEGTEIQRTRKVVIS JR316_0010175 MRTIFASLLLGSTILSASGAIISGIDQLTQKSYDFIVVGGGNAG AVVASRLSENSTFKVLLIEAGPTNVGVLNAIVPGLFGPLQRSIYDWNYTTVPGTGINN RTLNYPRGHILGGSSSINGMAYTRGSKDDYDRWAKVTGDSGWSWNSLLPYFFKGETYI QSIYDGNGVGPVIDPSAHGSNGTVFTSSPISSKPIDPRVIKVTEELPLLFPFLKDMNA GVPLGVGWNQATIGNGTRSSSATAYLSESTIGRTNLDVLLNTKVTRVLPGSSKGSFNF VEIEGPQHSNSSSTTLKANKEIILSAGNVNTPQILLNSGIGDKDELAKLNIPSVLNLP SVGKNLTDQPNLSIQFSVNSNGFWDTINTNATLQALALAQWNQNRTGPYASPFTNFLI WSRLPSDSPIIAQYGDPSAGPNTPHIELFPLSASSSATQPGLFGSMAAIIVSPSSRGS VTLNSADRFGQPNIDLGYYTSEFDINAMVQAIKLAQQFYNTTAFKGYINQQLNPPVGS TDEQLKAYIRGATTSVWHGIGTASMSPKGANYGVVDPDLRVKSATGLRIVDASVIPLI PVAHTQAPVYVVAERASDLIKKAWN JR316_0010176 MTSQQNHPLNSSGISYGTHQQDIWAPQSINHTFSAEDDMLRTGI PSQNLSSAPPNREASPIGRPMYLSSGPLAGRTVRMEIQEIQQAELGRRYGKIDRRPID PPPVVLLRIFEVRNAGTNRQQERELEYDTPLTIGLICTVDLFPVPRPGESSINHTLEN PTLSEGTFGFDSPSLMQSSSNDSRIMYGLHHGSDYRADPGVPSHADEVLHYINGYPIT ERSKQTQALAGNTFVQPILAEYGGRDSLLFVFNDLSVKLEGYFILRYRVFDIYSQEYV GDTTTRSVMSECYGGIFRMYPSKTFPGLPPSTELTKHLARYNGTRVNVRNEERHRRL JR316_0010177 MSPYVAASCDSDEDIKQAPTHTCSPSLITVGQSLPEPFNGLEQL HPVIREFQELIENDADIYMSFNQMFEQVWLQPPYDKDPTGKPQIRDYVTMLRLFNEII RKAPVFESNDFVGFPINTILDWPMATPAGYRAFTNTKVNAMFQKMFKVWAAFLVSPES CSVLTDTSNGWFGPAAMQAMPNFAETFVCKPSAPYYGFTSWDDFFTRVFRPGIRPVAS TEDDSVITSACESTVYKIAYNIKKRDAFWLKGEPYSLSHMLDNDPLAKHFVGGTICQA FLHALNYHRWAAPVSGQVVKTVNIPGTYYAKSPIMGFIDGKGPDPNDPNLNQAFITSL ATRALIFIEASNPSIGLMCFVAVGMGEVSTCEVTVKQGQEVKKGDELGMFRYGGSTHC LIFRPETKLQFHADYKVNAAVKLNVAIAQVVS JR316_0010178 MSRRAAIVEEFDDDTDLPLPSFPLPNTGSRGPLLEELHISDDEF EPRGSQKAGPASPTRYQPRTESTSRGSDPTSNINRVTDITPYKNWSCIYPIYIDAKRP YGRGQRRIERAKSLWFPLSKDIADAASHLGLQTLHEVNKAHPRDWENPGRVRVQWKKD GRLVNPAVKTKKQLLEMICFYLQRVNPENIPKPPYIMSTTTPTATTPVSAKASTTPAL TPSVPPSSKEKGKQPVASTLSKTKMLSSPPTVRSGGRKLPVPPEPLPDLANRVSAYSP ALSTGVLIETVKAGMNATDTAGAGAGVAGAGGPGGGAVGKGKRKVVRVRG JR316_0010179 MASVATWADENRKQMLWSGAMHFVNALEDNPPSTCAFPGPSGWA GKQGSNVLDGIKNTTHLLQKWVNHDESDIVANEALKFLIHFLGDMHQPLHLTGKHRGG NCVAVLFEEQETTLHILWDHFLVARAIRTTSSNYSRPLPLREVEDALRGSTYDPFIRR IVSEGLLGVWADDLKTWFSCPAPNTASKDIVSDVTRITRGLVNVGVEINPDGPIVCPY YWAQPLHELNCELVWPKELESLPYNQPQFESNSAVSSECEKTPPLDLDVSKYADVIER DMVVEKLLAQGGIRLAGLLNYIFANKSQPTLPITWSDDFEEAM JR316_0010180 MSFLGHIDLCKDILTSLIAWYERVKIPPGDVLHVLAVEGHKFVT TFSPIISKAAMQTYISALPLMLSDSMLFKVYRKTNLLNITDLDSNAESKWRSVDIPFD WSTEEHAESVFHSNQSQRLKFSDQSSYSHFGYVVAQASHLQGKISFLDARHGHEIRRS IHTDSIVFGVAFSPDGQQIATVAKKSISIWDLKTSKRIHNLETEITGNSFITYSANGK RVMVGEISGAMEIWEADTGNVVNGFLPHTNIEETWATVAISRDGKLVAMPDEVGIGIF DTDSRKKVGKHVALHLRKYPYEPQEFRFAWAEESRIMVTSSGYSKDCINVHHNYALGD KKDIVPLECPSFWPSKLMLSPDNAYTIAVLHGFVLKGKSADPEIRLWDTFTGDLLYKR STQFSMTSIYFMPDGQEIILQGQVYDGPPARLVKSLPAHMMQDDHSADNNSRMIPAKI EYSRVTNDASLQYASMVDSNGWIWSTSGRRQMWIPYPGYKVHSNLSPGPSRHRSLEIR NPKSEEVVLRFVIELIPEAQ JR316_0010181 MVKVAKPKKICECDECNTKRLQSIFYDASAIMDSVSPEQFETID PAWTQLLTSLGTFASIAEKVAELDSRAKLAVSAMTFAFKIVLEQVHRDAKIEELIRII DDLYRFFLESKPMEKIALFKDTLQRILTQTAECAYFIATYRKLKHFVQRAVVNVVSNA DSMISKFEVAFGELKIELILGTALQTAVVSFRILQKIESVENLLYLNNLPKLKAINWD SGKVCARGTRQNIIDDVVRWASASSPKETAQESRIYLLSGPQGCGKSAIAHTVAQAFH QQGRLGASVFLNGDAGEADSQRVCSSIAFELSGYDPTIRSQMADLLKADHSLAWADIG RQFPDLIVKATRNLLLIGPVLIVLDGLYEGLVPSEQHRLITALSLHCASLPPNFRILM TTRPHDSVMGTLKQAAHCHIHEIGFDDEGSMLDTSSYISQCLRSLFEKKPKLGEHYSA EELHDQFVTRAMGLHLWVSTINQALLSCGDGMEVSILSRVLSLRTPLSKEDTMDDLFR AIIGVIPYPNLAISTILGTFIKSTKPLSLSVLRQVAGDPFKDNECIVDIMRGLGCIIE KGRGREGTLLYGIHPSLEDFLTNDARCGDTGIVINPSLRNRSMAEACLDELNVSLKQN ILCLDNVMALNEEIHDLSERIEKHIPQSLHYACLHWVPHRKRYPA JR316_0010182 MWPKHAVHFIALSFVISCPFAEIIANVSQLSRTTYDFIVVGGGT AGAVVASRLSENLNFKVLLIEAGSSNVGVTKSLVPGLFRDLQKSIYDWNYTTVPGTGI ANRTLDYPRGHILGGTSSINGMAYTRGSRDDYDRWAQVTGDPGWSWDSISPYFFKNEK FLNSVYDGNSGLTPVSDPSAHGFNGSMFTGLPVNAMTIDQRVIQVTQELPSIFPFLKD INSGTPLGVGWNQASIGNGSRSSSATAYLSIAATSRVNLDVLVNTKVTRVFGTLNSFN FVQVADSETILKANKEIILSAGCINTPHILLNSGIGDETELTALNISKILHIPSVGKN LTDQPKVSVQYSVNSNGFWDTINTNTTLQAMAMSLWSQNGTGPYASPFSNFLAWSRLP DDSPVISEFGDPSPGPNAPHIELFPVSASSSATEPGLVGSMAAILLSPKSRGSVTLNP EDPSGPPAVDLGFYTSDFDMSAMVYAIKLAQQFYSAKAFNGYILQQLSPPPNSTVDEL KAYIRTSTTTVYHGVTSASMSAKGASYGVTDPDLRVKGANGLRIVDASVIPFIPAAHI QAPVYAVAERASDLIKATWS JR316_0010183 MSRIWKPAPPPKTGLGVYRVLSPNAGVRVSPIQLGAMSIGDKWG ELGMGSMDKESSFKLLDAYFDNGGNFIDTANNYQDETSELFVGEWAEKRGIRDQLFIA TKYTTNFKARNPSVEQQILYVGNNAKSMHISVEASLKKLRTTYIDLLYVHWWDWDTSV EEVMRSLHTLVLQGKVLYLGVSDTPAWIVAKANQYAKDHALTPFIIYQGAWNIMQRSF EREIIPMARSEGLALAPWNVLAAGKIRTDEEEEKRRQTGEKGRTVMSDQWERTEQEKA VCKALEKVASEVGAKHITAVAIAYLMQKTPYVFPIVGGRKVEHLLANVEALEITLSDE QIKYLESVVAFDPGFPNTMIGDGSAPTVFISSSGRVADRIRLQPIRPSTSNS JR316_0010184 MPSLLDVSQANANYAPSYVPVMVVTGGTAGIGQSMANALARHLH GRIHIIIFGRNKSAAESFLASLPKTEESTYEFLECDLTLMQNVCSLAGQIKERVSRVN FLVHCAAVVGFGGRQETEEGIDIKLALRYYSRWVLTYNLLPLLQNAKGNGESSSVITV LGAGLGPEINLDNLGLKNSYKGLKAMAQSTAYNDLMVAEFSRQNPDISFTHIHPGVVY TGTISNQHLATKILVIPLRPLLWLLTTPRQDCAEYMLYAMLYANKGMNRRNKTGDDIG MLKFPQAENAQTLLWEHTALVTGTDS JR316_0010185 MKGLIALVLLATSSFVAAGPCDIYASGGTPCVAAHSTTRALFNN FSGALYQVRRGSDNATTNISPLSAGGVANATSQDSFCAQTTCLITTIFDQTGRGNHLN QAPPGGFNGPDPNGFDNLASATGAPVILGGHKAYGVFISPGTGYRNNTASGTAKGDAA QGMYAVFDGTHFNGGCCFDYGNAETSSRDTGNGHMEAIYFGSSTTWGTGSGNGPWIMA DLENGLFSGSNVHLNSGDQTINDRFVTAVVKGQPGNWAIRGGNAASGSLTTFWNGPRP SVSGYNPMSKEGAIILGIGGDNSNGAQGTFYEGVMTSGFPSDATENSVQANIVDAGYA TTSLTSGPALTVGSSISFRATTACCTTRFIAHTGSAVNTQVVDSSSNTTLKQQASWSV RTGLGNSACFSFESRDTPGSFIRHFNFVLVVNANDGSKEFSEDATFCPQAGLNGQGNT IKSWNYPTRAFRHFDNNLFAASNGGVHYFDAPAQYNDDASFVISAGFA JR316_0010186 MGSERPFGSAPQTSSDIKATYAPLPLADGSNLLQPQAPFAADND PESPRDSYAAQSSVAGSQTLFPIKNERDDNLENASPVDPYPTPKRMSRKLIVGAIIAL IVIILAIVLSIYFAVVKPRSKSSSSTPAAAASSSIHGSVSPTSTSDSAKPSSSATAEE LWGGDGSTVKASDGSTFTYNNKFGGIWYSDPSDPFKDYAYPNSWTPPLNQSWDFEKDR IFGVNLGGWLVLEPFISPELFQRYPGAVDEWTLSTLMAADTANGGLNQLEEHYKTFIT EQDIAEIAGAGLNWIRLPIPFWAIDTWGDEPFLAKTSWKYFVQALQWCKKYGIRVKLD LHTIPGSHNAYNHSGKMGQINFLYGVMGMANAQRTLNYLRIITQFISQPEYKDVVIIF GIMNEALVSQIGTEEIRAFYVEAYKIIRGITGYGAGNGPYISIHDGFQTLARWPGFLQ GADRVMIDSHPYMAFDGVPALDPIDTGTGPGAGGEWPQRACTRWAGAFNASRNAFGVT VSGEFSNGINDCGLFLRGVRGRTSYGGNCQDWMDSSTWSAGTIAGLLAFSSASMDAFR DYFFWTWKIGESNKGIVEAPLWSYKLGLERGWMPKDPRTVIGACGPPTGPSWGGKFES WMTGGAGAGSVPIQTAQYPWPPAQLADGNVVSQLPLYTSTGTPVTLPMPTFTDSNGQA VSVTANGWFNPNDNGPAPTPIAGCSYPDPWNANGVPIPAGCGAGGLVSRAQITPPPVP RSF JR316_0010187 MEANQDYSVERILEIYKNLVRNTEFVPREAVPSTNATYNALLSE VAEYNDGGKWFIKLCDESATLAEPLILQRKEPEAKVLGLLLDSLIAFYQTWDPIPANA MSHSVLEFINGVLLEQDPNILDMELSTAAKSWPYFLRRKTGISAAFAFGIFPKELNIE LPVYIQAIEDTVQFIDIFNDVLSFHKEYQSGERNNYINSRARVNEKTIVETLQESAED ALAAYSRAKQILMGTEAYLPWKSCLDGYISFHFLAQKRYRLNELGFQTDGSFQDRKYA QERAILGVIHVYHVAIVDNAEQRRIGAHVAVSMSNGLQQITIPDFDDDVSSAPTPFGR SAFGLGNGGFGGGGFGGGGFGGGSGFGGGLDSPNGLNTPMSAFQNAEKSYFSHARGDS SASIDSTGSATTRYASKPGTPFSHSAQPSIATNTVGFSKKPSFASIRNAFKKSNNEPP PMPSLEHSPYPVLKNPFNRSTSSLTQPTLSRGSSATPTTASNPSYARPGTPGSSTNPF ARHPTKSKGGHAYNKSQHSHNGSIFHISDNGSDPSQPYPPSPPPVPRVPSAYGLMYRE DITQDYEDDKVVMDPKTPSDFALHAVFIRFVTSAEGKIDSFLRQPLDQETLLTTIMGP GVDPKFDETLHSLGIIAQKNAKKVIDSIMRWRRSQLESVGSDLIRVHQDSRFRFHEIP NLLNERKALAAIYVMCRALIAVLQMLSKDALGDSLGHILETTTFEQFKRPDRKLQTLS ANHRINSELYATLLGHLANVRFMSVTDLFLAELTPVANGQVSKDLGAKYENLIRGLSH IKIKVWPPEAFEEGAEFMELLSKSYANSHGHLKVAFAETLILLLHPIGKTAQAETNNP QWGKAIELIYPKAKEMMSKPRYWQVVYPLAVTSLCVAPQAYFLKHWQAFFEASISKLK ERPYRTTIMNGLIRIAWTYLYRCQESASTTMTKLETLLKHFFPPNRTNVFPADDHTES LVYIMHFILSRHPEYGRDICLDLMQQPNIASLEQKSSSIGSVLAPERIAIALNAILLS FHNTERDINIPTWPSSSDFSTLPPRSDYVSSSEYINTSTLKPGMAEFIRKCSKALSSI AAFCYNSVGNMSVFDEQWSYVRLSPAFEESNNFVIRRHADGIVTAYPVQSSPHVSLLC TSFQAWPRLLDTQSLAITDAIEMLLRGVVHVEPNVSDSAGRALKRFMADDTNAIQIIS QFNQFLYSPGRICHDPGLKLHIEYSPLLRLWVEVVDDWIKGIVRRGIDAFSQVDQIVQ KCTEIEAASLFLLSHDSPDIYAAGVKIVRFLGTISPLISNIASSSSSNNLYVVDRLQG RRPGLAFLKGHEELLDNSEKSRLEQWQKLKADEVALRIADSINPKDRKIWRHVYPSFL QECIEHASPTLGLLRDAIVATVSRYHPSISYLGGVSNRVPPGLPQRNPLDRDGNKLVM DNKPLIDQWHIWVKILCSTAVPPDVSRPALTKLGRDHSRVPSADVNFERERYLSSRGL FRHLTPFLDSEYTLFRDAAVLCISSFPPDSYPQLLEALSPLTGRQAYEDPRSKLVTTP ALEQSFGLLASRQMHDENRSKSGSSTLLTEHSRRQERLHSAVARIYCLTSHMLHQQRS SAVLANILKFVRNTQTFLSGTDTRDNPSLHRLRRYFCGIVERLFNELATLKDSDRFIP SHMHISLYRLCEEWCQVGPPTESFKKRLNAMQRTVEAGDPNTARERLQKFRQDSASLS HAAVGALTALSYKAFFPPDQAASSPTDRLLPDFIRPLTVANVLDRLSAIMTSSDDANR VKGMNTLRALSTHPELNLDLIHEILRRSIVVTDKADSNNQRFFEVVSDIVCEDHHRFT FSQIVCLGLTNLRHPSGPIRSLAFSMLEAIHHQHSGLLTMSMFEASVASMAPSTYVHA HKSVADFLAGEHPHQAISILAQLGNWLPQLPGEAHDTDVILLLLQSLEFWIPNINLMT DDISAVSREGLSCLYHLTSLTLRYGQSHAEQILVLWTKLVESPQHSNSHATVRFLLEQ AHKVGNMVFITCAANIVASLCQTRPGREVFEDLCSVIEPAHMLPTVDHKVQFPEPQDL KLWEDLDVLFGEHPRVTLGSAQYAWLFLSDVALQRYWEMKTQLPVLLHSVFTHLDHRV PFIRERAHSMLFQLLRSWTPGYDELPDRSTSRSRVSVKEAISVLEKDARDMYWVEESS SEEAVPKMKLLCSRVLGFLEPLAPSLVSQWGSLALTWGTTCSIRATAFRSLQLFRALM PRVKKADFAMLLGRLSNTVAASEENIQAFTSEMFFTINAVAKSGDLDKSLLPQAFWCA CACLSTTVENEFKQTIIYLESLLSRIDLNDAATVELLISHRPKDWQSSPYLQPPLLRG LRSSVVSESTIKVLSMLAKVQDGQLIDPSEGRLRDLYTVSLPWCLHAMDQPENTWKTF AEDIGALASKEKRHSIFKIMTSFAKGHFRTRDDFLRQSVASLREHYGHQDWTKVVTLL LGLVLNEQRWLRVHAMQVLKVLFQHRETRNPVELLGSELLMPLLRLLETDLAPQALDV LEEPMAMSGGGPAAKHVLRMSMHVGTLTAMAESESVTTIFGAPEPSGWCVAQADSLRK TCQRNVMAVFDTCSVPTRPSRIEFEPEVEALASIKTPLAEDLGGLMKNLHDLNNYFND ANSSKLNGSTIPTRRLEARVAAILAKSANPDSVTDTPQTPFLDVFSVGGIDHNEDSDE YSDSDSDTDAFIFDNLPHHRAHNNGARHNYH JR316_0010188 MPGPGNRKQVKSKSATRSHESEGQVRFAGIDNAEGWSAVVNLLC DVFKLPDLTSRKGLKQVHAKFDPLFAKIEHAYKSNPQNYKLRGGIIGIFSKMCVDSML RDRLFEKGVLGMITPLLEIDDTRHLALHCLSIITHHSGSKARVEIAKNANVLARLIQD LPEDEKVAELAVSTLAHALAAVTGGLPEPTDPVVFKSIDMVEVLKSTLEAVKRPHSDP QVLMDHAIKVVCMSSPHAVDAFKAYPPAIDFMVAGLRSNDWVTRSICLGGVLRLHQFE AEENPPIFDRKKLLSGEYGRLPDHLVALEMEYGHERCDMYLMAKALAETASILIPCVA TGDFYTLGLKQAELMLQTDFILVPGIFEIRDPVTGSSMNENAGVSFPLWAASLSNYSS AIRRSGKPNEADFADILDLKKLIVTSCIPDAVALAHKCIERNPQQAFFYYVISLGDDH VQALRAAKKGLKCKLLTPFLKWQLMLQAVILAANMGVSIIQSMPDSRDKRWQEGIAFL SSAYDDAKLFMEGAPPDNHYMDVVACWYILLHMILLKDLSSDLHEIKRNLERLHAADE FNIFMGIPPPKTDLRLAQQTAVEHYPAAIKEFSRVFQVLYQAKIGEGHVVRLDRGKLE DDLTAWFNDMKFDDGTMMHVGAGCSGGSGQVKVTFDQVTLYRCSWCSNTSAILSKCGG CSEARYCDRACQKLHWEEHKKTCKRQNVRK JR316_0010189 MATATMSSFSFQPSTLPADKYRGAVVEDLQLPPAFSVSSNEAIS RAIELAYERDFSHIPVLDQSRRPLGYVDVAKLKQKWEAGQANPDDKVSTYMTKFKRTS SEPYTVITPLSPLSDLEDFLKRNIFALVTDQNRKFVLAVATSHDLDNFVSRRGL JR316_0010190 MLPFQLKIAWCVLSLTGTCAVCCTIFLWAFGRVAGSKWGPMLYC VGNIMLQGMFSLGMIFRMDPFAMPRSFCMAQSILITFSTDLLTGVAIAFSMATYAAVL KPKTWSDGRRALQWRNSYMLPLVAFPAIATTVHIILVVKLDALQPSDGMHCDATSPQW TRLFGFAGVPLLMTIPAIYLSTTSILQIRKTNQHLQRAARPDSLVDELKRSMSRNNSG RRGIGAGAGNARKGGKRGVSRDADSAGKKAKGKTSVKTQVEGGREAIVPAISSPTFTA KKFHLPFGRRSRSRSATPTSRVTPQLSTTAPREGGDKYAEDDNDEEEEENDYKFNEDE DDDASSNISVTFPTFVNPHAAPIPPPAPVPMQAQTQTQLGVTDANRRNSIQQHRMTDP SDQEIKELRNSWHKRQAEADSGVAVAVVQSLDSGSGGDWTVEDEGETKGMGMMETDYY AFTEAERYASKGMGMECLSISGSSNFFVPGMRGRRQKKRHPSLAPAIRWLISFQILFI FVQLLATLSVIVDLALRRAEPTPFGTHYVALLLAAWGPVFIFGRCSVYISQFLTR JR316_0010191 MNSGHTQTEAYRTGLFGPYALWFTTGSAPSSSVIDPTFWEGLGI NGLVPKASRGRVTGKASGIPSSFSSLQVVGFSNSAAQYWVRTDSSGSFTSPYMKPGTY TMTLYKSEYAVATQSVSVSAGSVVTSNIASQEANPTAIWQIGDFDGTPRGFLNADPGT LDDLNLNSPSDSRMSSWGPGEKHIFIVQGLCSYKISVTFNVGSSTNSFPMAIFKAVGA VTIRFSLSSSQIGARTLQIGTTNAFAGGRPVVQVNSWNSAIPAIPNQPDSRGVTRGTW RGNNIMYTYNIPSGTLVSGTNTIVVSVASGSSGDGFL JR316_0010192 MLRAFSFAVQLAVLATSVWAFGVTTSGNSLVVDTSGGLVFKVDK TTGDITSMVFNGIEAQDQSGKHSQVSSGIGASCSAVQTGNSNNYIKITCTTSTLTHYY VARYKDPAIHMATYITAEPSVGELRYIARLNRANLPNGYTVSDIKGGTAIEGTDVYTV NGQTRSKFYSSKQFIDDQVHGVTGNGIGAYMIITDTGYESSSGGPFFRDIDNQGGDQQ ELYFCECAEHDRYFH JR316_0010193 MPGPGSHGKSKGKSNQSPAGQSTNILGLESIQLGEKEDLADWTI IIGAICEFLHLPDLNSRNGFKKVYADFDVIHDRLQTLFDNSKDSVRVRGAIVGILGKM CTDSLLRNKVFAKDILSKVLPLLREDETRHLALHVLDTITHHGGIEVRLEVARHTNTI AKLIDDLPDDEVVSISGVAILAHATVTLLDGDDCPHPKILKTIDMTIVIKSVLEAVKR FYTRSHSFLEHAIHLIATSSLHASYAFKAYPAALSFLAVGLRSKDWVTRCICLGGFVR AFSMEAQEHEVPFDPRKFVATYQTSGIPQHLSRIMMAYGPTNCDTIVTLSCINDSQKA IRDYADSQERDLRALALKQAALITKAEHAIMDGYFQVQDERTGQFVAADMGLPFTTWR ESLHHTANAIRRQGNPGEEDLADILELKYLIGKQALDKVMNLARKAIQRNPEQSYFYY ALSLTADNVQGLRASKKGLKCKSMTPFVKYQLLQRAVDHAADMGIKLMQTNPPRGDRK WEEAIAFVMTALQDAKTYIKEAPPDSRHIKTVGYWYVLLSILVNPAIDPSLKELDDIV RKLHIADEFSELMGTPPPQSNLRLSQKAILKHYPLGFKQFAHIMNEFDRFYSLDVQHT TSKRRAEDNLTAWLEDMKLEDNTIDDLLTTQSFQSSQTKIHFDENKLTLYRCSWCGNP SAVLRKCGGCSNTRYCDSACQKAHWKGHKKECTSGSSNAS JR316_0010194 MQSAFTALLAAIVLALITRKLLKTTKEKKLFPPGPKGLPLIGNA LDFPTENLGPAYTQWGKQYNSKLISPLMKGDILHASAFGSHVIIVNNRQMADELFDRR AVKHSDRPSLPALDLSGMTSYNLGVMRYGDKWRLRRKHALQIFRQAASPPNDFSNTIV DGVHKALKGLLHSPENLWDHNKMLSISIPMSSMYGYDAKSTDDPCIVAADQTFTLGME LSSPGGSLINIIPLLRHIPPWIPGAISQRKAEVSRKLTELMISIPLEFTKARVAEGNA RPSVVGNFLEKKNTVGVSEEEEEAVMSVASTAYAAGSDTTQSATGTFLYLMVLHPDIQ KKAQAEIDSVLGGKRLPTYEDRPSMPYIEAIYREVLRWRPPGSVGFPHSSTEDDFYGG YFIPKGSTLMANIWAMTHDETRYENPLSFNPERFINPDGTLNDDDRIMAFGFGRRYVR ELILWLTFASILAVFNLENAKDKSGNIIKVNDEYYEFGLIRELVEQLDA JR316_0010195 MSEPKPIRRLDENLINKIAAGEIIHRPASALKELVENSLDAGAT SIKVTVKDGGMKLLQIQDNGCGIRKSDLPILAERFTTSKLSTFSDLSRIATYGFRGEA LASISHVARLSVVTKTKPDSCAWKATYVDGSLVDAKTGEKADPRPCAGNDGTTITIED LFYNTPTRLSALRSSSEEYARILDVLTKYAIHNPTVSFLCKKAGSPSPDLSTPSRSDT PTAIRLLYGHSIAKELVHHTVSSSIKKKRKGRQTEEDMDVDGEDEEEDQMQAEDTDLQ SESESWSAEAYFSNANYQAKKMVFLLFINHRLVESPRMKRALEACYVSVLPKGTAPFV YLSLQIDPRAVDVNVHPTKREVHFLNEEQITERIADAVQMCLAKGNASRRFEYQTTLM GGILGDEDARAPPASVTSSRKRSRKERDQNSSGDEYGEEEVEGNIETSGVSVSTSTET QRKVYSHHKVRTSVRDRTLDSMFPIAHSQTSQAPPGAVESPLSPGVSNTTMAAEGVTA AASPNTQTNLKSREVKESQCFLTSVKTLRQKLVKGKHPQLTEILEKHIFIGIVDLKRC LSLIQCSTKLYLVNHDALAEELFYQLGLRQFGDMSRIKLDPAPSLHELVQIAVDAEDT IEGTKLTKAEIVQRIVKVLVDRREMLAEYFSLTITEDARVESIPLLLRDYIPNLDNLP SFLMRLGPQVDWSSEMECFETFLRELAYFYVPTPPTPDPDEQESGIVHDASSKDLMNG KNPEAETAGDERDGKDNAKPNEKDLYKSERWQIQHILFPAMRRYLIAPKSLLDRDVVQ IANLPDLYKGFDTYIRELSTNVSIV JR316_0010196 MIVPENNMNDAGSSSQLYDHTSQRPPSYRVEDIRSFEPVDKVPV FPTIPELDVDSSASSSTTPSSNTAFQTPYTISPVSPSGSHQASSSKGTLTTSLFSDTE LPTAFSRVPPAHISYESFKPLFLAANGKTLEKGFPPVPPPSVIHPHPFTYHDVTESDW LSFLEALRAAASLTEKDINRSFSVPIISSLPLVGQLTGAGVQMLMKGRKGNKVAKVVD RWNHHFFCPRRMRAVLMKGSTKISGITEIAADGQLSTYPEYQTPTTPNDDVFRLFVIS V JR316_0010197 MSSSKVQRTYGSRNQNLKHRTPHSSSTSALTSSPPSTPPPSRSS KRGFAETLSTEEKKTKAPLFSPYKKTKHVGTSTTLKSKSKPPPKSTKKSDQKTLTQLH FNIDRSVLRKCPLCDLSYIKGALDDEALHKAHCLRVQQELEWGREEEKDRMRGTENIV VDVKSCIKLRGMKGKGRIICLPADPGGKLGTKLSALYKVVNTTLSSPELSHNVLQSSK AYLFLVPHDTQKNREKIVGCVIAQRISSAMAVIKKCDALSTDTSSALVLVDSDTGLFC SPELLPTTMGIPRLFVSHSHRRLGIARALLDAAAATFIHGCPLTPDSGQIAFSQPTGM GQKVMENWGRGHIRVYEED JR316_0010198 MPPFFAALISAIFLALITKTFLTIKKEKRHFPPGPKGLPLIGNA LDFPTKNLGPEYAQWGKKYNSKFNSISDILHASAFGSHVIIINSRQIADELFDRRAAK FSDRPSLHTLDLCGMTSYNLAIMRYGDKWRLGRKYALQIFRQAASPPNDFSNTIVNSV HKALKGLLNTPENLWDHNKMLSISIPMSSMYGYDAKSTDDPCIVAADETFSLGMELAS PGGSLINIIPLLRYVPPWFPGAVSQRKAELSRKLTALMISIPLEFTKTRVVGFGRREA RPSVVGDFLKKKNTVGCSKEDEEAIMSVASTAYAAASDTTQSATGTFIYLMVVNPDIQ IKAQAQIDSVLGGKRLPTYEDRPFMPYIEAIYREVLRWAPPGAVGFPHCSTEDDFYDE YFIPKERFINPDGTLNDDDRIMAYGFGRRICVGKHLASATLWLTFASILAVFDLTKAR DKSGNIIEVNGEYNEFGFMSRKTPFECSIEPRSKASMKLVEDLDG JR316_0010199 MCHEATSLAEAKRSSELRFHLAKFTWYLINIDDLGHKYPSSLES FQKRILQKEDPNGSILESFHDAMNGFYRFWDPIPANCMSQAAMDFINGCLLEQMSTIR EMKLSRNALSWPYNLRRKTGASAVYAFAIFPTVLRIDLSIYIQVVDDIILFIDLVNDV LSFYKEYLSGEKHNYVYNRANVEQRSIPDTLRATLDDALAAHGRITRGLEDTEAYLPW KEFVNGYMAFHVTLKRYRLHDLNLGVLQEIPTNV JR316_0010200 MAPLHLSTILAHTPSALLSQISRISSKYGGRGHAILFTLSNNFE AASELQSVVDGLTSLSNCGEDKGRTLGCLVDSISSMRLPASNSSQKSNNPEYTNNILS CSIGVFDSSRCVPFYSELRGRTQPQVGRWHAFRKKNVHNGDPNSIFNIKDSDTNEWEP DWALTSMNGEQKAGQVNWEDIWSRSISNTSSTSELLPDSLRDIDHTKIKTVLSLSNVN PDTLTHVLHDSLPQASALTVIASPTHFTTGRPVTLFLDGKIHGEGAVGLAFLDDDMKN RTEFIGVKALSKPMTITSREGNMVNELDSSNPTKLLIASLDSAGLSPSSQLGTSSSVR HFKDGEQFALGVLSADGNLTSTYRITAGDPSSRGGSLSLDAHAAPEVGTIVQSSEHGS DFVRVIENAFIVPSTQGFVFSSALHPMWTCSLPGGSVALEWI JR316_0010201 MRISSTRVAAIVGALAYLPESHAWGAAGHEIVATIAQMYLHPSV LPTLCDILDLKGNRCHIASVATWADQHRNQMRWSATLHYVGAIGDHPSDTCAFPGTHG WAGKEGANVLDAVKNTTSLLQQWVDHDMSDSTASEALKFLIHFVGDMHQPLHLTGRDR GGNGARVRFGQRQTNLHSLWDGFLIAKAVRTTPRNYSRPLPYPEVERALRGTIYDSYI RRILWEGILHPWAEDLETWLSCPTPSSSTAATPGFLGMWQTAYGIANRIVQTFISEGV EISPDGPVVCPYHWAQPLHKLNCEIVWPKELDEPPYNQYQSADDEDEHDHEDSYDLVG DELSPREKSRLLDLDTPKYAGVIEKKMIVEKLLAQGGIRLAGVLNYLFADESQVNGPR AAFLDDFRRAL JR316_0010202 MERNKALAWGSPSVVRVYGLNNITDDSGVTDPNWECFIDNISIG RSLGPSTLVGNYWTMCEHHQLVDGFHYLTLNATVVKGQTCWIDEIQYISSYMDIDSQN ADIYVDNLDPAFEFSQGWGDFEGFVNTTNTNHASVSFPFTGVSVEWYAYVSSSPSIPA NATYSVDNEDPVTFLIRGDPQANKFNQLLFRTRQYTPGPHVLSVTYLGDSTTTPLTLD WLIVQNITNLLNNNGNSNLNEPESPAHSKVGPIVGGVLGGLVIIGVITAALFYTRRRK AKKQKQEEGTLLDIDADAMIQPFRLSPSVPTGSVGNSIGTYRLPQINMATANSSKLSL DHSLLQPSSVATEIKDTPLVSTGRIDQRMPTAQGRLETPQLPSVNGISNSTPSQPSGT SLRRQNSLPSVPAPNEPQVAISNSGTPRMIVHEDSGLRLQRESHGSVLEVPPMYTAG JR316_0010203 MTSSSSSMNADDYPSLLWITLPPIFLVGAYYVRKWYLARRLRLY GIGKGAKGFQTNVQRVRVTPEIAARIRRGEDVSPAEIEAASRAADEREAREGSAVKTS SPGAHVGGLPRGVIEERDDRHLNGVAPSPSTSVKGDNSANEWLPESITKPSKRKKSRR JR316_0010204 MASAIRNSKYTSAPYPGFVGCLTINAKAVHLEYVWAALLVYDAL SLVFVVIPGLQLYRHGIMGHSRLSNVVFRDGVIYYITLFIFSLLNIIFTVSLPVATRS SLATMGRTLHSTLASRVLLHMRDTVSRRDEVGADRNHGRGPNVHITRTTHHDHLEADD AQAFTQTTTYGSDILAKD JR316_0010205 MSNPQEFAIQVPSEDPKKKKEKPESDKPDFKGLSKVGKDVKGGK EEEGEELSEEDQQLKEQLELLVERLKEPDTKLYAPALEHLRTLIRTSTSSMTSVPKPL KFLRPHYPALQELYETWAPSADKSLFADILSVLAMTYSDTEPRGTLRYRLLSASQRPS DSPLADPGTWGHEYVRHLAAELGDEFAVRTQEELDTTDGVAKSEPTTQIPGSIEDLRN LAKQCATFLLAHNAEPDAVDLLEEMEIIHEIVELVDENTFGRVCQYMVRCVNLLPPPD DNLFLRTAHAIYVKHHKFPEALSLSIRLGDPDLVREDFNAPANPVMKRQLAFIIARSQ LPIEWLSPPGEEIEFESDLQDCLYNTKLSEHFRAFGKEVGVEEAKSLEDVYKSHLENT RSGTSANIDSARGNLAGTFVNAFVNAGFGNDKLMVMADEGNSWIYKNKDHGMMSAAAS LGLSLLWDTDIGISHIDKYTYSAEEHIKAGALFATGMLNSGVRTEADFALNLLSDYTE NKSVPLKTSSFMGLALAYTGSHREEVLALLLPHISDDVTMEIASLASLALGFVFVGSK HGEVSDTILQVLMEKATKEGDKSLDEKWARFMALGLGLLYLGQQDASDATIETLKVIE HPISKTAQILVEACSFAGTGNVLKIQSMLHHCDEHVNADKGEEGKKDEPKKDDTFQAF AVIAISLIAMGEDIGAEMALRQFNHLMHYGDPIIRKAVPLAIGLVSASNPQLPILDTL SKYSHDNDLQVAINAIFAMGLVGAGTNNARLAQMLRQLAGYYQKEPDSLFMVRIAQGM VHMGKGTIGLNPFFGDRDIMSRPAVAGLLAVLTAFTDSKSFVLDKYHWMFYLLTPAMY PRFLITLDENLENKPVTVRVGQAIDVVGQAGKPRTISGFQTHQSPVRLGTTERAELAT EEFIPYSHVLEGLVILKKNPGWEQEDKMEV JR316_0010206 MESTVPPDYSSRVPESTNPYARQPSTHTSPAVGGAPRGVRYHTH TAPCTRIIKPDELTGYIQTPTTIVGKKFMPKDGEDIWEVMEVTFGKGGWSSIVQFEGH DEKHRMIFEDFVRMLRQGTLNVVELE JR316_0010207 MSDKTTGYRLEYASSARAKCKGPKPCSGTAISKGELRLGTLIDV KGAKSFVWRHWGCITKKIIENMKKNFEEASELDGYEELKPDDQARVTKAWEDGHVADE DIPPSARKPENEDDAEEKPKKAAKKAPAKKKDAEDGKDGEVEEKPKKKKAAANGKDGE TAEKPKKKAAAPKKKAAPASDAEEDEIDNDEEVKPKKKAPAKKAAAPKAEPKEKAPAK KRVSKKKQPESDEESAEDFSKELGDVSAGSDEDEDEEEEKPKKKAPAKKAPVEKKEKA KAEPKKKAAPKKDEDVEMDDADDAEDGSSKKRKRAPGKAAAAKPPSKKAKPTSKGTTK PASKAKKTKEVVEEDEADD JR316_0010208 MSVVPPKREVSPDSASTNSISKKSVDTETKPVRTDDLVDAEPEP FKLWNFLFRHHLYVPRDLDAIATRRSVYDDPNLAPHYWPKPEYENIHRFDVNARWTAR EERALVRKIDWKVMLWAAISFSALNLDRNNLSQANTDNFLNDLKLTTNDFNLGNTVFR LAFLSAELPSQLVSKRLGPDRWIPIQMCGWSILTLAQFWLTGRSTFLLCRAILGFIQG GFIPDLILYLSYFYTKHELPFRLALFWMSSSVCSIFGSFLAVGVLRMRGIQGKEGWRW LFLVEGLITLTIGIATFFKMPPSPTQTKTWYRPKGWFTEREEYIATSRILRDDPTKGD MHNREALSLKRLWQSICDYDMWPLYCIGLLFGIPTSPPSTYLTLSFRNLGFSTIHSNL LTIPSQVGTMITMAGITLISENVNDRAWVAMSEDFWALPFLIALRTLPENTNPWKFYG LTTGLLFYPYTHPIQVGWCSRNAGAVASRTVSASLYNMFVQASSIISANIYRKDDAPL YRRGNSYLIGVCVFNICILYPGTKLYYKWRNSQRAKIWDAMTSEQKSEYLSTTKDVGN RRLDFRFAH JR316_0010209 MSPNSPASQKSGSISESSGSIEKKSQVEVDEKSYRGDDLVEDEP EPLKFVTYLFRRHLYKPRDLDAIATRRSVYDDPHLSPHYWPTPEYENIHRFDPKARWT VREERALVRKIDWKILLWAAISFSALNLDRNNLSLANSDGILKDLKLSTNGKYRSLFP SLPSLILSWYTDYNLANTLFRISFLSAELPSQLISKRLGPDRWIPIQMCCWALVTVGQ FWLSGRTSLLVCRSLLGFIQGGFIPDLILYLSYFYTKYELPFRLAIFWVSMNLCSIFG SFLAVGVLRMRGTLGLAGWRYRILILYATYATDQVHCIGFRWLFLIEGLLTLAIGIAT FFMMVPSQTQTKAWYRPNGWFNEREEIIATTRILRDDPSKGDMHNREALSLKKLWKAV CDYDLWPLYAIGLMFGIPVTPPSSYLTLSLRNLGFSTLDTNLLTIPSQFGNILAMLSI TMVSEVVNDRALVAMAEALWAFPFLIALRTIPTTSSPWLFYGITTGLLSFPYTHPIQV GWCSRNAGGVASRTVNASIYNMFAQAGVVVSSNIYRADDAPHYHRGTSWLIGVAAFNC IILYPGTKLYYKWRNAKRAKVWDAMTNEQKSEYLSTTKDEGNKRLDFRFAH JR316_0010210 MHFTKPEWVMHKDSAKSDQHTKRLSIFSVHVHPDGSRIATGGLD AKIRIWSTKPILNPASELSGKPPKSLCTLTMHTGPVLTVRWAHSGRWLASGSDDETVM VWDHDPNARGKVWGTDDVNVEGWKPLKRLQGHESDVTDVAWSPTDRYLASVGLDSAVI IWCGYTLERLRKLDQHQGFVKGVCWDPVGEFMATQSDDRTVKIWKTTDWSLEAEVRKP FEDSPGSTFFRRLSWSPDGAHITASNATNNKGYVFIAAVITRNTWTSEISLVGHENTV EVACYNPHIFLRNPSAPISTSNICSVVALGADDRSVSVWQTKSARPLIVAKEVFERQI MDLSWSWDGMTLYAASSDGTIGAFQFDSSELEGIATHADQEQYLAKFGFVPPPLPEGY SHLSKQEPVTAPQAHQANGFVNNPSPEKVNILVAKRAPKDKKRATLVSSTGINVPTSG SAIPPGSVSAPVIRSNGSLGDGTPSNRPPMSQMHDVKSTSLAPSNFSGSSFPHPSEQT FGDSSMSSWARRAADLAHAAMELDAAPVDSFLNDQSISSISTLAKGKRKVSSVGDLAD DMNPKGVKARTLGGDRPLEVHVPKPIAAWGTGPGRHPYANAGPGAWGSGSGMQEVLLP NPQLLSYVSSEVEGSGDVLEAKNIEENGTTEIALVSGKQTEWLDYLPSPALLVKATSS YCAVAMEDGTIHVYSHTGRRIMPTIALSAPCSVIEGCRNALLVMTVNGEVHSWNIKKQ TANFPPTSIRTLYAASSSPNFRLVSAAVRENGAPIAHCSNGIVYSYDPSLLTWVKLAD KWYADGSDFWQSRQRGNASTANRGIMTAIEGSISSPPDESAAEIPRPSWWSSALTLAH LETKLHATRLLDSPQEYKQALLVYAKKMADEGFRSKAEELVRELFGPVYWRPGKEENW NPSVAGLSKRDLLKDVLSVFARSKTLSSLAAQWQDTLKKATNDESFT JR316_0010211 MSSVSAFVLDNPGLCALALCGVGAVWIYNKRASKLPNIPGPRSS SFLTGNLFDLVAPTTGRDWVINTSNTYGGATKLSTLFGGRSLMINDQKALHHLLVKEQ DNFEEWEAWTTTNDLLFGPGLLSTAGAQHKKQRRMLTPAFSVKNLRGMTPMFVSIARE LEGAISAMVEKGPKEIDLTAWLSRVALEAIGRGGMGVSFGKMSEPTTFSTATKQLAAI LSALLPIGTLTPFFKYLGPGEFRRFMIKFLPFPLLKSLEVIVNIMEDEGKKMLRAQDA TLAAEDDSSETKNIIGILLKANQTSIDSERMSDEELIAQTSTLIFTAMDTTSSAISRV LHQLAIHPEAQEKLRAEISEAYHNQDDAIDFNNLMALPYMDAVIKETLRVFPPLPVAF RQTLKDTVLPLLHPITGEDGTILNEVLVEKGTDVFVNVIGANHNPKTWGDDAGEWKPE RWLSELPESVTKIRDYSGVFAHQMTFMAGNRSCIGFNFALLEMSEYLFFELLPDILNL RAGVVLALFLQSFEFSLPKDKDIVWNLGLLMIPVIKGATSLESQLPLVVKKRGT JR316_0010212 MSSETVIREVTKGVWTFSKPFARFGIWPMGGRSTAIQLSNGGVW ILASTPLDSETKSKLEELGPIKYIIGADAVHHLFLSEYKKAYPTAKLIAPEATITNHP NKELVFDGVWGRDPQDTKYGFEDDIEHWSDNDTPILGIEYLLIWISSSYFSGFKNKDV AFLHKPSKSLIEADLLMNLPGNEQYSKAKQKPQWFRFNVSPASTWIYPKLIWSLGEDK EAMRRDAKTVSGWDFDRIIPCHGDVIETNGKKAWNDVYKSFLD JR316_0010213 MAFDAHMADKHPPTFDCTICNRSYHALFALEDHYRGSAAHPNCV RCGRGFKDALACEEHQNNEHGDNFASHSTDSVVSSVSSNFVTTPPAIPSDDDPSTKEN NELESLDSAEKMVLDCTNASDISPTSSQGSVQELIPQIEQGDTSPIDLQVDFRVSSPL TQVTPPFSPMSPSLLPRPGTDIEQYWTSRQNISASRRVLPLTNGVTTRIENPLSPGPG TWFGNRLPPRQSLLPPVALQPTINSPSLLPSPPISAQRPDFTSRLYPGSVRQHLRMQN EALGRQRMPGSDTRQWDFHSRDLTSSHTLTRYRGLGKPDESRWTLPLRREDVPPVVTT GDRPSIFYETLTPRAGTIASEGSPQSSDDILRQSPGSNKNETDTRPTSASSTPCISPV KYKITPSSDCPSPRSPDVVSPIGLGVLPSISPLPTTPIDLIDLDIPEARKPLPDSPIS SRTSSPPHTNNSTSHEVASFLAASNTDPLATNSPLSTSSTKSFATSPQEPLNFAHHSP PAQTPPITPVALTVARTTSTSTNTSVTSKSNPNPLHCRVCLADSCDDITASMCGHIFC NRCITNAVIKTNRCPRVNRFPTMPGPGSHKKSKSKAPRSQENVRQIRMAEIDNAEGWN AIVTLLCDLFQLPDLTSRTGLKQVHTKFNTVFAKIEDVYQRNPQNYKLRGGIIGIFAK MCVDSLLRDKLFEKGVLGMITPLLEIDDTRHLALRCLSTITHHGGSNVRIEIAKYANV LAKLVRNLPDDEKVAELAVSTLAHSITAVTDGFPIPASPAVLKSIDMVEVLKSALEAV KRYHSDPLSLIDHAIELVCMSSMHTLNAFKAYPPAINFMIAGLRSKDWVTRATCLGGM LRLYQSEAEENPRIFDTRKLLTSIRRRIPDHLIDLQTDYGFARCEFYLLAKASGEFPK AMMACVQTHDFHALGLKLVELILAAEFSVVEGTFDAEDPVTGKRLNDNAGLPFKMWSE SLPFCAQVIRKLGKPNEADIADILDLKYLIMTQRIPDAVALAKKCIERNPQQAYFHYA ITLAADNVQGLRAAKKGLKCKLLTPFVKWQLTQRAVIHAADMGVKILQDMPDVGDKRW EEGIAFLSSACEDAKSFLEGAPPDNRYMKDVGYWYILLSMLLKDDLSADLHELKPDLE RLKAADEFSIFMGLPPPKTELRLAQQTAVKHYPAAIKEFSRVFDLLDKCKGEAGCTAP DQDKLEDDLAAWLGDMKLKDGTMQSVGARCPGNIGQVKVSYDQLTLYRCSWCGNPSAM LRKCGGCAKTRHANFKLER JR316_0010214 MVRPAPPPLPLSMKERVKRAVLGVKGSGLHEWEYGLGLGKGAKK VDKSLTLAMGKGKGKEVAGQENPVARLKLLLIASFLTLHILNLITPLTPSRHNSGSDL QHQQVVVRKVDITAPGVRTALNALVEASAEELGFDLNLNLEHNVDVDVDHSGDNDNAT QTRTPKDLLVKVSPPVYVRIVLPTSMGGAGKATRRTFASSVSSSAVPSLDSSLHTTYH AHAHQSQSTGSTPVNGFGGVRRTTSEVIESFMSSWTRLVGDPILSKWIVIILAISVAL NGYLLKGIAAGVVGTRIGAGVLGLKGEVRFEGVGLARGDEEEEEEEEHEVEAEEEKVK PVQHTHTLRRESSALTLAAKSVGTFSLEDVDRRLEHERKYTAASPAVTKDGRNKRRMT VTARPLSGSSAVSTSVIGQPTPPYSESSASDTSSSLGNENDNDANGVQAIRTLEECID VFENGPRPISESLALLNDEEVILLAQNGKIAAYALEKVLGMNELERAVRIRRALISRA SLTQTLESSDIPLANYDYSRVLGACCENVVGYIPLPLGIAGPLNVDGTLYPIPMATAE GTLVASTSRGCKALNAGGGVTTVLVKDGMTRGPAIDFPTIKMAAHAKLWIDSEDGYAV LKEAFESTSRFARLKSLKTAMAGRTLFVRFATGTGDAMGMNMISKGTEKALEVMQRYY PEMVVLALSGNYCTDKKPAAINWIEGRGKSVVAEAVVPGKIVTSVLKTTVDSLVNLNT KKNLVGSAMAGSIGGFNAHAANILTAIFLATGQDPAQNVESSMCMTLMESINDGKDLL MTVSMPCIEVGTVGGGTVLGPQQSVLEMLGMKGAHPSHPGQNAQALARLIAAAVMAGE LSLLSALAAGHLIRAHMAHNRSQTNTPAVSMPSTPAIERSGSPVLRAQLPSTPAPPYG KEEEKEEAKSVQIQMPMSPSSSTKSIPPYSQ JR316_0010215 MRALFRPFALHAAYTPIETIVFFCIIGTLAYFHILSAIKHSAFI DPSLSVYAPPTLRPAYALWRLGEWVGVREGTWTHAAKALHRSDELVLELQQVVVTLDG AARRHAEAPLAASVVNMTQHLAQTFQTPAGRTYAALCHRLPAADGEGAPCFTLQQPGS RALVQTLAFRPGMRDEFVAALVGDEHQYQHQHQHYNQHQQHPRVFTDEHGVRFEVDAG RATTESVPINQMRNGKWVAYAARALVVRFWDLAKKADSLDILLILAGYILMHTTFYLL LIRSRALGSSFWLPLAILSSAVLAMLISLPIAMALRIRIDPVALTEALPFLVCTVGFD KPLRLARAVFLHPHLSVPPALVKGVVKTDAGYPILASSSNSNSNNATNTNTNTLAPPP SSSASASAGASMTLKPAPLIITESLHLVYAPIIRDYILEIAVLAVGAYSRVGGLSEVC ALAALMLGVDCLLLCTYLAAILGVMVEVSS JR316_0010216 MLNPVFSIAHLREMIPVFYNVMYKLLETLQKEAKNGPTEIDLLE WMSRAALELIGQGGLGYSFDPLTSKAVPHPYITAIKGIGPAGMKIFLPARYLLPHLVK IGTPRMRGIIAKWIPWQPLHDAHDISYVLHNVSVDIFESKKRALSEGDEAVAKQVGQG KDLLSILIRENMKASSADRLPEEEVLGQMSKKLTPPLVLGRCRTFIFAATDTTSSALS RIFHLLVLHPDVQDKLRSELETARDEVGGRDLTYDELVGLPYLDAICRETLRLHPPVT RVSRCTTQDVMLSLSKPIKGIDGQEIPAVAVPKNTTVTIGIMACNRDPEIWGPDAEEW RPERWLEPLPESVARAHLPGVYSNLMTFIGGSRACIGFKFSQLEMKVVLSLLIPKFTF SPSKQEIFWRMGGIAQPTVGSHSNPNAQLPIIMELIQ JR316_0010217 MQTEFDFSYLDAEVQRAELVAAEKECEELMQSLLPSKSKKQPLR KAAPPKGLSAQDQERLHDAQEKVKRLRETYETRFDGPRGKKVKREQVNVQMEEIPALN TTKGDSLGNAIVLDQQDMIDPILQNSPVTHFAAAALPDPSLASGSPVSALLSASISAL KLPAVELPTSISTAQTLSTTLSAPNFAPTGETSSNDSMDPRPSSTSSPAANSPISTPA DSAAAFPSSGVHNSTSTAPDHGSRMMEIDTDTSNPMEAPCSNVMINGNSQKHNVVETT SDKNTGNVNDDAIMTVPTTSVDDAETQSNRLEDHNKEAMELFKDTDLQDLDKIVTGSK ELNISERKTKKMRDLKAIPVSDNREHQTAMMSLISPPLSGSLPPLQKQITAELEQISQ FLASVNCKTAELEADICSPKQRTMDEIRGALQAIDSNHDICQKATARMGELQAAIIQH ERAYREQQNRKPLEDRRKVLRKERTLVSNSLKTATSQNLADIQEKLRTIEQELSSIRD QLNTRKRPEKSAHQPTGSVPDVLVPKIPSVAEGEDSLSGAFGEDGIAGDFRKAMEAYT RMTGAELQALSDGAQNSIQEFLETGKLPSLKVKHRKALRMLQFMHPELCITHRQVALG IHMTSHLNLVCRYHERSSMVKKCDNETHIAGVAYRADYSAAPQEGHMNCGCLIDDVLL DFYFWKTLSIRSTNPKLQSVEETMKTDVFPPRIRAFVIKLFTSATMLTASDIYNDHRP RGKFSRETHLMMVSFTRLGEELKARLKGIKVVTYGIDDVNDTMQIDTA JR316_0010218 MSSQDSDKDVPCIECQYELPPPDERWYSVVVGRSPGVVQGQDHA ERNWRGVSGGAAFYCPDRPSAEQHFVSALHRGEAVHVIPEVRIRLGSQDRATLPGFRV HDPYSPENATWVVVSVGREPGIYLIDSSYSGYGLTLTSNVNGVSGGHVIKFKSRSAAV EEFERMLSVSLIVRVVTEVRAVLMSDGVE JR316_0010219 MLDEIVNAVKDSKTLNLYTTHDTYLKALRKMESACTPSPSSTVS LPEVPADVSETRNTHISLIHSSSMHRPHPRMQIARLSTPELDNEELMHFKNREKSLEL LNRTVEIQQEITLWEELMSDKWSYYYGWIINFDFKGTTYEQVTSEVMERVSKLLHEWC ALVTDSVPQDFDYKTAIKHIQELTIALNFMQKLSDNSD JR316_0010220 MAKQRAAKASTLVESTPHKKRNGGTKKGPIITASRQDARCKRCP GRPLRSQCIHTKKGQQYIAEQQALQSLREPSVDAMERGSASASENDERPEISAPLTGP EDHPTSSASATVPSTTFPSISAPGTPTSSSLLQETASISSMTSSSLAQLTLRTRTTPS HPKPPRTSAQNPYHGYVQGALRGTEVYQIVRGHSLPSPISENTRLVKQFTNSINAIVE KCEDLSKQTGCWLFIGAQHATARSGAISYASPRLRRDAAAQAGNIGTQFSSITRNLIQ ARIQDNVNLQQQLEESRRHAEEMEHAIAAERDSQRLLSQQLARYQELHGLLPS JR316_0010221 MSRTPSPVIVYAPLPESPTQIDYTPLPDIQGYDISTPQRKRRVA KGARQGNTKKKRHGNLSNASIEDLLDDNEPSDMAMMDVEQGPGESEANMNDDSKDFLQ FAEAKFWYHAMRDNTQDTVSVVCLCPLNRSQACYHVRYLTEELPHNATVNEVSLSAYQ EARAFLFYRNEGVMDNFYTNIFSIPTSTRFPTIKNRSIVEHYGDDSGNGTWKCSRDQG ATSCSHIVQARHALQKYIHGDWNAQDETIDSSVATDGVQFGVPLRQVSGVAESISYKR IPPPCWSQIGADPPAPPRVIFNSPPSSISLGDNGTCICSNPRECFDPFRPSIDKECTV YTLTGASKAVISLQQCLKCSHRFIGPECSDLGLFNFNNTSLFAHDLLDDYTSAFSTSE TPFISWVNTVSRRYQLRGSDVPFASDKLFRAAWFSYSRLLLLENDMTCLECGPNPKAT IWDGVTVAFSRRMLLPSLHPPTLTGPSSIERPEVHPTSNLHAIPNRNIRILIRFILKG PPLTTLSTEAEVTEASPHFERNKKIVERLSKVSELVNKLSNINTHLGNLFNSQFGLSA VFRKQSIPEVYIKFFLQVAADESILQALPYPSILSLRRFLRDPSAQHITWLRYTPFIQ RVIQHEVRFGNISADTLAVCEWLYVRAMAVFSIIKVHDGPAKNDDTVNSNIEQEDWLK TGCHYAMAQIRERPKYPNLPYEAGNDLVGANEDEDTCHKYYSTYSKKRLTGGIMCVWC THSVCYGFHCIRAAEGRNDVFSAIYTRWKQAPEVIVYDFACALQPYCMSREPDFFKNT RFVIDIFHSSEHKCGEACFLATYCQENPDLLRLNSSAAECGNSGISKIRKGVSYMTQD RAVMYMKVFFSLWNRQQIRKMERTGIRN JR316_0010222 MVSFKSLLVLCSAAAVYAIPFGNSTEEHLERRTTVTSSSTGNVN GYYYSLWEQVNSGVTMNIGTGQYSLSWSSSSQDVVAGVGWNPGSAKVITYSGSFNPNG NAYLSVYGWTTNPLVEYYICDSFGSYNPSTGLTHRGTVSSDGATYDIYQTTRVNAPSI QGTATFNQFWSVRQGKRVGGTITTANHFNAWRNLGMNMGSFNYQILATEGYQSSGSSS ITVSGH JR316_0010223 MASRGATLRSGRAPRSHAAARDVPTTGSDWDPPASRGDDPKQEA LPTGMEEGGARGRDDHRGNNPNNTGSAAAAARRARRSLSLNSLNRYMVDTEINSVYRS SVNDAQTVVTETKELITSLMDRLSRIENAINMNGSIFEVSRAPEDSDNSHGREESQEI TIDNDYLQIQEMERKLTEMKKAYTRRYQSIEVQPKTERRGISTTPMSHDVEDLITQAA RQQTQARRTEAIKPSNQLPAESLLGQLLANPKGNSGKEHAELSARALGPLDTEGHIIN PARFKPLPPTAPDKYHGDADFMKFYKYITQCDRFCKEAALPPQDQVVKCADYLAGKAY KFYSTMVSISVDSWDRRRFFTELYNYCFPPDYRLKQRKKLEKFSQGSMTVAEYAAELL ILFRIVGKSTPSQRVDRLWNGLKPELQSALWKEGLDYEQNTWDEVIRIATRYEVAHEI ERNQRQYIKYNKQYYVSGKYDYKTHDNNYGVNNDDEPSDSDHGQLSSGGTDDDSDQDK EDKVLALPQDSNVKASGYVRNAQIKCYRCGKTGHIGRHCRNVRRNISSTYNESPSVSN RDRALDSNSENEHHGATDQTASCLGSYFMEVEVAMCDIADTGLNTDIYSYSDHSAIDT TASQGTNVELSELHVNQILVSEDLEGGWTQLAQTVTAQLQDEDVDLEPEKIATSLFDA DSLVKQGIECQKSCPIEFTHESGNISHSFGMPGGRM JR316_0010224 MAENKTDEPLITNEKEVGLEAAQPGPSTSGTSVRDFLNDRTTYY CFKAARITRLSAQAARGTEMVNDPEHRVPSWHLATTAESRIYRKANRQVQLPV JR316_0010225 MDNNIVAQETPLLSENNEITAKKDSEVQIGDSDSGIAFIAQFFH LALACIVFGLSLVYRDEIKVNCIFLLIISSVKLFAFNGPVQGIIIPLEIGFLAVAAVS CMATARIIRISAIQVRGTNRVHDPNHLVPAWHLATLEESQSCRRRKNRQLQLA JR316_0010226 MATANTPDFNKVLYQPLAEIDPEVKNIIDKETWRQFTGLELIAS ENLTSQATMEANGSILTNKYSEGLPNARYYGGNEYIDELEVLCRKRALQAFNLDPAKW GVNVQPYSGSTANFAALTALIQPNDRLMGLGLPDGGHLTHGYYTAKKKMTASSIYFQS LPYAITPQSNLIDYAGLAAQAKIFKPRLIICGASAYPRDWDYAELKKTATKEGAWLMA DIAHTSGLIAAQELNNPFEYCDVVTTTTHKTLRGPRAGLIFFRKDLENAADLEKRVND AVFPACQGGPHNNTIAAIATALLQVSKPEWKLYAKQVISNARTLAAALVSHGYRLQTD GTDNHLVLWDLRPIGLTGSKVEKVCDLMGITINKNAVSGDASAQVPGGIRLGTSALTS RNMKEEDIKVVAEFLHRAVQLSLLLQKEAGSKLLKDFVRVATVPQEGLEGYKQVKQLR EEVIAFASKWPLPGVDVTTLTKPEGLHE JR316_0010227 MGRQRKQKNFQVDEYESPDEKLAKEALKGDVHTDYLGKKQQTVR LSLPVGVDPKPFPPLMSNDSPTPTLDWVLQNETPFRVFDGDIEIQEMEDWIAGAELCY HWTGTYFRKISSKSLGLRIQLGHADGICEVPIPAFNDSFVIISVNGIHEVALDYCGCT MAQSRPTQLLRSRLFPSTVSDPKTAATFEVLEYFQLLSFNTKSSGFEFYQTLSRLTDN TGTKPPPNGDMLGCLSAWGAAMQNRALKGQKRENVLFCAPRALIRVSIFRKTGKSAQN LSNANFRLKRMNVSNDDRDPPLNHGYAYMVESRKFREYLDTYDSKIPDEKSNCNNHDA IKSASIRGGKGTAASGLGTIECSRHDMKRPVSVGDLQKGERHCCQTSFSFNFTPGVGR TDGESPERGWSSANGIASSTKEMGPGSRNDTLDDHFGDYNWRKIIGMANTFVRKAKEA ITAREEHVEAFIEFDAALPVEVTTEWTRLCQAWEKDSSQINPYVIPKGKGKGATVTER DVRLKLAAEDAASLARGDAIQVHDDISPSLLIYQGLQFEELQHRITHDSSKLGLHATS LQQSRILERSNSLRRRIDAWISIQHLYMPVVASIRSRDDAGATEPVAVQDIKLFLPSF NTVTLQCSHLLLKCEWEYRNAQAEETLTSLRALLLLRSHMYKSKHQHSRGQRMQTRSQ KLLSDVQDKINYLVKTYRRIHTALENLSPSLIETSWRTVYRPLLDEDVAGLTSLDDSG SEGRKQLSWIWKVQGTGADADACTQAEWCKSRARAHRWQEECILLAEEMRRVIGYFEW KKNQWKNCVAAVQAQYSADSESVIPMQQELCNGKVAYAHRQQAICGDIMLRCQNEWQG LSHLLTHMEGRNAWVMVECH JR316_0010228 MPKTRNVKKDKTTSRDNSLQSKVLHPYPPVTIQPRRKMISRTVA AAQFKLTEEAGPYYMQAWRIGERKAFLNRFYSVWFTLWPEIPTDKDDLEAVAHRRQIT RRFGVILPPYTGKSFATRQFVDMKKKSMEAKHQEKVNERQIQAQRYLEAQRKKVFRLQ EDEDVLKDAQLLAEFAAGCQQRMSLLTPRSKIVRSQEPTIKCAAFRHHSGELGPSPLR SMYIPDTTASGPTSDETDSAAL JR316_0010229 MTPPLLTSIQNNADNTDAMELNVDTISAALEALELPVSHNGVED IPMGNLIVAIVFCLRRFGIIGFNSNVCPNNATSIDIHTVPDNYAGPTEAIRLSDNRAA ERNAVIDALFMEQVGVPTAATHASHGEEDPLTSDNACIFVEDSDDEDNISYVSDIPDD MALSGVAANHVAEAVVAASPVAFAPVAAAPVAAIHVAAPIAVAPVAPVATAHVAAAAH VTANHIAAAPVAAAPVAAAHVAAPIAVAPIATAHVAAAAPVAANHIAAAPVATAPVAA THVAAAPVATAPVAAAHVAAPIAVAPIATAHVAAAAPVTANHIAAAPVATAPVAAAPV AAAHTGFCPNCQMQIHFHTPEPYYVVTKGKRVGVFTGWHNVSPLVTGVRGSVYRRYSN EAAAYAAFQEALDAGNVEVKGV JR316_0010230 MPPTPWATPDQIEFMSTWLPQFQDAQRNKNIADFWVKLYAKFFL RWKGPEDEVQPDLVQLKKRKKDNRKLPQTSTPIELDHAAWIKLRKTQIANWFNNRGKG SENRRQGPAIVIGSAGPSQRALSELNVYSKRYYNEKICSLVEKELEKQGGPAHIALIN KCISEAWAMESEDVKEEIRAETKRLKELLKEDRSGNSEQPTPQEYAKAIMEAPGQITN FLQEMYKRTGWCLSLLAGGPDPSRQGKIRTISIHMGKDKFDQFYSCAYPDFQENVLAQ KDRDNRALSETEPIASMKTDKGGIMDDCEDNSGPTVQIEVEKPTLVGNEDGPNLMDPD GRNDIPAAATEDTPAVATEDTPAAATEDTPAAATEATVTSTIRTTEPCNGVTQTPATP AIEILGHGLAIDGNNTNAQQCSDSGPDDSRPVSLVDPPNGKAMDGDKLYAEEDKVLSF MELLNVDGGYDPERDPLLQISMNNVFFSNHQFVGSTQENRAFQVPITATPMAWVPRPK DNTSFNVQAPVNLFPPIPTSTNNPNGHSLPFYHQEGLITPVYAPTAGSYLSAQPAVMT EQPIAPGLFPTLQSAFTPPCAPYFVQPEMMPTISNQNWAHSNTLSAVTPPVPEQLGSS TLMDHCEPQNLPSLRFSDALSTGMPNTGYTNPDIFPQFVQATPSLYGSGHHNVTIPQI VQAHVGVSASGVAQESRTSSPTSQLDLRASMHSPQSRPSASEKQERIPVTGGHPTPRD TVSDNTNCTTATGTMIVPTPALESVAHASQTPVLNEMNAEMQHVVGDGGRRARKAASS RNVPMQQMWRTAAFEHLMMPEIDTEKWKSCVKAWVAFEDSQCNAIETSSLRFPAAMRP AVLTKWLSTRKYGNVPDIGAKFGDEWVMWWNNSQPKWRQSSEAGKLPRCLSIAGGKDS INMLKKGGPNGLVTVMIGLRWWAKQRHSDPRWEEAVEDILAVFQAFQGGGKVTKKRKG DEENIEAKPKVKRRKT JR316_0010231 MFSKFNLIKAIAMTIALAVGTQAAPSNASPALVARDTEAASVDG HLFVCVNAQFVPPCNNFNFNDGQCINFVAPFQDSISSLGPDPGFTCIVFKDSNCSGES LDVSNPGISDLSQGTDLNDQISSFQCFANCLKHLSK JR316_0010232 MFSKFNLIKAIAMITVLAVGASAAPSVAVSDLTVRETEAASVDG HLFVCVNANFVAPCNNFNFNDGQCINFVAPFQDSISSLGPDPGFTCIVFKSVLDQY JR316_0010233 MKAYMTLVALLGVVTSTFAVAVAAPAESTPEPTYDWVVLESGYN TPVTPYANETAVVEARDNPQAIMCYNKGRAVQRAALTSSIDHFCNTKAIGRTLSSGQN LWTRYLDNFSGITVLVFMEMTNGCTWTVDSACNNNLRQPVDKCNTGGENGKQGGEMWD LCAHYRIDPGDRNSNDY JR316_0010234 MKPSFVFATLLAAVSSALAGVVSTTIIDSIDPAKWISVESGEID HNALGTVANSTGVSLEARGGTPVVHCYNFGTSTSRTILVTVIDDFCNNRLIGLTARPG VEYWSRYNYGPVTTYVSLTMTGCSPWVVDSACNINLRRPVDGCNTGGVNGKQGGWMTD DCASWRTDPGSNGSDV JR316_0010235 MAFSRIVAAPIFLALWALPVIAGVQEIWWNITYIQNANPDGLFE RRVIGVNNTWPPPPISVSTDDSLLVHVTNSIDQPTTLHHHGMFFNSTSWMDGAQGVSE CGIPPGGQFDYVVPINSSGQWGTYWVHAHASGQYVDGLRAPVVLHPPKEVHSYDEEFT VVLGDWYHDEHSVLIKQFVNIANPGGAEPVPDSALIYFAQNSTYLGPVAGSSPSSVTS AVGFNENATLPFEPGKTYRLRIVNTSAFSAFFFWIDGHDMRIIEVDGTDVQESPIDLL SITVAQRYSVLVTARNDTTSNWVIHANMDTDMFDTVPDTLNPNITSSITYDSSAPLTD LGFVNEYHDVDDLSLVPIIEIAQPVATKTIELEVTFDTMNDGTNHAMFNQITYNSPLV PAIFSTMTLGPNATAQSAYGPLSFVIEHMDVVDIIVKNGDAGKHPFHLHGHKPMIVGR SQDYTSNDPALNPPIVEGQKNPIRRDTIQIPSMNSATLRVVADNPGVWFFHCHIEWHL EVGLAIQLVEAPLQAQALRENVPQVMYDHCQALGKPISGNAAGIASATDLTGLPLGPF PQKLGWHPKGIGAMAGCVLTAVLGMMAVTWYSLGGHISDAEIEHEVREAIAKKEKRGK FFGFLPKKQSS JR316_0010236 MARNLFSVPIFFIVFRETLEAAIIVSVLLGLAEQIVHDDNSDDS RAPAATTPSTPSEEDKQSASEISDSSPAGNDAVQRRRLVRKLRIQASDLWSKSEELWE GIFELIASLMIFVMGITMLKMDRAKAKWRVKLSRAFEGKQADGKARTGKWVLFILPLI TVLREGLEAVIFVGGVSLGQPATSIPIAAIVGLVCGFACGLVIYEFASRSTLTIFLVV MTNFLMLIGAGLFSKAVGAFQENAFNRLLGADVDDAGGDGPGSYLVQGNVWHLDCCNP DSKFDGEGWTIFGAIFGWTNNATLGTVLSYVFYWLAVIVALIYIKFKEGRTTLMGQES AAGVRRRRAREIKEIEANEKATESPQEGSSPDVATLPR JR316_0010237 MEDSISTSAESVRTLYQAHGDKSKDKLQEVQAWQWEREQKENSD VIAGPSSGNSTVGAVPHEPSEIHVHALVLPSPPSSPGPSRSPSVDSVSESSLPSVSSS FFFSSSAPGSPGRFSHPGSYPSSHPHSEHGNDHEHQHYEQDYGSGLIIPSLALPEALR RPTPFGQTLGNLKILVLGGQGAGKSFLTGLLLEDNEDVVDVGTWDDWSGSKNAYGKVL RASTDWFERREIFGQERYEPTKNVEIVELPGYSHDADAAELINRLKAIIEVPFRALQD ALNPEGTPSAVIANMLAAPTSPLYTAMVFLLPSPPTPLDKEIIHALSTHIPLIVLPRL SSSSIAASTPHSSSFGSQRAKLSAFRPPTAVSLRAGLFHSPETVSLLRKEAVERFLRW WEVERAVQGILEGTFDGVSWGVESRGVGGKRRPSNGSSPYREEGEGEEGEGVERWSKE KWESEWMGDYSRDVALRIRESRNSGTVKDETVGTQMRRKLERRSTVSSKTFTTQNDSK PEEVNSERSRPQRQSQSHDCHPQPLPPPFDPLHLPSLLMFSFSLLGPLKARLGETIRG LADFLTFGDAKVQLAVGLTGGFCVGVGLGVWASGRGW JR316_0010238 MPLVELLSTAFERLSAYPLLTSSAVALGYVLTVKAVVDKSRKRK RNPKNLPPPPGPKGYPIIGNLFDVPHPADSPHIIREVRGFLRRLQQSPENFMHHVRHT FAAIIMGITYGITVEDTSDPYISNAEEALQGLVETAIPGSYLVDLIPALLYIPSWFPG ASFKRKAAYWSRLNNDVINKPFEYIENELTNDREVVPSVTTSLISRLPEKDDPLYSEE RQIAKHATSVAYIVTLTADSYLGPAGADTTVSTVQTLFLAMAMYPEALKKAHAELDAV VGPYRLPDYGDCDSLPYINAIVKESMRWNQVLPLAIAHMTTHDDEYDGYFIPRGTVVM PNGWSILHDPEVFPDPMEFKPERYLKDGKLDHTVRSPECAAFGFGRRICPGRHLSDNS LYLIVASTLAVYDIKPAIDEFGNPIKLEAKFTSGFIS JR316_0010239 MAMLFLVISSLGAWIITEGIPPTSAVNVLEGTFCVTRTSNHRFS RFWIPALSFESLLCVMALNIALRTMKSTGSFLRRSRSLMSILIRDSVFYFFMSAPLGM VEAPIGFSIAMSSVFASRMLVNLREAADPQVPYLRPQEIMSDFAIGVAHTHETH JR316_0010240 MYLNSMFPNPAPFPASNAHNFFFKRPDQTAWPNFTVHIDLGTLN KDGLPRRLMYDDYLRNIDDLSTGLSAPVKQGGLGLQGWDEGVGVGDVNQEGGKEIIGI MGENSSEYITVIHACLRSTIPFAPISPYSTPFELTHALTLSKATRLFVDEKLLSSVLP VAEKVGLKLDYIHILKPNGTKSNLRNQTRISLSGIIETVRKRSIKTVPIQPATGRTLA YLVFSSGTSGLPKAVMISHGNLIYAMAQALTLFEATVQVYTPPAPPSPDGLPVTLAIL PLHHSYGLHAYSFRCTFVPNTLLILPKWNADVALDAISRYKVSALTMIPSLIHQLVNH PNIDKADLGSVSSIMCGAAYLPPNLAKKLMKHTSAEVNLTEGYGMSEATIGAMVQPLD GMLDGRLRRIEGSTGVLLPGMEARLLKDEEAVPPSSTLPSSDIDCNVNEEGYLWLRSE NISIGYWNNPKANSETFVGGWLRTGDRFRVDENGNFWFADRAKDTLKVSGSQVSPVEI ENCLLSHPEGIIIDATVAGVSGGRTNDEKIPRAWVVLSATGKDQKKGWGKEKVIKELD RWHKANLSKYKWLRGGIEVVDEIPKSPTGKVLRRVLQEQYESRAKNSKSWFKGLKAKL JR316_0010242 MSTQDSNFCFPLPPFLESDKVKLVPLVPSEHALPVFKQMQAYPR VFDLLPMEPFADLDAFTNGYLANIRADSGSVTFVIFDKTKAALPVAGIIGLTHTSVTD LQTEIGCAIVFPPFQRTHVTTHAVGLLLHYALDLPASSGLGLRRVSWRANWLNKASVR TAEQMGFVFETVLRWNRVFPPGKVAVSAGNGRQLRGGDPRAGCPGVDSVVLGLCWDDW ENGVREKVVTAMARMY JR316_0010243 MVGLDHEKESVKGELGKLDVQEQNMGFLSPTTTQQSQRGNRPHE GIEDEGDDIVIVMPGSLSSSSSSASVISLPRVQRQAISATSFGENTVISPLLSDSRGV PSPSSSDYNLEQESPTATAMTVIEDARFATTPFLGTEGPSLISRSSSVSQSSASSSSG ISLSTNDIRTPLSGLPKSARLPWPTLVEDGFSTSSIGSSGGSSTVSLADRSSATESTV TTTAYPPRYSAQEKGKGRAVDQEPKGDQDNNPMTDVTNNSQIKLKSIMKKTRKRHRRV SADIDACTKHRVIQEDGISRDFLLLVTLCIGFACAGILVVRPALSKALGRNEYNDVFG AGLGLFED JR316_0010244 MDSDELVIKEFAGRRHLISELDATTLGFDGAPAVHHASMSTLAQ VPKPSDMESIQYAEVASRLNSLVLAIFMLGMYTIVYFGTLYVCVTSKISRGRVVMSAI TMLYVFAVVGLGFNWALLQWYFLKNGDTRQTVFASLYTVPRWILSVKNMFVFGMLLIS DGLKIWRCFHVWNRSYRTILLPFMLYIGELGIFVAALITLFVMSAHSRPSDSMLPNNL QSSGYFLSAATSLTATILIGSRVKAVAKEGAPDSTGRFKNILEIMIQSVAVYSLAIIL QAISVVIPNDGSRPQLTALQDYSSAILTPIAGIAPTIMVARVCLAADTSIIWSKSRKI TTLKFQTPKGELISENKSRLSNV JR316_0010245 MLLLPVIVLVSQFSGIIALPLNARTNKAAKASSAASAAATVTPA NAEGDEVELNGAFNTAVSLGGGNIKTDVIFPKGAVGSFEFEFQDATANTVTVTENKTP GKAPAGFAFLDPSSYKVSLGRKARNATLQKIDIIFDPALPALAGVDISQAKIGKLKKK NNTFVINDKLGEQEFEADENEVTLTVKNGNGEWGIFIPTAAVANPAASSTAASASSSV SASASSTSAAAPAASTTAAAEGKKDEDEITGAFDAAIATPAGNRKTDIIYPANAAGAF EVEINATLANAITVKTNKTPVAPPKGFLFVDPVTYQVSTASKTAANDLVKIDYFYSDA VLAAADVKQGVIGKLDTATNQFITDLAVLKAEFEFEEEEKEWTLTVPDLNGEWAILIP EAAVLKSAQTLTL JR316_0010246 MISREETRQYLKYTLSVARIKLLYEKITLTRYTSLYFLSTLLAC IVLSALQVVSLVHDSEGVVNVSSVLDDYNRDVGIAVLKGDTVQLCSGLPHQSGTVCND IINFKDTHDDLNVRNDLFESDSDDSDDFDDSDSDSEFSSDDSDDEFEATPTTTSSAVT SSAQTTSSFEVTISTETLSSSVVTIDSSTTFVEVITTSPPIPDPSTEVRTSTSEILTT SVPPVLETPSSSSSVVVPVVTPTLTVVTTSSSSSLTSLSSIVFPPASSTTSFIFSSSQ ISTSPSTSIVPTSSIVETSVVVEGTTTFPDAPNIPVVTSASVTSSSQQPFPSVVTPSV PAGTASPVGSHNNSPEFLEEDCIISLTWLKEVIRDTQREDIVIFVFQFWLFGLSVVTV LNESIPHLGAGCFGHILGTAWAGYRVYSTHGLMDRYRNHMVPEACSGRDIMGDWWENR IEDGIALLALQAVSLVIVLLLSLKLFNVYARQTFKRAGASSDVLFVYKLVLVFSVCLQ LSGFISVASAAIWIDKVSHAPLAGLAKHAKLYLSAFIVTSLIQIPWVILGWVCVRRES RAKFSMFCLLSAILLGVSIAMFCSHLYRFLVSSWPFFASMTITAFILVVGTTVLGVFC RLKFGGGLAEHLRDTENRPDQRFDPVYFTAKDKFHDLEKFGPPYQTTELPTVPLPLDD QQYGKFPVPLYASSPDATTKFSNTVSRPPVPTLNVPSVDVPIVPVTRMSVFSKVLREF SMRPPSPSVKSMMPEPLTPTIPQAPSVPPHASVSQPVPLTPGDIVGSSSEAQTKEIAT PGLLALASPMDTASAYSFVTPRQTMVNQELPIGVRKGGIPCESANNRQSSGSAYSATS STRKYGLPSNPRQSTSSSFSFTNSSLRREPKPRFPEDPRSSVASDTRSVGSRLVGLPS NPRSRMVSSTQSHSGV JR316_0010247 MSSRRYHSLIVLSLIFGIYLIFIATGDSNGNSTLLALIGSNSLS RNAVPPYRVPIPDEYYLPKNENTSPTRKANAAILMLARNSDLNGVVTSVKQMEDRFNK KFQYPYIFLNEQPFEEAFITRVTELTDAEVKFGIIPKEHWVQPEYIDEARAAASRGRM VQSNIIYGDRPDVKFFCDLDYDPFLLMEDQDKLYGFNVALYEFQATIPTLWDAVKEFT TNNPEYVAPDNAMDFLSDNGGTSYNLCHFWSNFEIANLDLWRSEAYTKFFEFLDRKGG FYYERWGDAPVHSMAASLFLNKSQLHFFDDIGYRHEPFEHCPGGESHKKGKCACDSAD NFDYQPYSCYTRYEKLFPNVTYS JR316_0010249 MISPTWIALLTFASVVSTYATPPTIRRAIPINVRRDNSFGNGNP IVTKSSGSSQPQSGGVHSNTASDEEPFECSVTVTEADAEISWRSTFPNQMQSLAWTGT GNIECSSPMLEMSMSIFAIDPSGTQHVIGSGSCAGCADLPVLTTDYICQQAEQGGECA GEWSVAYEATVEAPPDSEFLSGSGSCVAEGVLLSCEQTAVIGTARPYENANLPPGYVD NVELDPIAIEDIRDFHFKGGARQDNSKGLFFDTITDSDLEAIFERGLLDTSEWTKSNT GNWKRTFPYSGVGVTSGGGAANFIDIFITPIGGTVASMYPSS JR316_0010250 MIFTKIGSASRRTIAVTMPDLFIPPPGFYFRLVGYQSTRALHSR TTQEPEVWHWYSSPEFKDQLFELLYGTDHHAGLYAIRGKVTGKVLFSRVKQEPRIGHT DGNGRYYDNYYSFEAGTGKLSSSFRMVCPSTSTVWVSRTTADPQVCNEPSDGDIHDYQ YFSFVFEDTDIERVEYHVDQGKILNSTPIMIATQWLARGKDVTISASTQETSTFQFAQ GFPIPLGAAIVAATPVVEDGKISLDTSSTSNFTWCSTTTTSKAYTVDIPMDTHLDSDS QAFMSVTQSHLDIPITVYSKSKETGVVVKTEGKYYGVTTWDLRCAISVAA JR316_0010251 MSQQQSSSSSTIIFIPQTAAAGVLSITQPPQTATSFYKIAPSQP ITFGWNFTAVLVTPTHLTVSAVCDNGNTYPVGPSDGVIDGTATQVVWDLYSYQTNNPN KPLAQATYTLNIWDDRGPGQPRKGGFLQQNSALQFALYTPQPYTALSDGWTCGACNSA MGIASHPAFISLFITFFVMFFSGFHLLRHSRQA JR316_0010252 MNYTSPEILIVGGGPSGLILALSLARNNVPVRLIEKSTTERVGQ RGSGISPRTFEVFESLGVVDDVLKQVIKVPNFCVFKMPGGTEIINDFNMEEYVDPTPS IPYPNLMLLGQDLLDKILCAELAKHQCCQIDLGAELQSLKQWEDRVEVVIIRHDLSQD TFDSIDPVVAHSSPEDPPVAPVVEHASYK JR316_0010253 MPPASLRKAPPSAPSDSDDGESDPKESKDQHQIDPERIQALNKA LDSQGKTKQSAHVASFCLYSQGSLNFRAILKPYTSFARWHTRSKNLYENWYEVLDRGI SYYIDSFGDTDIKNFENQYRNELIVFADMIAGIKCFESDLFYFISPKNVDRKDDLAKA MIAASGAARSEDISTLQNTIITYINECSPANKVEISATSTKSESRGFKNKVLARLLCP MKYLKDFDDNPTEFMCKLDKDVIHVLAKDLPTFLWESDKFDPEDWDSEMFRTRLIVMV WKHIFTSPSSALKDKPGQTKTRSSQAKIHHMKTVTPASIAYACLLIRYSISSIEDWRI EDNVFDRHEFYKYIISLFEPDKKDDGDSEWSMDTIEWWNAKVLNKDPRSAKTKDNLDG PSTYDTIAEQRRARKARKAQAAASTTEGGGKEAGGSGQGKGGRSVGLGQAGTSSKDSK KVVPHLAVPAQLSHHTLQPVPPSRHHSSPRQHVQSPRRHDATLRGRSPRHYFHDTPRG QSPRRHQSRPQQRTPSSQHYSESKGQPQSYHHSPSRRQSPSRRSPPSHQPRTAMYHQP SSPHPRYASPRFEARARQQSLSRHRSHSLSPDGTLDVEQYTPEKDVPHISSQTLREAN SEYSSPPAAKLPNLTYRPSAKVLGKRSQAPAVSDNEWENSGRPTQKARSACGTQSSPS RALVFSESYHNRGSGWSA JR316_0010254 MKSFYFIFLGVILMVFSCSGCTAPPFKNLQALTVHRRNCKGVAK NSQQIIENSAKALSQRNKRQRLSNALENVPGPSHDSEPEAPINIDITGEPNVHDAPDH PVISAPAFVLPPTRSGCERKFPKQYADMLPNSSTYLPHLPPAPPRLPKEPSKRAEKLR AASSTPPPDPKDMYLRSEINDFGLYRVYPSFPTCEVNESDDLDNLCDAPGLATASGKS KTRWWKSFGINSVDTTSQNVSLFTPFMNATIFRLVNWFYSGSNLKSAGELDRLVKDVL LADDFDKNHLKNFSAKREFSRLDETDAQFPISSSNTWKTSTVKLSLPAENVPHCAESE APVLEVPNVHHRSLVEVIKSALEDESTNNFHYTPFCLFWQPTKESTPERVVSELYNSE AFYEEHVRLQQQPREPGCTLEVAIAGLMLWSDSTHLAQFGNASLWPIYLFFGNQSQYF RAKPKNFAAHHVAYIPSLPNFLQDIYMKAFNGLAASAATITHLKRELIQAIWLLLLDP EFMHAYEHGIVIKCSDGIVRRIFPRFFTYSADYPEK JR316_0010255 MFVPDLLHEFELGVWKAVFSHLMRILHAYAENSIQKLNERYRQV ETFGQDTIRMFSTNASAMKKLAARDFEDLLQNMLFTLCTWHAYAKLRLQTTSTLNGLK STTKTLGKLLRGFAKDICPHYDTVELPQEEAARVRRRANAVKKGKILPNSRRKTRNSG PIDEDDSTTQSKRRKVFNLLTYKLHALGDYVASIWRYGPTSGYSTQIGELEHRRVKRF YAKTNKGRTFERQITRHQCRERLLRTISEREKRSKKTSTDILQQAPSNYSTSNVSNAP HVPFIESDALPPTLPQLHHHISADKRLKDNVHRWLYTNEGDPALKNFIRDLKNHLLSR LLGHTADSDDYLYYSDEDRYNVQIVNNLMFRHKLLRINYTTYDVRRQQDTINARTHAD IMTLSGNTADNAHPYAYGRVLASWTSFNIKPNSSDELSLDPADVIRASHLIPAFAHGP TEEYLGPSIARPVLKNRVNLLNQDWRFMYINMFVDRDMFVRYLGGGVGHYTTNEYTIN LRPDDHDVRNVFVVPHHGVDDTGVHLEDEDESMDWLDEEDTHLLSNDADERHFSEADP DDFNSEDDDWGYKSPTHSCDGSDDETDHLDDSESDHGEDLGGSAGTMDDDGVGGGMIY EPNIGAEDGEEPWEMGDEEAEGYVGADGAKSVVRKQLGLAFIGETMEQRMIVGDFIGD APFFINKWGWWRDNEDNVTAIRPTNTPGKFTFFTGGYKNVKYAEICSSDDAVKESILK GTGGRTDIKIEEFGLNTGIQDSYNLGWKLALVIKSLAPPTLLDSYNDERVPLVAEMLN ITTELLLVGRKALSVGREEATLKRAENLSQLGVNYRGSPMIIDEEDNIGNIKAHGEGK AFNSRYGNADRGSVVKAGDRAPDAPGLAKVHHTNSVERPTTRLFKLLTPAKHSVLILY DLIPNAQFLAVLERLQKVSALGNVVQVFVIKKPGVIDIIDTSQSPLAEQWISVFEDRG GHVHDAYADPDFTASIFVVRPDGIIGARAGKDSDEILEKYFACVFL JR316_0010256 MTSPASGKFMYTTNLTLQNLYDTTRESIVLKELFASDPQRFAKF SKEYNSTYGPPVQILLDLSKNLITEPVLGALLQLVREAGVEKVRDAMFAGEHINTSED RAVLHVALRNFTDGGLSIAPNEAGVNEVDGVRAHMKEFSEAVRSGAWKGYTGKPIDTI VNIGIGGSDLGPVMVTEALKPYAKRDLKAHFVSNIDGTHIAETLRECNHETTLFIIAS KTFTTQETITNAETARSWFLQAAGGASAQSHIAKHFVALSTNTKAVTAFGIDAKNMFQ FWDWVGGRYSLWSAIGLSIALVIGWDNFEDLLKGAHGMDKHFKETPLEQNLPVLMAAI GIWYNDFYGAQTLALLPYDQYLHKFADYFQQGDMESNGKSITKGGHKVDYQTGPIIWG ASGTNGQHSFYQLVHQGTKLIPTDFLAPATTHNPIEHSKHHRILLSNFFAQPEALAFG KTEEEVRKELGKEGEGNEALVKSKVFAGNRPSTSIMFPLLTPAVLGALIALYEHKIFV QGVVWGINSFDQMGVELGKVLAKKILAQLEKPTDVVGHDSSTTGLIHYYQKHRKE JR316_0010257 MVSPPKPWERGGAATATSSALPTTSSATTATTTTASNAATASSS QPTVPERPAAFGSTTSTALTSPYSSSPYSRLGAGTYGGGYGGLGSYGGLGSTYGGYGG LGSYGGLGSYGGGYGGIGSYGGLGSYGGYGGYGMGGMGGYGMGMGVGPNGVPLNPDGT PSLTQTLESTTQHTFALLHSIVQTFTGVAQMLESTFMATHSSFFAMVGVAEQFGQLRN ALGSVLGLFGLLRWMRELITGRPQGGAGNLNHEFREFINGRPVQGPMGPPPPKASKKP LIFFLLAIFGVPYAMSKLIHILKERAQAQAAANGGLLPGQQLPPLDPSSLTFARALYP FNPSNPAELALKENEIVAITGKLDPRTGAEIDPRIEVEGGQWWKGRTREGREGWFPKN WVEVLERKKTEEPKKVD JR316_0010259 MPSWLITGANRGIGYSTVEALLQDDSNFVIATSRNASAPSLVAL SARYPKTLRVIELDIESPTSIEKAVIASTPLLPSGLDYLINNAAKNPQPSTKFEDLDL DVFGYEMQLSTVIPLRVSRAFLPLVKKSEMKKIIFVSSVLASFETTFVMVNQMNAYSV GKASLNMLTRKWGASLKYDGVTTAAIHPGWTKTELSDCLTDWISTYAPQIPRLTTDEA AACLIKTSEALALEKTGLFWNFDGSNLAW JR316_0010260 MIFLHRLRAYLLLASLIASATATTAARDPCAVIGGQKWVAPKDL RACFTSVKVDPVIKDNIIQVINKTLGFHTSVNYEFKAPEPFTADVHEDLLGDLARIQK QNYPSDYDLHIDFSRTLKRLNDGHCVWVNNCYVTLFLNFLPTPLALLTEADGSQNVHI APEAFTVASAEFPDQIDVWQNALPGPLKGKLSTLSGAKVLQINGQDPFVAVNANALIT GSFQALGTRQNSFFSSYQRSTTGWNYVMGNFAQQSLPLSDSVTLTIVRVNHTLPEVVV LPYRARIGSATHNFTDTASWRANNCVAIDGTNGVDAYASSSTTNSVNTPLIKFQQQPP VPAAVSRKRAVNVMLDTSPPSDVVLPPGLQPSLPAINGSRSVSQFYMLKDGKTGVMAL GSFSDSDFNTFLLGMLQGLLSLKSLGATQLIVDVSNNGGGFICAAHWLHRIIAGPKST TIPQAGLDTKARDGPLAQLIVKQIVNANADPQDLLMYNPVNWANASNIFFAPGTDWLQ PPVNTVINGRADAFSQRLGEECSPDSFPTAPPDQALFDPKKVVIVSNGRCASSCSLFS VTMNKKEGSKTVVLGGKKDVQQQYCGTVGGQSTDFSTMDTEVKTTHLKNNSLAPPDLL VNGVQGITWRLGFGVNDPTQPEEWQARPADLNLPITADIVNNPVAIWEIVAKKLLQ JR316_0010261 MSDYEDNLMTRRIRMLTKQQVRLHMFHLSLQVLLGWAQVASNQT RRLRLRMVEFIPAIPTTDCGCSQFFESAALSESNVETTTSISSTSIAPEQTHYDLRIL DTYISEFCIPRKPVYDTPDIDVTTFINAFGNRYTRFNRDCVFKYFAAGPKLYNYYIYL AELGKFTLGLERKLEFVADGGSRVTSSSSTPTSDAIFSTSSDTTSSQDTSPANRPTRT ITITRVFTTTFSDGRTTTISTVVETGVLRTDTPQQLGGLGRNTGAIAGIAVAVTLAVI FVIFLLFYGCRRYRARQNFDRGSIDILARAREVWRPPIDGDDDDVSYLRGHNSLNRRQ TLGSQEHSGEGGYIGQGSSGDHLSGEGGGAGSAESTNIGMASAMHPTFGGQPYMPNMT QTMGDPFARPAPVVLPAHSANDGHAAEGAKQYWWGINDPQAARDIGGRRSETSENGVP QMASRSAVSLTVVGGSGSSGGHGSGSSHGKSGAIKAKRNSMNGPRPMPKSEGRRHSST PPSAFVGSLREPAYDCERQEQSDRNSVKGFLNRLRTTSHRVSTQSIATLRGTPAPQPR ATTDDTSFLPPPGVYSPSLLNPPQALLRFPRGVTGNGYTSLSPPLPQMSSAGYDLHPP SFPWPTMTLPSAPSPVPTDASSMVEGLLHPRLGALDTAQQASTASLRDHEDYTRPING LVNNHLRSTTTFDTLNSEEGGPMIAS JR316_0010262 MGNFTPTAPFASNPLRTKDDLARFLVGILDPLAAHTSPGGARIH LGHTATHYDEAAAQLEGFSRPIWGLAALLGGGGDYDGTKRWVDGFKNGTDPKSDEFWG NMRNKDQRMVECSAIGFAIAVAKEKLWDPLSDETKRNFEDWLGGMNDKEMPNTNWLWF RVFANLGLSRAGSARFDAKRMKADLDHLDTFYIGDGWSRDGPEGVIQLDYYSSSFAIQ FAQLAYSKLAQGDDPKRCEEYRKRARKFAFDFVHYFDDEGRAIPFGRSLTYRFAMSSF WGALAFADVDLPAPLTWGVIKGLQLRNIRYWAKQPGAFYPDGTLTIGYVYPNQSMTEN YNSPGSPYWCCKSFVTLALPETHPFWASEEEPYPEPLRGTVKALNHPLHITSNLAGHT YLLSSGQQCSYPVKQSAAKYGKLAYSSAFGYSVPVGNGTLEELGGDSTLALSDDNGET WKCRRDTREARIENGKWLRSMWYPWKDVEVETWLVPPHKDTPLWHLRVHRIKTGRELT SAEGGFAIYGQGEDGRALEPSTGEAFGTFEQGGEGRAASRAGVSGIVDLVGVENGRKG RALRTDANSNLMVARAVLPTLLAEHEGNDRNIWLVTGVFAIPSFNEEVGARSGWIEEW NKRPAVPAEIMILIEGK JR316_0010263 MPTSFEWKTYHKWSKELGMVPFILARERSVNGNGLIQDTDILYL NVAGTSIVVLDSWEAILELFEKRSSIYSDRRRHRRLMHHNFHPTAAARFRPHLLKAAR NLLNRFLDMPNDVIGNLRHMAGETIMSVAYGLEVKPKDDPYIRTAEQGVHPLVAAGVP GAFLVDVLPFLKYVPEWMPGAGFQTKAREWKKLALNMVEIPFAAAKRNLANGVSSLCV ASLSLQKLENGTTDDAYAEDIIQGVTGTMYAAGSDTTVSAVASCILGLLDKPEVIKKA QEELDRVVKPGHLPDFDDEESLPYITAIVKETLRWRDVVPIAIPRLLTVDDEYKGYRL PAGAIVIPNAWAMLHNEDVYPDPFEFNPDRFMKDGKFNKSVRDPSHACWGFGRRICPG RYMAFSSVWIAVASLLTVYDIKKAVDEEGNVIEPQHDYLSALVCMPKPYKCSITPRSQ KAERLIRSASNLEAL JR316_0010264 MSLSKPKTSEDSVSLEKPHVSTYQSNEDTQGPTFDSTRTSALLR KLDWNIVPFLSLLYLLSFLDRTNIGNARLAGLEQDLNMKNLDYNIALAVFFPWYVAAE IPSNIMMKRTSPSLWLCIIMLAWGISMTLMGLVKNFASLLIVRMALGLAEGGLFPGVT WYITLWYRRHECGLRMAIFFSAATLAGAFGGLLARGISEMKGVGGKPGWAWIFILEGI ATVVVAAFAKWIIHDNPETARFLTEEERTEIKARLKLDRTSLADEYHIRYLYAALRDW KIYVHMLITIGIYTPLYSISLFLPTIVKNMGYSNNRSQLMSVPPYVVGCVATISAGYF ADKSKERGRYMMACCLVAIFGFVLLISTSNSHVQYAGTFFAVSGIYPNVPMGVAWNGN NIGGSTKRAVGIAMHVGFGNLGGVISAFAYRSKDAPRYYSGHGLLIATVSMSFVLSLF MHLYLKRENARRDASMKSLGYTLDSYTEEMKHSEREKGDYASFFRYTT JR316_0010265 MLNQEHWVLVTNRAVEAMKKNLKQASFVGQCMHDVPRRSCAFLG GATTKIYIVNVPAAAGKEDIKDYEDLDVTMWMPHWPFMWDHVAAFDAQFCQTYERSLP GTSITFQYVLFHYSQSTIRGIADADNNFVRTLLRQRGITRLLPWYGEILIAKYFFKLL PDEILGLVINDSSWSAWMALNYTNMRLRRLVQESVRRRIKHFVGLFFADALEIFFHLL GSNNALITGPLVRCIMSVDNPIYTQVYPIQLDIILPRRYAFGSFDSFVRSQGYHGENT GNVEMSLRKGLKRFHTYLSWNGVMKVCLLLATDDKTLPGLLSAPSSSQMFALSHTRLY CFYPQLFAKRQFLYIRNDVDDIIMPPYEEFNFGLWNRDGLVLSEPCGETCPVLWRRIT AGGGVAIMRWGGFHGDKDYGKHVSPPDYFVQSEKSKFDHLLSKYNLGPVDNVLSLLRH SRGVVFGSMALGVIQDLLFEPHDLNLLVGRQGRRSIERYIQNHGYRLVQEQTGNMGST VSCLTTYSHKVTHRQITLTVSRAHSTLAPLIQAPSTLQMNFVSWYGAVCLYPEQTLQN KGLVHFSENEDGLLLDRYEQRGYRITYQGNHLGHFCTAQPRSIQSPAVHVVPFLPLDE TRTAIKSTDIMWRLNGKCCEDFARCAVFVDVRNYEVVEVHTPADENKETFTSLIRPFL PPNEFLAIMRSCDVVVVGSVALAMVRPIAFTPSNLDLQVPCDGRRDLHKNKSSLTPLF QSPSTLQMNFVAWYGAVCLYPELTLQCKGLVQFDEDEDGLFLHKYLERGYTFLPQQNA VGHFCASQPRSLRSPVTMIVGFYNPDQTRLAMRNLDVIWRLRGKCCDSQLRSGLFIDA YSHDVVEIHSSSDIGP JR316_0010266 MNTSSPSATMPFNEAYVRQQLLTLPQFTASPGFKEYVQWRGAGQ EVLALPGRPTDAIEPVQLIVVGQVTTRAYLEPQGNFNPMWQDPLRNAIGAKLVFDVGK PLQVLTLGGDYDTSFDNLSECQTSIAKTNKHNHFLNKETRTMRFSFPLWEPRSVENTT PARDSATYGYTVNPACTPWFNSAKNSHHIRLMPVYDVEETLITRPDLFALLLIGSVVE VTFTLRHYHMAVTSTRTDASDTFSARVESVSVLCPPPPVLQSPIRMISPRKPPRQAQT PTRHDFSQVKQPSFTANPMTVHLALPMSSAVGPQAAYQTSTSSATSFTVDTALSATGA PGHASFPASHDIAGGPTTPVMGVSPPPTLMRPAAAASASAQAPPLIASTSIPSAPVPV APPVAPVSVPPTPAPVSVPPPPAPVSVPPMPTPVSVPPAPEPVYIPPPAGSVPPASTP VSVPPAPAPVRDTPAPAPGTVLPPPAQASVAPNVTVTSGGVASGLVGSSSDGGSQQSD PATSSAPVAPVPVVSQPETRRSSLRRSREVTDQGDGEGSPTKKTK JR316_0010267 MATQSARTARQKVHDIIRPFGVGSVDDFLYFLKWSSSVITGASA LAFFTGEDITPHNLSLSVSQTGRSRVHVFCVRHGYEYLQTFHSDNEQSVWTEHSYYCN FESGRQITVTISRNHVPFLPVFNFSTTFGMNFITPQGAVCLYPEYLPRNQGVILYRRS DNEVIGELLHRHNFILQPSCDNTVRSFLEHPRGMSLDTILFIPLDNSYVGASPMQQYD IVWRLAGQSGSPNGAVIDLQSDQIRGFSKTFRRAITI JR316_0010268 MPLPVPVPAQAIPIPVVPRRPLKRSASTASLPTPPRTHRRHTRG RSRGSCDSDSDSDAHEHAVLSSDDDNDAIEAGIHQKHKNKKRRTGDAVEDNEEAFWLG SGTGSAGLNATLTGGSSKSGSSSKNHGVPLLYRRLLAQTETEVDVAPVSPPPSNRKTA VAVSPVSDPELTESPSPPFTPRTRSQTKKAALTLRDSPDNPFLVTPQKQLNSRSATTS PKTPTPLERPNLTYVFRGVRRVFNNPLFNHQEGRAYSPPPESKLPIDHPDYSPALNCP PKLLFPEARRGKGKKPVRATRNRKRSPSISGDEGEDEEHDLTAAIRPKLLNFGPPKAK VQTLDQELKSAGEPLST JR316_0010269 MLRIAFPRTNFMAELFTPGRTNAFRIVRQRQLEDSLEELHLNKV MIAESSPAPSLVGRAHASMHNSEVDDEEGLHSSSDFKPLYLVKASLISTQLSMFTSSH PVLRINLSRLTDLTISFAYNTLNEAWRIISLCKETLQRLSISQSDHAEGERLFSPTTF FDTRLVEPQQKSITISSTCATLGHLTSLEHLTLHIVIPMVKTRDAMAIMVEEWCALLE TVQPDSAIRTVTINLDIQWMRPSKLSIFCSPFPINSDLDTTEGFDSEGKGKEKELAQR NVLACLDDILAGRGTFPRLSAVRMFILVAREHTVPRGVRTPTAELEHGHNSSEVSEAN AGIDNLDQNSSGSVLRDILMDGHSMEQNSSGSVLRDILMDSHNMDQNSSGSLLRDILM DSHNMDQNSSGSLLRDILMDSHNMDQNSSGSLLRDILMDSHNIARPNLHWYKQRKRAR ARARPFTFEEIEASVKGMMGQTKTRLEEGNAEILDEILVDSNYVVSNFFYDE JR316_0010270 MKVTGNVLLFLSFFTPLIVAGPVRRTTDTCGDPSLAVTFIQGFS RSLTAHNVNFRADFVNANTQNTGDVEYEFQGEMFRAWKTPQVNTVPLFRMGSDTLASD IILATSTTTDPPTVPGFPVNFGLIGYVYATQICDSVPLFQVSLASRTDHWVTTDASER DALVSFGWADEGTVAFVLPPYSKQPEVYKGSNECRSCRESDKLRQLSDGLPAKCRLED FKTNPDINTLRP JR316_0010271 MVDSGFERITVMDGKLEPLEKIFQQLEEESERKAQEQLMAPNSD DSPERPTSSLAIKRIRERRRGSISISRIGQLPEEMSTAETKGPTTPTLMKITSNSPFY QAQIANGSTDSIASGASAYSNDHAHTEDDNHVTQMHHIAGRPSISSKMIPRRLSRSQS TGVIPPPRGVQNMESTVIIDVSVQEATVESEREEDGAVVTRSRRTSIQAAGSLRHQSS RLTIPPPKVVNGAGGSSTGWLSKAKNFTLRFSRKNRPSIPVQHVES JR316_0010272 MISTLPDEIWSQIFDLAADEDILFQQGFPTVMAESAWYQDKVGG EFDTLPISRRWSLESASWRLRSPQQAMNLLQSRSYATKMAIISTCRQWRAVGSEFLFR CLYFSDPSKLVPLCAILDKSASTTTTVTSSIGWWTRRIHVSQFHPTKGLTLQDLEDTL VSIIRHCPNLEIFVVERPMGAAFGPVADALAMYSSKKLHTVQWNVPGESIAKVIWALA ALPNVIATHIDVDSAVPVGQECANLGAASNLPLKLPFLQQLSLRGYVEELIEHAAGWE LPSLRVFSVDSGKSVHDVPDVLEFVKAHGLSLALLDLNCSPVDVPAVLDLCPNLTTFT FNADWPAPPHTNTATDLVKHPHSNITTIGLHGLCSAFGVGYTAAPSADPIMTRVTQRS NDRNVAALTRRNFPKLTRVRALSRTMLNDLNHSDGPSMENGGYERWNKWWNACVGNGI RLEDCTGQTLGTLPQDEQEESDEEDGDSDGWGSESEEEEEEDDAVYNVPPLPEGNGRT MELTRLLQEVRAMNEGRDEALIARIRIPRPDSPGK JR316_0010273 MAYTQQPMANPGMQVHGGNRNANNVPVSSDGTRDWSHGLFDCCG DAGTCIVACCCPCITYGQVKRRYEHLHTKGYPDPERGGFCTSDCMIHGCISMFGFGWI MQMMNRSSIRGRYNIGGGGVGDCCSAFCCTPCELTQESREIELEENTCHKQG JR316_0010274 MIGELFTITLVLAIVTVTWADLSPPKPSAAWPFDVTEIKAPDGS ITAKFVSLGATLTELWVKDREGKFRDVVLGYDDNSQLLTDPAHPVFNAIVGRYANRIK NGTFSIPISKNPDPNAPNTYHIPTNDQNEKTPSSVTYKHVDNADEGFPGDVTVFATHT VSNGGILRTKLRATASQKTPIMLTQHVYWNLDAFQQGSNDIFNHELRLDASRYIELDD IAIPTGRFTDVKGTPLDFTKQQKIGARWNETVDLCGSGCQGYNGGFVFDRPDQKTSKV SLQSQLSGIKVDISTDQPVVVVYTSYWLNVPRKAIHGGPSLNYGPEAAVALEQQGYIA AINTPEWHVDQIYYPGREFNWNTEYKFSTI JR316_0010275 MVSRLILNLRHAGSVREIRMESSRQPDGRWTYEVYDGRPETEVD NISDLFTARRSAVASNASLNAAKVNFERYQRKAVYKQSTFEASRKVAIFERVKSAKVV AKQLPKNEEFVDENGVRTTIEYAFNEEGKIVKTTRRTKRTLQKSVVDHAVAERQKWAK FGLEKNNKPGPDRATTTVGENVVLKLSAGNKHAETEQAPEEAMKNKVKAAGAGKVSCR LCKGDHFTAKCPYKDTLGGLENTDTGAGPDDDTGAGPDISAPAAVGGKYVPPSMRGAA GGARGPGESMRGAGGNRDDLPTLRVTNISEDTQENDLRELFGVFGRVARVYVGRDRET GAGKGFAFVSFEDKANAQKAIDKVHGRGYDNLILSVQWSQPRPDGR JR316_0010276 MAFETTNGILLAASLFAGIVLYLQKRKRYTLPYPPGPKKHFLLG NLLDVPTTFAWKRYAEWGKTFDSDVLHLSVAGSHFIILNSFKAANDLFEKRSSIYSSR IGWDWLMSGMVYGEPWRERRKAFQQYFHVGNAHLYEPVQMQAVRKMLPRLLKEPEDFL SITRHALGSMALTLAYGLDIQEKNDPYLRVSEAAVKSIGEVAIPGAFLVDMIPALKYV PEFFPGAGFKKKARIWRKVQENMREIPFAATLKNIASGSAKVSFTSTCLENLDESRDV DHQRTIIKDTAGNMFAAATDTTISAIHTFFVAMLCFPEVQKKAQQEIDRVLQGRLPEF SDEADLPYLSALVKETLRWEPSTPIGVPHYSSEDDVYNGYHIPKGSLVIGNAWAMLHN EEDYPEPSLFKPERFIKDGKLNPNVRDPAEMAFGFGRRLCPGNHIAISALWLTAATVL ATFNITEAIDDDGRPIKPCVEYESALICHPLPFKCTIKPRSKECTMLIQAAADSY JR316_0010277 MFRSCISAKHHTRTTDVETRMPRARPLSKFRASISGLFVKGTEI GTVNETSTALQAFDRPPHSQHVINITAIIDAEVLKGLQEIFEALHDPSILDARRHQRY NLNPLVISVFAETPIPNIVDILRPRCRRTQTIYEIPALTLLTSSLDSTAQTPVPAITQ SESKALVPATITHEPRVMKTSDFQIIRYLGEGTSGKVYYVKDQISKAKVALKVVSKAR KNDYTLSIVLLEREISEKLSDSPWFVKLWASWHDDTNLYIAMTAYPTDLDSEMIRCNV IEPQRARFYMAEIIIALTELHSRGIIHRDIKSPNILIDREGHIVLADFGLSKDFGEKP TIAERIYQPYWPYLRDENATSETEPRDPEELFFVAWDYRGSELEMAPEVHLRQPYSFG VDFWSAAVVLFWMLTGRPPFYDYEEEYEDEGEEDVKPLACKIAEDPLYWDPNDNVDED TIDFLERMLAKNPKKRLMISYEMPNHPYFAGINWTLMEERKVPPPWVPQSEISHVYEP TTPNFEPGIPYKDEESDPYPEFNYISEEARTRFSTYDVNDDSEDDSDGSFDTVSDVEI MRMGSYEDLLFGRESSSAPTTPDRCGHDLQVDKEKTGSLVDTALHNPGWDVTIITPPS TRPCGPGPKLWLKPQDFAKLSTIIAPPALPAISSPPVSAHFSLETPTLNISVKLCMST PSQDCVQDSTVALPCQPLPQDKPSSHGSGIFSRLRSWLTSLWAPTGNRS JR316_0010278 MSKVILVTGSNSSIGFELVRILAEKGHTVYLSARNEEAGLEAQK ILHAKGLTNVKFVLLDIRDTASIERAKNTIEEAEGRLDALVNNAAISRNDTLRRASEL DIAVFKDIMETNFVGLVQTTTAFVPLLRKSSDPSIVNVSSGLGSSTYQTRRGQPTLFT AYAVSKAAVNSYTVNLSQDLEKEGFKVNAVCPGLVSSRLNNFIEGGKSLEAGAQAIEP FVLLDKDGPTGKFYSQGVEMEW JR316_0010280 MSRSYQQQPAATSGMVVAPGGGGNRNAKNMPVDADGREWSHGLC SCFSECGTCVVATCFPCVVYGKNKHRYEHLANKGTPHPERGGSCFSGSCMSHGIFSIC GLGFLFQMGNRGHVRTRYNIKGGGCNDCCTSFFCSPCQLVQESRELELEEQSFGVQRY JR316_0010281 MAAVDTATPATIEINDAIFCTHLKEVCEECNFDGREENDSFFGF DTIDREGLECPPATQTKDGTYQCKKHGSTSCSQCYGWKKQITRARTQAKKAGKK JR316_0010282 MASLFARRACAALPRGVLVRVPSRSALLTRSIHVSPIAQKKKSR TAAIEEDDLFADDLFSEDLVSDTSSVAPKSQAAPTTTTTTTTTSASSTTSTTETVSKP KWVRRRKAKLEPSTRQQRFEAHLAYITPRLGRTPEVANPRIRKRLLITLAQLAKTEEE LTKVSNLLPGYHAAGLEVPEGYAEVFARRCQELTCPRLALDVFGNFPRYQLALTIPAG QYLVQSLAVHDPKQLPIALALFSAYKLPPLAEDLTTAAIVAGAFANVKSKSKKNTEKA PVLRALVEDLRAPIAQLLERTKQETEAAAQKASKAAAAATAAAYKQAKRQPDNQATRR TLSSAKRRARAMRATPPETAKKMNWVAWSLHRANKAYVSKAVAGGQPFVDPALVPAGN RAIARSVAKA JR316_0010283 MSLLNLLSQIRTAKKANQKSEPKLKTHHFSATHLLNPIAYNPLA RLTHSAPKTHILSFYPHKLSTDTIMDTDDNRSTTTTTLRDITHTNTLSGFNFQVSNPS EVPLDPDSDSSPGEPRHVPPAVVVDKQHRRWQFHAKQQPQVMTDSDAWNQDKELGTRR GGKRKRRSDSPSRHLHDHTAAQGLHYPARCYCRYNHHQYYGVHDEEEEIRGREAPQIA NPSHTLQTNPPTMSVDPSSYPYPYALSQRMRHQYPYTNHPRERDRGWPPDDVYHVAQR AVKPVTFADIRPLTIRRRTAAVQALALRQPAEGAPRDPYSYSTSTPSRPYISQQPSLE HLPKPARTHAYPLTRIEDRRKPSLLAPTLTPEWMRREESVTYEDDEYSRGGAAGVRYG SRPGRMYSGSSSSHLGGSNVQNQRTVIRNSSFYSNSPQQYRPVQQQRRPQIRLAVHGL SYVNEGAEHTIQGPEERINEQRVVPDNPPSLLPDAARSGMSMYGAPYSRAPSFSYPHT YPYSGMDRRWPKEVTEDKEGGVYLGPARKMSTVSQQPQRHPGMRLGRRGKGGGNRNAK CMPVAADGTRDWSTDLCLFCDHNLGTCCKALWCPCIVYGRNKARIEYLYAEQTAHPTK GGPACSGDCAIHACLTTFCLFGWAIQIPNRASVRRRYNIEGDWLGDCAAALFCSPCEL SQESREIDLEEQSFFEETEDEGGGMWGKR JR316_0010284 MSLSVSGFPEPSRQATHSSEIFSSNAGDSPQDGHGKATLINSPR LRGLFGASATPSTNTSNTSSSSSKKPDATPKRLRTTSKSDTEGDPHTYAYAHAHHPSS PQSQSSAIRAGITMKGTTPPATPSLAFAATPFEPLPQQEYELGLELEALPHPHPLPNK RAQLPPLPRKVTPSPVRLGPNLARLKIVSSWGLSQPQPQAYAQSKSPPPSVVVHEWKG TNVGDGSGSGNESGNGEGGAGNAMGADGAASNVIAQKSSASASSRPLPSVPVVAAPRH VNAVAGPSTHANALRIPPTASSSSSLSTSPSSSGSPSSPPSSSATSVSLSPTSAVSPP TSKVIILQPTPQRAHKPHTHSLSMRYQPLVAEPTESSSSNSTSTSSTTQSPVRPLPRI PPSSSPSPQPAPSTSAQFYPPPPRVKRPKTSPNPITEFTPTPSTSRPIPAGNMSSSLS SLPPRSTQLAMSSPRHRHTSTWGARPGVNWHTAQSQSSVSQLTTQSVKQRANSPPPPL PISSNGKVLGTPRARSLSRTRTGASLEATGGATWVGMRPSAGMGSTSPTIAGARPLPK KAPTIPAPVLHVQTKHRAKPVLPSSASSANGVIRSLPLPTLVTRLPPATSFSTSASAA ASPRTSPKTGSLVVNALGGCGTPRTPIAISITTTVTTTTTGANSPVTAGDNTDDEDMY VPDTLSILDSPLHTHPLGADTHGLLTDTESVSHSHAYPHSHSHSKPHIDPNLLSAPVS PTSATDPDSPLEVFVDDHDLSTLPPWLARGPSPIRYARPDSRGRLRGEYTDLGEDEDV DEGEGSDVNIGGGLRVRGRQKGKTRGRGRRNNYDGYDSTSSSSGYSIPGSSTSSRNGS TTDSLGIGVRNGRRTGPRRRRRTEQLRSYRHSYRPKARASSPSEDNDIDNSFGRETFA PVTRASSVSPSR JR316_0010285 MSVRSSEIRLCVNTNTEIAGRRSIDPLTFNLPTEIVSEIFALTL WCPAPLSYSTFAPAQQEKGNGAALKLGAVCLAWREIAWATPQLWTNFYLHIDIDSHCN DNSTHNTSFQLANAWFARSGHLPLSLQIHVPLKSLPRILASVAGHSSFALLSSLITRY SSRWRELDIWCPDWFLATLFPTVVRAVSDINTTILDTLRIHAVYDPYHPTRAVFSGYA AMEPAPRHVSISGGTLRFVDVHVNWQNATRVDMEGVELAGCVYILTHALGLEDVKFNR VSVNETDVGMVSVEGQIHTTLSFLTSLHLDFTSSFLPSHNPLDDLLSVITAPALSRIS LSFGILRPRALLPAIALIRRSGSAISSLAISRKYAGSRDNERNNDEHAQLLALLRATP GLRYLDVGVKFGFGEPHPQARLIGLLVGEEDKVDGGEEKRELELPYLEMVHIGVGRIR RVSDVSLFDWTTVPRLIGSLRMNGRTHLRISWIRNGVASDVMRAVPYWDRETVVRVME LQRWYGSSRPGGDGYGENDWIELDTKDTKGEVDLFEASVDFYGLRG JR316_0010286 MSKVILVTGSNSSIGFELVRILAEKGHTVYLASRNEQAGQEAQK SLHAKGLTNVKYTTLDVRDPRTIAASVALISAAHGKLDVLVNNAAISRNDVAQHPSQL SVAVARDVFETNYLGIIETTTAFLPLLRAAKAAGGVPVITNVSSPLGSQAYQSRDDAT TVFTAYGNSKAAVNGYTVALANELKKEGFKVNAVSPGLVASRLNDFVKGGRTLEEGAL GILPMVLLDDNGPTGKFFNWDGSEIAW JR316_0010287 MVNVTYDASGSLVAFDPDGVEIVYNLGDIGFIIACMALVWLMIP GVGLFYSGLLRRKNALSMIYTSLASIAVVSFQWFFWGYSLAFSETGSSFIGDLKYFGL KGVLDQPSIGSSRIPALLFCVYQLMFAAITAALAVGAIAERGRLGPVLVFIFIWTTIV YDPLACWTWNANGWSFVLGGLDFAGGTPVHISSGTAALAISVYLGKRRGYGTERLAYK PHNTTYVILGTVFLWFGWFGFNGGSALSANLRAVQACIVTNLAASVGGVTWMLWDYRI ERKWSAVGFCSGAISGLVAITPASGFVGAPAAVAFGVLGGTVCNFATQLKFLLGYDDA LDIFATHAVGGIVGNLLTALFAQASVAGFDGITEIPGGWLDHHYIQLAHQLADSVAGF AYSFGVTSIILWIMHFVPGLRLRASEEAEILGIDDAEMGEFAYDYVGLEQEIGHTVDT GVSASGGGREPNHAKTSNSSDDGRLDEKE JR316_0010288 MNRYYSLAAVINPNQLWEGLTFFQWQGWTGLIGCMLAEGILQIR LYALYSLNKKILALMLVVFIASTATSAWIMGTALSSVTATAVQIPGGAFCVPANVTPH FYAFWIPMLAFETLLCTSLLEVPVGFSLAMSCVLANRVVLNVRVVSREIDASRVTASQ KPLTANSHALHDASFCSPGTLTEYEMARLRTMRAESKFNVKVPSERSDDFDSPPFTVL JR316_0010289 MVGIIIGLVITSLGNGSGITTSLVSLIANAGQEDQAIATAVSYL FRSLGSVVGLSVGSTIAQGSLRSALRSRLSGADIDVDEIIRNVRESLKFIDTLRPDIQ KEVRGSYGEAVRATLYFSVALAACGLLSSFFIKEKSLTK JR316_0010290 MKFQSSFFILTSLLSVGFATTTADVKADIATITSQAKALQAAIM AFPNNGGSLITALAMHTDAVNLGSAIDKATSDVNAVTPKPFAEADGSAILAAVQKLEP IITNTVNQIVSKKAAFQALPLPNGSIPALVKQDLVDLNSKASRFEAALTKSASPNHVA AATAIKASLDAAVARAIAAYS JR316_0010291 MKFQSSFFVLASLLSVGLATTTADVEADIASITSQTKALDAAII AFPNTGGSLVNALAIHTDAVNLGSAIDKGTTDVNAVTPKPVSEADGNTILAAVQALEP IIIDTVTQIVNKKPAFQALPIGGIPALVKQDLINLNASTSKFEAALIGSAPSDLIPAA TAIKTAVDAALASGIAAYS JR316_0010292 MALHPLKQALRANKPAFGAWLTLPGTFHARTVAKSTNDLSWIAV DCEHGLIPLLPAAAESIAAIEGARPGGGPSAIVRIPATGVTNSSSWQIKHALDGGARG IIVPLVSTAAKAKEVVADSRFPPLGRRGFGSPFTHGTWGVTASEYINSANDEILVMIQ IENKEAVENVSEIANVDGVDVLFIGPYDLSICLGYPTPNPDPHPDVEKVIQKILQAAH ESGKKCAIYCTSGKQAALRAQQGFDMINVTSDMGAMSESIAHHIAVATTGTKQ JR316_0010293 MVHITPFFLLSIATGISLATPTERTVPQVLADINVVSTRVTSLD NAITAFPLTGGSLVAALGIHTSAGTVITSLKAATGNATGPVDEIDGNSILNAVQAFEP TIQHALAEIVVKKPAFVVSMTEDKLIALPVGGLPLLILQDLRFLKGNTTEFSSALIEN APESLIPAATALQNGILESFDTAISAYT JR316_0010294 MSGVALITGASRGIGRAIAIRLAKDGFRVAINDLISAKNELEAV AKEITTNGSDAEMFFADVSVEDDVERMVDAVVRLMGGLDVMVSNAGICITKPFLETTK QDFESLFGINVEGTFFCYKYAAMQMIRQGRGGRIIGAASVASKQGLSMLSAYSASKFA VRGLTQSAAIELAKYNITVNAYAPGAVDTNLLKELREAFVHDSQKEKPSSVRTMTRLL DRDSVPEDIAGLVSYLASKEAAMITGACISVAALTDISKLTCLLTSFEGQNIPFAPTK APMPEIAIVTGASRGIGRAIAVRLAKDGYHVAVNDLPSSKDELEKLQDEITSSGGTAL TFYADVSVEEQVEKMVTDVVQALGGLDVMVANAGICITKPFLKTTKEDLDHILSVNIK GTFFSYKHAAIQMIKQGRGGRIIGAASIASKQGMSMLGAYSSTKFAIRGMTQSAAIEL AQHNIRVNAYAPGGVTTDLLKGVYDAVATEQKQENSASVSTLAPVINRDSAPEEIAGL VSYLVSKDAAMITGQSVSINGGSFFD JR316_0010295 MASPTPARRIAFITGSAQGIGRAIALRLAEDGYDVALNDIPKFE SELNEVSELIKANTKSQAKTYIHIADVTIQKEINAAIDAVVENLGGLDVMVANAGICI VKPFLEVTTEDWDRIFAINTRAAFFAYQYAAKVMIKQGRGGRIIGACSGAGMQASPML SAYSSTKFALRGLTQAAAGELGQYGITVNAYAPGPIVTPMLNGVGDSLGGKDAVFAAE AARIPVGYIGKPEDVAGLVSYLASKESHFVNGENHSAENIATGALLMHDSLFMGS JR316_0010296 MVICIFKVLQGYRPKDRYYSDLDELNPEAVVDTDTRRQPLAIDS RAVYWEDAAGQSMGTSPGGTYHIHGRSPDDVYDTAFASLVSLQGEHSPISPIPEHRMS TILRVQRLVAKLTTASYSAQIDPEDRRLHRQVSHLRREIANIVLSNSSGVNSLSPLPL PESVILEPPPPYSDAVHNSDDRTAVF JR316_0010297 MSPALSFGATNGINGINGHSAVPTTNGVAKKRLDGFGTRAIHIG SEANDETGAVIPPISLSTTYKQQAIGVHKGFEYSRSGNPNRNALESTLAALESGGAHA LAFASGSATTATVLQSLGPNAHIISVNDVYGGTFRYMRRVASENQGLETTFLDLENAS DEQILGAIKENTKLIWIESPTNPTLRLIDIPRIAALAHSHPSSPLVLVDNTFLSPFYS SPLLLGADIVMHSLTKYINGHSDVVMGALILPSSPKHTAFADKLRFLQNAIGAVPSPY DCWLAQRGAKTLHLRMKAHGRNALAVARALEREPGVEEVVYPGLPGKTPESRKKYELA WRMLSPHARKFISPSSTPDSPPPADGFPFSGMISFRIAGSTREADAFLTSTRLFTLAE SLGGVESLAEHPAQMTHGSIPAEERALLGIGENLVRLSVGVEEEEDLVEDVVQAVRKA TGRGDVEL JR316_0010298 MCAYQRPKFLPTICSLDSPIHALGEGWVPLITCPADFPIEIFEI AVTQLIHHPEYNSTLILRSELISESTSNFPENIPAFDDFQRTRCLHRKLLPRRPGRDP SLEQYCTLYGATTSQQTQDEIEFPQVLVLTPIVDAGSSLPYYHPAVFHLAFRYVHSPP TLRIEVLPLPGTPLDPNSRLYRTCLALLDTVHRYGWGAMTNYKKRVMHDCLIPREEYQ DLYLSMRERYKHLVDTWQEVTDPLKHVFEDIGIATYLMLLWKDTFSEKSSESWPQPPG GFLDFGCGNGLLTHILVSEGYRGYGVDLRERTSWTHYPEATQSSLRVQAFNPTCEGPE SSRYFPPGVFIIGNHADELTPWLPVTATLKNASGYISIPCCSWAFDSRYERSSTPPFP LQQPTDEFAASLNLGGDGSHKSSYSMYRIWLASLSLHCGWEVECETLRIPSTRNWALI GRRRIKTMENSESLGNAAAIVEGVISRGLFKTRKPEAGDIVWGNSTNTSPPTELVFNL GDNSFVLSSMSLVFLMTPGVGFFYSGLLRRKNALSAVYVTMAALAVVSIQWLLLGFSL TFSDEGSPFIGNLKYAMLVNVPIRPPTETGRVPLTLYFIYQLMFAGVTAAIAIGAIAE RGRPGPVLIFVFIWTTLVYDPIACWDWNTLGWTRRLGVLDFAGGIAVHISSGAAALAM SIYLGERHGYGTEGPYKANNTTHVVLGAVLMWFGWFGFNGGSAMSANLVAIQACINTN IAASAGGLTGVMLDYRIQKKWSAVSFCSGAIAGLITITPGSGFVGAPAALLYGVLGGA GCNFSSQFKRLGYDDSLDIFATHGMGGLIGSLLTGIFAQESVANLSSATPVRGGWLDQ NWKLFGLQAGASAAAMVYSFAVTLIILHVMGLHPLLDLRASKETQILGIDDNEMGEPA MDYSDFPHAQNVAQIVSQAVNQAIGRNQAANHIVGGQGQQGAQIQPLLQRPAPSP JR316_0010299 MSAISNFTVPNAAPSQDTPFYILPDVLRDWPYKRMISPHYRAAR AESGKWLESFRPFSPEAQIIFNKCDFGLLSALTIPKASHDVLRGYCDLMNTFFVLDEY TDVASVDDTRLLCEITMDAILNPDKPRHHDEPVIGEISRQFWKNSSAHASPETAQRFL LSWRSYIDSVIEQAAHRDNSRYICTVEEYMVARRDNIGASPCYAFLEMSLNLNIPSHI MQHPVIARLERDTTDMILLLNDLASYKKEYLADDADYNLVTVVMHNNNVGIDDAVKWI CDIHDETGNNFLKLRDEVKAKLNFPDYGEDINRQIECYIDGLGNWVRGNEEWMYGSER YFGSQGEEIRESRKVFMTTH JR316_0010300 MCFPSKKQKDNFADNNKDSKSKSESKTKSSSGEKAEPTKAPAPE AAPAQSSSPEPPTAQPQTETAPKMSTPKVAIVIYTMYGHIAKLAEAEKAGIEKAGGKA DIYQVAETLSQEILTKMYAPAKPDYPIVTPDILAGYDAFLLGVPTRYGNMPAQWKAFW DSTGQLWAGGKLAGKYAGFFVSTAGLGGGQESTVLASLSTLAHHGILYVPFGYSHAFG QLTNLDEVHGGSPWGAGTFASSNGSRQPSQLELEIATTQGEAFFNTVKKVSF JR316_0010301 MPNTPVEWYVDRNAVGLEDLTKIWAYADRLIPRVDFIRGELPTS SVYKHKLSVGFHS JR316_0010302 MRLVDHIISRRPLDRSFLAIADVVFLVCLPEITLNWIFEAVLAK IYAIQLLSTLNARDSLNKVWDDQLNKFCLEAGSNFVVSMEPNIATPDSGSTFEAVLST RQPTGSPKIGV JR316_0010303 MPIVKISTWQVSEAHIADSTLWTSLAQEIGQAPGCLGVYSGIAE EDGKRFHSFVVWESLGHYDSFSNSPGFGTLLTAFEQVRSGPSQSYFVAFNQDFIPALS APTTEILRMSILDGKSTEDLESIVGTIKGRIDSGNEKFAPVAWGPIVKNQEGEDGKQF YLVIGWESVKAHVDFVTEASFVPLIDSLKETAALEMFHSHLTRNV JR316_0010304 MSKSDNDEKRPETADVLDIEQEDPIKLEDDYDEDDFDSNEDSQP LSSRDTSSLPSDDEVEQYEVAQGNNEVTSRKRLILLVFLAALLWIGFLLRRSWQESKK PKIIYASRYSKEHKFRPAASPIITETLKDGRIRLRGALPEPTNPPKPVVKPKKKKARS GKLSGKKKAARQVKRKIGTADKRM JR316_0010305 MSESIAIHILPKPVTISTSNLLSLYKYVRLAALLTNPEAFGSTY ARETAFSDDAWAERVNERGRETLLALFHGSETHTATPSAPRENDKKETAIGTLTVLFP IMLAGHPQDPAYPPHIAEEVRACEVDVYMLVGMWVHPAHRGRGVGKALVQRAMEVVEQ AKLEGNEQCEEASGINESCDTVQEKDKKQKKKVLMLLVHDIQYDAIRLYRNTGFVEQG SVLHGGKAATWMTVTI JR316_0010306 MAPKYELLPTEPSYSPSSSRPESPSYPPPYEAEQEYHPFENDIE EEAPRRPRIRRDPIPPFDSDPRFRIRTPSPFARAALIIFVVFLFWLAFALRKAMWVAG GMGMNKVVPDVDPSY JR316_0010307 MAFKNALAALVLALPLVNGLRTRRTTCPDGVNTAINAACCKLFP VVEDLKENLFENECGDGAHGALRLVFHDAIGISPTLGGGGADGSIATFNETELTFHAN LGIDDVLDALGPLLIKHSDVVSAGDFIQLAGAVSLVQCNGAPRIPFFMGRAPPKAASP PNLVPEPFDSVQSILQRFGELGFSTEETVAVIAGSHSVAGADDVVPNMEGVPFDQTPD IFDTQIFVDVQLRGTISPGNEITQGEVETSVPGTVRLQSDHLLARDSATNCEWQSFVN NQAKMAQVFGQAVLKLSLLGQNQNELIDCSEVIPPAIPFTGGPATLPPGQTMDDIEQA CATAAFPSLATQPGPPTTVPQIARLRLHTMKSAILGRFVAIALAATTAVANVIPPASP VTNTTLKTIVPSIRRATVEGVESNVNGAIAGPVDGIAGSVPSPRGLLGSDSGGLFKRL ASYIPTTLISRGGNNNNEADNGYLNLALLLSQLEFAFFENGLNRFSAEDFRAAGYADW VRGRYEQIREHERAHVEFLQSAVTTSGRDRVQACDYRFEFDNVRIFVDISEAIATIAT SAYVGGLHYFELREYITVLASILAVEARQAAWINGSVRKQNPWNTAFETPLTPNQVLT LLTSFFDFDSMPGQNRGLLPPGVHPYPRLSLAPRLIPGEEAEISFPYSRNDDGERLYA AFLVGFETIFAVIIERDEHAEGSGSENRRRYFVQVPRDLAARGTVFVSVVRGRDNIND VRFDDSTIVAGPAIAMFPFDAFGKPIGEW JR316_0010308 MVNLTYFKGANRVPTFYSPKIEEYSSVIASYIMVLVGTLNIIRA AYLGNMSVLPKLQMMSRLNVNLLSAQPPPYSDGASPQRNHFQARTVIGQQSYTERSFL LGDSGRVTTKSAKHQVALLCAPAIILLCFGLMLGFMLNTVYYQSWHDKLLERIHDHQQ REAKMRQDRIQWDREAADKAEQEKRHLEEEAQQRREITWSALQPRNCLRYGVREYTAV LTNVASGFNALQECYIKPIEIHGKEWMPTSCEDERICGHVTGHWKVDSSEASCTPWWS YFKDKGCVEPGIRRHEAPLENLQNGDNWQEMCSTTPAIINGVHYNGPTSCADWLDICT SEPFNLQTSFSTPFSQKLGTNYVPQDHERVYIEDMMLEPVSTSQKLMAEMTHIQGILN DAQQKRRFLQSSIFYHRRLLTPFRCLPDDVLQEIFLFCLPTRHNALMHLLDAPLLLCQ VCRRWKIVAYRTPRLWASLHIPLPINIVPPATDSLEDIQSYGSHLSAFNCRIAQHCDS IRAWLLRSGSCPLSLSLHPTLTYPFDAKIFLKPYLETILDFSSRWFNLELTILTGDYS TFFASIPYSAVPILNTLHISFVEHWQGWGHLHDPLWTSSNLLDTPNLRVLHFHHFPFQ IPLLDIDWSRLTHLEIPDQRNSWQSKGLTINETYTLFSRCRNLRHCSVDVEDTPDAKC SGDDVSLRYLESLDIVDAAHALPTLFNTVHFPFLRSIVFHTLFRPSMTRRSPLIALLS QYRNTDILALTVNIQMLTLNDWMDVVLLTPNMERFSSTSCRRGPSDEQAIWLRTMKNF PVDFAAASIKMLTPDISGHCVWAQLTSIDLGSVRRLLDEHVIDFLIKRMESADKGKAK RFKRINIDFLRDQEEDIIQYLTRYMEIEDGLELHFSYPPVLVANPGYIRARKGLFKRY DYVQL JR316_0010309 MTDTNPIEILYKRADGVDIFMDVYIPSTASKASPASILLWWHGG GLLQGSRKAVAPHMLQAPEKHNLCIVSVDYRLAPQTRMPGILEDCLDAIDFIHGVRFA EQTGHRANPSKIVVSGSSAGGWLSLLAGTGIGYKACGLPAPRPVQGIVAIYPITDLLD PFWKTKQRPVSYMDRVIDFSEVESFVDPTSAKTSWSAPDGKRSIFYHYMVQEAILSSL LLDGTNIKEEHFSIAAGIKLKKDTVIPPIYIVTGNSDGKVPHVQSLDVVAALKEIGEE VEYEELDGLDHGFDREAEYTMDKLYQFVAKVTK JR316_0010310 MQYTNGTWRADTPGAMQNTNFEAFIFSNGTVDACYLDASLGFPC LQGSIPPIGVDARTVSDVQAAVKFAKRFNLRLVIKNTGHDYLGRSAGRGAFMLWTHYL KEMTYDASFTPLGASSENSHSTFKAVTFGAGIQWAEAYAFVQEHNQTVVGGISLGGSV GAAGGWVMGGGHSAMSPSLGLGIDNVLQFSVVLSDGS JR316_0010311 MALMVANFSSTSTAQLVASRFLRFHVSVSDQGWGGYTSISNSTL QILFVKPNTSNVEAETAFGSFIEFTRNATDAQTLSIYQTYPGFLDWYQAIFGSRTGQV GSAVEITSRLLPREIALRDPDRAAKLMLSIDGGCTTNSVGGGAVADVDPLSTGLNPSW RASIAEVYSVETWPEGSSAETILKARNRLKGKTDILDKFTTDSAAYLNEASLHEIDFK KSFFGSHYEKLKAVKDIYDSTSLFTVPLGVGSDDWDSELECRLNTRQ JR316_0010312 MSNLPVEIMDEIMTTAVSTLLPPSLSSIALVAVRYRILVNKSRF SSISLGSKAQDVDEQYCKRLNSLADLIKGGFSVKIKPPVASFIVSFSLYVRGDTNNLR PLLHNGSLAYIFGVLFRQSPDTPYSPRSKFRRVSLDILNWDYRMPNFDSDTSSEASIN GEEQEEQEETFLNFSLADSALTVSFVDFLLNSQITHLHISHIHNIPLNFLLGSKLHHL SLGDVSFSEPDESDINRSTRGITLHSVVIGGMAWVSFEIFGRLISNEPFPPPSSFNTM TKLTLGLGSPRQMEILKELLTVTVHLEDLCLNFVSTSSNGNKTTYMPRSIIEVVFLVQ KECIDYTHLSQLRTIEIQTFDCGASFPFVTKLLGRRIPPSLSTIKISNNELHESDSLS MISRSLQLYPFDILDNHFEHSGFRNITMVIFFSVRINDRYNPITFDADAYRKEYYSYV ANNELAEILR JR316_0010313 MRAFKALENQLGHTIQVPSFSQLTLFGYYFYTPTVLKSATVIME TNFKSFAEEVKAANINCKNTRLRDIMDKLVDHLHDFVRETSLTITEWRTAIKFLASAG SQNGETQFDILSDILGITTLIHDINYGKPSGATEAVLLGPFFKENAREVQQGDSIASE GKGEYLYIEGRVLDLAGNPISGAILDIWEADDSGLYDVQYQGLEDCRGRIRTALDGSY AFRAVIPVPYPIPDKGPAAGLISALGRHFYRPAHVHVVIQAPGYESVVTQLYFKGDPY LTSDITFGVKPSLVVAPEIVNNIALSKARGFKEPRSHAYVYKEFVLATPDQADSVRKM RTENQQ JR316_0010314 MSGAFQDVWKIAFLRHPELPKCPPRLDYSTWAFLLFGRAICMAC GEYGAMTDFAFCQRYCQHCMTRNYRYFRDLRDSNGEHLSLDHPVVSMVPHSFRINAHT YTFAPPVIWRARVLKIMFDDTMKKVTLLRILIDHGFPELTEILNAYTASRISYVSQHH AAAENANGWAIETYKSWMRERQSDFKSAIYRCDNQMKSLGYDPRDIKNAWPFISGVIK ENAVRKLTSKGKKLEPTVKGYVRKSMLSRLKSERVEYLSGLYTAYQKTQLPEKWPFFP PSYLISTSEIFYPFLHSEVPYIGVVELEDVALLFERLARHWEKIHQLQLTGFLRSDGA MHQLNDIKQFDSATLIVSCLECEKRNCKGRVLVGWDTILGHFSGSSLSTYRSSCSTYR YNAPISTFACALLQSVGLDPCMTTVQDMDCRKDRFLCGNCTPTATSRGILSLKVFTWH EFMSHQTDMVHLGELSHCRNASWRILTPEAIKFVLAQERAHPLPVWKAWCCNHCSMHY EGGVTQQVAIGHVKETFYRKAKSGQGYYCG JR316_0010315 MNLLDSLWSGLHVVESVRYGRLATVTIIFFDHLIMLDQEIDYIW TARWTVISILYILNRYYALVAAMYDILLVSMRSSQERFRRFSLYVFFNSNLSNSMYVV LSRHLVITDIFNHNHPDVADRCTVFLKWEGWTGLVFAMLGQSILQFRIYALYSGNRRV VVPMVTIFAVCSSISGWLMWKNISNSSVVSHNYGTGPFCRAIQGGGSTLYAFWIPVIV FETLLCLLALSAGINSFIQDGYTFRHSGRLLQILLRDSMTYFVVITGTAFACLLGAII NGGVYLESPVGFSVAMICTLGNRLILNIRDATRQRDITLRFEDDSLPTSVRYNSKLVP M JR316_0010316 MVLNWTNLFIFTVFHFVNGLPSLETRQVTPSLPTGWTSIGCYSD TSSARTLRVAAYTDVTGMTIESCIAFCTPAGYKYAGVEFARECYCDNVIESPGALISD DTCNMTCTGDADEICGGPGGLNIFINSNPTTDPSEPPPASGVIKPSAGVFQYKGCFQD GVNGAPRSLRNQLSIVAGVTAETCTSACKAAGYALAGLEFGQECWCDNYMPLAVNTPD SDCNMVCVADNTELCGAGNRLAVYQDTSLGSVNFQQCLTDSDLHTSDSFPFIMFAVPN SGGDPVQVGTIEVVPQQVGQPTFFTLSTLAEALRESHTFRLSGGSLLPAQWNGEGLPL PIGPTLGQVQKFQAFSTDPPYRGYCAMYNPVSSFGPFIGPPVLGVDQRSDLWSICGTG IVYTNPDSLCQQVVLEMVQPVV JR316_0010317 MYPPTGNTGRYQRLSQDPERILDPHTSSSSKSLLFRIHLRDVLR DLWRIRYARFMGFLTAVLLIGALGKIYMTDSPITDVFAFDQNEKPLYLNTHPQTPLAL RLTIMSRVEEFERRETLRQTMLNGVFSKDVHLDYKFVVGRAVGLMARARIFFERLSHD DMLILEDLNDVANRLSEKRYAALQWANIIPHDEYDFSMTVDSDTFCRLGALARRLRHE YPDIKPRNESIIIARMLSNLVYFENTVPDGNTDDDDEDHYVKGPWYSHPIGFAYLISS NTTRTILSANPPLPHHVNYPGDDVMIGSWIAGFKHMNDPSTVFETVPENTPPPQYRVY PKPYIPYTMDTKVVDDEAGFHDVKGRGGKDARVGWQSVCVHRLTSEEMRLLREREEIE SEWESPIR JR316_0010318 MSNCPLWAHVIVVTLQYTLLVVSDGLLIWRCFHVWGCSLRSVAV PIAFLLVETGISLAIIGNVPAALLGGPIALLMDRVQSAALFVSFLTTTLTTALIGYRI YTLSQQGNNLSTAKRVKHVTKILVESSTIYCIVLLVNAVVAVIPSASYLAESPVNISS FYVQIVLYVVSGMAPTIVVARIALANPVNGRKETLTTDLSGISFEERYISSQLEPKTN GEGTRADTSSVSE JR316_0010319 MSAEENRRIVSQFISIFQNRNYDDFLAISSPDATWWISGPQEKL PFFGTHLLVNRVPYLKEAFGKANAISYDVRSITASEQDVVVEYLAKADGPAEGQHYEN EILTKFTLKDGKIIDVREYLDTNPIFQYLAAAA JR316_0010321 MFSKLSLLTLVSPLVSALTLNVPTGVTSGGHVTITWTTDSNDPS TFTLELNKEEFNKALAIANNVATSAGTLDVTLPPVPNGDGYTLQAVNITNINDVFATS GSFSIAAAPSSSKGASGTGAGSATKGSGSAGATGTSPASSNPTGSAAATGTDSGSAAN ATSTSPSGSSGGSASASGTSSSAGTNPSTSAAHATRLGGNPAAFAAVLVSVAAGAAMI AL JR316_0010322 MSSMYGYDAKSTDDPCILAADKTFTLGMELLSPGGSLINLIPLL RFVPPWFPGAISQQKAQEARMLTKKVVSIPLEFTKAQVAEGNARPSVVGDFLKKKNTV GASKEEEEATLIVASTAYAAASDTTQSATGTFIYLMVCNPDIQRKAQAEIDSVLGGKR LPTYEDRPCMPYNEAIYREVLRWRPPGSVGVPHCSIEDDFYDGYFIPKGSTLLGNIWA MTHDETRYENPMSFNPERFFNPDGTLNDDDRIMAFGFGRRVCIGKHVASATVIPAVFN LEKARDKSGNTIEVNDEYCEFGLISRKKPFVCSIVPRSDISRELVEKLDT JR316_0010323 MSYTSASVISPLLQELFHGLFIIDFAKCTQLSALMIVLYDYAIT FEQEMSYLWKTRFNFVKVLFLLNRYYILISAIVIICLLNAAQLSTLSCVHYMLWQIWS IIVTSTLTQAILQARLYGLYSKNKKILAIMIPLYVLSIIAAVVLVVKSTGEVTAAHEI IAFPINRGHYCIVTSIPRFSLAYWIPGFAFELLLCALAIKKAFGHFKLYGSYYTTGVR IVDVLVGDSIVYFLVIAMVYVSCIAIWIADLERIDTPLGFELTISSTLCSRMVFNMHG ALDKRDINQTIDSDETD JR316_0010324 MAEIYEDIPSMLSLDTEMNTPSFPQIINELTQGLELIQFFKFAE LSAFTIILYDYLITLDEEAHNSFSSSVMLVLNIDKTESLFLGLYLADPSQKLCVIFTL MHRSRSDLRCVFRIYVAVSSQFVVWQIWTGVVLAIVTQVLKATAEERKTNSIEAIAIP GRGEFCGILAPPRIAFAFWIPSFAFEFFLCTLAIAKGYVHFKAYGAHFSTGVRLVDVL VGDSILYFVV JR316_0010325 MPAFNLPLLYDTIIVDILNVFCTYSYNGFNSTIDSKVFSRMVAS QIWGDHETGKLTNDEAHAALAKEFKVNIDDVRSAMIGAITTLSPRQEMVDLIRSLKSG RKIYAMSNMSEHSWNMIRHAKPTHWDIFDDVFISGSVGYRKPEMLFYQHVLQKTGAKP LHTIFLDDTQENLATARIFGIRPIIFDSFSNLERTLINLCGDPVSRAKAFLVRNSKKH HSYASTGQIIYENFCQLMILEATQDPSIVDYRVHKEEWNFFQRSSGQYTDAIFPADLD TTFMAWTVIPEVTTDTVKHRIMDRVISDLLDNDGIPLSYFDRNRARRDHGVCVNVLSM FYASGRGHEVERATDYPESFCSRLLERSSLLECRMGDLLKECCRQRIGSPTDPLALAM RLHCCTRRGLDASVDFKKLIELQQVDGGWEGGIIYTYGLVNITVGNRGLTTAIAHRAI TEYLSKFGPTSS JR316_0010326 MPGIIQTEYDDGRAIRVGPYSESKLLQPQNHVPTTPTWQPHTQK TPTAHRGPPSFRSRPPPLEIPAAPSWTAYGLNEPQWIPGGPAPMIYNLSPYARVALPP LVDIHPMLVFDMYQGDNPALFWTIDQPPKYAVPTPGRSSENLYHWKMFPATNPPSTAP INIQIEKFPSLLVVASSSDGSVVTIYDVLSAVYTGARRGAMELFCRALGLDPRMLNSN EMEVYGRMVTRDSSQRGPTMGQDEVSSNVRSTMGFQTRWAGLAPSRHQENVWVLHTLS IAR JR316_0010327 MTTLITGGTGKTGLHLANLLHQAGHPVLIASRSGTAPAPFQSST VKFDWLNPDTHKNPFTIDANIERVYLVAPNHVYDPLPSMQPFLDLAVSKGVRRFVLLT GSQWHIGELPLGTVHQYLLNLNVEYAVIKPTWFIDNFASLFLASIRDRDEVFSAAGDG RIPFVSAEDIAHAAFEALTAKQSPNKEYFVLGPELYTYEEVAKLFSTVLGREIRYRRL SVEEQTQIFASVMPAEYARKLAGTEQLASQGAEEKLFREGGEKSFIGKQTLLGFIEKN KNIWVKKGDAA JR316_0010328 MTDAAAAERSTSRGRETFSTGRGGIGNIRQASASRDARPTTGPD DFSVTRGREPAPVYGAAAGGGGGAQVFSTGRGGAGNLRSPSRTPRDIREAAQAEAAEQ EVIREYVASQEFAVKSSGRGGIGNINRSRSRGPHSALDIDLDAAPSHSHSHSHSHSPT RPLSGAAPTTGPGKFSTGRGGAGNILNEHAHAHAHVSAEVADEEERRRALASVHASAN NGGGGV JR316_0010329 MSTTIASSPVPAPLPSPVPPPSVPTSVPSSIPSPSPTQPPVAAQ QQQHARRPSSPSPSSRVASLGSKISALGARLGRTLSLSGGGSSAVGGGEEGKEGKERG RGRANGNGNGANGHGQGGVGHGDGEDGDGEEGEEGDELGDRVDSVVRVLYRGGGCVGR RVESVPVLSHVASFTPDLQLCLYLQHNPSVLFSQARTWT JR316_0010330 MPPLPWATPDQIDFLTAKVPDFQMAQRTKTTPDFWNKVYHEFFT IWAKAEDEVRPLTVPRKKKKKSKPDSKPRVDTSSLSHAEWQIVNWFNNRGSGSTHRRG PTIVIGSTSPPTRLLSEMNLYSKRFYDDRIRENVMKSLQENPGGSRIAIINRCIAEAW NAETDKVKEEIWAELEKLKESKLKVPEGLEIVSPQECAVNLITLPETVKAFIDEMCRR TGWAFTVLMGGPEPSDQGRIRTLAAHNGRDQYDQLFSTANDKFYENIVTPYQTFLYSV YSQEDRDRQAIVPPSSASSINQNTAVAEKSNNAATDTSTAISKPEEVTKDALLEPVTA SAAAHSVPIPPNPTPPTTQPSTAVSSPPAVTATTIPPPAITSPPTTTPALGANNDGAI NNEGAINNEGAINNEGAINKEPASKGEGAPVEPAVNGPPAEPAINGLPAEPAINGPST NATINGLPVESSTNGPLVNPQTGFNNPLTLNTPFIMNPQPSLGNPLVFNNHPAIDSLL AINNSLGFLNQLAFNNQLTFNNQLGMNQLAVNQLAVNNQFSFPMQEDLWTNDKPDETY GLPEEDWVELQKMIIQMNEGKLQIPIVQTPPVLPAPALALTENHHTDGAPPSTTPLPN AAVKQASNEMVAEPADENVDTTVTTRGRNQRAAASKDVALPQAWLTTATEFLFKDLSV EKWQKCVAAWEAFEKAELRNMDTSSMRFPAQKRPPALSKWLSSRNYGSLPSVEPEEFG TLWLTWWNSLQPAWRRNSVPGELPLSFSVAKPRDSVEGLRRGGPNGLLTVMVGLKWWS GAQGARWEAAVDDMLQTLSAFGMTTTAELEPGKAGNGKGRGKRKAAGEGGKKAGKKAR V JR316_0010331 MTRNPNPPPPPPPTAADANVVIDHILDSLIALEIADTASDGNPA SPPCYMSLPEVLDAFRAFILQLVNGPNQTSGPSRLDHRIQAAWDASSECLEGSSLDSP AGIAVGGNTGLRAVSTGDSIIVVSSDTDGESDADDEDYYAHPVSSLEPAPAVATSTSL TGATATAVASAPEATPANYVCSTCSGGRWYVVFAGTNVGVFDDWSTVQRYTSGVSHSC YKKYSKKEDAEKAYKDSVTRSEVRILPTPSVAPSMAPGSSHPPPPPPAPSAGALSA JR316_0010332 MGRKRKYFTAEERVAANRAKSKKHYEKAKEQINKKKRRQYKQVQ RQNLNLRRSSQENLNRKTQQLPEPKPVKTPVQVWVDQAQRLKRRFNEYILSDPIAFTA KQCAQYLAQKNIKSIADCNSVLDHYQERIHRYQNSVYGLTGLGPEYNQLKTIALEVDN VHRWVEEIHMAALIGYDEVKSMYARMSFEFQTDLK JR316_0010333 MPKATKYRRNKPKNSFDFCVEPGITPVSPEVNSDQFRFIVEFGP YFRQATRLNEQMHFMDAFLDLWFAKWPELPVDSADVDFAYHRQSVTRKKVLRELRFTG AFSHESDQPWRGYLATKTAEFHAKKANVASTSNTSANHSRPRPRPRPKVIKQEEEEPS LEPECIVIDD JR316_0010334 MGRRPCKRQIYNEYESLEEQQRKQVLLGDIHTEYHGRLNQHSIR MAVPVGAPHPLIYPPPGAPELPTPQLDWVFQSPYRVFDGDLDMEEMEDCELEALGLKK YLRPDRENGLESTKTLQKKKTQSVWTESGYFRKVTLKSLGLYIQLGHNDCQVPINAFN DDFIVICQTGIHEVSLRYCGCPHAPLKPTQLLRARLFPSTVADPKTAATFDVLEHFQL LSFNSKVSGYEFYHTLARITDNMGTRPPPDRYPVFMRIVREWRHIRLLKRMGRGHAES GVKGTKEGEWLYSLFIAIDANFRLKRMNVSSDERDPGLNHGYAYMVESNKFKNYLATY DGRIADEKSTCNNHDAIKSANARGGHGTAASGLGTAECSRHDMKRPVSVGDLQKGERY VNMDYFFLSSIAYTILLRIVVSYDIACQWWVNLLKRCQIYPENVLSNPSAPSLVYLVP KFHLPAHVQKCQAAFSFNYTPGVGRTDGEAPERGWSATNGIASSTKEMGPGSRNDTLD NHFGDYNWRKIITIADTFVRKAKEAIHERKEHVESFIEFDAVLPKDTTSEWTQMCQAW EKDPKQPNPFALAKNANITESDVRLRLACKESEAVKRGDSLALHVDVSPSVLISQGLQ LEELQARLSIDTAKLGPHSTSLQQTKILERSNSLKRRIDAWTAVQHLYMPGVVLYRSK IDANTAIPVAIQDIKLFLLSYNGYTLRCSSLPLLKCEWEYRYAQAEESLNSLRGFLLL RSHMFKSKKRHSRGQRMQTRSLGLLAAVEEKVKFATKTYNVAYNALEALSTPLVQCAW RQILRPLLDTDVTGLTSMDYSGSKGRKKLSWIWKVHGMGEDAEKSTQAVLRVEWCKSR ARAHRWQEECLLLAEEMRRVIAFFNWQANIWETRATDIVASSNSISDSTTLDGKIAYA QKQAGIRRDIVALCEKEWKGISESLTTLEGHNAYVMVECH JR316_0010335 MSTSDVPFSIPTRVQISVISGTLNSVVVFAILTGVYSIVYVGTM YFYITRRRATKSRMFAVQWYYLNLAIIENGDTRVSIFISALTRGSVTVYAVTQTAFCM MFIVSDALLICYQVWGQSVRVTVLPVALIMAETGYRINKVSNMDGANRSSSARFSHII KVLIESAAVYLFIILVYAIQAAIPISSESLIKSPLLLEGYYLQTVITAVSVRSGSNFD CDKNCINVWKKQNYS JR316_0010336 MNPQKSRLLGMSAESIPPALFGQLRDVQITRFAQCLRYFQWQGW TTLAISMMAEGVLQIRIYAMYFHNKTVLVVLLICFGMTSTASATMMALSARTLQPVAI ATPIGLTCALRRAPGSFYAYWIPLLCFEGLLCVLALIRGIQMSRAIDPTESREPVQPS FSPLTRGKRVIDMLYRGSIVYFLAIGATFMITIIFWLTLPLGLSMAPLGYCITLPCVI ANRLVLSVRGAAQWDTTMGNMTTFEVRVDTADPDSELGKDSGE JR316_0010337 MKLEVTRTPIIREIIEMPALLYNLRDRKVYASFHEYVKPSRIRA IDQKCAQVTGVRQDMVENADLFPPVWKRFKEFLQYHDVLRDPSTTAFLTYDKADLNEI LPAQLAYKSLVDSHLDDLSLLSPISRLNIKKAFARQYKLKQTKPLRKMMGQLALSKDE RYHFGMEECWSLARLVEAMQHDGWRPRTPDLSKTQMKVPKSKPIVSPE JR316_0010338 MRHIDSPGGDTTYTLWGRHDSVGMLRHYISLSGESRRPVSSLEP SIDSPGFENHMYITEDAFRHYISFRRHTHLPASQIVLTRRMDLPRVCPDKQHIIHVAQ SLCETYGPPATHHFDGLDARAFPDAMHRFGHLSPQTGLTSLDTRETCAMLGAFISL JR316_0010339 MVLASAMKRQRPHLKSLIIMKLEVTRTPIIREIIEMPALLYNLR DRKVYASFHEYVKPSRIRAIDQKCAQVTGVRQDMVENADLFPPVWKRFKEFLQYHDVL RDPSTTAFLTYDKADLNEILPAQLAYKSLVDSHLDDLSLLSQISRLNIKKAFARQYKL KQTKPLRKMMGQLALSKDERYHFGMEECWSLARLVEAMQHDGWRPRTPDLSKTQMKVP KSKPIVSPE JR316_0010340 MAPLNLRPPGFFGANTVEISPPARTGETGIRRLAVCADRLITTP DSSIVTVPDIISYAARTHEHSPALGWRDVIKVHEEVKEVKKKGGRDGETERKTWKYFE LSEYKYLDYVQLKEAISEVARALVDIGIGTEDVVDIFAQTSVNWQLISHACALISTTA ATAYDTLGESGLTHSLNEPKCIAVFTNPELLPMVARVLPHTPTVRYVFYDGQPSTKQL DEIKGNSGIRAIHIDELRTRGRELSISILDSRKPTPSTTACIMYTSGSTGTPKGVILT HANLIAAVGAVHFVFSPHLPAGGRYIAYLPLAHVLEYVVELCAVFCGIASGYARPKTL TDASVRGCRGDLAALRPNVLFGVPAVYETIRKAVLARVDGAGRISRAFFYGALTVKRW AGAYVPGVSWVVDRIVFKKIQEAVGGDITFAVNGGAGISKATQEFFNDAVMPLTQGYG LTETSGMGAFLPPELLSYSTLGAVGIPGPCLEVKLVDVPELGYFTGTGGDDNVSGGSG KLGHLQQGEIWLRGMSVTPGYFNREDLNSDPSVFTEEDGVRWFRTGDIGQWNADGTLS VVDRVKNLVKMRSGEYIALERLESTYKSSTLVSNLCIIAGPEMAQPVAVVVPHEGNLR AELSSSEPLPDLCQSTSAQTTVLKSLASLAKKNGFARMEIPCAVLLSSEEWTSENGMC TAAGKVNRARVREVWGKEVDRVVLEGGD JR316_0010341 MVGHPSTTKGGDSRVTNASRHTRKRRLSRVDRDRRESTSTAKRP RTGSQQDPAQTKVPRNQRGEGRVAATLKPAVRPQVQPARPRCLADGFSQGRLVIDKRE RHRKKEGGVTFQVMRPTQGP JR316_0010342 MPSSLHLPDLYVPEISHNTNLGVLNDEPNKNAQSTPLEAAGAHL AGSSIIPSQASKFHFCPKVLASIKKLTEDVEEEMGVNYLDLVKMPEASGAGIYNAWTS TSQPVPLVLPTSNSIQQSMPEEIEDSYDPRNGFEPPAATAAIPTQMSTFPELINSHNM TSLSRRRDVEISASLSTPAGPSRWHREERAYGEPIQPSIDWSEWLEHEVEAPSLYPKH SEFTGFPTHNTLSDAFNGSSYQRNNGMNDLAPWSTKRPLPISSFASLIPELNTAPMHN ANGDEISSSPTPYGRTADAAQYQGMFYDHAQPWFQAGEGSNTHHPSTSFSSEREKKEI ITSGSIKDGHEELRSRLTSEPVERQDINEAQTNDGGLRTHKGKNAFRDKITSRKRRRE DTNSEIESAGDPRLPIKPKVQESRDTVPGDISTEVQGSRGKPRKLNPRAPAMMRSYTH NLTPLTTPEAKEGGFVPLVTAEEKTADKEFGDHQMPVSMDNITME JR316_0010343 MSSSGLPPSNALFPALYLYPLNDTWAPKHIALTSMHTKIGRQTS SKTAPGERNGFFDSKVLSRQHAEVWEEGGKIYIKDVKSSNGTFINGERLSSEGHESEP FELKSDDIVEFGIDIVGEDNKTIIHHKVAARVVCVFTEQDAQVAARAEQHQAMQAQQQ AAQHQQQHPQQHGQHGHPQGAGGFMGAGQQGLGGLGGQAHGLGGPMGLGAMGGQPQGV MGGLGVNGGQTGPGGGQGAQNFPFGQGQPGARRPQLAQGLGSMGGIGLRPPGKTGLSF DVILSRLQGEVQKSRETGAELGSLTSAMGEIGDVLGGVGVPANLPPFPAHLPPVRAPP PEAQPSIPLPPPPAAVSAPAPAPAATSPSAPNAAPASAEAASTSTSTSTSATASTSTS TDQTSTSSPPSTSAQPAPSGLSATLIAELQAQIRETQSSLAAHHDRVRQLEGALEAQE GLRREVVVLRELVMRGASSSGTSGAGSGDSREVRLEEGSHEEAMGERHRTCDDEDEGG EGHEDDDEEEEDDDDDARSVSTIIPHELESVEEEDEEAVARAERGEDGDGDPDHEARD AQHGVEDTDHSVSEAVDPSTLDQEHEHEQRHEHGSEDEAEAEKRKQEDLKVGRPRTPE PSLLGMGERRASPLVRRRPRDADDEAGQHPEVDEDEEDGEEDHFRPSLPSSVSDADDD SETEANPASKSSAVSTETSTSAEVLAQVSKLSAQVSSVVALSEALHRQHAEAQGTIRA LEKKVEGLEEMLRAASAAKEEEAAAVVKEEPVDDVQVKAEPVTEEPSSTAITIAATVE SALAEKMALWKKAVEGQWSSVRDEWRDERARLERAREEWEARVRLVEFGLERVRDAER EREGEVTKTRVNGGGNGNARGGLATPPSPRSQSSDSGRYRRRKKRAALTNAGATSTSA VEGSSGASGSEDEEDRDSGDEKAPSAQKLEGRKGVELVDDPTRMLATPEPSVVFKLSE SAVSSLDGDRDQDESHLSGKTRTHPLADSSDVDADVLSRSFDEDSVAGQYEQSSGEGL EGEGIEGARASPFSSSSTYARDALLILFWVFVSCVLGVLGVFVGLAISVYSWLVSGVV WISSSSVARWLSTVDLDSLAAALPDTRVYAWATQTLHHLNKGKGKDSAGNPNINVQTA VGVVLLSVAAAAVFWKIKPE JR316_0010344 MHPLDFSDLQNYIQYIPYNTNPGALCEESNTNGDPAPLEAADTP LTGSPSIHHQPSRLRLDPEVLASIKRLIEEVEEEMGVNYLDLVKVPEASGAGIYNGWT STSQSVPEDLYDAHTICDAPSLYNRTEYAVPPPAAVPTHMSSLPELINSRDATLLRSR HDVKPTSSPLMPAMGQPGPSRWHQEQFDPSSIDWSVWQEHDMAAPPANCGDSESKGLP AHNAVLDVVNSTSYHTDNGTNLPLDHDTALVLNANGDEILRKSFTFRSSSPTPYGRTA DAAQYQGMFSDHAQPWFQAGEGSNTHHSSTSFSSEREKKETITTGSIKNGREELRSRL TNEPVERQDINEAQTNDGGCGEGAEVGHGQTIGRDEIASRKRRREDTDSEIESAGGPR QPRKPKVQESRESVPGNINTEVQGSRGKPRNLNPRAPAMMRSYAHALTPLTTPEAKEG GFIALVTAGTKEASTVFGGDQTPVDMDSMTMV JR316_0010345 MQYSQTSRREGGPANKYSPDSDAAVDQTETWYKEMVGYVNFDDE SESESGSLNDSAGPSHSTITACVHSQDLSSDSSEEGLEEIEGRRRPVVDSQLRSKDMN NTSTDFPNLCQPTIHTPWHNYTHYPYDSYYHASYRSFGATFIPSVQFATSSSLFVPPE SSLENSKAKQGTHQLSKVGRRKRTPSKCVTKRVSGGTGRLSREEEDSLPSREYTENVI RDILNIPPDVAIKDAWPTAVTPWVPYGKVNALMLVLCCSENTRATVKEIQKLLVDKYP ALKSTPSNHGWRRTLCGYLSHLPQFRRIEREGNKGDYWVLNYFYTIKDLRKAVLPMMF AIHFNFIIKHTMDMFGGAFTLQSLPEVVNEQSVK JR316_0010346 MVDRPSTKKGGDSRVTNASRHSRKRRLSTSDRDRGKSASTAKRP RTGSLQDPVQTKEGEGRVSATLKPAVRPQVVQPARPRCLADGFSEGRLVIEKRERHRK KEGGFTFQVMRPTQGP JR316_0010347 MSNFDVDIGAMDEVIFRLQGIVSDKMLPPMAKPAFRDRTVKQQP YLRTAIAITGLGDIVFNKVMEKLEEVFLRFANNFPADSVSGYDPVLHKDTGFNVFHAH SQYFTKVSAYQDKSDNIGFHPLVNPDNVLASMVGDSFIHAIDNKVQFLRREILPDGTA RYYSYNPASIRIGDIVEISV JR316_0010348 MNKPFDPDYGVSSKKKEKPPMLPQFMELGLSMGRRYVIKTIASI PEEALKEMRKHAFSPKRDKIQKFLIDNNYVITMTDKNLGLAVSERDWILRNELNLLED ERNYEELEFEVAQEVMKSKMIQMQTLARTVEDEHLFLFELGLSRFFLSNVPEDGSSFK YPQFHGIPKIHKKPTGFRPIIPCHSVVFNPAAKFVSKELKPIIKSTPSIIHGTKDLFT RLSQLRIDPKRQWYFVTGDVVAFYPNIPLDLCIEIVCSMYEEWLLNASEENTALAHIN PNSLENNLVKLGIFKRAIEIGNTQLITQHGSRYFRQKNGLAMGVADSPDLANLFGAHF EIKSKILDHPNVAFYGRYIDDCFAIVYAESEALALNLIKETIKFDGCVIEWAVSLSGC QFLDAFIFKESGKLHWKPFVKTGNNRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTK EIYIGAIRDLNALYLMRGYPENLVMSWCKKNIQERWKKRFALRVAEHDESILVWNWFS AAELGKTVTKYWSAWYEHAEKGLYSADSSRPLIKPDPNYVHDLDDVRPELFTQILVDG ETEFVPDLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQ NVNETYHSALVEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0010349 MSSQYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSVDQVIDCVFFDYRAALREFLHNLASWCDKRETVKASLERLESA VSAGNTPNRLKVKAPEFQLTKEFADAGSAEALRVSTTFSSARDAFQKAINDGAVQAKK DELAFWDDKCALNSCYEAAALIVKATYDDRKSSYKLPVFSTDNKGVRRIAEWVVSPQK KAECSALQTILPAIFSHIKQIVNMRHRVLAIKIEKKRTTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQARKAGPSKPKSSSTPSQRKPQTKASN KVDNKKKGKGRAPVKNNDPKGKGKARA JR316_0010350 MNKPFDPDYGVSSKKKEKPPVLPQYMELGLSMGRRYVQRTIASI PEEALTEMRKHAFSPKRDKIHKNLGLAVSERDWILRNELNLLEDERNYEELEFEVAQE VMKSKMIQMQTLARTVEDEHLFLFELGLSRFFLSNVPEDGSSFKYPQFHGIPKIHKKP TGFRPIIPCHSVVFNPAAKFVSKELKPIIKSTPSIIHGTKDLFTRLSQLRIDPKRQWY FVTGDVVAFYPNIPLDLCIEIVCSMYEEWLLNASEENTALAHINPNSLENNLVKLGIF KRAIEIGNTQLITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKILDHPNVAF YGRYIDDCFAIVYAESEALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFIFKESGKL HWKPFVKTGNNRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAIRDLNALY LMRGYPENLVMSWCKKNIQERWEKRFALRIAEHDESILVLKTRFDQVWNWFSAAELGK TVTEYWSAWYEHAEKGLYSVDSSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVP DLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQNVNETY HSALVEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0010351 MSSTYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSIDQIVDCVFFDYRAALREFLLNLASWCDKRETVKASLERLELA VSAGNTPNRLRVKAPEFQLTKEFADAGSAEALRVSSTFSTARDVFQKAINDGAIQAKK DELAFWDDKCALNNCYEAAALIVKTTYDDRKSSYKLPVFSTDNKGVRRITDWVVSPQK KAECSALQTILPAIFSHIKQIVNLRHRALAIKIEKKRSTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQAKKAGPSKFKPSSTPSQKKPQTKASN KVDNKKKGKGRAPVKNDPKGKGKARA JR316_0010352 MSSQYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSVDQVIDCVFFDYRAALREFLLNLASWCDKRETVKASLERLESA VNAGNTPNRLKVKAPEFQLTKEFADAGSAEALRVSTTFSTARDVFQKTINDGAIQAKK DELAFWDDKCALNSCYEAAALIVKATYDDRKSSYKLPVFSTDNKGVRRIAEWVVSPQK KAECSALQTILPAIFSHIKQIVNMRHRALAIKIEKKRTTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSVGKKASFRNPSPIILDTNYYSNYPDFVWPEFVQGSSTSGQ KSWPFETQVVVDAFAEEASNQGFQQGRQQEEREGESSRQEQRSKGKGKGKSLDARSTV ASFTSEGMNKPFDPDYGVSSKKKEKPPMLPQFMELGLSMGRRYVIKTIASIPEEALKE MRKHAFSPKRDKIQKFLIDNNYVITMTDKNLGLAVSERDWILRNELNLLEDERNYEEL EFEVAQEVMKSKMIQMQTLARTVEDEHLFLFELGLSRFFLSNVPEDGSSFKYPQFHGI PKIHKKPTGFRPIIPCHSVVFNPAAKFVSKELKPIIKSTPSIIHGTKDLFTRLSQLRI DSKRQWYFVTGDVVAFYPNIPLDLCIEIVCSMYEEWLLNTSEENTTLAHIKPNSLENN LVKLGIFKRAIEIGNTQLITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKIL DHPNVAFYGRYIDDCFAIVYAESEALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFI FKESGKLHWKPFVKTGNNRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAI RDLNALYLMRGYPENLVMSWCKKNIQERWEKRFALRVAEHDESILVLKTRFDQVWNWF SAAELGKTVTEYWSAWYEHAEKGLYSADSSRPLIKPDPNYVHDLDDVRPELFTQILVD GETEFVPDLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPET QNVNETYHSALVEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0010353 MNKPFDPDYGVSSKKKEKPPVLPQYMELGLSMGRRYVQRTIASI PEEALTEMRKHAFSPKRDKIHKNLGLAVSERDWILRNELNLLEDERNYEELEFEVAQE VMKSKMIQMQTLARTVEDEHLFLFELGLSRFFLSNVPEDGSSFKYPQFHGIPKIHKKP TGFRPIIPCHSVVFNPAAKFVSKELKPIIKSTPSIIHGTKDLFTRLSQLRIDPKRQWY FVTGDVVAFYPNIPLDLCIEIVCSMYEEWLLNASEENTALAHINPNSLENNLVKLGIF KRAIEIGNTQLITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKILDHPNVAF YGRYIDDCFAIVYAESEALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFIFKESGKL HWKPFVKTGNNRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAIRDLNALY LMRGYPENLVMSWCKKNIQERWEKRFALRIAEHDESILVLKTRFDQVWNWFSAAELGK TVTEYWSAWYEHAEKGLYSVDSSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVP DLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQNVNETY HSALVEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0010354 MSWCKKNIQERWEKRFALRVAEHDESILVLKTRFDQVWNWFSAA ELGKTVTEYWSAWYEHAEKGLYSADSSRPLIKPDPNYVHDLDDVRPELFTQILVDGET EFVPDLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDENIAEKGGVVPETQNV RTQEFADAGSAEALRVSSTFSTARDVFQKAINDGAIQAKKDELAFWDDKCALNNCYEA AALIVKTTYDDRKSSYKLPVFSTDNKGVRRITDWVVSPQKKAECSALQTILPAIFSHI KQIVNLRHRALAIKIEKKRSTAATADVEMADATKPGPSIQSLIDKGLNARLKKLNLGS TLSGQNSSKAPQPQAKKAGPSKFKPSSTPSQKKPQTKASNKVDNKKKGKGRAPVKNDP KGKGKARA JR316_0010355 MSSTYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSIDQIVDCVFFDYRAALREFLLNLASWCDKRETVKASLERLELA VSAGNTPNRLRVKAPEFQLTKEFADAGSAEALRVSSTFSTARDVFQKAINDGAIQAKK DELAFWDDKCALNNCYEAAALIVKTTYDDRKSSYKLPVFSTDNKGVRRITDWVVSPQK KAECSALQTILPAIFSHIKQIVNLRHRALAIKIEKKRSTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQAKKAGPSKFKPSSTPSQKKPQTKASN KVDNKKKGKGRAPVKNDPKGKGKARA JR316_0010356 MELGLSMGRRYVQRTIASIPEEALTEMRKHAFSPKRDKIHKNLG LAVSERDWILRNELNLLEDERNYEELEFEVAQEVMKSKMIQMQTLARTVEDEHLFLFE LGLSRFFLSNVPEDGSSFKYPQFHGIPKIHKKPTGFRPIIPCHSVVFNPAAKFVSKEL KPIIKSTPSIIHGTKDLFTRLSQLRIDSKRQWYFVTGDVVAFYPNIPLDLCIEIVCSM YEEWLLNASEENTALAHINPNSLENNLVKLGIFKRAIEIGNTQLITQHGSRYFRQKNG LAMGVADSPDLANLFGAHFEIKSKILDHPNVAFYGRYIDDCFAIVYAESEALALNLIK ETIKFDGCVIEWAVSSSGCQFLDAFIFKESGKLHWKPFVKTGNNRERVPWVSHHPLDV KRGVYIGELSRLAVLCSTKEIYIGAIRDLNALYLMRGYPENLVISWCKKNIQERWEKR FALRVAEHDESILVLKTRFDQVWNWFSAAELGKTVTEYWSAWYEHAEKGLYSADSSRP LIKPDPNYVHDLDDVRPELFTQILVDGETEFVPDLRKIGLLGSRWIVSRKRNTNLFDL ANVWKKTVFRKLDEDIAEKGGVVPETQNVNETYHSALVEAAEQISIESDNEIILHRRS ISQEREHPEFGRISKSYNR JR316_0010357 MSSQYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSVDQVIDCVFFDYRAALREFLLNLASWCDKRETVKASLERLESA VSAGNTPNRLKVKAPEFQLTKEFANAGSAEALRVSTTFSTARDAFQKAINDGAIQAKK DELTFWDDKCALNSCYEAAALIVKATYDDRKSSYKLPVFSTDNKGVRRIAEWVVSPQK KAECSALQTILPAIFSHIKQIVNMRHRALAIKIEKKRTTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQAKKAGPSKPKSSSTPSQRKPQTKASN KVDNKKKGKGRAPVKNDPKGKGKARA JR316_0010358 MSWCKKNIQERWEKRFALRVAEHDESILVWNWFSAAELGKTVTE YWSAWYEHAEKGLYSADSSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVPDLRK IGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQNVNETYHSAL VEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0010359 MSSQYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSVDQVIDCVFFDYRAALREFLLNLASWCDKRETVKASLERLESA VSAGNTPNRLKVKAPEFQLTKEFADAGSAEALRVSTTFSSARDAFQKAINDGAVQAKK DELAFWDDKCALNSCYEAAALIVKATYDDRKSSYKLPVFSTDNKGVRRIAEWVVSPQK KAECSALQTILPAIFSHIKQIVNMRHRALAIKIEKKRTTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQARKAGPSKPKSSSTPSQRKPQTKASN KVDNKKKGKGRAPVKNNDPKGKGKARA JR316_0010360 MEWHSVKEWRQLDENITLLCEKIRLWCRVPGTPPPSPKSFGYDL RYSSEADAQRSFEATRNAFILWMGYLSYLVAQSRREIYSKHIKHDPKSPVPAWHERLR AEHPDISEVWLDGLYHSNVFSFNARTPRVGMVYELSSTHATRPPIEWLLEHHVPVYYP WRMREEEIFLTHKILNLELRPPRDLLAATLTDLFKSMDVSLAAAFARKFFNKSHSTEG LTMKLLGDEYSTTLVYSILSNDFAHNSEALDQHMSQPYEELERKLQKRDEEQRQLAID SANLPTLRMIELANENHKLLTSVHDDWDAYWAARVEERRRILAKETPEARQARLNREN NPSVVRSKVFVWKTLVSTEGASVYMREHIATSRNSIEEAKLCPHRKVYNGVTDEWDLC RDLEPPAELAGASAMLDQRNQAQTRPARGQHRRGRGVRPAKQPRQHPKPAPAEEEWEG VPWYDTIEPDPDAPVASTSALPPARNPSPPPLPPNNPPVIEVPTPSSSRDRPRRAPTT PPRPTLGRRPRSRSPTPPEVATRSRPRARRSPESESRVQQSPPAVVEDFEMEEAGPSV EDENADVEMTTDAPATDVVQPQPITPDNDMVRCLRQSYGYLPGTTTTPCAAADWDELV ETFGFTELLTPEVALDAQQHIKQFYLACIEPTSTEMPAALSDLNTHSLTSLSRLLDLS QIHRPHENLFVFSEPRSEEHEWMLGVETAEIALYVCRLRLEHSWARIDSLTKMLLERG VPCRTLMGIEMSGRCSTVQEPYTPRSVRPSAYKFGVDDFEAYRLQCENIIKHQQHGRA ALLRGGLVGRIASEFLSVDDGLAGPSKEIIQNRQGFIVPAGDTTWCYCDDQLTENELS IICGTYTLYTATKGQITVKSWFPPPNLWQVPPSMNGSQWVEWTPANEAWYRERVEDIR TRQAQPLTRVQWKSILRGTPPSRKLLAAASQRAQAFVNGHVPVVPTYRVRPGLTARPR CIKYKTAKWQRQEASRGSAAAATGGDGGTTGGDGGMTGGEGRRQWQRRPGVAAQQHAA ECVSWWAASGRDGGTTRGDVVTTGGDGGTTGGDGGTTGVDSGMTGGEAGGSGSGGPVW RRNNTQQSACRGGRRVDATAGRRAVTS JR316_0010361 MATQGVSPLSSALQFSPVRSTSSQQHLKGHRVVWCPKTPRRYIQ AARRTQDPSKRRPRLSQRCHQPPVECQVIVSADPQRRHVNTSTLAAHKTPQYHNHDHN QPRSLVKMHNNIVRLLECQAIVSSGAQSDASV JR316_0010362 MLRVKASLSVAGVDFQVDSTLLWGGQSRVVPDEQNTEQLTFSTP VRRWQRLVAVANSYVSTHIHVEQRITYLQVSYKTYTRIPRRLDG JR316_0010363 MPALAVPTLALATACRCAATPGPLLPPPPPLDACRCCRAASPRR LPSRCRVHSPPTTTRGRGSLCTMRSLSRILLRRRLFLPAPVLTVNNGGPPAPGPDIHQ QLQQQQHHRQQQQQQHIPSHTTHANHSLLYDHLSHQTLNPLERLLLFSLAHECAGSVR RKTVDMICDVANQGMARGRPWHALQAQAFSMTHAQGLGGEEGSGSVGGGAVPGWMLRE SAYRVFAGCPNLVMDLQINAMLGVFQCGLQDPENIEVCLLYFIISVGRC JR316_0010364 MPASADTEKSSLALLRNFMSQLTVCTVPTVTLSKVLTIVDKWYA LHLEKMSYGGIEDSRMLSGGLFNKNVTHSAPLRLRNIFGRPLDYIKILAELVITEFGV WDIAQYVFFFFNTTVTTKHPVHKSYNSSIALDIVTTVGKARKL JR316_0010365 MQQISNVRTLMINGGKFTQARNVYEAGKDAGEFYQSLDAVYDSA ERFLNAQCHPGTRERIIETIMEWIDDPTPEKQALWLYGAAGAGKSAIGQSIATMLKEC SANRRYGSSFFFAKGVPGRGDGNKLFSTIAYELAINFPEYRAVLDTIMQENPTLPTKS INIQLQNLIIRPLAKVRNWPAHHPVVIIDGLDECSGEKRMQVGILSTIANSIIQHHIP LRFLIISRPEYWIADAFETGRFSSIVKRLSLQDDLEANAGIRTYLRDEFNRIYDENIE VMHSIPRTWPEDHIIDGFVHLASGQYVYASTVIKFIGDSLHCDPFEQLRILCSLDPHD AQAFSELDQLYAAILLSYPHWDRLKLVLSVIAYYGYTNSESLMELVLGVPPSELRQIL RIGASQYHLGLPQRAVRAVLRHITKLTSSEMSIIINELTSLYSTLDGILFNTDKFIPR SKHILWILSRFKDSLLRDLCWRKERIRNKLTSLLDLLQSLQRLVNMAFSISAQEVLKT IPMDGPIFGYFVRRSWNQGTKLSFNIASFRDIGEKMNITHDVIISELQSIHDIVNICQ VNGGLVETIIDLFTEEIDKIPKACLRPSLLAEWETPGLIDVVEQLNQLLNTTEDVPDL LLRAVANFLHCPAQSDIHSQEYFQVPHKMIILNILMHPQLPKSPEHLARYAAGSLDWF RRQLTGPNRRVLEEHDGTLILRLERAARATFLALLSLYIKHSSFFVVSAMLSDNYPTE YQDQHHIMDVSPMIDGTYLVSRYVDTEGANTESWLTSRVLNECLEEVEKSLGSQHPQW PIQPSDWYPHLAAFLLNVFAESFYVSTEEKLYVFSVLISNSFSPILLQCMPYAIPTQE NLKKIRLMRHLWHKVHRRYRWRPAAGFSDLTMEFLAVRHFLRSQIFVYSWLYQKYDRK TFCGMEYDNLISWLVELRATESRRILGVNISDDINYNMYTTDEEGPGTQ JR316_0010366 MSMQNLYQTSLPTYAAVVHSPQSDSDASSVNVDISYREMDAFIN YDYNSDSENPNPSVGPDRTTESEQKERQTNSPPSANPHSNSQRLDTCNSSVLQQQLHT PSYTHKPSRPKFLWPSYLTPCLPYHNVVPYPGYGPPIYVPPSRYISSSSSTSDTAGLR KRKSSGIGSRNAKKASTRKPRRRAPVIRKAKAPKQNTTGVLTPAQVAALPCEEHTDSV VARILGLAPNTRLEDAWPQEMDPWRYNKVQVLMLTICCSPGHRATFEEIETYLIRKYP ALKTTLYGKKWRGTLRGHLSHRPEFRRILRPDAHGDYWTIDITKLLPGR JR316_0010367 MKRKRRSTVPTHELRTLLPHRLAEMQQPIYDISGYLSPRFQVSM LESKTLPFRLRYLSSMLDVPFPEGTRGFLYFKHMPNLRSEVRWRVCEDHGAFDGGHDL ALPFGAAWSIPLIKIFNSKKYFALRSLIFRDGLVDSQTVALLARVTPNKDEVILFDIF DPFVVDFLDICVNILLFKRNLIARGSIYHVFSEAALIERAKNYAVYSGKALVQFERCQ DYNGDEALCLRFLEFLTPIKCTKPDYDTSVQPPVVGALLQKRNSSDLPYQPWIFTLKF SAFGRAIANILRISV JR316_0010368 MHFNYIIAFTFAAISNVIAGAVPAPPNNGGIMSLEEMKSWVSAL PPDEVTLIGDPFSALSLRSASAVTVTFCSTRSGTTCTGPCHTETIAGGTCVEAPNTNC IGATGQVTFCDRAGCGGTCNEINSCGTRLENNFCFTPGTKSIGIPAGA JR316_0010369 MRVQREMLVPFSVLLSTALLATAQTASAQTRLDTLCKAAEVACS NVGSAKLAADSSFTAQKQTGVDDASKSNGETVPNETFLCPEGYDKCVANCRDLEHGGW DSGGTGAYLGCVVSCLRFLPFKVNSVYINERSFTDPWFVRCGAPGGLSGENVSETIGI JR316_0010370 MASALSPRFQQVFPPPMDDVYMDQMDLKTLYRFSWTCKELNDRV SGYMRRAFRPKNLFAPIFKPNEHLLFRLLQFKTGLIYIT JR316_0010371 MSNFDVDIGAMDKVIFRLQGIVSDKMLPPMAKPASRDRTVKQQP YLRTAIAITGLGDIVFNKVMEKLEEVFLRFANNFPADSVSGYDPVLHKDTGFNVFHAH SQYFTKVSAYQDKSDNIGFHPLVNPDNVLASMVGDSFIHAIDNKVQFLRRDILPDGTA RYYSYNPASIRIGDIVEISVAFVAFPAQGNKYKFVVALRGILVLDQEAREKADILRMR SRYTPAKRQVAVLCRTKRQLYKGQIDIEDTQQRMTRMCLNEDTVHNSNTMSQD JR316_0010372 MADPPSPYDGFMTMSLDDRFALLFRAQQVRFDADKKVDDRLSAI ESKLERLTASLPKPPAAPTPSARAPRARRTSRKERPAAPTSTPTTGPPHSQSIRSTTT KAALEKIVTTLSIADEQAGHVIGRAGTGLRQIHDISHAKISVSPVVTSGLRAVTIRGT AREVGDALSAIGKRIARRRIRNPRSKKPKQPPAPTAAPPTLVVEPPSPTPTSSSTPTT RTPRSGTASPHSPTPTAVDTRSSPSSSLAPGSPMEVDALRAPQQHSDGYSRPGPVQPR EGIQTARRGGGPPRVFGANRPR JR316_0010373 MRTTGTIKSVFERRLDRLSYEGYLKHAHSMINFMTLALLALAIL YSVAPAVGNGFVNFPPSRQARCLYGQVPDCGDVQYEPQSVEGLAGSFSCNGDGERFHE LNDNSLFENLHFSVPEDIEKLSFTWVITAPHRTIVWEYFVITQDNTLLYSDQAFNQTP PATLTHMVPLNGIKGAQTVLARWTIGDTENAFYSCVDLLIVNAATATATPGAVATPAP VSMPSGFHDSARHENSVNRSAYSSAESSHTGAQKAIQKKFYIQGPHS JR316_0010374 MEASVFPTENQNLGSTPGPSQSYYGHGIHDPYATANEFSIYNSL NEPFTPQSSLPSSSNRYTNDYQTFSRTIGEGFSNNLSTGEQQTVEDQCSGDHPVEHDH SEPNAHGSNLYGLNNALEWPPRAYESIEGEMPHTNAGTWTDESIAPEQPAPSTSQVQG HVPSCMGGLGVSVTHDDGGGCTTSRAQDKIKINTVLDVLQRGPAATVGNEGVIEGRAG RLR JR316_0010375 MTTFPSTIPTIVEEHYIRGDLNSTMLYTFLMGVYTMVYSGVVYA YASSESSGMPRCIVLGMISALYFLSSSTLVLLWNKLNWTFISRGDTRDSLSYATLEPV SWFDTGLTCIWRCYYVWGRRLKVTFVLFMLLTAEFAFAVISTVIHAVHVTYSNIVVAT LSNSVIIALFLSSTVTTVSTTILIGYKIHNASHASTSHARKTMRMRYAHILSTIVESS AAYSVVLAIFGVSTIIAVLYRIPPNVNEALSYIQPFVFILSGLAPTVMALRLAVSNAN MVDSSGTITHISGVQSDHSPPDDLMGTSSGPITTHSTANVQPVLFVQI JR316_0010376 MTVQALRAFERAHGVQFAEVVQVPLEGEGVVERRVERLYKSLTE NEAHMSAVRNADVVFVVAHSQGSVVAAHLVDRLFADGVLVSGVHASGHGHGEEEQGDG VVHSALGVVDPGASAIGVPPPPRKGRTAQRVCLLAMCGIHLGPLRYLSSSTLVGPYLQ YFESMAARELFEFQELTMMMNCDEYIEHGECGVEGTKVVYIASLNDQVVPIYSGLFTA ANHPLILRALYIDGDAYHSSDFLCALLILLLRIRNAGLPDSGLLAHLSEATAGSLSGI GHSTAYEEEACYRLAVDYLFLADDGRSVQEVLTRASSPSDPEHDPDLPPYTYTHPPLT VDPFNAAQEQNDYEIPWALRDVIADERIAWLFREEFERLKRAFGEWAPRTGVLREVKR KLQPITRLGSGSGGVGVGVGGGVGMGRGGGSKL JR316_0010377 MVKDVDGQGESVKGAVEEQAILDDTAAPAPAPAPAAPDSDTGRV EESGLSAQVVSGSGLEVEKMKVKVDGDGDGTGKGKGKGTAKGTWWEYVGWKAGVGVGA GAGAGVAVGDAKKKEEKEEGGKEEEEPPGGEGAQDEAPDVRVDEEARVTEVEEVAAVK EDEDETRTPQDENTERETATKREEPATREDAASPGPDTSEGNITSAWLAPWAWYYSSA SLKSLSGGAAGSNSNSNANTDADVKEGGEGSAGTDGALEVSQEKGDAAVAHEEEEEEE EGEAPRVEEDVVVYTPLPHTQAADIPRSTSTSPTPSASGWAASILNFSSSSTTASSQF LVRTLAAYTGAPRMGSGSRRAVEGVERDENGVEVMQLEFDEEEGEGEGERGWSKDATG SVAASDAGKDKERAVPLLVVSEDLKRESAKVQRQMAKSGSGSGASTPARAGSGSGAST PASSAEKSRSRVGVTTASISTSTSTTTATTTATTATTATANSTTNSATNGGKITPSAM SNSNSKPPGLGDATKRAASPAPSTKSTKSTKSTASASGSASTSTPTPTTSTSTSTSTG TGTGVPKPPPPPNLVLPTWHDTFHAPPRSVLPPRPRLSQRSASASSVSRRGVGGNGEG EGEGEGGEGEGLIGRTVRFVSGVLFAGAAAAGSASGSTSGSSSGAGSVRSGVAGGRGT GSGRVVMPSPLGGGASASVHKKAKGKGKGKGKGRARSESASSSRSRSSADVEEEEEEE EDLDLDDTDAFHQRPYDTHNLNRKENLKHKQKESPLDKYRRARMRALGMTLPKAWSVF ERGGWGDLVVPSSSGSSGSSSPLLGDGGGGGGGGGGGGGNEGAGGAGGVKMRSGPSAH SASSFLGLSLPLLSGSRSSSREGRREGRAVDSVNDTRPAFESSPSSPSSPSSPSTTEA EVRDRCFDFEQAVDPNPSPSEAAERIEENAQVRDVLSGANGKRVVVIGVHGWFPGAMI RSVLGEVGG JR316_0010378 MSPSKQPSSRMYTHRAFFLSFTVLLSTALMATAQAASAQTRLDT LCKTAEVVCSNVGNNHLAADSSFKKQTADTNVCNEGVQVEGRCRFKRFCALKDTISVL RSVGDSRTVDWTVVEPALVEWGSMGSLRRMGNSRNTCGKTDTQRMVVGVARKTWYQE JR316_0010379 MDYAFELTQSLSNYMKAQIASRLWHAELPNQIRENFSAETMFEC NLAVEVILQAFENQEYTMWDAEEYLTHLSARCTGQNFTVEARLKDKFSPVHSALQYQT LPGTVVDSAGNILVWYLPGILSETRVESVWNSLRDIETMIHKAVPLATSWRVNDSYFR HEPGWVQPGNINFSPAWFQQGHETSNPLEVSLDLCNPIGQEFIRDTTTSSALLGAILS IIHPEQYRAGMKFLQRLAAEPELVHKAEILKQILTIWSSPFGVMTVISNRDTPYHRDN GSCYSWYDFLMPLGKGEHGRLELPGLGLRYKYDPMTLVAITGRLLQHGAVCDGDRAVI VYYMRRTVFEELGVQEAGWSTTYDLFANLPATNAFDFEI JR316_0010380 MGFKKVPDRGSDEEFPNVREASWSSGAKKRKRGRPRIHKLPAES SSTNLPQTTTYSFQNHEHNILEEEIPHYPGDLTDQQVLDNLRQLDDDRTGGKSQNDYL REWLPKREVYLGTMIGGEAPDPDLNGQCQQCQGMSGVWRCCQCFGSRILCSECLRRNH QFTPFHRVEKWTGLYFRPGALWEVGVKLYLGHNGKRCPYPTDPSHLGWDGGNNSSGSH GHGGNNSPVFEDEHGLDLDPLPASNDQESFTAQLLADPEVPHLVELDDGDDEDDDLFE EVDTTYLDQPRPKAADNNGIPFKAIVHTSGVHYLPVRTCTCRSVRLPSIDLQYLEMGL FAASFENVQTVFTVEVLEDFRMDNLECKTSAYQYYQKLRRLTSPAFPKKVLNRYRELR RLSREYRDLVLRRQYGEGHTREAVVPYYRHCHDSSPERMGINVSDPIDGGSDSPSQEV GMSTDPSLGHGGLDGPPVPQMTRTEDHPEVEDRRGKLALFCPACPQPGINLPDTWIDD ADSNLYIRSYVADGNFKADHLNQKNEGDDVWLSVGEGYMTAPGPYKEHIKEAISLAPR YKREQTCHNYHAQKAENRVSPGKRVRGIGAHACARHGCFCPSSVVDFDKGEKQMHMDW SLTQARETTNTQGITKHLEIYDINCQYCVNLARRLSESTKMHWPPSVKMIFAIGLFHV HGHKTECLYNYASTYVPGVGIIDGEILEPLWSVLNDTSRSTRSATTAHRAEVLDDHMG DSNWKKTINMAATIAAKFKRAREQSGITDRFYRGITDQQDSGLINTWEDEISKAEADR EQGVADAVGKVMASKVKTAAGRQEIELHLSNMELTSNGATGKAAWISSGLKLEQAQLE LRDHVRKLGKHPSTAQKLDLVNKRRSMRTRVEAFCRSAMTFMGEDVLEDIQGDIAPIL DYEVSDNNDPDLGNVNITRADPERQPLPFPSAVKQDFFDGLDAGTNLILKGLRKLELQ IRHGHAEDCLEAVRSALIQLSWQYKYQVRTADSVYMGTRAWDGVKLLNASWKLHRRLY NTNRQKMIYLSAGVRDEDNIRKQYPILQVHDCKHSNAVSDPNIRGGSSDRLSWIWRSR QGLDNDNQLYVNEFFRLNWLRARAQRNRWQEELALTKKEMEWTVRFYVYMAKTWRARH DFVPDRLVGHRANAQKQIAMWNDLGRAADKVFRQINPEYPSVWRFIITD JR316_0010381 MPTNASPDADPLPSTANATTHNGPRNGQTAEGDFPPEESNIWTT KEMRVLKKHVQPYKDTSKSSKADYIQNTVIPELQATWDHRYSRRARKEDKQLHKEWKV KKHRIFNWFRNHASNRIGVKLEGLNSRITFQTVFREEKSAEIDSEVALLSGNAARGSK DWMKFYQQGRKQVEARLTQEERDRFMEILEEWNKKGVSKSMKAKTAARQGRKILRQME KLKWQRMGMRSITFEGHYDIEGKIEYSMTQTSDLALDDVRIPSFGQLFPSELAAFRRA FVQYLVHISEIEKGVANPALPDASFHEKSLKFSSNGFPIVPSPIYGSTGREVAYAQKS IIRIYMNKVYALAKDRPGSSVPWDALERRSAEMIDPEYWPSSIPVTDPSRLRLESTSA ILKLWRDRQAQGDIPFKFSKVFGNGYDIMEPLYPSNLFDGLEAHPIPPPPAAITKRRL RQKAIRLQTQSSSDSESSNLSEFDNGRGSPASAMPRTTARFEVTPETDETPTDAPSRS SEPPSLPSRSSPTIVNPSSSPTPEVEEAPPKPARKIMPRKRTKPYVGTAEMDGPDEES SVPAPVKPKPTRRIQPRKKTKPYSDPLDTVEESGVQTGTTQDTDNTRAGRDVTCDAFV ETVTSPDTDETRARRNVTSDALALQEASLLVVAGKRQRKKTLKA JR316_0010382 MASSSSTTATFAQRLADWEKTFTECYRNGESAFNAQLEQLYRDL VPLCQEHVRDAANFRLVDYVASPVVYSYKTSQGKDGKQVARFEVDWANLHHQVANFKA YQQGQEAQRKRREEEEQEKRREKEEQEEEERQRVEERRKREVRRKREEKKKREEEEER QREEEEERQREEERRKREEEKQKVEERRKEERRKREQERKTREQERQKAEERRKREQE QEQETDEERNKEETEKRRAEKGKGKAVEPPVEDGPVTDAHKDKGKRKAADPVESIQLA PADYRGPRTRKGEIIPHITASNMPGHPAYREKLERLAKSKQGKFKSKAIIGSHTDEDA DADVDEDDEGDDQEAPPTTPTRKMLTRSAKKDANQDNIPPIRKARSRSEKARQVPEGM VDMVERCTGCTKFKVPCHVKGETGTEPLVPVKHQSCESCKSRKIHCSFYPGRFYPGRN TVAGQFNLSTPLGSYGEVLKLEEGEDVPAKGKAGEGSFPEDVGELLVQLFERQGRLME RMDGLSASMTAINARIATFAETNLAVEKRMKTVEDSFQELKAEWTTAKEQVAGNTSLS VTMFNNIKQAIQDVQYVVGVLLEQDEQRNPAPKQAAEPSKTEVEQESGPSRTREPTSA PQSPSPPPPPAPLPSPPPPPAAPILPSPPPPPPAPVLPSPPPPPPAPVFPAVSAPPTE LFLPGSTPEAPSPPPAGRPSLPPVPPVLSLSPPPPLPAPRPRSSTSKAAPLSKGAPSS KAAPSSSSKAGPSSKAKPLSKAKPSSKAGPSGNGHSSELSDPSDSDEVEIVEEDVEIV ASTLPASNIATKTRAGRKRKAETTLAEASRSPKKPKAQKK JR316_0010383 MSSNISNSKESKRTARTDDLAPPPMPVIPDNLAPPPMPVIPANL APPPMPVISSNLAPPPMPVIRKDLAPPPMPVIPANLAPPPMPVIPANLAPPPMPVIPA NLAPPPMPVIRKDLAPPPMPVIPASLAPPPMPVIRKDLAPPPMPVIPASLAPPPMPVI PANLAPPPMPVIRNDTAPPPMPVIRNDLAPPPMPIIPNNLAPPPMPVIPPTLDPPPMP VIPDTLDPPPMPVIRDDAAPPLMAAHVSYTSVVDKIIMDSRPAISSERKQGRYSPPPE ACHLLLQNPYFRTYGRFDPMGGYPGSPPTQSDIEFENVTDQTAYFNPPFLYNYTEQQL RATYDQIIDSSVGDSSYDGKVTTFKNLRLHQLQSEILTYNALEEIDAGLAGIESSLEK HLYTGRDE JR316_0010384 MHFNSLVLFTIAAVSAIAGAVPASANNGGIMSLEEMKAFVNTLP PDEVTLVGDPFGTLSSRAALSVTVTFCSTRSADICTGPCTVRTLPGGTCIDTPNTNCL AATGQVVFCGSPACGGICNLLSTCAGHLENNFCFTPATKSIGVPAGS JR316_0010385 MSISDMPTPAIEEDYIISGNLNGPMLYNSLMGVYTVVYGSTIYV YMSRAASNTRRCIVLTTISVLYLLALCNLILNWYFLDQSLVKDGDTRDTTFASMLSTP LWFNAMFAFLQNMSIVISDGLLIWRCYYVWGRCIKVTSILCLLLVTEFILSVTDTVFY GLSSTYSSISNAALSENILIALTFISMGTTVSTTTLIGYKIHTTSFPSTSPLPFKSAR KPIYTRYARIVSTIAESSAVYSLVVVLYAVTVVVPAFYSITSVFTEVSYYIQAVLLII PGLATTIMVLRLALNSTSSADASDTITHISEINFDHSEQDTIGYSDYNSSGASSSNRC TSNILDPITFSQSACTGSFDRHAHSFDVARQDEDAHDSEVASQSWL JR316_0010386 MHAFRAILFGISVILSSALLVAACSDTNVGGASAQTRLDAMCKV MEVACSDVGHTKPAVSSNAPKTQDPLAAAFAAHVKKHSAKAPGSAAQQQKAAPINLSA CPEGYGSCLGECSQYDPSNWEDGGSGAYAGCVLACFFNCVL JR316_0010387 MSSLSPQETFRLGPFIAPRVWVGLWQLSSNAWGSAPVSKVRQGM GRHVDMGYTAFADHYGSAELIFGQFRASLPSPEKVIGATKWCVFDKNVSPSRSFVQSA IEERMVRMRTTSVDLLQFHWGDYSDKGYITALIHLADLRNEGKISAIGLCNFDAIRTD EICTELGPGVIVSNQVQFSIIDTRVLHGLADVCHKHGIKLLTYGTLCGGFLSDKWLGS PEPDLYSGDLTPSQRKYLDMIVKAWGTWELFQSLLVVLRTIGDRHGRSIANVATRWVL DHSFVGAVIIGARLGLSEHPDENRQIFGFRLTPQDNAVIETVLDRSNGRTIITSIGDC GAEYR JR316_0010388 MPAPDATFRHIDSKPALGHVNLMVDTFIANATADDLRSIVRNLL ATGPPGITPAFANAARLRLRQTSTRPLPDSYSLFHAETRDSPASPLPHLHEVLTRARS MYGAGLGFSSLAILASIVRATIGLRWEDDGDMADILAVIDADIGQAIQSSKEEIQGSR VLDFASAREARETLRCAVRDSMDDVKTWGGEFPFERASASLEHWKI JR316_0010389 MGNTKAGLLEPSEKDRERTIQNSASSSFQVDLDDAEKPQHLPNP RKWVAVIVISSAASCVTCASSMAGFAEKGVSRDFNVSQEVAILSISLFIVGLGIGPLL FGPLSEVYGRNAVYRVSYALFFIFTFPVAFAPHVGKSLSVFLIFRFMSGFCGSAFLSV AGGSVSDLFDDHTVASPMAVYTISPFIGPVLGPLLSGFINQNLQWRWTYYILLIWSGL ELVALILLVPETYVPLIVKRKAIRLRSEGESRYWAPLERQETNLSRAIMISCYRPFQL LLFDQMALLLDLWNAVILGILYLSFQAFPFIFGDVHGFNTQETGMSFLGIGLGMIIGL STQPFWNRLYKLEAERNEGRLPPEARLIMGKFGGILVPIGLFWLAFTTYKAVHWIVPI IASVPFGTGIYFVFTSTFSYMVTAYRPIAASAMAANSAMRSSFAAVFPLFAGAMYHRL GTVGATALLAGLMALMTPLPFVFYRIGADLRRKSRFAYHDQ JR316_0010390 MKLNLQVLFVALALVYPAVCAPAANIPIPTISGPIKPTLTSVKP PTTPIKPTTTIKTTISVKPSTTVKPTTSVKPTTTVKPTTSIKPTTSVKPTTSAKPTTS VKPTTSVKPTTSVTPTTSVKPTTSVKPTTSAPPSTTKSSSSTSKSTGSSSSSSYIFPT VTTRSLSTTTVTGTRTLSFTSGTPRATFTLIP JR316_0010391 MSAVTLQLVFALSLLNKFVLADNGMDMSMDGAMSLTAGHMMLPY LHFTPGDTIWFFGWVPQSKGAMVGACIGLFLLALVDRWLAAIRAMADSYWRQRGRVIA SNRLNSAKTSDAQKSVLNRALPFRYIPPFILSHDVARGILHAGQMALSFAFMLVVMTY QVAFILSIDQVGQSEPCPRTLSIYRYRFFIGGTTFSRAREELKMANTGTPPVIPIEFQ QYNSYVVDPQWQRKFSIIWPCVLAGFILLSLPHLVRSIKNGRAYSTFFGISEDLSGVE YSALALAADHSMKRRPSTSIFTKLEKLFGLLGSFFYWTLPGFALNAGQIVIVTAYVVT VVLCIVLDAPLISNSNRAGFIALAQFPVVFLFATKNSVVSLLLGPGNGYEKLNFIHRW SGRIMFLGGLLHGSLWIRNHLQYNIPIIGQQKETSGIAAFGLLSVIVLTSLRPVRRFC YEVFYILHMLSFIAFFVTICYHTIYASPWIFPPLAFYGFDILLRMFRHRIKDAVLVPI DSQMTLIHVPYSTSGWIAGQHVRLRVFFSGRIFESHPLTIFSAPPEISCITSMPQGVS FGVRACGDWSKALNRYAMQAVAELQAELCEKPSSKQESEKSAPTEAPIQVMLDGPYGG CSVDLGNYETALLFAGGTGVTFTLGLLDDIVGRCVRLGRKNGEITRRIEFTWCIRSFG SIEWFAPALMDIANMAALSSKSANPIELHISIYVTCLCNPEAIPPIPNCDVTIIRPSV YRILADITTPPRLSSTAKSETGDELPPIDVEKRQSAVTEPDSEIGESLGSKLSWIENG GGLAVCASGPQSLTREASNAVARLQMSGRGLQIGKIGFHSEVFTL JR316_0010392 MPIATFNSPVRFSTFSKRRSKLSPVIGYPDALPHPQHHHQHQLA HNRRLPALQLCSDVLEYIFLLAAEREYTTDNPRRTIISYSHICHDWRAIVLSLKRLWS RLVDFEEASCEWNLEMLRRSHPLPIRVSYNATLAPRNAQVLKLQMDCLDRIRSYTLCC PDTDWDLLVALLDSRPAPSLEKLSITCCRSFGAIGSRPALLPVSLFGGCAPNLRRLYL NECGIDFRAARFLRSLTSLQVVDLSYDIAPTALEWLDILQDMPKLTQLSLQGAILPSQ YRPQRLARINGDQDGYTVPIITNLNLDGSLSDIAFVVDNLVMPPSCHWTLTCSDCIPG SSLDLLIDSLTKFIDDSTYIPAISTRHLSIAAQTSNIFLTSEIASPGSNKEAPVGFYI NLRTRSHTQWDTLFPIVASGLSEIIPIITSLELALPIAHPSLLPLLRRASSLDMLMRL SGKLSKTLLPAFQSLTPTGGLLLPSLRSIVFTDDSLVWGESYRNLLSFLRWRSQMGAP IQRVFFLRCFVLEAVVKELNSLGVSVEGALEGLRWQNL JR316_0010393 MRLIDLPPELLIQTFSFLPPADLLSCQLSCTFLNSIVHNSLVLQ YGAALVASGTVDNPCSILPLSSKLSALRDAERAWAKLSPTFTKRIKVSEDQCGVYDLS GGVYLLSNAARRELMYLRLPTTKQEARQATWHVLSSKKTIIDIGLCLFEHDLIVIVST TPSRASTPSQPLYDIEMQYLQFSTGLPHPRAVHKNAHVMSSPWEKPAIGIEIVGENLV LILTFINRGRTDDRVFIYDWMSDVVKVSFLAPHRSYSGLLFLTPNLILLPNTTTNSLD IFRIPEKPTLGIPQPVLILRLPELADERVMGGITCRAEPNPVGEGWWAGERARSAARL VAASSESASTTTEPGATTESLPTRPFLPPPASAICLFEIRVLALRLHLHHGAWNMGLE FRDRFTFVVRREGFVRLVEKCGEFGEGEDAETSTATTNEPTASNEPQNQTQQPAQQPP TQRTPPTLPYASWGPPITRWFNSDRVSSRWITVSAGERLVRMEDRPDNWVGEGLGGNR RRRRRSRTPPEGGDANADGVEDEVVEVEDEEDIDEDEADDFPRFMRPFTVVDFRPEFV ERVAKVYDAAEAKAKAEAEAKRQEEEEMARKSGEAEVVDKGKGKRKQDESGEEEDEKN GSKPFVRHSDWMPLRMRVRDDDEEHGEEVGHGHEDHSTQQDLPSQPQYRQYKRRRAGV RSRMDTGADAGEGAGTSASVQAHDNALTNDEEEIAMDTSEDEGEWHTADEGEHEDGYD YAIVIDDDSDDEENHYIIGGVGEDDDEDEDQWTDTEGDGEHFDGAMGMEHTGLAIASP FMHGTHQFFSSPPSPEIHPIPRMRLLRGMDTVEPADAFAEHVEGGLPCVQVESEREWG FDGVLMDEERVVGVYTNEDDRIEQVEILYFG JR316_0010394 MPSQPRKGITPQQKLVLTTVVSMLQSAALATNLVPVVDFGPFID PTSPAEQKEKAAKEILQSFQEIGFVYLINHGLDADKIDGMFGWSRKLFDLPPAVKELA PHPPSGTHHRGYSAPGREKVKQLSESSRQLAHTRATNDVSNQAESGVIRDIKESFECG REDNEEMPNIWFPDGVFPGFKEACLDFYWTCYETEKLILKALAIGYNLPDHYFLQDHS KADNQLRLLHYPSIPASMLQDEKASRIPSHTDFCSMTDSIGGLEVEDPKHSGTFIVLF VTYWVLITPTDFHAL JR316_0010395 MQNFRAFLPNVALILALLSSISSASPLAGTNAGSLSPRAPITPG VGKDDSLISEWEDQSSSPGQAEDGSTTDSILERSGTFYPQVWVVNHKI JR316_0010396 MPVSHSFIKSVVAAVAIAKLAVALPTVPSQGVVVDLNSYAVLGP SGSFKNSASGLFNPTSTTAPFFQIFDDRFLDVIGENPIFRVVSSDTSVLSYAREAPVY VASTDELFFAGFDPTDNVVSKISLAAIETAIAGSPGSSPLNVAGTRLSLSSSLQVVNG GTGPYNGKIVFVTLGSPLAPPALALVDSTPPYATTVLLDNFFSRPFNSINDVKIHPTS GKIFFTDPSVGNQVGLRPAPLLKNNVYRFDPDTGDIRAVASDLQAPNGIAFSHDGRHA YVSDTGAFVSLTELDPTRAATIYKYDLEHESQAFTNRRVFAYADTGIPDGLQLDASEN LYVGAGDGVHVYANDGTLIGKFFTGTTVANMVFAGNGRLLLLGGADLYYVKIAANPIK ISYP JR316_0010397 MQVLVQVIGLSWLGCLSVNAQVNLSNINGGQSVFISPPTFAVLG ATGNFRNSSFTEFFNPTATEPPFFQIFDNTFLDILGPNPTFNIVSTNDILEFFAHEAP VFVKETNELFFVGFDQTQNVFNKLNMTAVEQALEGINGTNITSINVPATQIPLPDTVH QINGGTGPVGSSLLFVTNGQGPLPPSVVLVNAKEPFNATVLLDNFFGRQFNSLDDVKI HPTSKAIFFTDVSFGFLLQIRPPPMLPNQVYRFDVTTGVVRVVATDFEKCNGIAFSQD GKVAYVTDTGALSSLGSPDQTKTATIYKFDVDPISQVFVNRRVFAYADTGIPDGIELD AAGNVYAGCGDGVNVWSSEGVLLGKFFTGGTVANMAFTGDGRLVLLGGTNVYLVKIAA KGQNLAVPSFQGVPI JR316_0010398 MDLPLEILEEVLGHIVEESDDIRSMSRSTLSTLFSCTLTSRLFA FAARRQIFHTLNIERKGRRLHLQGRWTTNRKEAELSRRFSALTDLLNAENTDLPLLIR TVRITIDKDRFLLQESSNLHSVFEALISRGANIQCLYVGVSYDTNSRLSWNDIPRLVA SGLERISQLESLTRLHIRFITDLPPLFAVTRSRFRNLELMRSTFGNVAPSSQTKFHIS TSRGQLQFLASLSKLDLYPTRLCYAMNRDTNYVAIGLHLGNSVGIPRVTTFVTHIHIS SHSNQKRWLSANEWPRLCSASWFPSFKMITIKQWANSTVQPTIDSIAVDFANFVDILL STPGQSKLEEIELSYELFGQYIGPDTFAGVTFKSLNDLRIDSLRRQHPSLQTAVFRMA IQLSWEVDLDRKAHGRSGCDALTQRIEQEIISGFSEGIPLDRLSGSQSLLPQIHVEVL IVD JR316_0010399 MTASASGASISTLRLTILTNGRMVLNGPFKRQVAPSASIHISQA RRSDKHTSDSYAKDVDDTPPADNSVHRMDPDSDRFQKPHEPPSGPWSRAGVQTEEYRH VEGEKQPYAAKGTNQGRYGSRMNWTEDKGPETSGGDEGPDAKSSGGRKA JR316_0010400 MASINAADALSYSVDAIHYSRRPPPTAPTGYYDWEVSTDPTEAK QGHSLVSNLITRVENFKAKGPVKPDPKAIDAFLDTVVNPQGIDDRKGAFADGLGLLAR LDPTTDLSKKLNNSVIDTLYNTVPHPVASYLGPSFSYRHADGGANNIQTPDIGRAGTP YARSVQGKAGLPRSSLPDAGLVFDTILKRKGQVNHAGGMSSLIFAFASIVTHSLFRTD TQNIYINNTSSYLDLSPVYGCNQAEQDAVRNKSLGRGLLYPDTFSEARLSFLPPATSV LLILFARNHNYIAEKILKINERKRWSDPPPADPAQAAIQDEEIFQTARLVNCGYFMSA IMGDYVAGFLGSSEGCNWNMNAFDIINTKDLKVSRGQGNHVSVEFNILYRWHATVSAR DEKWTEDVFRQVFGDKPFDKLTPTQLTLADLKTVAQTFAAVDPNPATRTFANLKRGPD GKYSDDDLASILHTAIENPASAFRGRGTPPVLRLVEMMGIEQAREWGLCTMNEFRKFL GLKQFESFEEWNPDPEISSAARRLYGHIDNLELYTGLQAESTMPLTDGSRFACGYTIT RAVLGDAIALVRGDRFYTSDFTPINLTTWGFYDCQRDMNNGAMGGIICKLLLRHLPRH FPWNSVYSLFSFFTPDHMKASLTRQRLQDKYSFDHPAILPVPKVLNTLTGIRTVFSDP TRFKNIYEKFGYGSILMFDDQAQHDRDRAIVLHAMWPDAKSIDDYAAFFAQQVKAQIN QKAWSYTNGVPGKYVDIVKDVVYPSVAHVAADLLTGIPLKTDANPHGLFTELEFFEML STLFTNTFLAFDQTEISFGLHDASLKAGTIVGALAAKSLLEVAPSAAPNVLGRVAASV VSFIWPANDKPWYPFLQRLAASGRPLDELLGNLLGVAVGASVNHGHATVNVIDFYLDD KRKAEREHIVQLVKRNDKESAALLLGYVSEAMRLQPQFEGLWREATVDTVINQGPGLP PLEVKAGDRLRGSFRNAHLNPLDFPDPTNVNPRRPPTAYDTINGTGFHGCPGVAYARK AIVEAVKIVFSLKNVRRAAGDAGTLRRFHEIVHETETDVFVQRNGTTSPWPGSMNIVF DV JR316_0010401 MNEPSTSLVRPMSAIDFMHLPEIVDAIVDSIVPELGGNLHAHNL PFLVACTQISKSFAYAARKRIFSTIIIDQHHQSLITSGLTISTGKYLNNIMTRLIHFM NNEKSDLVVHIRKLEIRIDFNSFLLSESSRLSLLLATFRDRAFNLNALSIVSRPDTWW NLIPVAIVDLLRDMCRSLPISRLRFENVNSIPATVLSSQHTPRLQWFELGTSSFAFQS IRQVTNWTNFIPDTGKYKIDFIHERELIVTAVDADFHRYDTMSFSQFINKVSATVESI TCFLRKGELETGYLIDLGTLPRLHQLTIKQFPNSSDFEHSMEKIGACLHHGDEKSSIR ELVILLWLEDCYNYNGANTVSAVDFSLLQKLRLDFLSDKHPSLQKIELYIVVEVLFCD QEPMLPRVEALEKHVRNEICHSMTSDMSYHDLDHSFPLLPKVQVRIHFSCE JR316_0010402 MAAPRHPTTQYLAGSFVLSAGSVLFRRRASTNTLEICILHQLTR DEWLLPKGRKDRGETIEQAAVRETYEETGYVCALWPQRMPTRATVPGVSNVHVVEIAG GLVEPIAVTVRDLGSSNSKIIFWYITVVEEGVEKVEGSQMENENFESVFVDVEDAVER LTFQVDREVVNLAIDIVVGGRIVESTSSGTLNAV JR316_0010403 MSNNNDHHAESNTKHNDVVPQTEKSENDMNVSIPGDVDPAIAQA PEFAMLGRLMQMMSHPTPNTTSAPSNDTPAANARSQPPETTPETALSELVKIFDDLMH VQSKIPQLANQEIPTATIASDFRKVERPTAEGPLYNSLEYTKEGVRDLLGMVKDAFSM IDKMAGHDSTTFTSSPESSKAGRLGTSALTSILDASAKSRLASSDTESTTKSEQPPIH NDLAAMNLTAVTRLYQTNELVIRTNIKKLYDHLVTVPEDDDSYPFIQSTIGINMLHLY EVTWDSDALEAGCDGTSRALQRLSQLPDVPRVRSAPTIVRGWAYGQRLLVQKFGDVDK LDAALAVLRQTWGICKDLPGIPSRVMEFLRTEMGMCLADHYRCTGNLDSLNDAIDHLF ALTEDEPTSSPATAVLGLAYYDRFVHQGELEDLHRALRRGQMCSQSLAAIDAGSQAYD ADTAAGHRLYALALSERFKMTMDIDDLNKAVKHGIKALGLLHPSHPLRVPYTIDLATI LYSRHLAVENGTVDLRTALESVQTAITAADNDIGRHSRIPAESTIGFLTAVSAEKQMD LSGVDAGISIIQKSRKNFSGPLHLESRVENYLSKALLCRFRLGKNVEDFEKALHHAQL AFDKASEGSPYRFQCGLELGQLLVQHYQSGRSETCDDAIAHFVSVALSSTWPSLRLNA AIEWANTAELSDASEGAEDALLLALEILPTLASLSNRIPEHYRLLSSQKASIPNRAAI YALSRNNVIDAVSRLEQGRNIMWTQSLQLKVGHMLPGGDERQREFKLLSEHLLQTGHG SIMPRTVEEMQDFMKHVPPNPVASLLNDSVQGTFNKVINSLVELGGHDQVRKDLGALG EFKTEYMEAYMDASRHSSANRWSTLHSELQGTGHVLGLSESYEDDLKPIVANGCIVIL SPYSLRCDAIVIHSNGEDLVFHHLPLPELSGDEIQAWAETLRSGTHEFQRGKLSSEDF ENEYLKPILRGLWTGMALPLLDYLKRTGERKRVWWYPTGASMFLPLHAASPCEKGQLG LLDLVVSSYIPSIQSLIRAAKSPSSPVKALAVGLPNTPGYTPLKFVEKEIKALKKRFY YVPDQLTTLIGEEADIYPVISKLPDHSCIHLSCHAYQDEEYPFNSSFFLNNGKLKLSK LMALDLSRVQFAFLSACLTSAGDASVPDECIHLAAGMQFSGVQSVIGTMWSVMDGAAA AASTKVYSHFFRNGVENANMREGAEALHEAVIDMQKRNYPLMYIVPFIHLGL JR316_0010404 MEPSLKAETSNGNLAGNVIETTNFSLKQSHNEQGNILSLQVTKE HTHSVESPSHYNANIINARRELTGHTCEQQETRTGNDDDIYIEDEELQYPQSPSSSSK DTPSSPVAPFPHCSRTDQHVDFDRIQQQVQQPPIQHLPPRSQAYSYAYLQSTAQLDAR VHDNDQESLSDPLSCPAAASPSHKIEVSPIPSKSDIGVQVSEFQLGIPSTTLEQKITR LLEEAAAERREMVSSIRRLEKRIETLQNDTGRVHTCSCSVMKPQTCKRKRADEDLEVV IGH JR316_0010405 MSHSSIDPAFASQPVSRDVDRPTGTQLFIDNLVHRFNLTSDHSA DLTFLYQICTAVPEEQPPYTEVISRIMMLACQFGAEVRLHKALTATQTHLQGLGQLSS FLADYELQKDENFVLSNAQKMAIKSTCIKELLKPSRQSFKTLHIDVEAKMKKKPADNY LDNILNRLGREAKWMAHIRTVCTIQRNTLRKEIIQSITPGPKFTSVQEFAAGLIVKYR LPTSESGANSNTAYLSKHVLLRRFFWDHSSTFSAFELEKDDNNSEEENNDQDFGTPST DNSSDSGHSATKKRKLQKTNIPAGKTRGRVADGEDYWSKVDQWLKRLDDELGDNTAEL KQSEFSLVFSMSYAKLVNRFIAECLEMDSLRTNKGLDFSSHPSERSFTSPSNVTSDPQ PTTIQSFPSNSSSRLPEPHLNFGNAIAQLFSGPSTGRPF JR316_0010406 MSTNTLDNCLPSRLLSPKTPSPKKSFRKHNQDEDDEAPKKYLST LNAGDTPGSTCQSDLEDQPVVSKMPEKNDHSSEVPRHKTTIGLNRAYPRSRRDLEAEI TVRREIAIEATREAAAYKDQLRTAWLDLAAARQEAQSTKKAVKNLVRSVKNSKRRSAY LTLVVEGLEEQLRTYVRQEHNTAIMLVEERHWSQQLKAALTSHGINVPVYIPPQPLQS DNPIAIV JR316_0010407 MPSVHDIWTPSIHDASKNRSTDDTWTQFTNNTSTPTALIHDVST PSTRESTTSIHDVSTPSTRESTTSAHNASTPSTRESMLSVHNASTPSTRESTTSAHNA STPSTRESMLSVHNASTPSTRESTTSAHNASTPSTRESMLSAHDASTPSVDDMSTPPI VPSQSSTKLSLLLPQRDPSVQTASLKRPYDGLSNSHRSRKYQRTLQYNKHGHAPKPSL LSMLPSCQPEALNVKLDALPATQGAYGAKPTKPHKSHLYSLDAIKALGFRIIPWDGCT PVPFVSEDGRIFMVLAGRPKDPAYERATEEAFDLLREAGHTTAFTHEDYSENRGHYPA LNIGVTHGVGTHSPLNRVQRHPKITAKLLQSESIQRMASFASSAFATWSPKVYNHYKL YMDKIFANDSTLVRLFRRSIFPAATFNLGSVVCTIPHFDIKNCPYGWCAIQSLGKFNA KKGGHFVVWGLKVAIEFPAGSTILMPSAVLEHSNTSIEHGEERASFTQYASGGLFRWV DYGYRTEKELKRTNPKLYQEQMELRPTRWKRGLGMLCTLQDLISKAAFEVSGQV JR316_0010408 MVFGIDPAALQAFHTKEKSSASSQTSLPPVNKSLLLEDALRAIL VSASRQPHQPLYPANDPRLSRVSAAPSSTTSSTVSPLTGIDWNLFNDDEIMSDPSPID FASAQICQATLDFLNSNDESDGSDDGGGSPPLSESSDEQANSDTEQVDNESLPRKRMR GIHTDPAEARKWYPWIDKILDLFLWLLRINGVNDVPSVKAMQGINKALQNLCGIETKE YKGKLGNVYFVNSLSQILAQEMSNPQVRPFLHFYPEDTGKSISETYQASGWLREINPE DGTPMIRLNNNDFFIFEPTMLIDGRCCIPIRWFLREGVFYAKAWLMEDTPSGWVVSED REIEITQSQLLKNFIQLSKDHLLYNLSHPSRIFGVRSNSGPGLSKWTRTDPTIGNRWR VLAKGHRVYSLPLWMYCDDTSGNQSKKWNKHNSYLFILAGLPREKSSQEYNIHFLCTS NIAPPLEMLDGVVDQLQLAQKHGIWVWDCIHKEAVMIFPPVFALLGDNPMHSEFACHI GMQGKYFCRICWAKGVDSQECPHKNLPHDSCARPNSPTLSIHSDVDSEDTFTRPSHKR RRYKESMESMLRRIGKPRRKKETMATLDSFLEQAKMIGTKSKLRAAKTETGIKDVFQD FFIEKLFKSYKGKVSTQAKEEALKAAVDKLPGDIKSPVWKLGLDPHQDTPVEILHVVL LGFVKYFWRDLVQNQVTPAKKQTLIIRLNSLSVAGLGVPTLNGSTLVNYAGSLTGRDF RIIAQVAPFVIYDMVSQEVYDAWVSLSTLVPVIWQPAISNIDEYLPRLEADIKYFLLK TATWTCAWFNKTKFHIILHLPEHVRRFGPAILFATESFESFNAIIRAKSIHSNHQAPS HDIARGFAQGNRIRHLLSGGFFLPQELYQSWKKDPTNVANSEWRTAGPGGLHLIDAPD STPASYLGLQKPAASKAGSCKSNGTDPQPFHRTLCGQKLPNIVLNTAASQQLYVTNSQ VYLRNEDLCTIGQFVIAQIHPSQPPLIGCVREILQQVGSPNHLQNRPDGILIQTALHQ PPSHILPAGQLQPVFMPRLILQQEWSFIPWSCLLCTVNTQHDCQRHGCQANGLQYIYQ ERIQTDQTKATILHQNSLDDMLLLNMCQMRDAAHLQSFRLHSAPLNEDAIIQRSVAQA IVQRKAGEASQSSTSKPTKTPTAALRQHAQSPLGTPTLAATPSGGVSLPQHASAGTSS GGVSSPRRSRQASVVYPGQITLDFR JR316_0010409 MTVDGVRWEYRLYTLRGAAPTKAFGTRTIGDVARVVGEHVADRE GGTSRIGRGGRMGQVQVQVQVTWIKNISQPNPNPNHHRTAPKTKTKTPTPTPTPTTTT TTRYYIREARKWTRSTRPRQKLPLLKGRYRLDCARGGGGKWRHVSVYYSPRGSGAGAC AGGRARAGAGAGAGAWMGGAEGEEDRKVGLGVGVGVGSGAVKLACKTEPGSVDEPSLK VKREPVWIDLSDGVASAGAGAAGTVTIPRAEPSAPSVPAERGALAHQSPSDSHTPLHP PHHVSPHPHPQSQQLQPQPQPQPQRQPQVPQPPSPKLELTSRHHAENNHTPASTSASA LGASSSSATHVELDAVHGTTTRENQSGHLNEEYCDDDDDDENEELQYPDFVDVDVDVD VDVHHARQDHHHPPPIPSLMDTSTAAAAAAAAMSAPAPATSALPLPLPLPLPPAPLPP APLPPPHSQMHIQPPAPARALDTTLQIRTLVNAIKEDVRAVMELVKRLERRLEVFEGM LGGAGAGGADGVGGNGNGNGNGNGNGNGNERGEDGVDKDVHVHVKGKGEGDMKGSDGQ KCEGESLRGVQSECAVDAVLGGVFEKEKETGGGGNLDVDVDMDGGDGVEGEQVMSGHE EEEEGKREMGGGEVGMVRKRKREVGIRDSGTGAGEGEGAGGMERIGAVGGDVDVDGCI GRLVAKRVKVKVGEKFTGKENGEEKGNEKGSGEEREI JR316_0010410 MPLTLAFRARDASSAGPSSLVVSRGRDSGDSSSSSSSSSSSSSS SSSSSSSSSSESSSGSRSSSNSRSSSRVGKRKGKGAGSALGSDKDKDEGRAGATKAKA KAKAKAGRGARGGTRASSAVSGVAGPSASAGASTSTGAGAGTGTGAGAGATTVKHRMK GYEYDYGKNKKSTVSGSASGSTSTTANANATPWRHPSELPYVREAPWTQDMMVEYMRY HAFSVPGRDASSAVQLVPVLLWGVLAAFVGGGGGAGVGGGGGELEGMRMDVDGPVSVS ESQQQQTPARPALSAENVVVWLPRGYRVPLMFIAKFQWESLRLVLTAPDPDADWASFK YNLIHLARICQHLFLQARLARAQAIARVRESTVASVVKAREKAKSERELAIIGGFDKG WRCEMFDRLLVRFYQRWFLSEDRWLRKFWEEFDEEEYESDVVKHDWARWAIKGHAGFS LSKEELQNGITAEEFMRGLAKITVVDSSAAPDNNTTANANDATATDTNTDTNTSAKTS TKWVWDPTAPAPALPPLPSAQEIERSGLSQVLENVIVKKEVIEQVLSAQDVAEPSTST STLSTIDPSISQPQPLRIDAALVAKFAEITNAQGAVTAPPPLTPKKSKKEKHKGTEKD KEKEKEKEKDPDKEKSPEKDKGKGKGKEDESEQAVTLGTRRGRVMSSPLMLSEPKDKG KGKEKEKERDSGQEQDKGQERGKGKGKEREERKVVVEIASGRKRRLEDADSASASGSK RRKEDGDADAEQEQERVPRRPPPIMAQARMPEYVRKLEREMIKEREHKRKLEERKRQR KLERKELRDRQLGRTPASASTALSPDSTSAAPVLSPDSTSAAPVLSPASASASAPVPA PSSMFASISAATPGLKLRMKGVSPVKSKMKQATRRQDTGASARARASTSASAIGLSPM EGVESTAPTPMSTATPALTQIVLNSPTPPPAPVATTTPTVAAITTTSTTTTTTTATTS TSASGSTPTPVAAPKTPSSTASTPTPPPPPITNGTAKPASGTVSTTKPAVPAGKRAAI FLGDKGSVSFAGTSASGSSGAGSSDSASANANAMQLATASPGPSASTQPATEGAATAA TATATTPTPIPMQKHATPAPPGVAPQSTSSSLVTSAAKPKAGPSPPPPAGSSSSAAAG VGGQATLAAIINDALMRSVQGLQAQLQQEAALASTTTPTSTNAGAAAAATTTASSGAT ATSSVSAGAGGQAGKSKLTLTQEQLATLNMMAKIIGTSRMGPPTPTPTSTPALVSTPA PAPVSTSTSTSGASAGSSVGSSVAPVSTAGATPVLGPSNVNANFGASAGGGGSSIRAS PAAPTPTPTTATATTTTVTTATSSSSGAKPAITTSTAGKAPTISNANANANASSSGKP APAPLPRVGLSNAAQAQTVVSSTAVLAGVSAAHQQSSSSSSSFSAAAAGRGGTANMGQ AQAQVQGKGQQTVPLPMSKLVRSAVDSVAAGSGSAMQVDGPPPPVSASAGAPARLPQA PQDDAMKMDVDNDNDTDVGRTRASRALTSTAARPGRLNLPPIPIPIPTPANASAPTPL PGTTTMTSLSSSFADDAANSVGLLMTPGNRTKSLHMLMLLRDLLHFLRVPGWGGNLRD VLVEMGVHLVGPEESAGAGEDVSSSSAQAAGSAMTTTAATTTTTATTTRTSGATTPPT QLQLNAALSAVLDARRPQLDEDEPLTVDQRLDMLAHASVALAKVLFPFRGDELELRIA SLDGRLQQQFESLWNSEERGEVEVARALGNGHVLLGAASAAAAAALTGTPEPGFRPPA SAFANEEVRQERSLFQRGVQTEEGEGAQGHVVVVEREFVEQDVQAFIEEVIEPVPGVV GQEAGVQTDEVEKEKEKEVVYVTSDASAPRPVVPQTPTAKAMKLIMRNIADLLESTSL DDSAKVSGSSSSVKGKDKGKGKGKERERALSVGDVDMVPVGSAALHGTVPVPVSVPVS SASPVVDAIVSEIKAIKDELRQSQQQTIEQLAAVHREELAALKEEMKGREERVRDEAE EIKKRYREDAERGREEIEEMKKRHREEVERLLESVRIMERERERFGGKGKGKDREMSK MEDDGEEDEEDEEGGHGTIPALELRELCRRVSSLEARTSSQDIMSSASVASPSVSHFS LDNSISQNGRQRQHQHQHQQSLGGSHPLAHLMTHDFEDTPSVASPAPMSASTSGRQFW RENSTPNPGTPISSSGAAPFSHPYRYDGGMDLDDSIPLPAKSQRKMALLMFPRPSTGS STG JR316_0010411 MAESTYPTTQYLAGDFVLSAGSVLFRRRPRLGTSTSTSTSTSNP TNTLEPELEICILHYLTHDEWLLPKGRKDRGEPIERTAVRETYEETGYVCALWPQRMP TLATVPVPAPGQGAGQQSHGLEVPMEDGYGLIEPIAVTVREIARGRVKIIYWYITVVE EGVEKVEGSQMENENFESMFVDVREAEERLTFRGDRDVVRVAIDIVCGRGVVQGADTH SGTLSAV JR316_0010412 MSNTNDYHAESNAKHNNNGPQTEKSENDTSVSTPGDVDPATTQA PELVMLGRLMQMMSHSNTLNTTSTASSDTAAENAGSQPPETTPETALSELLKIFDDLM HVQSKIPQLANREIPTATIPSDFRKVERPTAEGPLYNSLEYTKEGVGDLLGMVKDAFL MMDKMAGHDSTSSTSSPETSSAGRLAKSFILSDTENGGTQSEQPPLHRDLAAVELNAV TRLYQTNERMIYANLKELVDFFITVPEDDDNYPMIESSIGITLLHLYEVNWENDVLEF GCEWTARALQKLSQLPEVRQVRLAPILVRCWAYGQRLLVQTLGNIERLEASLALLKNS WGICNDFPEIPSRVMEFLRTELGFCLADHYRCTGNLDSLNECIVHLLALTEDKPTPTA ATVVLGLAYYDRFMHSGALDDLDRALARGIMCSQIVVPPATEHQDYAADKAAGHRLYA LALSERFKMTMDIDDLNKAVKHGIKALGLLHPSHPLRVPYTVDLATILYSRHLAVENG TVDLRTALESVQTAITAADNDIGRHSRIPAESTIGFLTAVSSEVNRDLSGVDAGISII QKSRKNFSGPLHLESRLSKALLCRFRLGKNVEDFETALHHAQLAFDKAPEDSPYRHQC GLELGQLLIQHYLSGRSETCDDAIAHFVSVALSSTWPSLRLNAAIEWANAVELSEASE GAEDALVLALEILPTLAPLTNRIPAHYRVLSSQKASIPNRAAIYALNSSDIVSAVSRL EQGRNIMWTQTLQLKAGHMLPGGDERQREFKLLSENLMRTRHGSTMPETVEELDDFMK HVPDNPFGSLPDESVRESFQDIAKRLMNLGKTFLAGRRSVISEDFNSEFMSTSMDASL HSAANRWSTLHSELQGTSHVLGLSETYEDDLNRIIANGCIVILSPYSLRCDAIVIHSR GEGLVFHHLPLPELSGDEIQAWAETLRSATHEFERGKLSSEDFENEYLKPILRGLWTG MALPLLDYLKRTGEQKRVWWYPTGASMFLPLHAASPCEKGQLGLLDLVVSSYIPSIQS LIRAAKSPSSPVKALAVGLPNTPGYAPLKFVEKEIKALKKHFYYVPDQLTTLIGEEAD IYPVISKLPDHSCIHLSCHAYQDEEYPFNSSFFLNNGKLKLSKLMELDLSRVQFAFLS ACLTSAGDASVPDECIHLAAGMQFSGVQSVIGTMWSVMDRAAAAASTKVYSHFFRNGM ENANMREGAEALHEALIDMQKRNYPLTYIVPFIHLGL JR316_0010413 MATTIPLEIFEQIVDLIVSGQDIVEDKNLFRTLVACSLTSRLFS YAARKHLFSAIDIDQYAWQFHLQELRPRLRSEQEMSLRVSNFCNLLTDGQTNLGSAIR RLEIYVNHDRTMLHESSNLHNLLNILVQQAHNLNALKIHFRGRSHFPLSWKDVPTQID RGIRALCRSLPINHLHFSRIVWIPSVIPTISQSPHLKSFSLIDSSFEREKHLSHSKMS FILEGCTDLWGNIPADCKYQIRYSANGAVNVTDIHADLWTPQDFLKIYRNLFNESVYT SVKTCHWIFHIDCVEFFMRKHLDFGTMVALQRLFIEQKPIDPELDGSHDRKTTRNLTS IVSFLYNGPQKSNLEELHLAYGICDHYSGGSTMSDITYECLVELRIDKLMEKFPRIRK VTFRMDIRLIWPQRLDHQKSLSGMHYKVVEDRVRSRILEDMGTNHGPFLPELDIRVHD JR316_0010414 MSMLTFSGGSAPVTKYLSYTLPSSWEEVMKKGLTASSRPNAWTL FNTWRSRHRGAAVGNVVKTHVPLNKEEWKSFAENDPVKLHFERLAELAKSRRKDMASK ATTSSKRSGSDIDGEKENSRPKKKARHCTEVIIPTNTLDDAQSSNGLFEEAFEEIANI SCNETDHNDLLNDNGTSDDLLGQEASRAAEEQSSSEVSRQDSVPAGGYQSPTEAIFDN IAEKVIVDDNVKEAIVNDIVQEAVLDDIVQVQEATTTTVKESLPRDAPISVVEELPQS QEGQEPSLNLQQSDIPAALNDHIDDTLDRIFTDIIDLSQFSEDNF JR316_0010415 MNQAALEKITFIPKSSKIITFLLITCSCITSTTFGYDASMINGL NILPSYTDYFHLNTATLALNTASIWIGGCLVAFCYGQFTDWVGRRPALLWAALITVFA VILQTAAQNVAMFVIARILIGFGTSASGLCGPVYLAETLPFQRRAWGLGVFNDFYYVG GLIAAGITFGTSTMNSTWAWRIPSAIQGVFSIICIIIIHFIPESPRWLIYQNQHEEAL KVIAYMYADGDRENPLVVAQFKEITDTIDYEKTSGETLSMMQLVKTPSARKRVTLAIS AAVFSTIAGIYATVAMLFLFQGSYSIGWTPLLYLYPPEVMNYSIRANGMAIFQFALNG TALWCVFAFPFALVAIGWKTYMINGAYDVLIIGLMAWYWVETKGTSLEEVDRVIDGEK HSNVPDLKSVLKGEGKLF JR316_0010416 MHINDDENESHSSYDEDNSYTSLLSARITEAPKSDAKSPHHSYP PEVSRRSPCHEDEAGIELNYNDFKRLEKKQTLLRMRAYTFVYILSRFFRFGTLSGVSV WHYRSLTETSNMPNEIRYPRWDTYTRRSVKHLAVTLLLATTAIFVIMLVVISGAVLLY GGIPPSYSNVRLSERSLPQHRWGHDILDRPGGFAKAGAVNTGGFIEGTSELEGARYLR FPDHLWGHGLNNVLQEALLYGLLAHYSNRSYVFEDFVWSHLPLPYTLYDFTLRPTRMP MSAFLGGWLVGQDSFQGRDLPRLQLQEVGRAKTGREDTEEHREDGPLIARVRDLSKWA RAQFVFRVEPQRPRIQKQKPQYRTTTPYPLLNQHGSSPDPHFHLGAVNPRTASTSTSP RDKTMTVSLRASRSSISAEYFEHICPPSRRVEIVYDWPSDHVPENAGPPDPVADGIDI LDWWLERLEHEDVRREPCVVIKEQKRRVWDSNFFGSIRPLSLLPLLRESPILTSFAWS DLVTTAIDKTVVTFFPSRQRSALLRSPQTVLSGISQMKPSTPLGSVGGPIVEVDRCPD CPPLISWDVGTASGDIIAHSDLKSADCLSGTDSNCQYSSGASDVVNTTDNISFQENID WKVIPGMLAVHLRRGDYKRHCLRLAHWRAGYMAWNRLVETPSVLPDTVHDSSDSHEMS FESGLLEYLKQHNDSRIPDYTDTANGTSQSLTLLRDVVDIDMADQKRRTPLEAYYLAH CLPTISQIVKRLREVREEYERAITSVISTMTRTTTDIVSPASGQQTTVANTRTGSDSP MTTVAPSSKHTNPHEFRLKEVYVLTNGWPSFVEELRVALLEDGWEKVVGSPDVERGEL TQQEARTTLTSDEKEECCIKSSASGDLPTTSADAMIALARAGNSCRCTGEHGLNKEEK GVSAAIDMGLAERAEIFVGNGFSSLSANIVMLRLARGLGVHTNRML JR316_0010417 MQLFANVLAMIFLATYAIHTVSAAPNGLSPGGIQYFSKRHALSA STWRSEEDPHAFMNAKNLVKNMRRQILNDAESTLSTAEGPSVAADAAQTVENNTGTDR SQASVGPVGANTSSVPTTIGEAANIAQGAASSPPTTSTDNAISLSNDSPTTSESAAVS SVRVRRKNLDDVRAEAPKSISIENAYSFEYPVKYSTVAL JR316_0010418 MQHFPRQTSGTSSSPPTTPTDAGPSSNSTSVNVAQNSTSISIPS TSRTLPSSTLTSLPPSVTSSPPPTSAPASSTTSDSSTSLPISNSTSLDASPSDTPSLL AESTSQPASVSSTQPLENITTIVTSINGQITTFTSLLPTSLVNATPNNDSGSSSRTAV IAGATAGVIVLLCLALSSVFAYRRHRLRQILALTNQKKEGKGLLDGEEFDEDDASIPI RWQGRNGSPAPSLIRSRVSDTGSIFREEVWPPPGFVDPIKQGSSQVTLSHIVDDVMGP TQSRSTSRLEQQDPASSTSDLSHGHQRDTSTTGLLPRSSSPLDDPFNPNHNVQSVYYS PVLPPGALPPSMPGQISYPPSPPRSGGNDTIPRIMETPPTPGPGPGSFEQHQRNNSNG SQPRKSSPLTRPLSGDLWLNRSPYPP JR316_0010419 MKAVFFALVVAFFASEALAIPVQQGDTNNAVGLLYSRTDPPKRQ AAGTTTTTTSTSTTNTGEANDDSFALAARKEKTPTYGYPHKHKKHRHHHKYHYSRAVE IIPDNDVVSVPRPIVQPSTESNEADTTTSGVTNNDTDNNVDQGDENDIENEDNNVGDE GYENDYDDDDDDDDDEYDAYYYEEDDYHGANGSPMGGDGFDEGTLAEREEATVTYGHP HKKHHWWHKHHRYHYRSDEQSDEAQENEHYRRPHRKYNKKH JR316_0010420 MFPKTALLALTFGSVALGQLVGTYQAENHPALPFQRCTRSGCTT VSSGQVVLDSNWRWTHNKDGYTNCYTGNTWNTTICPDNKSCAANCAIDGADYSETYGI TVSGNALTLKFVTTNANGKNIGSRVYLMNSDSKYELFKVLNQEFTFDVDVSNLPCGLN GALYFSEMDADGGLSKHPTNKAGAKYGTGYCDSQCPKDIKWISGEANAEGWTPSDSDA NAGNGKYGTCCNEMDIWEANSISAAYTPHPCTKTGPYRCSGTECNTPTDRYGGICDPD GCDFNSYRQGDRTFYGPGLTVDTKKKFTVVTQFITSDGTSSGSLKEIRRIYVQDGKVI QNSKSTIAGVSGYDSITEQFCTDQKVAFGDTDSFKAKGGMAGMEAGLRNGMVLALSIW DDHHANMLWLDSTYPVDSTSPGAQRGSCPTTSGDPKDVEANSPNASVTYSNIKIGDIG TTYTGGSSGTTIGGGTTTTTSTAPGPTQTKYGQCGGIGWSGPTVCAPGSTCQSSNPFY SQCL JR316_0010421 MHRLSTSIACWEDEADLTEDSENDDETSEESSEDSGSDEEVPTV AEVRAEQEIEGDFDRLVQNIRLRDGSASSGTSLLSKDWDFDLAERDQEFKDDLREASG IGRKRKKKGRSSGPVLSQQVKALIGEGNQAYVDNNIPEAIRIMQEVIRIEPRAASAWS VLAQCYEDMKEDQKALQLRIMAAHLSHDAEEWDRLARQSREHGYKQQALYCYRKVYSL DPTNVHALWDRASLAKEMGDLRTARNAFLGILKRVPHDLSVLRELHTILVELSDFPTC ASLLQDAMDFYMQTCPSGYTDGISGGCFSNMDLLLLADLYNVMGEHGRAVETIRRGTR WLQGRSDQKYWDLCEDDREYDTEEWPPRVVPDEGNAVPAGHHDLDPNARHRLAVARIK MGDIEEGKLHANCVLSEDVLDYSVLFAEIADAYFERGMFAEAKPIYELLGGNLATTSI YILLQTAACMRNVDELRDAAEVYEQVRLADPTNNDAKMKLAEIYEIMNEPRKALDLVY EVIDSRKKKGKSTASNQPEDPTNASGTSLFAEDKVTSKTKGTSNRAARLTHAQLKELE MQKEKEVVRGYQRMRAIWAAMLADDPDAEREWMMEAEKLVDMFRETRNLFLTSRNNPF RGMFPRSRARKQQVATEADEERMASRLQLDLERDSLARKSAKSGEKVVGVNIFRGISF DDWLRIFMQYCFILTRRGQYDTAEEILRHIMVSNAYQAKERQDSIRLALITCAIAGER HDIVVEQARKLITHHQFNNEPLRILMSSLASGLRSTDAFITSTLQKHLFREMKLSDTA AKNPEILKWNPLNKRYAPTGQNKSAEPEDDDQEDDAPSVDDVSVLNDRSGLPEIPTKE NPVIVAIYGQICVAAKSYQSAIFYLLLAYDYCPDDPMICLCLAIASIGRAMQRQSDNR HHLVIQAMAFLSKYRKLRGTHDAGVREVEFNFARTFHQLGLYSHAVKHYERVLEMAER HGDDLFARESAYNLSLIFVFTGATPLADALYRRWLSV JR316_0010422 MVVITPGVDFIARSVASVGLFFGIVVNVHSVVASRLGYHAPTWA IVAGAIFSIPTLATLNIMGRKLYNMYQASRMGAQIVPEAQGKWPGNLDILLEMMKNFK TGYPGDGLVELLEKRGPVVNMRVMWSDTIFTASPEHIKTMLATDFENYVKGKRFIFNM ESVLGTGVFNSDGEMWKFHRSITRPMFTRERISHFELFDRRSSQVVDKLRERLRQGYA VDFQDLMSRFTLDAATEFLFGSSVDSLTAGLPYPENATNVPTIQRTAKGDAANEFATA FLEAQNVISVRERFGWVWPLAEIAKDKSYQPMQVVNAYIDPIVKDALARKRSIPVEER GLKNGEHDTLVDNLVSVTDDPVVLKDEILNIMIAGKDTTASTLTFIFYFLSIYPEVMN RLRTEILDKVGPSRRPDYDDIREMRYLRAVINETLRLYPIVPFNIRESVKASTWKSSD PTQKPYFIPAGVKTAYSVFMMHRRKDLWGPDAEEFDPDRFLDERLKKYLSKNPFIFLP FNAGPRICLGQQFAYNEMSFIIVRLLQNFSSVELDPDSAPADAHPPKEWAQASGRKSI EKLFPKMHLTMYTNGGLWVKMTESDNINK JR316_0010423 MFNPIRAALYALLWYEQGALNAILDPIIVELLVTSILALLISAW FLASILGKLRNPLSKYISEHMSLFVIWVMFLVGAAIMTHKWLHLKHCRGHYKVCRILE TIKAFSWMCFILSTFLILASLLSMLTRKRTLSDPVYGGNEYGTYPETRQARSVGATTG GGTTTTAYNNVQPTAHNVGSTPVAAGTTGVAGNTVQTAALPAHTTTVPAHPTTVPGHP VPAHATAIQGHSVQGPAIVHTHEV JR316_0010424 MQVDIPVVRIILYCILLFFTFVLFCLSAARLNYTNHLPPHDPLN NGRSFSDPVVVEILFTTLITMPWAVFVGYSIHKRYEYKSFTTFLVEIVGLSVLWIFWI AGAATASGPWGNLSFCQQFEACRVLSALVAFAWLGWITITVLLGLSLLFSLANKSLFE PFHGRWNPRQSQYGDNVSRI JR316_0010425 MMVWYSASVVGVLIFATIEESGAVENTVTEWAVPVAAEGPELGA GSFSIFIGARFNVSVAGVITVSVVVSKAKWEEVAFLFVLSRSDFVVNFVLTRVPVVVA VPIVVAVVVGFACLNFVRGNRNGSDSSEEKGASNSEDTEDILHIVVLMKSQETLKLLV JR316_0010426 MRLLASSPVRIAASVMGLVILLHFLATFTHDGYARATSLQRFTQ SSSETSKSVQNPSIPPSHDIEPLPGSEAYPSSSYTRVNATFVILCRNDQLWDTMRSVR EIEDKFNHKYHYPYVFLNEVPFTEEFKYRLKTMASGKAEFGLIPRDHWFQPDWIDEAK ASKSREKMQSENIIYGGSLSYRNMCRFNSGFFFRHPLMEKYKWYWRIEPDVHFHCNIL FDPFRYMEENNKIYAFTISMIEYEATIPTLWGHVKDFVKKYPQYVAKNNAMGFISDDG SGDRYNLCHFWSNFEIANMEFWRGEAYMKFFEYLDSQGGFYYERWGDAPVHSIAASIF APREQIHFFDEIGYEHAPYTHCPKEGDNWEKGKCTCRPDNNFDFDGISCLNKFQNFMK S JR316_0010427 MFSSLFSSLSLSTSTSTSSAAIDAEKTNKDADTISIDLDTDLDQ DRAGSKDVKDKETVKVESVAMENNSTSVVLPLLPPIVMEPSSELGLGLGFEEGAVLVS STSTPSSMPSPSLPPSSQSTDAPPTTIPSYTSRFGPSNSPPAAQQPYEPAEALGDIDA VSYALELFLLSRMRESEGYLVELEGGGDKGKEGSGGGEGNGMERLYVATGYGLIQCVK GLMSYDDADLLAGIAHTKHGNHIAGQHRKRAGFLGGGARLAGYVVSSFSSALSPTSPT SHPTSPNSPSATTSAGAPHASASTVQWIKSMTDVERHAELVYAESLFEKALLGIVYSG DWLAFIKEALNMRTTISIYRQLGAYLDHMDAVYAASQASPPSPSSKRLEDPSIDVHFR SGVLLGVGMCNIILSLMPGKLAALVELFGYKGDRQYGLECLMRAGGWGDTDRDGDEPG ISAADEGVRRSICDMCLLIFHLVLSSFTFEGVDIAVASRVLKWNLKRYPNGVFFLFGA GRLALVRSRPADAIDYYTRAMHAQTQYRNLHHISYWEMAIAHLALWDVKRSLECWREL EREATWSKAIYSYGMAVCLLEYPTADPKEREKNKKEAVALMEKVPSLRQKIAGKSIPL EKLVARKARKFLSQKNRLAVPALEMAYVFQGIAHAPRRVIWTQMVQEVKGLLEACGWI DGCLSPGASTDTGLKEAKKRKEIEKAYSKTHGGGYWDDVCLGIFLRGVCMRYIAYPDP DADLDPNEDTKIQGMLDTETAAREAEASFRLVFTHGPQIELDHQLVYHAHYELARLFT AQGRVAEARHELELVLSGKHLEVGPAGKKARGRYSMENALVMRSGAALDCLGPERGD JR316_0010428 MSSKPVLFTPIQVGNVQLKHRIVLAPLTRNRSSQKEHVPTIPLM QEYYAQRGSIPGTLLITEATFITAEAGGDDNIPGIWSPAQIEAWKKVTDAVHGNKSYI YLQLWALGRAATPATLREDGYDFVAPSPIPMKPDAKDIPRALTVPEIKQYVKWYATAA HNAVHLAGFDGVEVHNANGYLLDQFVQDVSNQRDDEYGGSIEARSKFSLEVVDAVVKE VGAERVGIRVSPWSMFQAMKMADPIPQFTYLISSLLKSHPNLAYLHAIEARAGAPPHE SNDFLRHLWRSKPDEAFKRVFISADGYKRDTAIARAEKDSEDGLVAFGKAFLANPDLP FRLENDLPLNTWNFKTFFSRAHLPGTEIGYTDYPFSSEFLNSAISGINPTVQPPEKEL EKSNL JR316_0010429 MANPEQSQVIFHDYLHLLPEYGVYEINFTNDLLNFVRIHASVNK HRDNVQRLSMLLTKSDVQGSLTSLHWGCFALDELPSTTNFGLFQVLRRITVELSLFEK DYSSDVFLLLPASLHHSGTSNWEVENIKMILNVRWHRWSANVLPVNFNNVDYLGMKYS IDRLRPLYPQLRKFKFCLCVEAQPDSYDIYSSRLEGVRTKLMETFSLDVPLAVSGGSP TMYTSVQLEIETRVEVKSTQTTQQTASGVIRHH JR316_0010430 MEKVATAVPAANVDPESRSSYSNEKFDEKDEKRSVQEVTKDFEG EVYDDLRAVDLDENGKERPIETDMDVATRLISLDDDPTLPAFTFRMWFLGIGLSCFGA VLGQIFYFRPQTVFVSQLFIQIIAYILGRVLEEIIPGPGNERKNLQTKDNAFWRFMNP GPFNIKEHVAITIFASTAADSALAISIFAADDLYYDIKPNAGIGIFTLIGSQLMGYGL GGVMRSFLVYPTYIVFPNLLPTVQLFDALHRGKKIFMQKKRVKFFWSVFIGIFVWEWF PEYIAPTLTGISIFCLANKNSPWFTRIFGGSNGNEGLGMFALCLDWNYVGSGGGSMGA LFTPLSTQLSLYCGTAICIIAFCVGYHNNVWNGQNFPFLSQQLFNLNGTRFNQRAILD ENFMLDREKLAEVGLPWFASSQVISKIGFNLAIGATVTHVFIWYGKDIVEVIRKYRAG ENYDPHLAKMKAYPEVPMWWYIAMFIASFAMAMSTMYAGHSGMPWWGLIVGVIISTIF LPFVITVYAITGFSPNIQHLVQMLGAAMMPGNPQANMYFTLYGYNTLDQARGLIRDLK MGQYTKLPPRVTFTVQSLGSIIGGLLNYVIMKTIIKSRREILLQVQGTNVWSGQQVQS FNSNAISWGALGSILYAPGGRYAIVPFSILIGLAVPIPFWLLHKRFPKLGANKVVSPI LCWTLGYLNVGINSSVFTTFMLAVFSQYYLRRYRPRWFRKYNFLMSAALDGGTQVMVF VFTFAVGGGSGKVVDMPHWALGLENSSRSENAPISWHLSAEMQYNVSHDARVVPAFDG HPPPPLRLDISTTGAITIDLRYRKKI JR316_0010431 MTAFDDLASLDPIQPTAPSFRSSATVTQGLSKTQVPPDKGSLNE LQDQNEEAGRELEEQSHWDNKDRESETSHLDELQDRNQQPNQQTRRVTGKERYGDREA DIDKFATLFSPSTPRASPTLVSQALPDVGDVAHIGVAPTQRSQPQPQPHSYRHRRTLS TASRASTSSQQSDFGAFVSVPASEDPLLLSADFLGFADECVDNQIANEGDGVQTPMLV TPTTATAKTHAPLAFGDLYHSAEGGNSSSLSDDQVDIPQSISLSQAPNSASSLQVHSD PFPSSTSSLSSLTKQKPRMTRDPSFSSISSLSSNITTSTTQSSTTTNTNSSNSTSSKS SSNTNSSASTATSSTNPTLSFFDQFAQDARARTARRSALLEELLMHEDDPMYFVKGET ATSASASSASVSQPVLPLPSSPAPSNPHGSESPFNLGMDREVNVTHDLDHDYFRTPPP LPSNPFKLNSNANANDYNPTSSTQQTQTAPHHSLVTSSETPHQPRQLTRRSSSILMPA AGTLAPPVHSPSEGMLGAGRMVSSPPVDENLVYEHVEREGDGDEKLDRAQGLTGSLSS LPGKWMSTLLRGAPAGSHQGGSAKATLESIFDHPHPSAQDPVLGRRSSPSPSPRHPPQ PPTFTHANAFSPPPPPMSTSMSTPLSISPSTSSLFLPPQSTLTQITHSASPFAPHIFI PPSGAPGFGGERELGMHAWEGGMAEALEAERRGVEKGGKGESTTQKEIDRKAKTLPPM SGSGSGFAQVALDSKGAVASTGRRERESSGGSASSASSSKGWGSGFGFSFGSIRTSKT STSAPASGVAGHGGGSGNAKTWGDAQHGKPYPTGHKQGDSNGIDPIQWSASGLTSVSH SDGFGSAGKGQDGSIGAFIERKAGKVELAGRKASTTPVLNEELAVLLRPSLPALSRLP RIWTLIYSLDQHGISLNTLYARCEAHATRRAKPGEVLINAGAMLVVVKDAQGALFGAW LSEGVRMEKKGKGYFGGGESFLWKFVDGVLKVFKCTGKNHYVTLCDPDYISFGGGDGH SGLYLDETLYDGTSAPCPTFDNEPLCAPGPHKGVSVSFECVGLEVWGLGG JR316_0010432 MTVSKAEFYPGFHKSQILEINSTLNSRMLSTRFSKLNLTVFIAL SLVLIHNTGAVAAPIPEPAVAQSLTLAASRAQDAEAWSWPWATTDEDPLADDQSQSQQ SHGVLLRRQDDGESGENGIADAREIEDIEEDAKTKPSSRKSTDRSNSKDKSEKSKDKL DKKSDKEKPTSKSKSKSKGKGSSKSDESKGGLDLSDPFEDLKAGASIASIADIASDAL PEAAELLALREEDKSKPKKSDKSSKSDRQSKDSKEQKTKKLSSKTTSSSKGSVDEDIS IGGVDLSNPVKDLEGVSDIGEIGKFASTLFGRDTGEEDKRKKGKNVMKVDEEMKVLKK HKKLEKEVVDEAPMDATASAQSNAGTDVAIGGTTIATIKNITPDNGDDDSA JR316_0010433 MNGNKTGPTRSERPIESWEGTVKSPSPFKEMSILDRLLTPFVLL SMILGVIIGEFAQGVREALDTAKLHNVSLPIAIGLIVMMWPILTKVQYEKLPAILSTS RLWKHIGISIVLNWIIGPFLMLGLAWATLPDLPTYRAGVIMVGLARCIAMVAIWNDLA RGDAEYCAILVVINGAMQIVLFAPYSVLFLNVIGGSHQEDVHVAYGDVAISVLIYLGI PLAAGVVTRYSVIFLTNKQFFDKRFIPFFSPIALIGLLYTIIVLFAYQGHRILHDLGP VFRVFVPQILYFVIMWTSTFTLIYYLSRREKVEDTIYGYEMAVVQAFTAASNNFELAI AVSIAVYGVNSQQALAATIGPLTEVPVLLGLTWVALYFRQKLDWTTKAIPLHEEKA JR316_0010434 MSEKDLSFKPRPTPRFSSDTVGQGQTAKKHSFSMPARMGHENSD GPERFIPGAFPTDNSPAPNRRQGVRVGTGMMLNDPDEVDRTQLPTSEEPSLSRVGVGS LPGGIKEPGVAILPEERLHPDPYLRGYTALDASSLQKGIQRNRTYELPTTEEPSGSRV GIGSMPGTIHEGGVATLPEERIDGRPYGSGHTGFEKTLGKGHTVRQVHPVHGTKPKKK HSIGSKPKQAPQHAKSPPKSNAKIIVDDQARQALHEQNPIRPSPSKSNTFPSDERTLP GDTIFSATQRARAHDPNFIHGSGGKPASRPIEEPATTTDDQRKKVSQNLATQGSQGLG NAAHVGSSMLQSVEGAAIGALHGAEHVFHNIESSARAYFPDVSKQGAENMLHSLESGA KAHMPSVPSYFRGGNVRAAKNTSQGQHSTPSGGVGGPMNATGSGSFTTSGADAPHSKA KDFAMPDSQPNQHGGTDASETIIHRPRGVEAEYFRIGEFDIYGERLDTDQFVYEDDIT TEEGDSIRSRNSEHFFAKADADRDRASDRARGSYAPGDGSKRQGDSPEVLYGYGGVGY YKQGGEGILPGYPTPEGMNKLISDRLKDDHLRATAGDLILPDANYFAQSHIGSNSAKE KFNTAKSEAVQGPISEGISVDTEHYDDEIKHLPTPSVVKRDPEELKEIPVFEGGASSA SGKFEAVFEAAPDEYKTPTDLGSKGSSPYPLEAKEVPVFKSGEPTAVGKYEAVFQPAT TGRRVEGPKLAKEEVKWKEYQTSKNVAPTGGLKVGYLGKPVSEEEKALELEQARQAAV RKMGID JR316_0010435 MKEIIYLQAGKLANYTGTHFWNAQESYLQSEEPYTAPDISFCES IDSQNISTLCPRLITFDHKSNFGALGSLNTLGGEDIEIESLSNTWYENGELVEYKQDR ISKSAFQSSLEEDEDKNQSSDLVLIQDFRYWSDYSRVYYLPRTLQKIPDPPEWETTNV DLNHGLEVFTRLNEETELMDGTLRLFVEDCDNIQGVQLINDTDTFGSFMGTFFTHFRD EYPKLPSFSFPILSGATSDYDAPTSLYHQSAILSAHVETCTLPFRLPHNHYDIPSVSG HLNYRGTSPFSELSGSFPRVDFTRDIANFSSEESVKSPYCRWDVTRGLTPKQIGEYDT WSTQNLCVKSSVHAHALPLPNSFPIFNASESPKSKKNSEVAEVYSSLSASSNSSKMFR KYAMFIDECGKRRTTTTASIDIPVDDLRELANDLWTMHDNASEETEALAISD JR316_0010436 MYSTQPRSYGLVKESAVTHPALHIRDVHDAHVVLEAVRLNILPL IKRRLLASERDELKSGHVYVWEEAQDDGGLLRWTDGRRWSQSRMRGDYLFYEEKIETT QEERDAKAARRARRASDPNANVPPPIRRKDRPSKPNGLTKQTYSVTVNLPGTTEPRKW HVVAYFSGEDYARLPVIENYAYLRNIHAPNGIFFSNKVLCSTTDRFASYSDESEPHED VYHTGHIPTYPMSPAFSPPPSPLSSSVYSPRLSSQSSNSQNISLPPISSLGSYGKRPH LPHPHSSPVYPSHYTPLSSEDRRALQKLKVIL JR316_0010437 MSHEASKSPRSSSATPIKRSIPLPESSSGSIPKASSPLSAIFTP KASSSSLPVQSTPSSSYSAPSNAGGNAQSSSTQDPSSEPLRPLSMVLTSAHGEPSSIE LPEIEDVGAATAPSELAKSVPQQDVKDQIGLDIDSPKMSTSWWGDKHVSRPWHDPPKR KNTIPPEQAVAFEDTRKRVAQAAASVLDTAADITHEALYLGVEFLDFVPIPGLQAAAN TLLNIWDAAQDVDMNRLGCLRLTERCADILLSVREEVHEAGDQIGTELKLPLAKLEEC FNDIYRFMFKQKTRPWLKRYLKRDEIRRDISECHLKLQDALALFGVSIQIRILKQVQR TEKRREHETHVLTAILASHLFTEHPEDSVATGPDADQHNDHHHPPPLVENSPEMVYHF AAAKNALGIIDESQPEILQGDDSSNIIPDLKKIHTIQNALDEQSDISDLRQLMRQALQ TNSDAEILRVFQIGHQEMPDAIKTLQRALERVSSQETETPDKSSAKGVVLGKISINEG TGNQSVKGAGTVISIDSSIVEASGGSGTSATVSGSSTASVPRDTLDREFIETGIESLR RMSQGVEIYVPTWTITKYEVDRGQMIGVGFFSTVYKGTWKGRTVAIKVLADTTPRKLF QREMGIWKTLRHANVLPLYGASSATGDPPWFFVTQYLKNGTLSEHLRKIEAEQNPPGL GLASIGNASATTTPRPPGSGVRATTLPAMSPWLGVNLANGLTPTGHLTPPNSRNIRPL PRDTPVSREWDLFRFMHEIAKGMEYLHGNGVLHGDLKASNVLVDDKYRCIICDFGQSE MKTEAFRISGTHPHGTLGWQSPELMSGRSRLTVEADVWSFSITCVEIMNMGKLPWSPM DDYSVRRYVLDEDKRPPVPRYSRFNTPGFQEIVKACWQFDPKSRPSFSKISRDFKLLR KSFADGYESPSPRIPAIDEVPEPAASPSPDLRPQELPPFLKQESGAVLPDDILSDALN SEGPYSPSGNHPPNQPHRESTVATERIRMPEPVLYTPGHSTRSSSILATASRSEERIQ FHRSDGYDSPPPVDEQMAKRRNERRYRLLLAHEHHKSLSLPLWEPCQVDIGAVGYLLK PEGRFVTLFNSYEPHKSDHPGIQCLPSIHGYGNVQEEVQRLPKKTAAQRAFDILIGSL TFRNSSEDIVRRPSFPLKAGHKAAILYTETTEYRYMLKLNAPKRWFQNNVDTIMRIYG HQHRIQREDLFLIIGTLKTPAYALLVSHSHPEGHARFNVYANPQIGKPWGTFTTDTDG PRGLEDSDSEEEITRLSASKVSLHGGPMEAVLLARLRFKPDATEPTSR JR316_0010438 MTSTVSEESSKADPPWICGDPLKYPLPKPDSDPWARVLEPMLEK ENEMCESWRDEINNILIFAGLFSAIVSAFVVESYKGLQPDSSVEIISLLGQIASILRE STNLTADSILNGTSTIQLQQDDPQTPPPVMSRINILWFISLILSITTVLVGTVSLQWI REYRLYPRHITSRDKLALLNMRIESFIGWGVPTLISLLPVILQASVVLFLVGLIDFIT IVAPSQVSIPVIAVIALPLTFITVTTLLPSCYWIPSSLILRISKTSVPSQCPYKSPQS SLFIHLVRAILVLLSRAFDIITHFFYLLTRRADTILRPVSWRGKISNLVDRMLPSNDR IFLHYRQRFHEQIYRQKWRLYDTQSTPNQQWCEKEQVSFSCDDPNTSPPLYDCIMGLR KLLREGDNLDPELASSSYYCVQDLFKYDRSGSFGPKLWHQYLQGLTLDDHSHTSLASH NDVQQLIPSRILQEETLMTALTVLPRTPENVLALYEHHIRLFNFYLTLPARSVTKRQR LESTLSLLSRERIEDPKARSDVSRQYTMLLEKLFETYAYQTTPYVFRYQNTIQLLDVA FRAQDDADRNRTLQLIYSHVVEIGKFSSSPIGPQYLLYFLMASYVGLVVGAGHHFTAF GRQMEESLILQLVDIGNSIRFDQLTQSADPSQWGYGYKIFVEQAELQRLARYTNHTRQ ANMHWRSHTPSVTQMWVPEIPKPEGFQNTCRVGCDDTSSITVAFEVGQKP JR316_0010439 MTNEGLSRELKKLRSFCTKHRPAFTEYGLRALQLSKDPTRCTRD FLLISVFPVPDETRSEKAFKATGAEIMPFDTFGEEHGDELRSQLKTYEQENICPVGFN SDIYQMEIGQPWREPLLEKLNSGIVQ JR316_0010440 MELVSTQNADLVATQDAHQAQLTAIEKSMNKFLKSLDTWRSSTA TSNSTPESLSTHPIGRHIGRFAEAHRNGVQAAETGHAAARDGVGVAEHLEMFNNGDVN PSENEDYEHYMEQMERLISQGASEAEQTARNFREVQNGIKKAIQGFRKQGSNKIETLE AVDFVLEDLQKCMTIYIRWWTKAGMIHKYLSLKMTEIRGTDSHNNVDAIKTTWKDIEL AYLQYTDKMKYIEDTNQGTFEFAQAIAARIELTEYNEIRREEDERVEREARRIEEMRI EEARVIEAERIEEEARRIEEARIEEAKIEEARRIEEVCRIEKESRVEEARRVEEENRI EEARRIEEARRIEEARRIEETRRIEEARRIEETRRIEETRRDEKAEQQRIEEEMMFEK TRRLEEQRAEEARRILEAKKLEEQRVQAAKEFEEAKRSKEQRVKEEENRIEEPKRPKE EGCKCCIIM JR316_0010441 MPFGSGVSNIPRCPDSSRLNLDDLVDSTIESFELLVPWCSGQES GSKAGTMIRAQACSYAIAIDKSIIAAQYGFNTAREVLTIVRLSKACDKKGTQEHWKDM LKWAERGKKNAIDAQKEFRNVRVILMKLIEQAKRDPEETHDQLDTLKSHIPVLERFSE QVSQFASWWNGLEMQNGSQHRRTMMLQSMYNQVREASVVAEWMKLEDQYVDYTSAIKD LTELNPDYKTILQQALAGNMSTSR JR316_0010442 MVLVVDKASQLNVDEKSPYEVGQKQTEHYTRLYNEVTPGVPEVT IKFQEPLSARIFLNGQTPIHKGTGVEIEDVHRFSFGMSIAHTSLFNFMEIIQVSLDSP STDICLRDLAESTSNIYKLLRPWFCSSQPCHEVFKPIKSQALSYITAIDFSITAAQKG YTFCDEVIAFAASLGSSNDADRQEYLRGMVDLAHQAEGNAERAKAEFRNVRIVVGQLV QDAKKNINLNQYETASSNETRLKEFEDGVSLLERFSACISSYISWWNTIYMSHKSQVT RQTHVATNYNKIRNNDVLTKWKELRQEYVFYTDRIQHIQDTNSDFRKNAFQFLEEHQK ATSDFTGFHDGYDGTHSDQLIPPPSSRRLANDQVPFPSTHLNTGDPTALLFIPIAISY RHLARHSSTMGKRERFLHFIGLKHQSCTMSKIQEGRHGEPNHSSD JR316_0010443 MSLYVKLETPGAFDFAAFTRATRGTLALLASLLKFQKCDPTVLG QIEAQVSGFVKAIRLGTSAAQCGFTTAEDILILSELVQSTTLEERREYLNGTLELADQ AYSQSKEAQQVFRQVRTEIMSIVGKLNSVETVSKPSSSATRTSLEGRLRGIEALDSFE KHISALADWWDWIKIETKVQRNGRSAIVDFDDSSLRERSVIDRWKLLRVQFLDYTNMS SFQNPIKFRLHIKDQSRKVTRIRDMYFFDRIYVAL JR316_0010444 MTDTQVTDCIKHLKSGQVIPDVIPASSTFAPNIFFSVVWPSNGT EVKVPGSTVPRDATINEPRIRLLPTFVSNDEARYTLVMTDPDAPSRKDPKFGQWRHWI VSGLSLPTVSASSPQGEIIANSGLTITPYYPPEPPAGSGLHRYVFLLFKEPPEGVSIP DNAIERKAEPGSRSKWDAMKFAHQCKLKLVGVNFFETEVNAHV JR316_0010445 MGIIKLTSLLGLFSYAVAGPVWSNSSLDARATSSKSVIIQMFEW TWDSVAAECTSFIGPAGYGFVQVSPPQEHITGSQWWTDYQPVSYILTSKRGNRSQFQN MIATCHAAGVKVIADTIFNHMAGVDSGTGVAGSSFTHYVYPGIYQNQDFHHCGLEPGD DIVNYDNRLEVQTCELVNLADLATDTEYVRSRLAQYGNDLLSLGVDGLRLDAAKHIAA TDLANIVSRLSPAPYITQEVIWGAGEPIQPSEYTGIGEVQEFRYTTALKNAFSGGGIS SLQNLDNQGWVSGSQANVFVSNHDTERNGDSLNINSPSNTYITATIFSLAHPYGRPTI LSSFSFSTTDDGAPNGGSGTCSATGGSAGWLCQHRFVAISGMVGFRNNVGTAALTNWV SPQSEQIAFGRGALGFVAINNADSTWSSTFTTSLPDGSYCDVISGKSSSGLCTGTGIT VSGGAFTATVPARSAIAIHTGALGAGSVATVPTPSGSVAVLFQETATTTFGQNIFLVG SISQLGSWAPASAIALSSASYPVWTVTVSLPAGTTFQYKFIRKNTDGSITWESDPNRQ ATVGNSGTTTLTTSWR JR316_0010446 MKLSGLVGIAILAQSASAHYIWNTLIAGSTTSSAAVRQPINNSP VTSVTSNDVRCNVSPGAASQTVSVSAGSTIGFKLDNTLYHQGPAAIYLGKAPGSVASW DGSGANWFKIAEWGATFNPFTFTDFNLAQLTTTIPSNTPSGEYLVRIEQIGLHVAGAP QWYISCAQINITGGGSGNPSKVSIPGYVSASDPGLTVNIYNPVPTSYTVPGPRPWRG JR316_0010447 MSTNIKDLQARLILTNSKLKRKDEENKRLKAIITNLLAKNDSED LSQDEDSAEESIQNSEDGEDDEDDEDEITGDEQTDDDAPPEPIQSLEFEDVYLCTNTA CGGEVTDGVCHFCGKPHQVLEDEFSILASTESQLEHPDRDIAPRGDTPLKEIEEGIEI PADYASRIGEYKELLRRGATPEMCTLFSLVFIPHEGISAFIPPEIFNQFSGPAMNDDD VWQIYLGRRVRLEETDVDGREFVESLLDDISVLPDTGNWATEEESPGIWITYPKFRGE LDICRPNMMDCRYASDDDADTPVYANDYDLSDDDSDQAELEGDDPAFFTTSGVTYNHL DRWYIHSDEDMSEDESDKENQDDDDSMKEDSERGDSSTISDSDLAGSDFDSDEVLTGD EEVLGYANP JR316_0010448 MAAKNSSRTTRSASSKSLSKTTLPKKPTKTQAKKAKSTRRAKQK EAEVLVESSDGESDCSEIENEAEGEDLSKCSIECQISASESVLNPDLNRSQIASESST LTSPNIVPPNQSNDYELSDDDSEQDDVEMEEPMYTTTGGISYLHADRWYIPSDEEEDM LESDSGGEQQLDELAGDDDHDDHTTMTDSGSDFHSDEMFSGDEEILRIKFGGPPAQVG NGNGTMI JR316_0010449 MAQKLQRKKIEIKSLKKALKIVSNGSESDQDSTEDDLDTEDSDD KGDDEESDDEGDDSDDDDGEDLPIPTQSYECKDIYLCSDQACGGEVTDGYCFLCRKKH QIMEDENMASTESQLEHPDRCMAPRGNTPLQSIPKDDSPLPALYESRAREYRSLLRRG ATPEMCELFKLEFTYTNGIVARVTEDLFEEFAGLSMVECDWKIYLGRRLTLAKDDIDG YEFIESILDEISVLPGIGNWVTEEEETGVWVTYPKHSGQVESQPRDILDSVDIAAENR GGQSGRFGGDSATRFRDDSGHQKRGKTLNRLRT JR316_0010450 MFGLFSKKWNPEGKHVYITGGSSGLGLGLAHILAQKGAHISIVA RSQSKLDEAIESIEKLRVNPSQIFKAYSHALDSGDAAAKALQLVAEAHGGELPDAIFT CAGSSKPMFFIDTTEQDMVDGMTNAYWLQAWTAWAATKQMVKAGKKGAKIVFVSSLLG YMSFLGYTSYTPGKHALRGLADTLHSELKLYGIDVHIYFPGTMFTPGYEEENKTKPAI TQAIEGTDGLTSDQAAQALYKGVVNGNYHISGDLITDLFHASTRGSAPRNNWLLEGLY DLVAYFAVPVWRSGVESQVLAHRDEHQTYLAKKGFFS JR316_0010451 MTSTIDPNTIGKPVRPRRHVRTLTGYLPEKDEEGRDKWPKGEEK VWKDGMRSLDKDVNDITRSFVNHVQTSLARQPYNIDDLGAYHAAALSTRDNLLVNWND TQLTYTRKAPKRAYYLSLEFLMGRTLDNALLNLGLKDEYKQGVRKLGFNIEDILEQER DAGLGNGGLGRLAACYLDSSASQELPVWGYGLRYKYGIFQQLISPSGDQLEAPDPWLD NQNPWELPRLDVTYQIRFYGHADRLDDGTGRALWQGGQEVLAQAYDVMIPGYGTRSTN NLRLWESKPKRGFDLNSFNAGNYEGAVESSNSAQAITSVLYPNDHTWKGVEIEAAVVR VLVSGKGAMAYSVISFWTAASLADILRRFKNLNKHIREFPDYVAIQLNDTHPTLAIPE LMRILIDEEDLSWDAAWSIVTNVFFYTNHTVLPEALEKWPVPLLEHVLPRHMQIIYDI VYLLPMKPRRAMLIQCAILAVEKKFPGDRDRLSRMSLIEEGIPKQVRMAHLACIGSRK VNGVAELHSELVRTTILKDFVEFEGISKFGNVTNGITPRRWLDQCNPELSALISKTLK LDKSVWLKDLTKLEGLLPFTEDKAFRDEWAAIKQRNKERLAHYVQTTLGLTIRTDAMF DVQIKRIHEYKRQTLNILGVIHRYFTLKNMSAEEKKKVNPRVVFFAGKAAPAYFIAKL TIRLIVNVARVINSDPDTKDYLQLYFLPDYSVSLAEVLIPASDISQHISTAGTEASGT SNMKFCLNGGLLLGTVDGANIEIAEEVGESNVFFFGHLTPAVEDLRYQHLYHPIPIEQ KCPALAHVINQVAAGVFGDGHVYEPLLNTIRQSDYYLLTDDFDSYIAALAMVDEAYLD KDEWAKKSIRTTAKMGKFSSDRAINEYAESYWNIEPVIVEKA JR316_0010452 MPELHSTVDNSSSSTTNRDATVKRSRSSQDTNVDVLNEGREPKK MRTPANELNETSVYSANSLGGLPVEILAFEICTDLEPKDLWHLSCTSIHFRHILKSSD VAPTLWKASRELIGMPDCPPDLTDIQYTNLAFGKKCQYCGRFVMIRGQLSTDAQSSLA VQGPLSYYLLETGKKWKRECSLSVNPASWMTDKIKELNNIETHAAIYKTWDENEREDN LFLRRQSRLVAHLKSLGWEPELSLMSEDGYEDLFDIPQIYDACCNELTDTVLISMGPD LNVLMSESRVLRLQADRRVFLENRLPILSKVAKECAAQYSLYTPTPSAADLFKVQLVR DLIDDTSLEPFTSAHLDPLRVNYAQISHEWRTQMEGKLISLIKSACGTTYNFDESSVL RLATTIFSCKTCRSDHLRYPNVLAHYHGIPYEPYISAEKALDELERRSLSHHTRSTIW NDENGIYFNKDRMRAMADILEQFGFDPAVTTSEEMDAADPIFECVSCNSLIKGRCVLR WNILDEHRIAHDRQLLPGLGSVCNYELLTGEDEIVARRCIDSDNGRIKEECGATLNEH VWKEHGIAEPGDSDILISPTAMTNNQSRMWPPRKINYLVSRK JR316_0010453 MREIVSNHQLCVRTYPTNQNDAFVPNVNVTLLTRLIHDGTANLL PGRDLKGKRKASNDIEQEERESKRARNSANLTSNTEESTQQYRVTVSYPTISSLRSQD MPHHGEMAPILHHLLNIHYDSDLTQDIGFARPDPVSLDIWNREDDELQAALLALNGSS LDLGIVRITQDGEHVVIVSGKGEWLLITPSLRIDANSHDIQSESAVDLLLAIRTLQLM GRSHLEAKLKVLPPSESFFFSLQLEYTASIVLPAIMKPFSSKRVSKKDVHSRQDARRR FLTAAWVYDDMHDSGGKTMTVSSFYSTMGPAPPLPSPEATKAMQPVALSSTLLPFQLR SVAWLLEREGISVTSDGKLVPQESSGQFSFWSEVKDGERTWYYNRLSGELAEEAPELP TIHGAMLAEEPGLGKTVETIALMLLNPAPKDWNPSLTRWDPDGHLDVKAIKSTLIVTP PSLASQWKTELANHAPSLKVLMYDGWTKVKVPISKTKWGLERFKKVELESRPKKKGKS KARNVEEDGSNSRSDDGEVLEWCEYVHQFDVVITTYNVLRSEIHVARPPPDRPKREEA SYHTSSRLRSPLVMVEWKRVVMDEVQMVGGGQAAEMVSLIPRLSSLAVSGTPAKSQMS DLIHVLKFLRIDQLVGDLRLWNRLLKPGFAKDFAAFLKHYGIRTMKSCVTSELTIPQQ TRYLVGIDLGRVEQHVYDQTLEAILQQLGLDARGIAATEGWQVNGNLLRSAIRRLRGI CTHPQVGQLQKRGDGLYKPGALKTIDAVLQSMRDQNWKNLMEDWKAKIQLMIRYAQLQ QKDDTVPNHQQNALRTLVLVEEDTNKHLEEIKDALTKHDAKGKILIEEAAQLRQQREV SAEAGEPNEKGKGKARDSADGREDDGGEDEDPEEKGLPKTPAGEEHRVKRRTLKSRLR EGYVLLHRVKFLQGDVYHVLGRSNDEDAAYQAAEQLRRQLLKVTENEAAKAMSMLNGP NLKPTVTLNDLIIDLPLLGKGGIRSTDLMEEANTIVDEVLNDQSDLTWEWRTHIMELL TKPLNPGGAEDDVDGQEYQRTLDDQGEAETYLQAYAAILSDRREALVNERTLLAAHEV REKQVRQTKAALKAATAAAEALDVPEGLDIKPEHEVLHEELSSQRKDILIRLDGRSVK SILIDLNGVAVKILQENNPEKVIVREAIDKLRRFISEQNSLHEKLDADLALLRKAFNQ RILYFRQLQEISDSVADVEWEEASAADAGLACVTEKNELEAKINTTRARQRYLDNLAK NQDEGIMDEDDKTCILCRSEFIRGFITQCAHVFCEGCMRAWLLRKEGKTCPVCRVAIN PDSVQRFTVNAAQIEPPPQPVLGEPAPQSRRQIEYNRIDPAIFKDIQTMETYGDFGSK IQTLIRHISYIKHIDPGAKSIVFSAWADSLHIVERAFSENGIPCLRIDQGSKNAAEKF AADPDILVLLLHGERENAGLNVTCASRVFLLESVVHHSFEIQAIARIDRLGQTRPTEV YCYYAEGTIERNILDLAARKGLSLYTKENSHGTVNVSPFNQDNEQDVDNPERRKGQQR GDFIHKIDDMLSILFPHMFEDLEYLLPPSVALPFDPSIDEVHDVTMADNVSASITRAL NHAPNSATTNVVAGPSRLR JR316_0010454 MGIGNTMKNMLSSDTSPSDERPGVDMQGSDPSSNADTRLTRESS RLTNSNRATHGPAHKLPSGTGPGTSGKPSNVASELAMQQDAERAKVHGISGYGTDAAA AVGGDLSGTHQSQTRMMDAGTSSGGGTGLGSSSKRTTLESYSQEATEGRAPVPVTRTV VTESTYERGRPSSDKDYMYREREYDVDLLSGDHVFGHNKNSPKDSMPTANAEEDTNQL QPVTHERVRHIETEEISRVKDRERHIHHIQHHTQPIVAAEELEEQHKSFIHPVTHVRE KHANKVEDNTLFEGQVNQFHDTLMHSSKERTIIDKGTTVNETVHHHVHHVIQPVIEKE TIDRQHIHTTIPIHEVTHEAPVVHQSQTHAPVPMEHFLQRGGTLHGAISQEEISRKVL HTGQCTREVEGIAETMERDLNLKQDTRGAHTHSVTEDAELAAKKAAAKRQASTNVDQP TTTTTARSRN JR316_0010455 MPTLRNRKAVPSAVEHSASAVKSTRDATKRSRTMDSNVDDDGEE SPPKKKKRKVPKKSVAKAKTVENSLGGLPVEIWAFEICTDLEPKDLWHLSCTSKHFRR ILKSSDLAPTLWKASRALIGMPDCPSDLTDIQYTNLAFGESCQYCRKFIGEDNRRPLT LFAARIRACVPCIRSRFVMVEGPGQVSMDPRVQKKCPKILVPYLPSVLLSYRNYEKKM YYSVATGETWTRECAAAENQAKWMKEKIEELKYIEEHSATYKDWDAKQRHNNLFRRRL ARVIAQIKSLGWTSELSKMSRENYQNFLKSPRIVDACKNNSMTDTMLNDMGAFINTSM TQYRTLRLCANRKALLGNRLPILSEVTKECAARYSLDTPTPSAAELLKVQLVRDLIDD TSLEPFTSAHLDPLRLNYAEISLDWRTRTEGKLVSMIKSACGTEYKFDESSVLRLATT IFSCTKCKDSHSVTKDNFWYPQVLAHYHSIPFDQNRRAEEDLVELERRSLEIRTGSTF WNDDNDIFFNKDYMQAMADILEHFGFDPAVTTSEEMDAADPIFECVFCNCSHRGRYVL RWNMLARHQWGHKRHFRTGKIPLTYELLSGNDEIAAKRRLDEDNGRRQANTLDSMVCS HCRKIGSSTMIAEHAWQEHGIAELADSDMLPSPAAKTINHSRMWPPQKINYAVSRWAP TV JR316_0010456 MSAPIASTSSSSNFDLEAQSPVSPVPVLTHSTWTAPPMRMLDPT DEQFGYTFSTHDSRHDERRQSLSDVPPPYAEESAIPLPEYTLHAPEPVTLAMFLFKFG FLFPPFWLFGAFILLSPLREPSQPSSDEDTPAWMPEKTEEERREIIATIRTTELKWAR RCLCAFCILTVLAVGGGITAWAILRRS JR316_0010457 MPTKPKLTPLCHNPACMRIGSNADGEPLLKCSGCKNVFYCNREC QASAWKDHKKHCGPRPNAMSKKEEKAQLKESQSLLEFYKLHKDTIASCTLGAFCITLD RPTTRNLREMLVIQVVPNPASTRPETAFRVTDAKIMSLDSPETIEHFGQSQCEHFRKY LVEVAKMNERVGNIGGFMVVLETNSIKYYEPVSFKRPPVLLPSSNPDDIPLRLTWRQS LIRTLNSGRIDF JR316_0010458 MGYRLNILTIKGAFGIPLGFFSSEKTVLGFAVAIELAETEVTSV SMSRLIIKNLPVYVTPARLREHFEQKGGPGGTLTDVKVSSKSDGTSRRFGFVGFKSEA EAQKAQKWFDRTFIDSTRINVSFSEGAADAPRPRKRQRLDPSTSYSDSTTPSSVTKDQ KSPMKSDKNREEFMKLMEKKKGPAWANEAATPVPIMPAEPTPSVVPAPEDAEAQGTMS DIEWMRQRMSAAVVDEVVEERVFEQDEEDGGKPVNAIESQKQGTQPATADASKPVDPT KETILQTARLFVRNLAFSCTDEELRELFEPFGQISQIHTPRDPTTKQHKGLAYVTFAK PTDALAAYEALDKRSFQGRLLHILAAVDRKGKPEVQEGEGHKKSLKEEKNQKRKAMAG QEFNWSMLYMNSDAVASSIAARMNIDKSEILNPESGDNAAVKLALAETHIIQETKSYL ESQGVLLSAFSSKARSDTTILVKNIPYGTSEEQIREMFEPHGELARVLIPPAGTMAVV DFEKPDEAAKAFRAVAYRRLGNSVVYLEKGPLGMFIEGFVPPTKGTASAPTTTAAHAV RIPEQVGAADDTDDVEEPSIAGGSTLYVKNLAFSTTQERLVKVFSHLPSFSFARVQTK PDPKRPDGRLSMGYGFLGFKDPESAKKALKSVQGFVLDGHALHVSFAGRGAEEAEAEK KGKVDAGKSRTTKMIVKNVPFEATKKDMRDLFGAHGHLKSVRLPKKFDSRSRGFAFLE FVSRHEAENAYNTLRHTHFLGRHLVLEWAEEAEQDIEVLRKKAGVGYGGGKAMPGQKR KLDMGRNDEEDVEALE JR316_0010459 MISFRKLSKYRFMDSSLTQRRASLEQKIPDIRKTLDMVEYLQER REGKSKAKDDEDDLDDLDDEEEGDAAKPLTTTFELNDTLYAEAELEDTDTVYLWLGAN VMLSYKIPAAITLLKSKLDAAQTSLDNTIEDLEFLREQLTIMEVNTARLYNWDVKRRR EKREREQKAVTATSTVVTK JR316_0010460 MTTLDDTTSKTRGNASDDIHDEKSPIDMAEKDSHTTYSSTENLY QDDALEPVYYAKTLILNRAIEEIGMGKYQYMLFLVSGFGWFADSVWPLITGLILTPVV DEFGFNPPFLSLAVNAGLLVGAVFWGLGCDVWGRRWCFNITLLLAGVFGLAAGGASNF ITLASLLAVMGVGVGGNLPIDSAVFLDFVPASRQFLLTFMSIFWCLGQLVVNLLAWPL IANFSCPVATPTSPPCTRSANMGWRYLLFTLGGLTLLLWAIRFFVFELAESPRYLIGK GRDAEAVMIIHKIAAYNGTTSTLTVDQLAAVGGGEFSDKQQETKAEGKKLSLTRTSDF TLGHIKSLFRTRKIAYSTSLLISVWGIIGLASTLYNNFLSFLLNSKGAHFDDATLNIT YRNSFILSVVGIPAAFFATWLVEIPQLGRRGALAVSAALTGAFLFATTTARTSNALLG WNCGYSFFSNVMYGILYAISPEIFPGKDRGTGNGLTAAATRVFGLIAPIIALYADITT SVPVYIAGALIIFSGSLALLIPYEPRGKAAM JR316_0010461 MRLGLMEIIVAWSPSKEGSQSPSQLLPLQRAQTYASFLTQNSSY SQHIQLRRERGLVVDRQTHAGYFKPGIKGLNQHPDLEGRRKQHRNTYVHGTAAPKPGS SNEDSLALLPPSEQAKEQTRRAVIVGEEEEEEGASYGGDTVRPHAGNGQSSPGPPAPG LPSTSTFSFKAPFPVSPVSAANVTALKHRRVSLASPSSPRIVKPWSFRDEMGLQPQAS EDASVTTAAGKATSANTAASSPLAGSPSETTTPPTDGLPEKKGKMRKIDPTQNSDDSA LVLEKKPRKKWSSEETQMLVDGCNKKHYPNARTHLSSKIRSTFADGTSLFEKTRSKRR RPFTEAEDRALKAGYEKHGTTWATIVKDPIFQEQNRRSTDLRDRFRNAFPMLYQAAGY KPRTAAASKKRDKDNLDGAPQVMRAADDQLATAGPVRSRRRAHTSQGLLRGGTKSVPQ STACSEDEDSSGGEEESESSRRVFKTPPLPVFVDGNHDFRAGSKMKRPVKGTTSVSAP VISTSGSASSNTTAPSTTTSAPVSQPTEYNDGPEDEDMDLVLVDTDPLNIPDFLPNHT HSDMETWSSGLNTPTHSSTAAWSTAAASPTSSHVSDFFLTGNATSNSASSASSPFIHR RTDIPGSHNMGNMIGKSAWGTNDWFSPNPRLDSGNGGNASSSASSSSYIDASSFSPAS PFSFHPHLNHDVLDRYDLFPPYMPDSNSSEVGHGDAHTTFSGEFGLGLGLGGASGTSK GYHSQIAGDLISGGARMHQQPSSFAAAFLPLSLSSGAAYGGAGAGFGGAGQNGEISGL GLEGIHPMQLHGHGHGHGHSHSTSLAAIDELGLTGISLNDRVDSSSGASTASGNGGDA MDDGRRDGVGGAGTGNNDDEQDAVMMEMAFGIPHSPEKAQLQQQQQQQQMRSLQEEEE ANSVDLDNSLSSDSFGLDDLVDMNELHTTPPATPVLTQPRPMRRASGTMMHSFDSPLT GGGGGGGGHHARSISVPPSEARNVFLGGGIPMSMDDVGLHSMHAPVDLQQQQLAESAR TLHAFFNPHLSSPVSGNGHGHGLIAQQRHKASEPMRLANSTGSMQPPMSPVLRQQQNG SVQQQIQQQQQQQQQQQQQHHQQQQQHHQQQQQQQQATGSVYTNNLLSPSSPSILSPS SNSASAAAAHQEHGIGIGHSVHMNMNEQNLNNEIWRNVFPYNLSFLDLHTDYTHAPGH HHSQYGALNNHHGLGGGLDVTLEDYSEARQGLALDLAQTAVSSSSSLFGANGAGFGSF NMNLGASLRGFNPSPVGTIRQRGMGREKTPIPARFVAPSQTVSTVNGELSELGIVGAT VDGHGGAEDGGGGSNKDGTIRSRKQPHRSGNGTLGRSMSHHRGQSQSAVCPQDLMLRS DNKRKRASWDGGIA JR316_0010462 MAQPTAAQPPKLVLEDFISGALAHTPAELHPFFESFKTLYTRKL WHQLTLKLFEFFDHPSSGPFRQRVFELFVRDFESKLNQLRLAEMAVKVAGVIDAPQNF LTALLSRIDKETAPEAHVILLTAIAKAKLLFGDQQGTKTDIDAAQKILDSLDSVENSV NAAYYRVAADYFKDVVDYQMKGDFASYYKNSLLYLACVDIEKDMSPQERLERAHDLSL SALLADTIYNFGELLQHPILDSLDGTNHAWLKKLLFTFNEGSIGKYEALVPLFASKPP LAQNIAFLQQKICLMALIESAFRRQGNNRTMSFKTIAEETHLPIEDVEFLVMRALSLK LIKGSIDEVDQKVLITWVQPRVLSREQIAGLSNRIGEWVDKLNKVATRLASEVPQTA JR316_0010463 MSRTNIILSTPHNYDLQGLAETTQQSLSLLKPWTTLPPSPSNPL IGEISTKAANYVSAIQMGVSAAYSGFTVTEEVLFLAKVLPVDDQEDLKQYLVGMMDLA REARENANSAFQAFRNVRTDIYETMSQLTEQLKSADHPGHRARDDYPQYRKDDLDVLV KFSEYLSKYAEWWDWIKVETDPSSSSKNEPLAFQLDSLTDPEAVKRWTQLRSQYTAYV QMIGEFEDSDPQFFNRSISPSPEGSGQSSTNKHIMDVSSTPSSTATLHASASHATGPQ TVNGSSTPPHNSSSHQPNKPTPERPSSVDIKLNGNKSSGPSWHIKSVNLISKFIKSLQ RTKFGQIAIRCVTKRGSYAAQNPNQTSTEPSPKKKKNEKKAPTPSSSVNPSPTKNSTP KTGSD JR316_0010464 MFAKFAAALTVAISVLSAGVSAAPTNTDLVARGGFHSFNGYQGL SSFHNFDNFYGADNFDNSHFSESSISVVKEKEVVCHSQSITIIQQRLLVLQEMAKRII SEQICEVETQTVVFEQFHSSLHGFSRDLRRFSGRNVGYDSGILSHFNDFYESDGSLSV NDWGFSGHDLGNSYIVPSGNNWDNSRSFGSVGNAYRSARSAVTKF JR316_0010465 MTSKARLKSFADDVEDAEVASLLEAQHSSGDNGQWSKDKSGDLD WSYTARTVRTLSHDQLLNELWEKGFSIPPREYNPSAPFVYVKMFNGEVVTLPHGYRLP LMFVARYYWGIALSKLNDVNLWKKERANVYHLSRLCENLFQRARAKAFAGGMEKGWRC VMFDRLLARFYKSWYRNDPPAGKEFEQKFSVKEYDRDVLKHDWKRWCTRGLNGVRMKE QEVSDGITIAEFRAGLERLGDGEWSLFGERLTWIGDDEELSWSSESELTEFEMDDEAE QGAQEEEEEEENADSDLDAEGDEVGDDDDDPTTHQSGPTDLEDAPSKRVRDLPIDEDE NERATKRARIEMISDTSHRRHKVNNIVALTRTLAAMEKNPIWARNNFSGASGSGNGNE SQEPRQSIGPTPPANPLDPEAEETIVSRPRPVSQPPIGSGVDSSSVTNNGTLANRGER PSSPNSMPLQTSVPRRFSSVTLSQLRSPSANASSSKLSSASNPSTNKPRRSGKKAPHP SLLHPSGSKPRQTRASQIAGVATVNVYVSDGKPRGGAVAHAAARAARKAAEQSRASSV SSSSSSSGSSRSPSPTPSASQSNLPFRSPAPQQSTLPPTGHTSTEQADTENTLHAQTG KWAAIAPPPPPPGRSAAARNKRAAQASVGAESHRPENSQEFITSEALKLLVNLVTSSR NEGEKLINAGSASSSSTAVPSIGTAPASGSAIDQQALEGRFQHFEGRFLSVKEELVGI VDRLQALEKEAREQREARKALSDKEFCDRNIGCAPEGTSQSTQTERNSVDKNQGGDED VEMMSVEKESGEKERSIDLRRGVQSVPRAMGEIAIQTDQVEDDSAAKSHASSSSQSGT QRDTDIGSGANRNGPDTHSSGHCGGSETDTDSTLNAAKDETIDPCAAEMSSNLSLLVN NLVSVKMLSLVGDMVKGKSKDTSAADPDISIRSMSPVIPSSDSFAINNIMDEVKALKD EARAREQRDKEEIMALRQLHSAEVDALRRRLSYLESQSRYLDSDRYRSSSHHEEYHIS APVGNDHHYHNEGGRHSRSRQPAFHSASARVHRSPVVGDGDQPHSQSHTPVPDRDRNG TGPSSSSSSNPMPTPLNSERSFSFTRPGTDREDDIPLPIKSQRKHMFATRATFG JR316_0010466 MVSPSSRRVALLRGLALVCACSLSIGSHFGQNTLGPLKSQLSRE KGTTNGQFGLLIAAFALNSTWTPLVGGLFAARLGTSLSSIIATSIILTGQLILLLGEL SGSVKTMVFGMFIFGLGISPLAVVQETIIVRYFKDHGLGLSLALGLVAGKSASFISAR VSFPLSQWSPHAPFFASTFLAGFSFTVNLLYLWYEKWIAGEIGAEADNSGRLSEQEAL HDVTSKRKVQLRDLLSMGDIFWLYIGINIFCGFIWTPFPHLAPNIIEHRYGLSEGKAA EQSSFLLAGSAFLYPICGYITDRVKKRSIVHRLLLLSSVLTLACYAWLALPPTSTGTP LPAMLSFGLGIGFSPLLLVIIVPHLVPLQYVSTALGAHKSIESSGSTIMQTLAGLALD SKSRTSSASIQKVINAFLTLNIIQMIGVFSMMKFDQFRQQLLVEQLPQYEQYEALPED DNVEPNSLEVSQELQPLDSRRPKRSHDMIVSPAERARGKVFFVTSALLIAGTWLLFMT SAGIEFWSAKT JR316_0010467 MVTAMCSGMSIITCGFVIITQGPSLKLTFDRERQRFLAFVSLLA AIFGLFGNGFQLSDHPKSAGESTASFFFNWLVQLGFAGIAHNSIIRLLTARAKSPAPD LIKRTSRLCCIFYLLTLPVMAPTFISLIHPARSSVKVVARDMNITYVCVVEALATVAD VTLLWRFTNNKEHGHETRKKMIQDMWIVYAFIWFTIGADIFAKASEFFTTYKSCS JR316_0010468 MQINVRVIISALSLASLAAANPVPRNEPTGQCNTGELQCCNSVQ AANSSGATSLLGPLGIVLGDVTALVGINCSPISVVGVVGNSCNTQPVCCTDNSFNGLV SLGCTPINLNL JR316_0010469 MQLDTGKTSAGLVGTFRNIIREEGAGRLYRGLVPPLMLEAPKRA VKFMPSQESFVVVPFELVKIKLQDKKSTYKGPIDVVKQVVQKNGLLGLYVGMESTFWR HVYWNGGYFGSIYQIKSLLPKPQTQKAELLNNFISGAIGGFAGTVLNTPFDVVKSRIQ GTEKIPGVVPKYNWTYPALATIAREEGLGALYKGFIPKVLRLAPGGGVLLLVVEFTLG IFRTGRVKFFTSHIQ JR316_0010470 MVYIHSWQEFQDAAESLYLKAPNTTRYSVKWKSSEGKLVLKITD NTTCIKFKTNSSIFLNRFESLNLSIMQKMQNQQRREETPAAAPTSDVHPAAENDRASP VPAQISNAIPVQVPATGGVKKKKPKKKK JR316_0010471 MLSPSHAPPPLLPFVYSSPGLYWFVTEVYDNSVARRYVDTDPSI VYQPQKFWFSNSQSCSTCLNPGGMLSYHEAVHVFDADDVQSSTSLSPSATAHSSPTLP QPSDPQLAAQPASTHPPTDGKGDPGNDGDDDDDDQENDDDDDDNDSTNKSKKSSTEKK KSGNTRRGVPRLRFISRSDPDDDGMNITLSFNFTGSAISLFALQPRGFVSQMPNGAPT NMNLSFVLDGEAAGDFRHAGSADADGSSGSFANATVFSKTGLEDSPHQLVVHVGENST FIFDYLVYTTEASSSTPTDTGSPTPLAETTPSPTVDRSEKNRNVATFAGAVGGSVGIL AIFSLGLAISIIRRRRLAAARDRLDSESLHTNGSDDSPPMAGPAPFVPRFFPDTVIPS EPPTYTVALATDANNSTLLSSLTSSPYLNGTRTTRSYADIPPSIPPPPEEEVVLMPPP PPFPVAVSSPPPNLPPGAMPPPIFGVAPPSHPLDAVGTTRSNSAPPPERVPLLQSTPA IIPRPISRASSRSAYDLDGNPVDSDSD JR316_0010472 MIGFEPEISPRDSVAHYSNKSDSTTPASVIDQAALVPSGPLPRR TLADVREQVSREIAVQTVTKFQVFDGVRIGVRMVMSKSGDENFLRMVADHVKHQLTLS NHLFVVATIAQSAVSVPSTLIISGSSQEYVQRAVLLASSKFIGRIVAANDEDDRWIAN VMDLGVSPYDEEALWDVVRKAARSPMDPLTPPPGSRGIDETLSLARAKLQRVSAAQAY DELRETQVGAPTFLVDIRPQAQRELEGGIHGSLIIERNVLEWRFDPRCASHLAIADRY DLRIIVFCQEGYTSSLAAYSLQQLGMLNATDIIGGYEAWKNAGLPIDLDRRESRSLLS PRGSVA JR316_0010473 MVKKTVPWYEGATDMEEWPVHPAEFKEPPEGWTLPPFPPRWVPN GKVSYRVLGFPLRWSDFIRFAEKNELEVGKSDWDKASTACSDMMDCLFYYKCEYADIA IGDVEVPVFLVADNSRMKHLKHPEEAKRIYFIQTYLGLPRPPVWLFHRQRMRDNVKLV QWLKDGAAQNTAGGSQKDDETKAKPQENENTEQAPPGSSSQTVAVPQAEDDKAAL JR316_0010474 MRSSSPYLVRQNGGRRYELAIGNSASWSRSRSARGATDRWDVPM HQLSLRSVRIIALTERRNIIVDFKRYALRTKKINGALVNYNVW JR316_0010475 MPRFFKLKVKEVNTNPAGSRSHIKTVVEWTRRVIHKPSSPPSQK ALELLKASLSAPYPPVTSSSSSSTENAGKPLDFNLEVVESPPTSDQLQVILSYLPSPA ANPSLVFLSAHPSNALSAAEQPTTVKGIAELAQKNPNAIKWPIVVDWLDGKASIGSVD GVKGILEHLRKKRDGEVE JR316_0010476 MSYGLMALDLHRNMARWENEVFHVIVHPWPGESNPRPDQTFLAI DAKPRRIDELHEVHELGIRQELEKLKAEVKGDKQLCGMVVITTDIESMISITWPIAFT DVLPAIPPGVDADDWKEPLLIKLNFGMIPKGNGLSPGVHWTASLWMAQKKYDAVYKMS QATALGRRNPLQSKSCQKADWPVHKTTCSKFRDILQADASAPEDARIQKALHLFANKH KSVLGTYGVIAMDFRFDITRGLRDAVAVFLKYVPNEKRTDQAFKAIHAAVVPFELFGV EREEYLREESRKLHEEVKGTRTIGCVLVVCFTVDSGWHEDAKDVEDSLVHPTAEFKEP PEGWTLPPFPPRWVPRGNVSYRVLGFPLRWSDFIRFAEQHELEVGEPEWDKASAAFSD MMDYLVDYKCQFAEIAIGDAEVPVILVADNSKMKHLKHPKEAKRIYFVQTYLGLKRPP VWLFHRQRMRDNIKLEKWFDEEDVENEEHSQQDGKTDHALEKIENSEQAPLGISSQID DDKSK JR316_0010477 MIAALASQSPAVAAKGDVLKSLRAFCSKHRPSLAEYGIRSMDLS LDPTRSLRDVVLIKVKSVPNARRAETSFKAVDAEVVSTDTFGFAQGEELRGQLKDFHN QQKRIGKLGGIMVMVLDVDTNTSNVCPVGFGKDVLRLKAGLPWKEPLIRTLNKGIVY JR316_0010478 MGPRAQHVDIPERILRENGQYGAEKKPLRAVSVTGSSLGPGSGR TKEQLSEQGSHRFLRDFKAHAMHSFLSKVFGRKEKDAETSPTTLRPGELLDGKFEAIS PNVSPSAANFLELEGSKTEGENGKEKEKERDNRFSLFKVKSRPSPPQVSYKAPIPLPQ LSLDFSTSNGEPSSRTLESFLSVDPDANILLSDAIIGRRRLNPLEALVLIRACSQAIV ARGLETLGVMHPHWYSASPEVQRRLLSQFIHSMEPKTPLTAISLTPALVSSPFESEIE STRSPHDVAAVLRWGLRHLQLEGDSFGTDDGWYKAFLDAEAAAEYPPKAFSEKLAPAL PKAHLDLLVATLEIFSSLAAHSEANSTSGSKLSKIFGLWLLTSRRVEDKDDWKSFYAR WERMGRMLEHLFLSRIRDESTDQRMPVRLLELVRRYPYTQGLSPPTTDVQFLSPPHFT TRLYDALYVRIEVELSSERRKPKLKVHPLNILADAFSTKVEGGEYAELWAKITAASKT GSNPSPLSNIFADETIRFLSIVPDKGSEKAQEAKSPVFSLLSPSLARGHSASVGETDR PVSSPSRGYSFSVGEQSRPSHQHSKSATEPPATSAIGSDWVQFSASGFLDSTPAMAPL VSTLFDTDLEKTTPVTTTLSRKSSKRGKAVSRKSVDFSAEPVPPVSPPPPADPVKKEA TAIVRATKLEVIELDEAFIDFWSDSLLDPITATWPTFIICKFKSTLVPQLLYGPVQEG GKQKTLKWLVLEQAFTVRPAPPPSPSAVPRPESARPVSPALSTSGRNRFSFWSMSRTA SSSSQSSQKGKKKERALNVGEMGELIEEPAEQAKQEVVQLKAAPSKSKSSKAEKAQKP IEKPVEAAKQPADTTDTPDLETAAIAAGAAITAAIAGAAAAKAAAPAAEVPEPAVETQ AETPAPAVEEPATVSETPAAVAESEAPAPAVEEPAPVSETPAAVAESAAPAAEVSPPV TEAPAISEPEVLATEVEAPVLEAPVEAKHEAAVEEIQAPVSKLLPSTDVSSVSEAQET TVEPELTSTEPQAPVVEEVLTQDASTDDNATVTEVPVSESQVAVAEAPSAVATAEADE SVPEPQVPTAEAIAPAVNADLVVPEAQDEFVVVEPGPPVITDVETDVPLLEQVSEEHA SAIVESVPPPATAAEEPVVTAPTVVDKPAVEEPVEEHPAEVAEVVVESNELESPVVEA PAAVDELPSTTTETAAVIDEVATSNVEEFAPVEAADLTEAPTSITETPLVDVDAAQES IEEEPRVTDKEQEVTEQASPEPPAVEEAQPVVEEDVVVGEEPVVEREIVDEHAAVRAE EEAIVDEVSSVAVEEKSAVVEDTPVVEEEAPVVEGTPAVEEKTLAVEEVPVVEESPVV KEEAPVVVEEAPAVEKEPDVKEEETPVVDVEETPAAVEKAPIIEEAAVVEEASVVEEA QLVEEAPVVEEAPVISVAVEEKSALDEVAPVIEESPVVEETPAAGEKVPVIEDSPVVL EETPAVVERETPVVEEMAPVIENIPIVEAPPTPVIEDHIQDAEPLATHAEEPVAEHSV SGTDVEVPEVVQTVDVLTLSTVIQTAEEDSVTLADPSSVPVEIGTSTVSEHEQESQSV TLEEAIAEAQAAPIVEQAIAQQNEPEATQALSETPSAEPDSWVTIDKIASDTEPEASA DSEPTEVVIPVEEIVPSQVLNAIPPLDDELNAEEAEVLEHASSDILEESSFEVNPADH SGDEVQPDHVAEHNLLAEPAPVPSEVAVDVTDETPSLEEPTSIQLDQTREDVVSEPVQ VDAVVPDVEDKQQEVSDAEIAENIKPNVDDVPPLPVDSQSMYSAETPVTVAKESTVVA DILVSEPQALDADAPTPAPVAEVGDLPSNAEIPPAVEASSPVSEAVEPEVPATDILTP VAEVSDASKPENLSQVPISDVPVTAELDDQVDRSLATEYIPESDQPPVAEHQDDGVEL QPFKADYQAPIVEAPAAEPEVSVTKASVRASVTEASVSIQQIEPPATEITPIVNGELQ NVSAVEETKSQDTSAVAEPQTLIAEVESPAVEVHEQVSEEPVGNVEDQEPIAEAVIPP IVALHESVAAVPKEPVDEAVAQEHLTDVVEGQDEVETPHVEVPAANDEATLNTEDATP FVSAGILEAPTSVTETSPAVIESSQTHVEVSQVTEKEQQIAKDESPFVEETPAVDVDE LSAEEDVAAVEQEDIEPARVEEQLDHTLHFEKGEPVVEEETPIVEGKISVAEDENVVV EEKSLATEQATIVVDKKEAAVEDEVPVVVELSSISIIDDYIQDNEALTTDVSEPVADS QFKDATHDDQLSAVEVSAPTLEAPVNNATASEEFPPQGIAADSGAAAEEPATSDLIVP QDNAVEAIADTPNVEDILGSDSAEITESNVDLPTIAKVSPVEDSQASEVVQNVHSKGN VTEAHVAPIAESDLVVDVVQSEEFATPVAKVTPFPGPSAEYISKEASGPTEDFPTVIE TSPIEETLLIEKTSPIEQENPVIEGMPAIEESSGVEETLVTEETPAVNDTHAIEEIPA VEEAIEKAPAVEETFAIEEAPAVDLEEMPLDTTIAIEETPAIEKAPAFEETPILEENP AVLDTPAVEGSPAVDELSSYADTPAVEDCPVVSDTPPVEDTPTIAETPFAPVVEDIPT VVDTPSVAETAVVEDTPAEEETPAEKETAAIVETPTVADTPSVEGIPPAVEETHIVED APAVEETPAVEEASTVEEILVAEETPTVEDAPAIEDTPANTPAVEEIPVVEEISAALD TPGVEDTPGVKETPVVEDIPAIEEASTVEETSDVKERATLEEIPAIETPAVEETLAVE ETPTVEETHVVEETLAIEETPAVKDVSTVEETPVSGLAIEETTAASDTPPAVDDTPAV DDRHGVEDTPALEETPAVKEILAVEETSTVEEISAATDTPVIEETPAVEETFTIEETS AVEETFAIEEKPAVEQTPAVEEKSAVEEMPAVEETNVVEETPAVQETPAVEETPAVEE TPAVEETSVIEEEPVIEETPAVEEKSVEETPAVEETPTVEETSAIEETPAVEETPTVE ETSAIEDTPAVEETPAVEETPAVEETPAVEETSAIEEEPAIEETPAVEEKSAVEEMPA VEEKSAVEEMPAVEETNAVEETPAVQETPAVEETSTEEEISAVEETSAIEEKPVIEET PAVEEKSAVEETPAVEETPAVEETTAVEETSAIEEEPAIEETPTVEEKSAVEEMPAVE ETIAVEETPVVQETPAVEETSAASDTHVAEESFTVEGTPPVEEISTVEETLVTEETPA VEDAPTPAVEEAKTIAVEETSAVHERPAVEETPAEDIPVVEETLDVEETSAASDTPAA EETSVVEETPTVEETPVVLEMPAVLEMPAVEDTAAVEETPAIEETLTVQETPAVLDMP PTVEDTPTVSDTHVVEEAPAVKEVFVTSDMPAVEDTAVVSDPPAVEETPVIAQTIAVD ESSTIEDMPAAVEETPVVSDMSAVEYTPAIEETPATEETTVIGETPLVETLAKETPAI GELLVVEEAPGAETLANEETPPIEEPPANEDSTVAEDTSAVHKTVDKTLVFEDTPAVG EQHVIEQAVDNTPIGEGNVLEVTPALEEKSAVEETSGIDEANEETLAVEETPVIEEIG IVECKPVLETPVITEASEGTPVVEQIEESKGPVENTPETAELPAHQEQEQSDDVVNDP TLNLLPVDPVEATQDASLFVEQNLTDSDNPPTEAIARDAQPSEGQAEILNIVSSVPTT ESIIVPEDSRTEALVDLPPVPLVDVNVVPVVPDDSTHTTILIEDAPINELPIVHEESS SLYDHESHLVQDPTILSGSTPAPHVALSISEPDLKDAVENSRERIDDVREDEQAVSTA EVVNIGEEKSVGDNTLNEEGSSPAAAETPLEKADESLVATDTSTTDVTPPSAEIVVEA PATVESSHEVKPAHANALDDTVVDSESNPAAQAFEVQTSAPPVIEEVSLISEVDQVVS TADIDVANSPAEVSDVVTSHSVERTIEHTPEPPAPAVEPAVPDPVAEETVAEEPVPVV LEESDDTSPAVQPETPSFAGTLPQPSTLDELNESTVQSTDATSNTDTGVSTIDVPPVI SEPISESSLPQNDIAEIIPTVEESATSDLGTEPLVSVQEPSSSELAADELTPPATQES AMTETEEHHSLDRVENVSSEPEASAESSTHLIAVAEPVQSTEVPTLSTVDSAPVNIDA VAPEEPAAQEVLSTEALTVASDNQHDQENIETENLTPAVEPKTLVSESLASEPVTNGS PAIVSESSEPSPETEQAVVEASIPSESVPEPTMSLPVASEATSSSEVVASSSAGEPAK EEFADVDAILSTPESAVEPAVVEPAGESAAVEPAVKSAAVESATVEPAATDPLVEASI EVPEALKVEDDVEEADITINSTPAEDPAAIETEQVPVISIIAAEPAFFDEDSLDPTSP EELEDLVSPITDVSTMDPDASFATSGPFALESVISSIGEDEEDLALEVDSKVSEAEEE VLNGNGNGHHLELQSSVSDLVEDHDDLSPVENAAEADESGNGKVHVDAQPSIIEVPSA GPETTVVVIDGEQHAEKVDLSAQHEPKEGHADAAEATDLIVASSTSTEV JR316_0010479 MAFPQFPPLTLVLAATAALHGALLVTAQTTHTVKVGLQGSFFDP ATISAGLNDTITFIFAGFFHTVTQSSFANPCSPLPGGFSSGPAGTQNNDTQHPMTWDL HITNVSEPIWFFCEMTQPTSHCAVSGMVGRYISVINPPSIAAYTSFRAAAQQVTGTPQ PNFQIALTGIGAFATQTPAIPSTPVSIPPSTPTPSDSSTSSSTTSSSTTSPSDTSTAA AAHSSSSSHLGAIVGGAVGGAAVLILGLILILWLLRVQKSRRTGPPGAPDSPTSDDAN FFRYNPAPVRRRPSEAFAEAKQLEAARSLSATRQATPTPAPASAPSMSMSMSMSPNRR GEVVQQPQFPPGVPRTLMVEPHMLDRQTSFGSTAMSFTGNSSAGAASVDHHQQMQQTA NLNIHALASEVAGILRQPANTAPVAAHPTQEGTPRSIRKLASDSSRFGAESIHRTESP LSPPAYRTTVVSQQTLPDTRPHT JR316_0010480 MASNGGHQQEYDAALLASAPAATKAQLQSGYNPDLLVEKPSTPT QQGSDLEAALPPTANPTHTTANAHRMQQRQPFYRTRKGIVIIVIALVVVIAAAVGGGV GGSKKKHGSDAVVAPTGPDDGGNGNGSGAPPQVSSDGSSSSTTGTGTGTGTSTSTSAG TGASQGVGDPNAPQPQPGPSQGATPTQGGVIGRPTESAATAVAGAVGTGTDANAVGQL VGGST JR316_0010481 MPLPAPQAHQANGVSSEYIDIEADLSDRDSNANAHARTDRDPPS TSPPTPALAPARTRRSIASAAPRAGSSPNHDHDHDAELPIASSQRLVVTSQLPAFWRT KWGYVLGVVALLAVVGGIVGGVLGNKSNDEPARNPGSVHTTMSSSSSGVSSGMMPAQS TTDVMENPEIPHTVVMAAVTANPIKGLNAVVTATTVPLASSIPNPPGRNLLRYAYAAP LVS JR316_0010482 MQSRILSRTESSEQAQHAEIMIPTTDANGIINISRAQYMSRLAA IRRKEPLREACIDANLAPPKSANLERLRTMLTDYWYPPIVMEESISNEVSELQSSSSQ HASTSVSVLRDNNDEILVQEFGVEGAAAEEVLGYDDDSDSDPEDEFREVLEINDELLD HTALEDDDESDLETQMQGGPFPGESYTKFQTRIRLEETRRAENNRRAGGVKTQSAVIK DWKIFCGHALKTGQIKDEIVDAHHLLLYIRYCSERPKRTRKGIDIPGTFIGASHIKKL YFGALRIRKEQEAKDPSLSQRRPATNVHVWDALKGRMNEALRRAREGLIPEEDAPDIV ANTFLSGITEAQMNSVGKGFLMHRELRSVINGHLSWTAQNASGNRGDDFRALRLAELQ PYVFLHPNKETAIPCVLGCQGEEKAGASRGMRTKVNPVYSVFIAHLDPVKCPLGAFAF YHHFLHDVIDITSALDINWSINKTWRQVRVLHGKKSYATPYHEQSLYNLYVKAFSSAA FTSHLKAHLPRHILGYNQERMGVDPSVTSRMGWVRGETYYDTYAPALPKEAVLGAHGY KSHEVYDPIWRHVHIPEVFLCLVCPMAETIYSDVVGRQNLSGAANYWSMVMELRPYLF QCGAAIFQEVPESALFRLPAFAHQDVQNWMKHQFPNDFSLLKASAGSRVDLQRIQNQL LQLALEETRSLLASQSVQLAEVARIQKIVEQRTQVLSPAKGYSAVHYSSRVSTASSAA VHEPLPALQLTTANHDTFESANCENDDTGVYMAENGDFRAFANGSPKTPTHTIRRKRS QVDLVLPSASAFTEQGQPQFFWPPVFGQKSVTWDQVFALIKQPEHLWDCWKPSKTLDK YDLHDQWACWSVGEGVNDADGNQTSVKPPLREVERHFGSFNPEGKHLKSWRSGISSKD RKFWQRFREIPEFIDSEAHNRKVSPLSIIDELEILGQQDRRLKGLSALTKHIKLKREA VSPQAETEAPQVEAEASQPTKRKKAVAPRRPSKKAKQDEL JR316_0010483 MGINGLSKAIKPIEERASLYESASKYPAIMVFVYDGPGRPALKR GRRVQNSPPFWTAPSMDIVQAFGYYVHQAPGEAEAELAMLNQHGLLDAVITSDSDVFV FGATTVYRTIPAKTRRFEDEIAVYTAENISNVIGLTKKGLILVALLCGGDYDAVGLDG CGPATALGLAKCGYGESLIQIVQTFHGERLTAAIAQWRLDLQKELFSNSSGHLRGKER SLAKSIPDSFPSLDTLSLYLEPVVSAGASSHLAPVYDFRHREPSLNRLVMVSSTHLGW NTEEIIKDKFKRNVWEGVFLQMLYSKFILYDHTQQILATPNIQARLFNRKIANRAGRM APNTSRYQARLLVSAQNFIALMNPSFQSSTNSDTFRAWVHIDALPERLLQELSGDTKT TQKADKSRVMFVEGSSGDSSQQAAAFPEPTKHHRGGILEALDHMMKGEYASSTTRSLL IDPNVIDLTEDSDHEKRSISIPSSLPETECSIIDLT JR316_0010484 MDGTSFEPDPLLSEHNDLLYPSRTLDGTVVSTLEEYSAALDTIR RKERLREACSNLHLSVPKSANLERLRSALKLYWFPLDSSADTAPTTINTVNEATENQA DIEDQHLSAQSHNIIDDGALISHFSVEGAAADEILGYDEDNELLDEDDEFGEDLDNNE DDIMDTSDDFEQFVTQTRVDEAKRSEKNRRAGGVKTQSAVVRDWKMFCRQALDKGEIQ DDIVDCHHLLLYIRHSAERPKRTRKGLDIPGTYLGASQLKKLYFGALRIRKMQEALDP TLEKTRPAKSIHVWDCIKGRMNEALNRSRNGLIPGEDAPDIVANTFLASVTEEQMAKI GGGFLMHREYNSLWVELDEIFQVRSVINGHLAWAAQNASGNRGDDFRALKLAELQPYV FLHPNKETAVECVLGLQGEEKAAANRGLRTKVNPVYTVFIAHKDPTICPLGAFAFYHH FIHDVKKLTEELDVDWSINKSWRQVRVLHGKTSPTTPYHEQSLYNLYVKAFSQANFIS KIKAHLPRHILGYSQEKMGVDGVHTSRLGWVRGETYFDTYAPAIPKEAVLGAHGYKTH EVYDPLWRRVHVPEAFLNLVCPIAEDIHAKIVGRANLSGAANYWAMCGAAIYQKYPES ALFRLPALANPQVQHWMKTEYPTSLAHLQANAGSTVDLERIQNNLLRLSLEEMRALLA TQAAELKEMRLLIQRRTDVLSPTKGFSNLSYQHSLNVSKVPQPVFTLAVPESPLANKS AGHSATKNSDDTGIYVLNAPNDSKQPGSPLRAFANGSPKVSSESRSRTQVDLVLPHVD AFSNPGGPQLFWPPVLGQKSVTWEQVFRLIKRPELLWDAWCPSKTLNKYTLNEQWTCY NSGEPVFNASGTQTGIKPPLQQVELHFQSAWRTKPAARKAWQRFREIPEFIHSESTLR GVSPQIVIEELEKSATGGNGRVKGLSAITDEIYAQRIAKAQASKDTIKEAESRISILS TALDHRESSGKIAMKLGVDISTLMSECVAALQGPTISGQFYGGALHILFMKMCEFIKY PLTLVFVYDGPGRPLIKRGTNVRVNQSPFWTNPSKDIIRAFGYHIHQAPGEAEAELAM LNRRGLIDGIITNDSDVFVFGAQTVYRTIPTKERHFEDEMIAYTYSHLLDLGLTSGGL VLFALVCGGDYDCAGLKGFGPSHAHALASCGFGDQLVKAFQTLSGVALQDFLNHWRTE IKHELLTNSHGHLHSRSPVLSERLTESFPDLDILDLYLNPLTSAPGQKFQPWILQEPS VPGLIDVSSTHLGWRSEDVLRKRFKAKPLILYDSSRKLFATPNTQALVLTCKLLKRKG CVQPNASELHARLLVSAQNFVTLMGFHSNQSNEVDQFRVWVPRSILPQELHTTVEGSQ SVTRMKINPSRRRRPSSSSSTMEEQNNSIRHFEVIDLTDETEVSNIQSTSNVIDLTQD JR316_0010485 MFVGVSFWAALFVLFFKTLLSNSLCFWVVCLCGYGGIWVWCESL RTMLSRDLRRGARPRIATISAIASLCSYTRC JR316_0010486 MQSRILSRTESSEQAQHAEIMIPTTDANGIINISRAQYMSRLAA IRRKEPLREACIDANLAPPKSANLERLRTMLTDYWYPPIVMEESISNEVSELQSSSSQ HASTSVSVLRDNNDEILVQEFGVEGAAAEEVLGYDDDSDSDPEDEFREVLEINDELLD HTALEDDDESDLETQMQGGPFPGESYTKFQTRIRLEETRRAENNRRAGGVKTQSAVIK DWKIFCGHALKTGQIKDEIVDAHHLLLYIRYCSERPKRTRKGIDIPGTFIGASHIKKL YFGALRIRKEQEAKDPSLSQRRPATNVHVWDALKGRMNEALRRAREGLIPEEDAPDIV ANTFLSGITEAQMNSVGKGFLMHRELRSVINGHLSWTAQNASGNRGDDFRALRLAELQ PYVFLHPNKETAIPCVLGCQGEEKAGASRGMRTKVNPVYSVFIAHLDPVKCPLGAFAF YHHFLHDVIDITSALDINWSINKTWRQVRVLHGKKSYATPYHEQSLYNLYVKAFSSAA FTSHLKAHLPRHILGYNQERMGVDPSVTSRMGWVRGETYYDTYAPALPKEAVLGAHGY KSHEVYDPIWRHVHIPEVFLCLVCPMAETIYSDVVGRQNLSGAANYWSMVMELRPYLF QCGAAIFQEVPESALFRLPAFAHQDVQNWMKHQFPNDFSLLKASAGSRVDLQRIQNQL LQLALEETRSLLASQSVQLAEVARIQKIVEQRTQVLSPAKGYSAVHYSSRVSTASSAA VHEPLPALQLTTANHDTFESANCENDDTGVYMAENGDFRAFANGSPKTPTHTIRRKRS QVDLVLPSASAFTEQGQPQFFWPPVFGQKSVTWDQVFALIKQPEHLWDCWKPSKTLDK YDLHDQWACWSVGEGVNDADGNQTSVKPPLREVERHFGSFNPEGKHLKSWRSGISSKD RKFWQRFREIPEFIDSEAHNRKVSPLSIIDELEILGQQDRRLKGLSALTKHIKLKREA VSPQAETEAPQVEAEASQPTKRKKAVAPRRPSKKAKQDEL JR316_0010487 MGINGLSKAIKPIEERASLYESASKYPAIMVFVYDGPGRPALKR GRRVQNSPPFWTAPSMDIVQAFGYYVHQAPGEAEAELAMLNQHGLLDAVITSDSDVFV FGATTVYRTIPAKTRRFEDEIAVYTAENISNVIGLTKKGLILVALLCGGDYDAVGLDG CGPATALGLAKCGYGESLIQIVQTFHGERLTAAIAQWRLDLQKELFSNSSGHLRGKER SLAKSIPDSFPSLDTLSLYLEPVVSAGASSHLAPVYDFRHREPSLNRLVMVSSTHLGW NTEEIIKDKFKRNVWEGVFLQMLYSKFILYDHTQQILATPNIQARLFNRKIANRAGRM APNTSRYQARLLVSAQNFIALMNPSFQSSTNSDTFRAWVHIDALPERLLQELSGDTKT TQKADKSRVMFVEGSSGDSSQQAAAFPEPTKHHRGGILEALDHMMKGEYASSTTRSLL IDPNVIDLTEDSDHEKRSISIPSSLPETECSIIDLT JR316_0010488 MDGTSFEPDPLLSEHNDLLYPSRTLDGTVVSTLEEYSAALDTIR RKERLREACSNLHLSVPKSANLERLRSALKLYWFPLDSSADTAPTTINTVNEATENQA DIEDQHLSAQSHNIIDDGALISHFSVEGAAADEILGYDEDNELLDEDDEFGEDLDNNE DDIMDTSDDFEQFVTQTRVDEAKRSEKNRRAGGVKTQSAVVRDWKMFCRQALDKGEIQ DDIVDCHHLLLYIRHSAERPKRTRKGLDIPGTYLGASQLKKLYFGALRIRKMQEALDP TLEKTRPAKSIHVWDCIKGRMNEALNRSRNGLIPGEDAPDIVANTFLASVTEEQMAKI GGGFLMHREYNSLWVELDEIFQVRSVINGHLAWAAQNASGNRGDDFRALKLAELQPYV FLHPNKETAVECVLGLQGEEKAAANRGLRTKVNPVYTVFIAHKDPTICPLGAFAFYHH FIHDVKKLTEELDVDWSINKSWRQVRVLHGKTSPTTPYHEQSLYNLYVKAFSQANFIS KIKAHLPRHILGYSQEKMGVDGVHTSRLGWVRGETYFDTYAPAIPKEAVLGAHGYKTH EVYDPLWRRVHVPEAFLNLVCPIAEDIHAKIVGRANLSGAANYWAMCGAAIYQKYPES ALFRLPALANPQVQHWMKTEYPTSLAHLQANAGSTVDLERIQNNLLRLSLEEMRALLA TQAAELKEMRLLIQRRTDVLSPTKGFSNLSYQHSLNVSKVPQPVFTLAVPESPLANKS AGHSATKNSDDTGIYVLNAPNDSKQPGSPLRAFANGSPKVSSESRSRTQVDLVLPHVD AFSNPGGPQLFWPPVLGQKSVTWEQVFRLIKRPELLWDAWCPSKTLNKYTLNEQWTCY NSGEPVFNASGTQTGIKPPLQQVELHFQSAWRTKPAARKAWQRFREIPEFIHSESTLR GVSPQIVIEELEKSATGGNGRVKGLSAITDEIYAQRIAKAQASKDTIKEAESRISILS TALDHRESSGKIAMKLGVDISTLMSECVAALQGPTISGQFYGGALHILFMKMCEFIKY PLTLVFVYDGPGRPLIKRGTNVRVNQSPFWTNPSKDIIRAFGYHIHQAPGEAEAELAM LNRRGLIDGIITNDSDVFVFGAQTVYRTIPTKERHFEDEMIAYTYSHLLDLGLTSGGL VLFALVCGGDYDCAGLKGFGPSHAHALASCGFGDQLVKAFQTLSGVALQDFLNHWRTE IKHELLTNSHGHLHSRSPVLSERLTESFPDLDILDLYLNPLTSAPGQKFQPWILQEPS VPGLIDVSSTHLGWRSEDVLRKRFKAKVWEGIFLQMLYSPLILYDSSRKLFATPNTQA LVLTCKLLKRKGCVQPNASELHARLLVSAQNFVTLMGFHSNQSNEVDQFRVWVPRSIL PQELHTTVEGSQSVTRMKINPSRRRRPSSSSSTMEEQNNSIRHFEVIDLTDETEVSNI QSTSNVIDLTQD JR316_0010489 MNQIGVQESHTQTDQQRLSKECQKAAWPTHKIACRINVENSKQH PDAQDVMKKLSAFCARHRPSLLKYGIQALDLANDPDRRLRNILAIDVIPVVDEEGNSK SSRLFLAFEARVESVDGFPPEQRHELKLQMKLFDEDSRRSGNMGGFFVIVIDRPSGAG NVCPMGFPKEIVNWERAQPWKEPLLNSLNAPLKSLEGKRGVGVGWEVVPMPD JR316_0010490 MMGSLSSNAEAVKHRITVKECQKAAWPRHKPTCQGIRGLKSVAT SSINDRHNMLRAYCMKQRPLMMCYGILGLDLHRNIERWENEVFHILVRPSPGASLLRP EKAFLAIDAKPRRMDELGGAHELGFRLELEKLKAEVKRDKQLCGMVVITTDVESMISI TWPIAFTHALPSTPPGLDMDDWREPLITKLNYGMVFNG JR316_0010491 MGLCEDHGPLDFSSQCVRLSWSAFLPAVFVFALCLSTIPLPQPA RRILRFLGAPFKTYLTLHEAEAIDITGEKGFDGDDTEIVLEVSHIAPLWRTVVFVFVG IVQCFCWVAHGSFLIYNDTTDIWAGVASFLVAFAWMYTVVRPIVIPPATGPADMFTLY LILLFTSILQFGGALFDNRVLGAPPPSNLALLGMLTNLLSLILLLSVVVTIPLALPSN RVDPKEIGHSVSPEDYTNLWGWITFKWVYPLVKRGTNTTLEEKDVWDMSPTMQSRPIF VKFSSIKRSTLLRRLWAANSLDLILDFSLTFVSVLFNYAGPFFLKRILDLIDLEEPTP ESRTRAYIYAFLAFTCSILKAQADVQHLWFGRRAATRIRSELMAAIYDKALKRKDFSG VVNKDKKEEEVKESPSNGVETKESKRKSKAKKKEEKEKAAKADDPKAGADVGKIVNLM AGDANRISMTISALYFIYGAPFEIIIAGVFLYQLLGLSAFAGFVVLLVGWPLNSFIAR RSIRIQKGVLAARDKRMGVLNELIGAVKFIKFFAWEERWIGKALDAREFEMKWMVKAR INSVLFGLLWTTAPILVSIISFMAYVLQGNELTISTAFTAIALFNMVRAPLNVIPTWI VQILQTGVALNRISVYLDEEEVTDQVSSLKKDYSEPLLPGADDEGLGLENASFKWNEV TDAVDKDKGKSDGKTTLPTTTADLTADDASTTVDDNASERSVTGPQDRVFELRDISVV FPQGELTVVTGPTASGKTALLLAVLGELTLTKGRIIMSKEPSRVDENGLMHCISYAAQ SPWLRHQSIKDNILFGYPYDEARYNMVIDSCALRPDLKMLEDGDATEIGARGVSLSGG QKARVALARAVYARTKYVLLDDPLSAVDSHTSRFLYEKLLRGPLLANRTVVLVTHHVE LVLPGAHYLIRMLDGRIDTQGTVKELREQGVLEEIKLDASVDAHKEEAIEADAAAIEE TLEDPSKTADATKKPRKLVKDEHRETGGVKWSIYKSYLKASSYWTWAFLALIIVLIQF LNISEKLWIKTWGEAYKIGNETSPAFYEFRTFATAEHEASMDGLSMNHQHYHTYQSLA PPKGIFGIQWPNASEHPLFYIGIYAGIGMATALASITSVMIQYTGALRASRILFKQLL VTVVRATFRFHDTTPQGRMLNRFGKDVETIDSSLAGSLQAVNSSLMGFFAAIITVAVV FPYFIIPAIFIGFAYRSLAIGYLNTGRDLRRMESNSRSPIFSDFGELLEGIVTVRAFS AERRFLDNLHKKIDVTTKMWYTFWMTNRWLLLNFDALGALSVLVTTLFSIATLANGAG LAGLCITSAMAFTSSVYWACRFWTGLELDLNSVERVVEYLDLPQEPPAIIESNRVPAY WPSSSNNDSLVVVEDLEIKYAPDLPAVLHGVSFSLKAGERVGLLGRTGSGKSTLAMSI LRFVDPTNGRIMIDGIDISTIGIHDLRSRLTFIPQDATLFSGTLRDNLDPFGDHTDAE CLDVLRRVHMITDSPHLSLESSRDQSASSSRNPTRPSTPTGHDRESTIDTMSAASTNV DSKASVSLDTKVSAGGTNFSQGQRQLIAMARALLRRSSIIVLDEATSSIDFATDAKIQ TTIREEFTNSLLLTVAHRLRTVIDYDRLIVLDKGQIVEFDTPWNLINKEDGIFRNMCM KSGSFTELESAAKAKASSNA JR316_0010492 MSTDSTDPAIAFLDSAKFFDTVALARSTEKALEIIMPWCEMKEP SQLGIVKDVQNQAIIYSKAIRSSVDAAHVGFTICDDALALIKFIPHSTPEDRIAFLKG VKDLTQQGYDKAVEAKLGFIHLLNKIKAEKDSTRDCGITEMQTLLSKGVQDLEQFSII LTKFAAWWNEMAMETSSQLRREKMLMQAFTNLQLTSLEQKWKEHRSRYAAYVNEISIV QDRYPGLFDESKPATFGGPQYAPPPGPPPGYAVQQQDKATETTETHSSDHWRFLPGLH RPKPIRSPTVIISEELSSTSYLSASLSTVQVLEPHRCLVILQFQCHPSPSRRFNALRI KWKLKSVRGVHPSKMIDVAPKYAIGAKNEESHHRKYSFSIPAQFSVGGFNTGPEVNAE WQVRKQLAHAMTITGSIRGHSQDSAEWSVEENASAMTGIPPHFCIAAVVQYEGIVMME LDVLAKQRGTGSWWSSRNQAKEDFVVDFEKARREFPEYMPSHNWTSWFPLITGEVAGG AVVHPQEAVTRN JR316_0010493 MATLNVRQGPPIGTALVVVNKDPPPIVRLQGLERPVSSLAENVQ KVMGAFYDVHKALIARKGLHKGTIERFKEAKHLFRTECESLRQVLQSGYRHAVDFTSS CQRAPNDRHISAKRCQLSGKSVLRDLQEVNRVYDRDLQSFRTQEKDLKDQLTEKNKKS GQSRSSTLDSQQQQTPKDAHPELTVTTLFSALEDVRSSLWDILAFWENHAAFLTLIVN RQSNFPSPGDETKATVEIWIKYQAALLQASSSIAQSADAMTIDPAIVQTNGKTRRRNT YPQARADGGKLPLNDRRSYPAGADKANRSDEGLYSWWKKICRFFSHSK JR316_0010494 MSICNNRSVFEFNDPCIRASWSALLPFGLVGLLCLSKLPKPRPV QRLCRFLQSPLHNFLTLREAEALDNKSAIGRAFENDNIEELPKSHVRRHHVAFAFIGA AEGLCWIVSGSFQLYDSHNNVDGILCYALAFSWIYTAIRPLVPSTSKSAPYDVVVIYL LFFVGAIVDIGGIIYDYAVLLSPLPSQLSLLLRSVNLLAIVSSLIIIFNIPSELPSAK VDPQEIANEFISSHMGREFAGYHTQSDLQHLWFARRASTRIRSELMTSIYAKALKRKD FSAIVDQSKVTDSMSQAPPTSGRKGKAGSKGKSDTSDDPKPGASTGKIVNLMSSDSNR ISRMVTIIFNLYGAPFEIIIACTFLYQLLGISAFAGFLVLVVGWPLNNFLARRNVRIN KGIMSAQDKRMGVLNELIRAIKFIKLFAWEERWIARAMEARELELKWIRKARINQILF HLLTNSAPILVSIISFFAYVMSGNELTISTAFTAIALFSMVRAPLKVLPTYLVQILQA QVALERISVYLDEEEVTEQVSTLKRNSQPSNAIVDEGLGLSNATLRWNEVTVVEKKDK ARTSSISHPPATTSVPEIAVVANEGSSSDSTTLESNEVHKFELSDISVKFPEGKLTVV TGPTASGKTALLASMAILGEMTLVSGRILLSKDPNRVDENGLMYCISYAAQLPWLLHR SIKENIIFGYPFDEERYNAVVECCALLPDLQMLEDGDATEIGARGVNLSGGQKARVAL ARAVYARTKFVLLDDPLSAVDSHTARFLFDRLLCGPLLANRTVVLVTHHVNLVLPAAH YLVRMLDGRIDTQGGVHELREQGVLSDIVVESSIRVHEEVKVEVEQPEAKAALEDQLE PKIEVKKPRKLVSDEFRETGGVKWSIYNTYLKASSYRIWFILAVIVFVNQFLGIAEKL WIRTWGEAYRNETSSTPYGVQYPTILTINLNAANDQTNFGIQQFGQAVGLDSRTPGPF GIVWPSAMEHPLLYIGIYAAIGMITTLVSVLSVTAQYTGALRASRILFKRLLVAIVHA TFRFHDITPQGRILNRFGKDMDTIDSSLAGTLQAVNSALAGFLVAILTVAYVHN JR316_0010495 MAFTLSALELDLNSVERLIEYLDVPQEPPAIIETKRAPAYWPSS SSNTDLLVVENLEVKYAPELPSVINDVSFSLKAGERIGLLGRTGSGKSTLATSLMRFV EPTNGRITIDGIDISTIGVYDLRSKITFIPQDATLFSGTLRENLDPFGDHSDGECTEA LRRVRMFADDTPPTQSIRPSRTPSRASSMHSEHFSTATTNIDSKPSISLDAQVSAGGT NFSQGQRQLIAMARALIRRSPIIIFDEATSSIDFETDSIIQATIREEFSGSLLLTDYD RLIILDKGKVEKHIVEFDTPWNLIQKEDGVFRSMCMKTGTFSELEDAAKAASLTQSLQ T JR316_0010496 MTDTLRNSIVGLFINTISDGRFLPFPEQRLGWQLPANLQLARAA SSPPDSKVHETSESVSFQPTLARNDLEETISNRTSANAFHSSINSYRKSLSSIEKAQV DLNMKPVHETVSETIVNWYDEHDQENPQNWSLGKRIFVLGLVSLLTFGVYIGSAIYPL SEIPSIGRTPVYMATLLIFVLLQLPIIYAPNIQTLLAMRFFTGFFGSPALATGGASIQ DMFPIIKLPYALIVWSVTASCGPILGPIIGGFAAQNRNWTWPLWELFYIGVFAFIVLL FWLPETNAETILLKRAQRLRKLTGNPNLFSKSEIKQSKLKPSEVLFESLLRPFQLMIE PAVLYANVYLGLTYAIFYLWFEAFPLVYGDIYHFNLGLSGLPFTGLLVTCILASSVYV CWNYYHVEPIFRKTGYIVPESRLAVALVASGFVPASLLIFGKLILSCPTQIPDLPFSN RMVFPAGCALDCPNYRRSFVYPRVGLFAN JR316_0010497 MIDTFRDSTVGLFINAASGGRFLPFPEQRPGWQLPANLQLSKAI SSSSDTIVSEKRDSIASPSKDHLNDVTDSTRTSTNAFQSSESEQKSLSFVEKGQVDVR VDMLREDTIQEPVSGTIVDWYDEHDQENPQNWSLRKRIFVLGLVSLLTFSVYIGSAIY TPSIPGVIEKFNVSKTTATLGLSLYVIGYGVGPLIFSPLSEVPSIGRTPVYMATLLIF VVLQLPTIYAPNIQTLLAMRFFAGFFGSPALATGGASIQDMFPIVKLPYALIAWSVGA LCGPVLGPTIGGFAAQNRNWTWPLWELFYIGVFAFIVLMLWLPETNAETILLKRARRL RKLTGNPNLFSESEIKQSQMKPSDVLFEALLRPFQLMIEPAVLYANVYLGLAYAIFYL WFEAFPLVYNDIYHFNLGLSGLPFMGLLVTCFFTSFAYVCWNYYRVEPEFKRTGYIVP ESRLAVALVASGFIPASLLIFGWSSRADVHWIVPTIGAALYLPGLFLLFQSILVYLPS SYPKYAASILAGNDLFRSTVAGCFPLFGTPLYHRLTIGGGCSLLAGLSIALIPGLFIL YKYGGKLRARSKYTSDL JR316_0010498 MQFKVLATLALGATLAAATGSPSNQCDTGSLQCCNSTGTASDGA ISKLLGLLGIVVQDVTALVGVNCTPITVIGAGGDSCTAQPVCCTNNSFNGVVALGCTP INLNL JR316_0010499 MPNPGIFKGACKEFLLAQKGIYADAVKNGHVAETLMAIQRRYFK RFPVEMPLNQDPTPEALAAVNDNDPDEDVAEPDRDLLSEDEYNMQMAAFKARQTVLIV RKGQLRRWFAYQYARENGPKMNSNVTLLAGLLQRIYNPTKESQRPRLKAPVNVWRKSQ REAIDRAYENEIARAKEQGETRAKANKAADRDRIARTMFQALSIQEQAQWKKAAQEEH DAAMEKFKAESSGAPPSTDPRDCQRSIQSLPQILQPILDAICAATGWKATLIAGGPEP ARGGHLSVISLHSGLTSGDVKMNFGQAERVGYKKHIIPIFGSFLHKCYSKEECQSRSL PEEEGLLPMADLQFDEANATVHALADGNQNSEASGTGAVVAEELVSAPSQSVAVDCAN GNPFPVDLVSDTDEGDIRDNEPYSRGPSPALSLPVSRPPSPSPQQPPSAAQVPSEQPS SALNAPVDSPSSLTAAASSAKGVTKAKKGKKKAKPTVSKVDRPKRKAPAATADSASAG VAPPPKKKTKHSWHYEDEFGRLVDKEGRRIDAKGNIIPPERLDAFGNEIVTGVEGSAP V JR316_0010500 MDLPPPSLPSKSPEAMGASGDQPQPASGSTSLQPSSQLPVSSTL PGPSSSSQPISSSPPSNDSGTASNTESTPTTSENVSGTQTPAPATMVAPPVLPFRGVN FSSVRVPRPLELVSPAMILLVITVGHIVGIFDREDQIDMGILFLVPGYAIRQFFSWAE AIAYYTERFNAGNVRIVQPVRSNSDHTALSLAPTPTVSSSAPIPPGHNPRTASTSSSS PPTLPTAPTSRCHPTSSNSAGSAASASSRSTASQKIQDSNARFGTEPNPIPIGFGDAL TARAIRLSRQYRSPTRGSSTSRIRAPGTLGSPIRPSKRLRIIKSRKNTAATKTPSWDD SDEDAQVVKSRTNKGKAPVRNANNDVFSSSSAAGTGPPPPYSTTIAASSSSNPPALDS SSSATASVTPSLAAPPSPNWGQGPSRPATPYPPYTSDDFYAGRWSFTREDRALFERLG EVIRDELATAATPSDWPTLSDEAHERRRDLLRVIGQHLVGLYPRSPQPTSSSSNAPNV LINGAGSSQSQAGSSQSQAGSSQSQAGDSLPADVMDTSSDGNTLDDGLERPDEP JR316_0010501 MARRAASKAFKHINRPLYLRRRQAYYEAFRDVEKRKSAERSRRR KSLPEDELAAARQKHREAQARYREKNRTILNAKAKIYYRRRKMKAEKAQRGEESEEEI DEEAEWIRLSAMAEEISEEEWLRKHGRPV JR316_0010502 MVLQALFDLPTRLLNAFILQEATQDDNLDYEDVDSTPAMLRESP ATPEEIDHHDGSKDIADHCKVKRNLAAKNRRKRKRAEAKRAYHNPREHPRHKLDSRAQ YVDFDANDIPVVQTGYIALDRGLGSRRVYRLAELVGESSKFKFTLINIKYRASIPILD SQNHIIGVIANHPKDDSWTELQKQAADALESKRSSCHVPAKERTHRRGKFTTLRAGVS HGGGQRAPGNLKNHKVNAKILEELNSLEPFKRFAGFASSVMYTWFNDLYHYYASTLDK LHLAYPRLHRIFPQSIFSAVSYNLGPRTVCFPHKDFANLAFGMCAITALGDYDYTKGG HLILWDLKLVIEFPPGCTILIPSALLTHSNVPVSKNERRYSFAQYTAGGIFRWVENGC QSREQYLSTLSQTQIEEDAERNANRWKFGMSLLSDTLKYQCVDE JR316_0010503 MSARSSQNKRHRVGSYYHDVIPSVDGFDLIQASEVAIRNVGGAE RATRRVDVSPVRAARSWDQITDWSPPDDDEYALNPSGEHHDAEVEADIVTEDPLPKKK RSVVSKRPHKVWKELHRQCYLEEIIRWAGRGDFWSATECPDCKIRSIQPPRPAEYRCQ ECFTPDLQHPFHRIEYCNCTRAIPQHLQLLRRGLYPASQITIKTCATFELLSLLHKLA LTTKASTYDFYRCLEKMTSNTGMNTPPSRYRALFRMVLQWRHLQMLKWAGRGHDPSGA AGTADGELAIKCPSCPHPEINLPDNWESAPDDRKFLYMLIVCMDANFRLKNQLVSNYS QDPGLGIGMAYMLPRHRYEQYVASRATDKDISTCVGFQALAKANTKFSVGLRYTGLGM TVCGRSEMIMTVGNMHKGERYANMDYIFAWFLRLVAVRLVLVSYDIACQWFINLLRRM QSDWPQHIQPPANITLLPAIPKLHEPMHNQSNHQMYSLNFIPGVGQTDGECPERVWAP HNSLANATKTQGPGSRQDTLDDHFGFWNWQKYTSMGTTLLRRYRDAIAQRNIQTEGHK GFSESIKAVNSELLVRWEKMCVDWETEGFPKTKKNPYEMKDTSITEAQVKKMLADSEA AFLASGGSMPHKTTPSAFISMGLDLEETQRRLRRIAKNTGADPTVRQAGSLTEQRNVL ITRICAWEQLLPIYLPGIIQYQTDHPVHAASTHAEDTHLWLPSSIPEPHRTNICAPGV ANIERKLREAQLTDSLNAIRQILKVKARMIAFKNKNIRGQRGGTRSTSVIDRVHERAR FAAAKYRAARVAHFDLVGPGEWEKNFRILEDKDIRSYQDVDQLRPCTGRRGVLEDHQV AAGEENPPEQGDMFLFNEDRTIRQGSGQTRRTLSWIWTVVTPVSLGADPASIITPGSE LWNSLNEDRDDILRVEWARSRARMQRASEEVLLLKEEMRRVLVYLRWRANWWRQRENA ISTTKRDMLEGISAYAHSQATLQDSLADHFASLWMSALNTATTLPSTIPIPGSTTTSS TSTSAPLNNPGSMVNDDDDDDDGDDDDDTFIDDETVVAEEDVAVLSEMKGDKEIIYVE EAEMDEIQELD JR316_0010504 MSKPSNIGKSSFGRTISFITDIKLAELEKQRLAYQAHIKVIDDS KALAEKGDILGQVKVLAKAVESWTGSGAVSRLDSIGGKLQLSDLDFWIHQAERDPSFN LDVARGWARTLEEHMHHNTLRFNAAKLFGNLFNEWISSGDSAALTYQSGVEEETFDSD AMSFVDVGRTDLRAEKEKLESVIFEDYPINTDKLHDYLEGLFDSAEGAAALERLRNNF ANFGYALQRKPITTADVGYAIQGLLSSGLMDEENRDVLKAFKGNGTVMKELASVLNMR LSNLGSWCWPKEPMVVEFRRHLHGKYRGFTDPEIIDALFLQHIAVAWQVEMKIRLFDV FDSKAWARPGQPTPANSKRWSMQLQDGDGSFSINSARDDNRRQYFFLNRLQDEVSIPS SYDDLVDAPEGDEEGENMASPATVKRKLLHVMTTDCYLNKTLHGSHCMVRSDLEWFGP SLPHDTIFTVLEFLGFPTPWLNFFRAFLATPLRFPEDTQSRIRKRGTPIAYAMSVVFG EAVLFVMDLAVTQRAGGLHLYRMHDDLWIWDSDAKKVADGWAEMNTYADLAGLKFNKK KTGSTFVGTLTDDHVRLPEGDVRWGFLKFDPNAGRFVIDQEDVNRHIAETKRQLAGSK SVFGWINAYNKYVASFLRNFGGIPANCFTDAHINDLIETMGRIQTELFPKYEGTDGGA VGYLKKTIEDRFDIKDMPDGYFYFPIARGGLGLRNVALDVLGLEKFGRPLASNGKTNT LPEDLFKKQAEGDRSEYEASKERRDSRVGPTKVAFMSFDEYTSLRESWLSRWGETYRE MLCSPNPRRITLMPKIREAMRDNSRDSEWDSIDWYEKWILSVYGGAIIDSFGGLEVVD HDLIPIGMVELFRTSRMSLEQ JR316_0010505 MPSQTQPLYAFNLPFEVWQHISSFLSVDEVWVLQGLNRMLRGIA LDTRFRCIKVNLSPQHPASYARRFRFLGEDHIAKRVKEIHFLPHVPQDPFDVKGPRHK SEMRTSRSQFKGIFEDVVSPESNFIVTTIARFKKASLLRIEASYDTRLRPPVLQLIHG SLRILANTIRNLTLMVSFDMMSTIILPDLVLTRLEALEIVPLILERPNNQSEILTLYS NTLKATLLPFVNRHRLTLKSFNFVPQKTQMLWISSKSKCMAHFNMSLLFEGINHIPHL VYLSVSVPATTSTNDATQRFFALHSQSLRHLQISMAHMSVPNYLTFLERFEVPLPQLE VLTLDCGFRSRSLIADPALPKFLGQYASNLISLKLLKKQLGKCEIFSLFEIPHGSPVV YNKLRRLEVCLYCLTPEIIDMLACSLPALEELDIAVRIFKGETASNNGRNQVLFAWKC VLVITDVTAALRLVTQFLESLL JR316_0010506 MATSSSTSISKDTPLRKRMRVLERAQSLTSLNKLDGKPLDINLK HNDTAEDVPHISYTDYETISSSSDDEGGAENREEASNTSRTEHAKPQRPTLDTKGAYH YSRNRSERSESSPMSPKSRAWYEFDLAVVVALVSPIGNWLTGGDHVKNLLLIVLLIFY LHQVIEVPWRLYHKCRPHRRAEHIPPSGALADSTSKEAQYAQLAASELQKFEFLFLFL TFLSPFLGAALLRYATDAILGPDAVSWFSTGLFVLATGMRPWIHLVERLNERTDELHD FVHYPSPSHSSSEERQKLLEDRVAQLEKSLLKMKTKVNHATEDVYDYVDDAVDAVEHA IRKQDRRWDKFEGKIKDVERVVVQLSSKASAQPNLLSKTISIDLCNMKAYTRYLLAKL VPDWIIHPQKMIYSSYPEDPTANIMLTKKVKKRSISGSSSPSPCVTPLETIVEESAEY VEDPVARSSLLARPSYITSALIYRTGYIMTAPLRAVLRMILRKY JR316_0010507 MSTSVNQDKQNPNDLPVTAIPAPDVRVSGTPVPPPPKKTNAFGY PIPAPQIFHPAAATATHFFISDKQWDEILKHGEFDDIIVGSGFCALAYVTEALERDPY RKILILERGGFWLADHYQNLPLPYRVILGESTVSFPWQLSSKTYQSKLKLVAGFTPFF GGRSPFWSGWCPEPTRNYMRGWPESMLKTTEEPIFWEKARRLLHVTRADKIQDGVFST LQTKIDHILQKNISQILSAQSAEPAPLAVGRRTPTSTLLFNKFAVQGPLLTLHNKQNQ LANTGKGSPLLIATNVTVERFFADEENDNAVKVLKTSRGNLCFPEGKTNIILANSAIP AATVLLNSLEPMKARAGHRLSGQILGQTIARFPIDQERFPDLGRCTKGDACDGDCGYN LQMTANYIAGMDDATQLQYHIQVSAIYSPHPKWDIVDAARESTDYAASVTPEQLEGSE DHLILVCTSLGEYNEQNPEAWVKYNPQDRNPITNVKVQATMDPKTKSLQKVMDQATFD AIAVMAGPQSRELEYWHHEGGWSNVKPTPEEVCMPGLIHDASTLYMGPESDPHAAIDQ NYAVYGCKNVYVTGAAIFPSAGMLHGSMVKGETDATLRIVESNLYHGCICPRSRKKNC PSFNEVAAVTW JR316_0010508 MSTPSIPTAGASVVMESIYPAASGLVLSGLKPSSPPTSIDAENV WKSMVSTQSTFGFVLQSFLGVSDILFDIFVTFYLLTTVTALVGGP JR316_0010509 MAVALVTLSFICAGLLAVFVPVKRVRTSIPHLAIIVWLLGHNLI HAINAAVWAGNVDIHVPIWCDIVTKVLLGIKVALPGALVCIACQLELSSSQRTILKDR RVLRNRMIFELFLCYVLPTLYMLLHLVIQDRRFDLVRDYGCSASSHPSTFAFLITWLP PLVICSIALIISGVSIHNSGRVVGMSLSKHLETRSTMTASQFNRQLIICMTMAGSLVL LNLSTLFSIHSFEPWTSWSSVHAFMTKIEIIKNSSDVKTVQFLWWSMFAISVLYIILL FAIAEDGRDLYRWIREQATRKRKFPRRLELPLYLKRKPSLPAPEMISRSPSLTKSHMR PLTIELKSGWEDDLLDDKKSKRRGPREDVKPPSFSSRPSEGVFHDVEAQSLSEDKPRP DSPLICASPTMSCRSISEDDQMFMESTISYLSSPTAKTLGILPPLQIPPPAKAPPPPL AIEIPQRAVTPPPALKPKSILKASRCPPSKPVPTDIDEPINSVFDAAWPVPPLSPVPT MAFSTKRAPRPRSRSHSPASAAQEVGYPLCQTPTPPHRRARPFEGSSISSVDSEIIPS PLSPTSRKAAHRQTGVYGLRTVWSKERLGQGSPASQAIHMTVVKEIA JR316_0010510 MKDVDVKKYRHQFLRLSEDGIAPHVRYLSFDPYVRSYFPPAIQT SRRTVLIKKLFSNLLNRDDVDIIHAIDRFINLRHIEIQYQSVRPIPLTKCGPLIRASL LSHSTRLQTLKFSFPFESLMTFIPSDLYLPLLTSFSVTLFTLTGNLSDVNEHFAYTAT KVLVPFINRHRLSLSILELTLAPLPPTWDMYSLSLDTTFNLFPLLISLDHIPNLRYLT IALSSFTSIDSTYHFLEMHSSTLRRLKIDMTHMELTTTHGVLDQFKVPLPLLEEIVVQ YYYDTKSLTTSPYQEPTLGFIRQHSSTLTSLKLLNSFHTEAHFHAVVDPKVSYHSLRL LKMTLDVLTPGMLSILSSRLENLYELDLLVRRLKRRHTSPEEINEEYEFCSDIRHHIY QHWKLRHLKLEVKSGGRRTRTRYGNGIVAVAARMPFLETFNDMPLAEYMRGARQAVDL ILDMAVDCQPA JR316_0010511 MECIIRFFKMHFTTLLNLTLFMGLINVKSREGLFQVESFPKLEA YQRSTPECEHQKVSSLVSIRLDNSVHTEEEFLTIFNPAASYHKLKDLRMSLEILTPDI LDIFSLHLPNLYSLKLEVEFLRSRFKRYVKIDEQEENEND JR316_0010512 MTTQSIGLQLASRFIVGSAVAIPAASLCINRRLYHISSVRSVTI SKAEKRRDVMVDLSIGLGIPLVEMILQYIVQGHRFNIYENVGCYPFTYNTWPAYVLVY CPPIVIGIISGIYAILSIIQFNKSRSQFNDLLSGHSNLTSSRYVRLMCLAGVEVLCTV PIGVYALSLNIRTGIQPWISWADTHYAFSRVDQFPAVLWSSTSVGESSLELSRWLVVV CAIVFFAFFGFADEAKKNYRSYMQSVAKRVGISTGSFGSSSGAFSSTGSKSKVMGSNG KVRPVLPTFVHQDFLRRHDSIDSFTDVSSASLRDVSGHLNEKGDPEKQEEFNPTLSYG GLTLSDVGGTLNDCSAPPYSPASSSASSLTVPEPARTRSNSNVSTPSSQVPILSDSKS KSNTPDIV JR316_0010513 MHHPELPVFAFITAFLVLTPLIWHWRSGNVAVIAISLWLFVVDI IYGVNTIVWAGNVNDPMPVWCDITSKIVVGASFALPLATVCICKHLEMVSSSRKVSYD IADRKRRMIFEGIMCFGIPLLFMALHYIVQGHRYDVFEDFGCQATVYISIPAIFIIWF PPLLFSVITLIYAALALNNFIRRRLTFAAHLQNSNSALTTNRYLRLIAMSLTEMAWGT SLTAYNLWNNVSPGLRPWTTWADVHSNFSRVDPFPLSEVPPWFTKTMMLFWWTMPASS IIFFVFFGFGEEALKEYRRIWGWIRRNVFRRPEVEKTKKPFGILSPNSSHPPHSAFSH LSQSTITLAPSPGPTSFTHKSKFEEATKDTEYTPDAAPPYYASYPPTRTHIAVPIDPL RDTRSIMEPRASLVSSAPDETDTFTISTFSYYGAQPASPSSPTSTIMVPSSPAVVPHS LPPPPRRFPRQAPSSSSVRTAVSNAAPREEADEAQYDASPRPSSLVLCPPSPDGLDER QEIGTLGVPGGSILVTVHRQASLDRGA JR316_0010514 MSEPTDAPTGPSSRGRGRGRGKSRGGLGKYLRARGRGRGGGRPA EFGTRLLLEGEGGGGADLTEEEAAELARETAAKFSRRQLGSNADRYKEEEVELDSDGE PILEPEVDLSSFLERQRISEEPSVILKVAEKKDYDEDDVDTSLAHISSHPARRAVAAP SKKGKVEQIVWDDELDEMTREKNAAEANWELKNRFRAKSEKLRSKPIAPAATSARTRK QELQEAPALPLPEGAKPKTQLEEMEDFLDDLLT JR316_0010515 MTTNPNTEPKPSSPASSSVHKSDLEKDSFNEVDHAKIRSAVRKL DWTILPMMTMFYLLSFLDRANIGNARVAGLQKGLKMTDRQYQICVTVTYVPYIMAEVP ANLLLRKIGPNIAMPVILTLWGIIVIFQGFVSSFHDLIVARFFLGLVEGPMFPGIVLY LSGFYTRAELSYRAFSGLLAAAIDNMQGLGGKPAWAWIFILEGIFSVLMGVLGFFMVP ATPRESRFLSDNQKDLVMRRLEKDRPFVNPLDEFRIRHVLSSLKSPHVILMFITFFMG GTNLFGLALFAPSIINQLGFSPNHSQLLSVGPFACAFVVTLVVAYTSDRLKSRAIPLC SVCLIGIAGYAMYLGTTNKHAAYGAIYLMASGIYGTVPVIAAWISNNSEPYYTRATSI AVGFMATSMGGILSTWRYPTSEGPRFHKTTVMNLIFMIMTIVLAIANASILAYKNKNK ERTRKEVLAPYATESEPDGGVRAWVDLGDRHPDFRYTL JR316_0010516 MGSLMSTLADSDEDGQSAQGHHYTPTPDDVDVVRGYLTLWVPVE LANVIIDEAQYWPKVHFGVRPPDDVPTCVFETGGPECSLVTPKLSELIGTTKFVRIKK VVFRTISHDQGFCSENNFPSKYLGSWTWFEAGIIREVEKTSVGEQPGTEEGLILTRVR DFMDAMDDTVGDGMKIALVANPSQTDSSTWHLQRNIRASREDAIHQIVWSDEVPDYAE EDEMKFIDSTGAGRGMGYVRCLQPSDRIVVIAKAKFAAWANYVRQVEVEVYYSD JR316_0010518 MVSSFISATGVWLLPLIVYLGKVIAAPPDNVSTPIVKLDYGSFQ GRVTGKLEMFLGMPFAAPPIGNLRFAPPEPPIPFQGVRQATNFGSACFQQMQPPNNHS ASSSSLSVSEDCLFINVVKPAQIPPNKALPVLFWIYGGGFQVGDTSLRPGDTVVSRSI ALGEPVIYVSANYRLSALGWLAGREVKEAGIGNAGLRDQRFAMQWVQDHIKDFGVDNL DIVEFYSWGESAGAISVGAHLVVNDGNPAGLFHGAVMQSGSPLPTVDMTTKQPVFEQL LANTRCTGSANPIACLRAVPFDMLGEAMNLSDSLSSFSSLIIPWQPTVDGDFIKRDPQ VSIQKGLYAKVPFITGDCDDEGTLFSQSNTNITTNEEFLSYMKNIYFRGLVSEEQLAE VAAAYPEDITLGSPFDTGTANAITPEYKRLASVSGDLFFQAPRRFFLQAASKTQPTFA FLFKRGKSTPDIGAAHASDLPEFYGTGTDPDFIGTDGLVNFANTGNPSLPKNPISLLS AVDWKPWSSSPTNPPILTFLDPAPAISITSDTFREKAMNLLSQISLELYSSAGQ JR316_0010519 MVNPAVNDSQTESSDRRRKTVTFAEYLASIRDESTQSITTPTSS NRALLLQTTLPFSQQTRPQSSQAPHNAPTKRKATSPGDDPKQRKLSEWAFCQPKKTHS IAKDLTAPSSSITLSKSAKTKKDRTESYIIDPDQMINKTNLTRCYRLKGQYLKDVSLV RVKYEDRKLSKDTVIQGAKTNLYRERDAEFQAWKMHGGRKQFVQYLLDAYLKWETRNS IRKTTFLIPESYSSAFLRLWKRQKPCPKQDPYISFVKAHVESTSDANSQSSTSSINNP EPSKPPKPRKTPWPTVTKSLASENAT JR316_0010520 MHLTFSEPTQEWYNRTRFQLSMFSMDFAIWKALVGFWLRTLPPQ MHARMCRAALPQDDKKKKMIKEEMEALREFIEDAMGIDLPDALPDLEM JR316_0010521 MTNENKTKFPDTPRSQKSFGQDIGIVQLRNQIAREMDGEFITCP VESFMETYLPFVPTEKETQYFVKKLLEDIPTGRGAGPYALRSDTDPIPSVLTGDETNF AFRAYPPKKKMAVLTDNEIAVFKGWEKIAETIGNIDYPGQRNRNKFSYVNVPYKPIDS PNRGSNNKIDAAFVAAFEKNKPLLTTDIAVVMEHKLSESQKRQNALQAVSANVQIMND DVRRMFTFGITTEQRNVTLWYHGRSHSAASHTFDFTENPKLFIQVLIAFTFATEEELG YDPTVTLEADGNYTFKLPESPGSKEFRLFRTLEPLSLYRSNNITGRMARVYKVVEVNE SGEAFGDPLVLKDVWLDSTAETEKKIQDTIFGDIEQFWNSTDEPTELKEIKKAHQQIV LDGSYKKYFLEIVLDHSGQLTKLRAKDGIQTTDLLAEPDPAPHLPRTGAKSQKSQLQN DQASVNTHPIASKITKRPVVPREYSPKRQYRVLFKELCKTVGRLETIGDVVTVLFQTL TPLQLLFCAGWVHRDISSGNILAFKKTLESKGPWLAKISDLEYAKKFPMPKGSAPAAD PKTGTPYFMPLEVMMSSYLFSAEFNNAIIEAPLDPAKNTVKTEHKRINVERLAQFKQK LQMSTTAKKATGVLHNFQHDLESIWWILLWNICCRLHPEHSTQSLQDFGKAIFVNEMK PTDSRMRCITEPSIGESIVAEAPESLFPLVSALFLLRHDLYQHYVDRGKWRTYDDIGS YSKIHNIFFDIFELLNIEVTKASEAQDPDDDDGDSHWSKISLLVKEIRNSVAEGSAVG EPERKRPRSNEDHVDAREVESEGRTKAKQPRKK JR316_0010522 MDSEFKFIRCSVESFMNIYLPFVPNDNETQYFVQQLLQDTSTGS RAGNASHSSDVATRPVLSVLTGNETTFAFRDYPLQSKRGRQSNSKITVFRGWEKIAQT IGNIEYPGERKRNKFSYVNVPNKRIASSNRGYNNKIDAAFVAACDTHKPLQTTDIAVV VGHKVAASHNRQNALHAVSANVQIMNEDVRRMFIFGITAERHNVTLWYHDRSHSAASY PFNLSTNPKLFIQVLIAFLFATEEELGYDSMVKLKPDRNYTFKIPSLPGSDKFRFFRT VEGLSLYHSNGITGRMTRVYKVVEVRESGEAFGDPLVLKDVWLDSSAKTEKEIQNAIF TDIEQFWDSPDEPLELKSFKEAHKKLVRDGSYKQYFLQIVLDYSGQKTKVRVEIGTEV RGFFDAEQDLALDAPVTGTKSQESQSLNDQTFVNTHRIVSQPPALPAIPSEQKRQYRI LFKEICRPSGRLETLGEVVNVLSQTLIPLQLLFCAGWVHRDISSGNILAFKKDFESQG PWLAKLSDLEYATKFPVPQGSACAANTKTGTPYFMPLEVMLSTYLFSSDYDDTITAKE HRPPSPVFEENTLEIHEFQLLAESIANLERKAFETATAEPPTGVAHNLQHDLESLWWI LLWNVCCRLDPEQSTPSLQNFGKAIFLNEIRPTDARIHCITKATFGESMTVSAPKSIY SFVASLFFLRKNLYSEYVDRGREGAYNDVGSYAKIHNTFFYVFKLLNIQATEALDSGA GDGRDLHWSELRLLVKNHSNKATDGSIVGEPERKRPRSNEDYVDGRAVESAGRPKPKQ PRKK JR316_0010523 MLARPFVLCLVSSAITCVIAAPTTPTDADPSSSTDSEFPEVIPG PGMPSLESLGLTTADLYRNSTLKALSANHVELLITCEKGGLTVSQSSAQACVNYLTSL GTTDCMVPANGLSRFCSSGNANIWGTNVWGNGQDVHSWCSDVGITAQDIVSGCVITSG QVEGYGPAAQNSNLQVSVEAYDTVC JR316_0010524 MAPSYYESLSTEEDSEEKLLANTEDQRSATHTGITRESSNKFNY LSYILIFLSIVASGISLKTTLTAVRASRAYFAPTDTLPRPNVFVGLPKYPQVSKHSEV GSHMDHSHSRN JR316_0010525 MTSHAMKHTVKVPLLLVISASVALIISLSVHLLSISLNVGAIFK PVSKTEAVLPIQIQPATLTISKAERFGLYSNESEWESILPMGDGFIYHPDDQKHYLVS HFHSLHCLRSFHRYLRMAMVSNPQNDGYTMLDIGHVHHCLIYLRQILLCNADLTLEPA SHKQRTPDGKIVETVTGIDIAHRCTDWEQLWEYMGSNYEQYKDTYDN JR316_0010526 MLFRSGAFTRRDAILLLIGALSIHLWSACIGLPSTTYFKDQPNH ITTNHRAGVAHRFRTKFRTKTETVVLTASPTSTPTASRPLLPFDGLPSTELLAHAPGW TLFRNLYMSNGTLYIVADDNAREKFPEIRMMTSTGLEAENTPENIAMREPTDDNIQII SPEEAKDRWVSTTNAKGRVLNRVWTVEGNTLLFNDPKQFLRHYYHFVAELFFGAQAFW HGAFSPPITPNELDDPSPSTSVHFSTTHPPVPPMHRAIFAHSNADGWRDSPGFNAYFL RAALPSLIVEHVEDWNDRIAATAFKLEEGERAWHFPTVLLVDRSAAHRGTVCGSQTQR TAAEAWEYMRRKVRLRGLHVGGWWAPLREAVWRFAGAEEGIEQLALAPVKEGGVMPFM TREAEVRGMDTFMHPNDIVNVDSKHQKMLPLPDKIVISYISRQSARNRKLIQEDHDGL VKAIRELVERKNKERAEFLAGVSGNEGTTVPLEWEFDELIAEYMSKDEQVRAAARTTI MLGVHGNGLTHLVFMRPTRYSSVIEIFYPGGFAHDYYWTARALGMRHFAVWKDRYRTY PNKPNVDYPNGFQGNYIPVDGVYVARLIEDRISGKI JR316_0010527 MPNKRIASSSSGYNNKIDAAFIAACDTDKPLQTTDIAVVVGHKV AASHNRQNALHAISANVQIMNEDNPKLFIQILIAFSFATEEELGYDSMVKLEPDRNYT FKIPSLRGSDKFRLFRTMEGLSLYHSNVITGRMTRVYKVVEVRENGEAFGDPLVLKDV WLDSSAKTEKEIQNAIFTDIKQFRDCPDERPELQGFKEAHKNIVGDDSYKQYFLQIVL DYSGQKTKIRVERGTEVRDFFDAEEDLVPNAPVTGTKSQESQSQNDQTFVNTHRNASQ SPAIPTIPHEQKRQYRIIFKEVCRPSGSLKTLGEVVNVLSQTLIHSESQGPWLAKLSD LEYATKFPVPKGSACASNTKTGTAYFMPLEIMLSSYLFSPSHDNTMSAQKEFAVARQL SVKERLAELTLQLQNPTTTPPQKGVAHNFQHDLESMWWMLLWNICCRLDPTQSTKSLQ DFGKAIFINDIKPTDARIHCITAPFGKTVTPKAPKSILSVVTSLSLLSGELYQNYVDR GRNGAYNDVGSYAQIHSIFFETFKALDEEVTEASEAQASGRNDGGDQHWSTIPLLVKA YSNTVAQGSAVGEPERKRPRSNEDHVDAREVESEGRTKAKQPRKK JR316_0010528 MSKPYISLLRAAVYPSPLFSESVSPPKLTPEHHQTPSWLWKYTR RMGYFAAGAATIYTVDRVYNASSLTRTLRTFTTSALITWDYKSNFTPGKSEQIPELHE RVGQRVFDLLSTNGGLYIKFGQAIAANAAALPEPIQKQFASLFDDAPQVPYSTIHRVF VNELGQPPSGPNGVFEVFEEKAVASASIAQVHKAKLWPTRDESGRVVDEGKWVAVKVQ KPDVAKQMEWDIAAFRSVMWMFEHWAFDLPVYFVVDFIADHLRQELDFVNEARNAQQT ADYIAKEPLLRDKVYIPKIYEEYTTKKVMVAEWIDGVRLSDREGVYRLMGEALPKKAQ HVPEGTTHTHITAVESSDFLTPSTVSSFHYPAHPLKGGVKSLIETLTELFSAQMFKFG QVHCDPHPGNILIRPSPTNRTQPQVVLLDHGLCVQLPEEFKREWAELWRAMLVGDFSG VKSVAERWGFGPLPDLVASFVMMRPTILRKGREVKKTDSGAEKTRKDGENEDRDNEKK RERMSEYELSLKMKQRLKEFLADTDRMPKVILFLNRNMRIMQGNNASFGSPVNGVKIT GYWASKEPTRNTHLTFSERTREWYNHTRFQLSMFSMDFAIWKALVGFWLRTLPARMHA RLRRAALPQDDNKKKKMTKEEMEEALREFIVDAMGIDLPDELPDLDL JR316_0010529 MHPSQILLKSGRSAWKGPYFVPFHDLREALTNHIPIKTQARNCT ILPNFVGVRFLVHNGRDYEPVLVTQDMVGHKLGEFSFTKKRFTF JR316_0010530 MAFARLPAIVAIALAAAQSAYAAAAARPVTCPSGHTTANAACCA LFPVVDLLQDQLFDGAECGEEAHSALRLSFHDAIGFSIHGGKGGGADGSILAFNKTET AFHANGGIDDITDGQFPIWQQTSLSAGDFVHLAAAVGTANCPGAPRLKFMFGRPAPKA PAPDLTIPEPTDSVTSILARFADAGFSPKEAIALLASHTVAAADVVDVTIPGTPFDST PFTFDSQVFLEVLLKGKAFPGNGSQPGEVLSPLAGEMRLQSDFVLSQDPRTACFWQEM IDNQDFMMSQFKDAMAKLQVLGQDTRKLVDCSDVVPVPKPFTQKIKFPASFSKKDVQI ACHALPFPNIATVAGPAPTIPPV JR316_0010531 MDPNYNQYAAQALMDQGYCDARKSIHDAMPVVDFQLEDHRVVYV EQPRSWRITRTNSTEEQNFYVYGAICRNELPPIKLSDATPSMKKKAIYLRQGVRITGL RSNGFNDDAVSIKHVHEMMKTYLKKEDIEVKPWNLSMYEGHWAVDASTRYFTPRKHAP TEAGLAFDMGVDPDGVLAHMRGDDLIHTMDNKVDYLREVKNDNGTSTSRVVPSVFKVG DIVRATIAFIGVMHKDKAVAMTTVLRALTLIHDVQDVRNGPAEFIENDAEIAKIPVMK RRRVYDEDREVRQKMEDMTIHRE JR316_0010532 MAPNPFLDLEANVDHGDSEDGSAESDEFECFIDDGTQSHEDCDG SSSVAMDKPIPVTKRDRLALVIQQIEERTRGRSHSLPASDMYRGVEPLYSNADNPTVL SPHGNTDSGFENLPTDYPTWRIGCKVGYEEIAVASLLKNSRREHHIRSAFSRSSVHGY IYLECLMDQPMIDLIKRSPGIIVKTTDVLLSPIDKNEAQQLLYMGGDITNLSVGKWLK VKRGVYKGDVGFVVSKGSWGVSMLMIPRYEYVSTKTKSSRKRKTYTAVPAPKLFDPTG LRNSHLYIESGRASVYRVGDLIFEHGLARVDYDPRHVASCREGLSYVTYTSFCMSGHP ALHHAPIPKPKEWIMRTMEWVVMRSTGWLGVIAQADDDNMVDVDIYNKGCDHDETGQH YNETEIHRVDTTTTQLLTTIRTVWSDILKHFEIGDYVGVDAGVNAGRSGWVVDIKGDE IQLIDKQGTTKDQQINTADSMLEAKPTRYDPSNPFKKIVLNRDDVLEYSTFEPLSLSK TYDSNAVHQSRNFANPSIIITTGTESADSGRHTPLPDTIEDTSPAWDPSDHSEDLTSI SIGTVVVNSSDGTNVASLTTPCNLLLKRELIGVPLTVEEATVDGHVSPLFVIVQEDVD GQLCMMRFTPNSKRTLRIESTHIKPKHPTIKHDFGLLAIIEGEHAGKCVRRVHSRKDT NGVVLVVKQVLPTDRGADQIVDGELLTPTENCCVAYETQKRKNANRNQMRHEHDIYIS THLP JR316_0010533 MATYYYECAVQGLPRQYSAITESTAQGQTTPCIMGLAALVSLLA INVPVITGPPSNKGRRTRLPVAGQDVIQLNRLQPTTAAGHDVIQHNRLQPMTDAVNHE TLANIAEAVDRNASKIYSDKDSGKDIQFRAPNNSVESLFDD JR316_0010534 MAYQVTQASLTPSRPPPQAPQRRGTDNSQGYSSNASLANSGYNS SFTFTPSSPSSSSYNSPYSGIGGSPNRGPDDASGSQVVRSGAVSMKEDAFGNWIFQRK WLVLRDQTLSFHKSESSPQQIVIWLRDITNVERIDMKPYCLALEAKDRRYFIALKSDE ELYGWLDDVYSRSPLMGVSNPTNFVHKVHVGFDPLSGAFTGMPEQWTKLLTKSAITRE DYAKDPQAVLDVLEFYTDHQKRELEDMSLGAPARFNAGTGFGGASKLNDNGRPGIKRQ ESSPPGLGGDTDNLPSAAARAAELVNGSHVASAISTVQGPRGPLPNPQPPQASRPAPP RPLMTGTRPAPPTPGQSGKVDLTPNAADLRARAKAQGPPPNAIPARNDSLPALPDQTI KQQQERQEQLWQQEQQQRQQESRVEQWQKQQQQQQEEDRYQQQQQQQKNLDLPRPQIA PSKSSPATTSPASQPAPGPAGSTTGPPPVLPLQPSKKIQIQAQEKPAKLAANGGVAAA AAALEKPKEKEKRISTMTEVQIMEKLRQVVSDDDPKLLYSKIKKVGQGASGHVYVAKT LSTGKKVAIKEMDLSHQPRKELIVNEILVMKESQHPNIVNFLESYLVKNNELWVVMEY MEGGALTDIIENNQLEEDQISSICLETCKGLGHLHSQSIIHRDIKSDNVLLDAQGRVK ITDFGFCAKLTDQKSKRATMVGTPYWMAPEVVKQKEYGAKVDIWSLGIMAIEMIENEP PYLDEEPLKALYLIATNGTPTLKKPEALSRELKGFLAVCLCVDVGSRATANELLEHEF LKKACALSGLAPLLRFKTGKQGS JR316_0010535 MNSKESKDFSKSEQHYALNSPSDDGTESPNQMAFMAFKSTTIRM YLRNGDLFRVRDQVQVDFAQIFWLTWIAWHATHDHYVPHIAICPSDTKGVDETTLQDT NNSDILLNEGAQETTAQDDADASMKEISLDEPDFPVNQKFEEVEDEDGPPDFDEFFKD WLAEKKEK JR316_0010536 MKKSSTSTKPKRHSRGASKAGEIARTATGSPANSSAPTGKNSEK LSQASHASVSAIHVGGAEEVHSDWKMIQSEEDCDLFFPTPDAVDRSFELQSLF JR316_0010537 MVPVTSPETLRSYRNSAQLEHIGIVQRRQQHIVREMDSEFKFIR CPVESFMKAYLPFVPNDKETQYFVQQLLQGTLTGAVNASHSSDVTTRPVLSVLTGNET TFAFRDYPLQSKRGRQSNSKITVFRGWEKIAQTIGNFEYPGERKRNKFSYVNIPNKRI ASSNRGYNNKIDAAFVAACDTDKPLQTTDIAVVVGHKVAASHNRQNALHVVSSNVQIM NEDVRPASYPFNLSTNPKLFIQILIAFLFATEEELGYDSMVKLAPDGNYTFKLPRLPG SDEFRLFRTVEGLSVYHSNIITGQMTRVYKVVEVRENGEAFGDPLVLKDVWLDSSAKT EKEIQDAIFTDIEQFWDCPDEPPELKGFKEAHKNIVSDDSYKQYFLQIVLDYSGQKTK IRVERGTEVRDFFDAEEDLAPDAPVTGTKSQESQSQNDQTFVNTHRIVSQPPALPAIP SEQKRQYRILFKEICRPSGRLETLGEVVNVLSQTLIPLQLLFCAGWVHRDISSGNILA FKENLESKGPWLAKLSDLEYAKTFPLPNGSKRAGNPKTGTPYFMPLEVMMSSYLFSSD HDKRITAMEHGTPSFPAHNSLDIEEARYLERGANLERKAQETPAIAETGVAHNFQHDL ESIWWILFWNICCRLDPEQSTPSLQNFGKAIFINEIKPSNARIHCITKTSFGESIIAN APKSIYSFVSLLFVLREDLYQDYIERGREGAYNDVGSYAKIHDRFLFLFKIFNKQATA AQDLVGSSGRDLHWSELSLLVKNFSSLVAEGSAVGKPERKRPRSDEDHIDSREVASEV RTKAKQPRKK JR316_0010538 MNTFCELVASSHLKSPGGTKPYAIKSQARSLRSLALCFLVSALT CIIAAPATSEDAKLPPTDSEYPEVIPGPGLPSLESLGLTSADLYRNRTMNALSSRNED DYIIYCLTGGATTSVSTAQVCINYLSSLGTGDCMVPANPDVITFCSSGQSVICGTNVW GNGQAVHSWCSDVAVTAQSIVDLCQTNGQVDGYGQAAENSNMLGYVALAINHDYKLYP MSLTPNPATEFSSSTIENFSAQASEFTAPILRVIPYITFASSVVRTTFAFIWSLFHPL LRLSPLPIILYVLGPAIVFVDIFTTVFIRAPYTIIVYLMEALYPLYVFCGVACITGVI LGLSARIISRLIVAAMSAEEEPPHMDENEDEPRLDSDLKGKGRQREPERVRVKVKVES ESAISSVRIACQMPPGIHTLSTLLCGLVATMKHYKPTFLILESYFVQRVNHTPMDR JR316_0010539 MQSHSSPPGTSTSLKKAPAAAVAATTTTTTQLQTLTSGDSIRAS VNHLLARAFSLPCSTAALAFTQLVQPTSRFQLALDALLPILDSNTSAEQQGLTIDVHV LQLAQRILVSFILYSLYTPHPVTINPFKSALLVAYVREREKAVSIANEGGVSPNEQFV WVLWKILKGDGNDVSVTIASARHALSNRTQSAQIGPYSPSTLSRCPLPPKLRAINLVL DEELYNSISDIDDSTYHYFVNKRRLSASSDTDESTTNSTHTHTYIHDSRSPVPRAISD TPSRSPVNFDEDRKNERLIHAMKLLLAARDRVLSLSEQRMLVPIIPDLANTNIITSID LAPIIAHNPTIAHPLLVALLTNPNPEKNNPLPFLDVIPFLPPTLATFDLFGRLLRDQT RVTVQGYSTVADLVLIEVLARFVHECINWLDHAEREEREGNISDDRFAKGVQNLCRFY NSLIKFNIVDPTADADSTEMAHFSLRNARFEEANNLYRVIATSRF JR316_0010540 MSLLSPISRAPSMDVAGPSRSTPSEYEMDAETSALIAKLALEDL NEVYAARKGKARADAPPTDEELAFEMQCLHYQQLITLADDERLAKSISDAVSADEAYV AALMAAEEAAEADRRAAEMLARGEPMPEQNEAQARMEDRSFVMHPEPPRGNSTNSTAT SVNMGKQREKLVDIADEENVGPPSTLVTPPFIKPTNDSNHTQVSIAKVSVGGPASSSS STTKKRHPT JR316_0010541 MSAIHTRSRPSIAHDPGPSYRAIDDYEMDYETSALIAQLALQDI EQAYSSRKGKSRFGAGLTDEEVAYQLQLESFQRLLAESEDAKLARSLDAAVCADAVYL EAVMVAEEAANADRRAAQLLSRGERLPKPTAAQARVESRGFVMHPESQRNSMPQPAYE VPVNDYDDDISDTESYIGEFDRMQLEKYVKWKMAESKARVTEGRPKAAPSPNATAGPS VNRNRTVACLVCTEKLHIIPGGIHNSRREHVPLEMLRHEANPLHRRHPIPIKRVDQAL QAKGKRVQRPNSGENLLR JR316_0010542 MALLCSKPISSLPMLGNDEPPAMQYTHTQDLDIETAILIAQLAQ EDIEQVLSTRKGKARENAPLTDAELACQMQLEIFQQAVVFSEDAVLARSIDAAVAADA ACLDAFSVVEQAACADRRAAEMLAQGERLPARTEAQSRVADPDFRMEPVIPSVPVDDS LAPDTKHGAECSNSKAVDVNHTVDKALNPLPKQEDDSPRSWRKTDSTATFATLFSAAR JR316_0010543 MFRYASRLLSIYPAPTPDIVLVESPSALEREIGVVRRHAMRAYD DAHAHVQGWVSKWIGVEHAVENRVKSIISPEESMTPNLLYVGVATLTGSILGRNRLLP TRLLLPPLFLMASADYLLPKTTSNLRAYLGGLEDAYFPAVAEKHEIAKAHAGMTWERV KGATEGAREGVREGARGAVDWVQEATGLKLRETLGWGGRGVVEQVKEVGEGKVEEVVK PVEKKVDEVEKKEDVKRLV JR316_0010544 MESLNLNTLANSLPTTQQNAEKELLNDFKAAALSITTLYRSSRK NSKRAYNAGYAAACQDLLTFIQQGVSADVGHSPASSSHAVVEGGGMTIGRVMDWTEAR VDAIKAREEEEDEDEDRERVAVAAPAVPATRTAPPPVPTKSEGKKPGHPSSSSTASAS SSRVKENMTSLPTPNSPASHSSTQPPSEPSSPSPPPSATLPRSSTRSSRSRGTPTKES FRQSTTLPVHFPSTHPHSHSHSHLSHLSDPSTTPSSVSTIQYPETPIVNVIGAGAKRR HAVMRMLDANTNPPTTTISIGGPSSTVSSPGGMMMGGNNNAYTGSTASSLSRRRTRSS RNLTQLQPHNPNISVIQMNPEAMDVEEDGRDRKRVARR JR316_0010545 MALEEPPLRGQVVMRLGSFLLFVLLRLELSCASAPSGPWDAFNL APESKTVYPAAIHSSHGSVKNSNLLVKNKGKASLSTNGSWIALDFGIEVGGLISLNLN SNPSPSSFSLSFTESPTFIRSSASDDSSFPSANTTYDGVLQVSVPAHAGYWVQPASSL RGGFRYLTVVSNSENAITLSNVSCAISFVPHLENMRDYAGYFYAKDPQSKDEDLLTKI WYAGAYTVQTNTVALNSGRHVPFSPAGSWANDATLGVAGPIIVDGAKRDRAVWPGDMG IAVPTQFVSTNDLIPTRNALSTMFAAINPKTGALPESGPPLSQQGSDTYHAWTLIGTY NYVLFSGDVEWLQGVWANYTKAVAFLEGKVDGTGLMDVTGLRDWARQGGGGYNAEGNA ILYKVLTTASSLASTMSLPSLSSTYLQNATALKSAFNNAFWLPSKGMYRDNQTTTLCP QDANSFAILFNLTEREEQKRMVSDGLVGNWNGIGPVAPELPDTISPFISGFEIQAHFE AGNDARAMELIRRTWGYMLTTDLSVQSTLLEGFTANGSLAYRYNHGYNDDPAYTSHSH GWSSGPTPALTFYVLGMTLTELQGRTWALAPHFGGGLCAAEGGFQTGLGWFGVKWSAV FGEQGELESLMMSIETPEGTNGVVMLPKGINGRIAVDGPRVENLNLQDSRAVTLRLIG GKHEIQVHPV JR316_0010546 MTSFRNHRIVIASLFLPTTAVLTYSSPPTPDHEHETLAQVQARV DAEHTIPAVSSRLAAVAGAGAGAAGAGAVEGGAASAAGATATAAGAGAATAKKPLKPA LVTRGSAVSGASAGAGGASGQNQSQTAGNTHTRQSSLNVPLKSIVDDLKDKSRLATPS ARSPTNETTNPFTKLTRFAADSTTAGDAKDTAHTPSITSPLPRKHQTTHPDTSSVPRL RRNKSRSTSRRATSSARAGSVPTSPSVDSNGGSMMYGGASGVPAWHMEPNPHCNGGLK NAVESVGERMKRKLWVGTLGTPTDGFGEELRRDIDARMVAQRQSLPVWIPDDEFQSCY DEFCHQVLWPCLHYAVPDAPKTKQFYESASYAQYLSVNQRFAAVIIHAHQEGDIIWVN DYHLLLLPLLLRMSLSPGMPTSVSPPATGNPHSSSVSAPSHISGLPPSSSSFNPFLIT RTSSENGNEGERRTPSIPPSTPIGFFMHVAFPSSEIFRCLSVRKDLLRGMLGADLVGF QTGSYARHWRQTVSRILSFEALPRGIQVPEGEGMAVGELDVGVGVGAGAGRGERKGES VQRGRSGAGGRERSEGEGESKEGRVRDGVVERGRFVDVGVFPMGIDVRQLHIRRREPE VAEWVQVLKQRYAGMKLVVGRDKLDEIQGVRHKLVAFETFLEKYPQYINKVVLIQIAL QTSSPNEQAATSSGITEIISRINARFSTLTYTPIVFLHTQDLTFSQYLALLEVADAFV VTSLREGMALRTHEFVECQEGKDREVVEVVDPETGERKEVEGGRHGVLVLSEFTGSYS YSGFRSCIAVNPWDARGTARAIWEALSMGREEARSRWEDLHNHVTTQTAQTFVNSFLN RCIRANTEHTASLLDDVGSGPTILRPLPSPGSPPLSPSSFGPNETSVSVLVGKFKHSR KRMIFVDFEGTLWRRDLSRAAVLADEGEDAPLPEEVERAVSVLGRLAEDTRRNEVWLL SGLKVRGVLEAVARRVPRVGIVAENGCFIKTRGGGLGSGEWINMVSNFNLTWKSSCLE ILNYFTERTPGSFIEERQASMVWRYWSGPSTTTSGENPDRQWAQRQAAEAQNHIFDSL GERYGLRIIPGRNSFLVLPNNVSRSTAVGAILHPGGVVRGGHGGGGHHHRHHSQSQSH GHGHGHGHPMSPSASDISTSLGLSNLSAFNSDGTLTSPTVDASSFDPSTSSLHGSSSS GSGDGAGGGVDVDMLLAVSSDEKLLRRLNEFEGAETVSTSGKGTDAKWRLESEESGRV LGSFVGV JR316_0010547 MSTSASSPSSPSSSSISDPDRWIAQLKTCTHLSEPDMKKLCAMV RNILLEESNIQPVSSPVTICGDIHGQFWDLLELLRKGGDVPGTSYIFMGDFVDRGHYS LETVSLLFALKARYPDRVTLLRGNHESRQITQIIDGHTLCVHGGLSPDIRTLDSIRTL SRAQEIPHEGAFCDLMWSDPDDIENWAVSPRGAGWLFGGSVVKEFNHVNALSLIARAH QLVQEGYKYMFDKQLVTVWSAPNYCYRCGNMAGIMTVRDDGGQTFEVFEAAAENERDA MGAGGLGGMGGGMGMGGGFGARRGGVSVFVFTIRSLFREFLLCEFSLT JR316_0010548 MRLLAAISPLITLSGLLQLSHLTQSVYALHESDVGVVDWHKHLI GVPHSNAPVTAPSFVDVDLDETETGTAIKANGTKTVIVTTTGNNVLAVLDPEDGAVLW RHIFDAEDRVAGYYKSSTTLATLSGPGGSTLRTFSLLTGSLLLEKRLHHPANGALLEP AHLGKQVVFSREGNDSNGNQKDVLYVLTNGCEVRKLDAQSGKEMWAWKSPDQGSLTIY SDILISPSSQHIHLIGLSSSTASFTLHTTALSISSGEVIENLSGGVPSSISDPLTQFF VVRASATSKDTGKPVILWLSKSTLYYLPLPSTSSSKQFKPRPQALKNSGSAGLEKLVD VGLGKEGHVVVGRSDGSSLVLRVAEQGEEEVVAESVWEFEGSATTPTQAESMYAGGLD ARGAPAVGRVWWDHAVQKASADVYTVQSEASTQQAPRPFAFAFDTQSHGVLSHVALDG PRDASSTHARILITTTTGAVQLWSAPSSLELEPVLHWTREEGLAATVVAEFVELPEGG KGADIIARESEEGFLGRLSRQIVDAQGFPNYVANFIKRFTSSPAPAAATVVIDYRALS NAATPPSNDSTTTLARDAFGHRQLIITATLFGKVYAIDTSTGAMVWSRVLGMGWVGRA GMGGRVIPVKLFVIRAVGDEAVTSEGDAGDVKGDPEVVLVGQRRADNSLVDTVIFHIN ALTGEDALPSSKKSASDILAELGVESEGEGEEVEKEKEKEEKKDDQDNGGILEGQDIV AGPVVEVYILNAEGRKIIVLLDEFLQAYIYPSNPTAESVFARALPSLSFPLRAHVESR HRIVGHKLGLPPKDQSHFKPTAYPTWAISLPAGEEIQALLPQAPTMGHAKGSGVASVG KVLGSRKTLYKYLDERLFVVKTVARKNKVDEEGNAKTDVMCGIYVVDSAKGTIVYHAE VKASPPVPSSDAPGTPGAGAGTVRGGGCDIKTNLVENWLVYHYYEAEVAGGSVGGAKG YRMVSVEFYEGQKADDKIMSSSISAYSNDTLNYSVYEQAYVFPSAITALATTTTKFGI TSKDLIVATANRKIQSFPRRIFDPRRPSRKMTAEDQEELLIQYDPLIPNDPKRALSHN YDVANVQKIITAPALLESTSLVFAYGLDMFLTRVTPSNTFDVLSESFNKVQLVLTVTG LLVAILVTRPMVKRKSLREKWYN JR316_0010549 MAPLDLPSSTDVVFVDTLRVSANIGRDWWGKARAQPIEITVYLH LEESYLQTSGKTDNVLDSVHYGHLTKTISTLIKERSSEEEAGERSSGFADADDLIQAV SERAFDLAGDAASEVRVVLGVPKMILLASGFSVDTTIAKGPPHFMSSKNVSVNDIVLP VIIGVNPPEREEKQRVIINITFHENTELSQVKSPDYKQIVSGLCKEIEPTSYLTLEKF VMEIVRFCCLSSDKVAGVTARAQKPSALSFAQSSGVQITRTREIFL JR316_0010550 MSIIVAPTNWPKHKALCKAFKAVETKDANNLLAPYMFIDDDDET YVATDIDQVNKCIGAVIQTEMRALERELLRSLRMEERNLIGWEPHCLACGRSDAIFRI EASLRKRKPLSPGLKPCPDCLFTSYCCEEHWDAVKEKHKREPCRDGRDNLSQCDMNKL YLEDIRFAMVMSGANEGTFKWAPERTLRTWKSLRGIGWSDYSDDIEQDFGGLPGVSAL LPNLARAATDGLSMPMTILWALENLNNDDSWTKKDTLNIHILGPAEKEILNANVFEEI LHRLPLVKNLTLTLVGPELAGITGQKQTSNVMKTCPACTRSGRKRTFIYYPTTYHQYV SESGTNFVNPDLAVAFNSGCSQEYTDLWKESLVVLIKHRIPAVFTAFNQEEAEAEANI FRDVGATLHPQLGPRANPWGSCLAIPEPNKVTGFYAVNGWLAGGFR JR316_0010551 MRVGQLIHDINLFKGGFTMWTPSRRFPSWRSLRGLDWENFRPDV EREFGHLVPPYLMDAALRCVTEGLAAPMTILWALELFNEDDSWTKKETLTIHVCLGAG SNYYEYIARQGEKYVKPDLAVAFDAGCSEPENIESWRKTMAQLVKLKIPCVFTAFCPE DSIKEANMLIDAGAVLHKELTPTKRNPWGSQMATIEAMKVTGFYSKRAWLTGSFCQSF L JR316_0010552 MSWPSVPGTPVTTPYVTGNDNPWLSLLNWVADFLGLSFLAPLFQ ANSLAFNSIKLFLLGSLVETGRRFFRWVYERFDFFQYSITAQFDEGDPVYDWIILFLT EKKIWKRSRRFHVTARSSRRRWGISMGQNGNANHHQSSSFTSAEYVPTYDMAQLFRWN SYWTETRRTLHEYRGGPVYSAPGDHAPGSRKLFLTIYTRSMSALSSFVDDARELYQEV SQPHVIVHTIEQNSPYLHGQIMGNPWLSIKNKARRPISSIILPNGIVQSLVDDAKEFL SMVDWYSTAAIPHRRGYLLHGPPGTGKTSTIYALAGELGLEIYSLSLASGHVDDSFLQ RAVSSIPNTAILLIEDIDCAFTSREDEEEEELPAFPGMGVSRTTKSGVTLSGLLNVLD GVGSEEGKLFFATTNYIERLDPALLRPGRIDRKIQYKLSTKEQAEALFLRFYPPSYTL TASEASDSSITSTDSDKTEKSPTSVIIQVSDQETRLKTLASEFSLHFPEHEFSLAELQ GYLLSCKKEPEIAVAELKTWVEEERKEKEERTARAEERKIKMKDKKDIKEAAKLQGSL QRLGILGNSSETPFVHVNGISAE JR316_0010553 MSFDVADTSGQSWFGPGQGLTRIFGFSFIASLLQGMGTKLESIG NAAGGSNSYFGNSMKLFLLGTIIESGRRFFSWAMERFKPFQYSITAHFSEGDPAYDWI ILFLTEEKVWTRSRQFQVNAASSLRQWTVNLASNPKPGGHVDEHAEYVPTYDEPQLFR WRGYWAEIRRSGSQKNQANYNAFIMDGSGSLGKLSLTLYTRDMSALSALVDDARKKYM ATSRPHVIVHSADQAMLSNHRGGAWRNAKRKNRRPLSSIILQEGVISSLVKDAREFIK SEAWYIKAGIPHRRGYLLYGPPGTGKTSTIYAVVSRNVVDAFCECLTIVLQAGELGLE IYSLALSSNMLDDAMLARLVSSIPKQAIILIEDIDCAFPSREDQENEESSMRGMMMGM NMMGMNRRAMNMARPGSAVTLSGLLNVLDGVGSEEGKLFFATTNYVERLDQALLRPGR IDVKIPYQLATQEQAAALFVRFYPDDELTVDAGLDIEKKSLPDFAKPLDIPALAERFA SFVPQHEFSTAELQGFLLSCKNDPLRAADEVGAWVEREKKDKLERLKREAEEKEKKEE KKEAHETKRLRGGLARLGVSVGGQEPKFEALPYLNSTVTPQHRAKVDDKPDANGAAMD QYHAEASTSKVSQVVDPNGSTLL JR316_0010554 MSSSNSTASSESQSFSSTSFSSSFSTSSTFSSSTSTPSPFPSSH SSGPSTDSNATNASIATSASLYLYTFLATLVLLLSVSAAIVIRSFVLRRRHRLMVEEA IRNGTWVPPAPPTRPVKVDLSKKPMLWEAYIDGKGDVVSYGAHGAGSQRINDTWKAEH SKEWDTIKPIAVSYLSSTTLPTSSPSPSGLATIPSVGSLHSTAAQQPSTPVGMQRDVE ANAGTPTNASSAAVAVPTPPTSVRTPTSRPRAILSRVVRMLNPTPNPTSPLPGPLAGS NAGSNANLPGPNSGDATANEKLELKGPHTMRVAVLIAMPSPPSSSLSTSSSAILSSAS SSTGAPGASTSPSPPIISHPLSVMGDDDEHPLPHLEVGVADVVVMPPDYGSGVGDAHV GKRKAAQRGSLASMATGSEGSEV JR316_0010555 MEQSTMMLVLTARKTVNTPTLFMRVAPHAGHQTTLTETSEQAES LPIEARLDQSTENEISMSPQLITTSSASKGKEKEWSASIETVRGKENVPVTLGPLIRD L JR316_0010556 MESKVTPPDAQLAVSGGRSLCKLLVENEHERVRQLLEISPDLIN TRHPLGWAPLHTATLNCDPELLAFILSLPGLDVSVKDQSTFNTSSSDADIQCRKEELC PKIVGTESTTGASALHFACMRGDAAVLDLYIGSTTDISKGFDWQDEKKRLPREYFDLA RVDIEVVRAYHEATVQWRMRYRSLERKVGSRTQIYVIYVDSAALCRAICERDLEYCKE IINSNKSLAITRSRGIYGRISSIIKSLKPASIDLHSITSANPYSDDDSQPLHLACLVG EMSVVELILQAGGDWERKDDNNMLPEEYVKVHGSVHMEEFRALCAEEKERRDKKVQQR KAEEAKEKEAKEKEEKRKLDEQLEALVCMGELMDDLQHERERDLEGLSDEKARKRAER ASKAQKRCNKAERKKTAKAEKEKKRKQIAEDKYRMRHLEIERSIGANVVGQRGPIRSI ASALRLRENGWVDPERPLVLMFLGSSGIGKTEIAKRIALYLHNDALKTAVRDKANGRS SRDNDSESGDDSDEDGNNNRDASKDGGKEGKKERKITLRDIEKSGTFVRIDMSEYQHS HTVANLTGSPKGYVGYEGGGNLTNQLRKNPRAVVLFDEIEKAHPDVLTVFLQLFDDAR ITDPKHGTIHCPDAVFIMTSNLGSEEIRQAAPGLHKLVERTEAVDMHTQYLNGIANFN KTLYPVLKKTLKRDEFLGRINQMVVFLPFTENEIRKIVRVELDKWKKRAHEHHGIRLS WTPEVIAKLAQGYDENYGARSVSNEVKSCAIQVIAESQIRGNIKKNCNVRLVINDTGN IDLRREDQHVAAPPSDSISAGDTAA JR316_0010557 MNMSEDTCNGLSTRRGKGKGKGKGKGKGKGKGKGKGKGKGKGKG KGKGKGKGKGKGKGKGKGKGKGKGWKLEETVFDPSG JR316_0010558 MLCPPCASRTRIYLSLLRALRQRTTRSSRGRLLQPTPSASLPDQ LRLLGLYAAPTIGDGNCLFRALADQVHGSPVRHAEVRRDVCDWIEKWGERYEGFVEFE GSEEDEGRGKSKGKEKEKEKEKDSGAGAGEDKGEGSSNSAGKGPSPRLAAYLRNMRQN GTYGGHMELSAFAHMTRRDVKVVQPGLVYVIEWRAGSGSGSGSSAESQSQSQEKKEEE VKDAAKPVSVSASASIATSATPVSNRRATRSANALSAPPAKVPPKDRDEGKTKVKTGR HGYYVLEEVSSDEEDDKQALGLGLGNRRGASRGTAPAASAEVSEKKEEREVQQQLVAP EPTPSPTPAPVSAEVKHEEEEQSGGPTVYVAYHDWEHFSSIRNLRGPHTGLPNIQETP AHSHPAYVPPADTVSAPAALSKEALKEREREKKRERKEKERERERERREKGKEKIVSA SASASAGLKVKLKLPASKTGTPAPSASASVSASAPVVSAVAAQAQAQDPLAVPLPTSR SASPFPVSSLASTSTSASGSTGSRSPQPQSQTQPPSSTSTISSTSTSTSTSLSTSTHT AISPGVNINLNLNLQAPHMAHLHASPLALARHHEQQQQHSHLHHRSPKRSFDESSASG GDGESASGSEKRSRRRVGSVSVGSVPGDVRLRASVGGENMDVDVDVVEVEGEGQGEGE GEGEGEAGTPGLSAPGSSSSETSSEVVSEEEEGDADEGGGDARHPHHMDAVDDDEMSA LSSVPPTPPPEPELDLDVVQEEHDMDDMDIPRIVNARLSPPPSSTMSSLSNSHSNSNS KKPKSRKGTPLSSTSTSTSSSQNTYTAQLGPKELTRRQRKALGLPKARPRPAGGMSAG KIVIPGGRWTGREMTPPGVGVGGGRDGGGGDGEEEEEWRRNGTGRLDVRGFRELKI JR316_0010559 MPKARKSKSSRSLRETVLSRQAGLAPKPAQPAPRSNHSAPVAGS SNLRTQPVFTAERTGAWTDCFAHGITFVEGCEDCEFHRSVVVRMQRNNDYIKSIGGTE EFIELLKRMTSES JR316_0010560 MNTPYTLRFISSRNSTYNGEHDLVLPAHKLEQLHDAVDELNTAQ LEHKVEMYFALPMDADAVCGMLAELASLRKIAAYDKSFGFMLSEKNIACLVLERWDDV PCERSAAYYDLFRPQFRTFLQKLDVIYESVLGDTQDIKQTVNINDSMDDLSQSFKGLS HHEI JR316_0010561 MPSANKRPRPIELEPDASNSPSIDDNDDEDSTSTRRRTRSGKTR SVLDSHARRRVKRPRKAESVEEEEEEEEEEEEEEKDELMDDGEEEEGVYLRTRLYARE KAKAKEKEKAKEKEKTNAKGKEKAKEKEKSTRKGSKEKEKEKEKEKDKATPEFRVIRD SLPVPPRAKDTSTLKPIPDPPWLLQKPAPAPAPAPPPAPVPSTSASTSTPTSIPKPTP IPKPAHKPKPPSKPPKPALYTGSDGDPADDGDTIMRSAADDDDDDDKPLDTQLEPVHS PSHPRDREPASSSAAAAGDGGGNGARTAGYTPRTWNPSSYVHSSRPPFIPPPSHSPDN LAYTHSPPSPMRVYHSPSPPPHPLPQPHPHAHAHALQRRPSGSRHRAPSPDYEREREY GYGYGYDSDPARDPRYRAPHPHPHPHSHSQRYRSSREYASSYPPPHATSRGAPPPLPP SASASPYPPPAPPLHAAYPHPGRYSHSHPRPHSRSGSPPPPPPPPPAAHSKRPHSQSQ SQSHSHSQSQSHSHSHSHSHYTPPTLTQPPIYHPRPPPPPHALHSPPPPPPPHHPHHH PLLPAQHYRAAPPPSHAHVPPPPHGHGHAGPATTMPTRQLQPHEPYPPTPPPPLPGSG PGPGSGAGAGSGPGRDREREHERERERERALQPSWSALGDARVDRDRERDREKERNQE RERERDRERPPLPHHSHSQPPPQPPHSQPQSQPPPPHSQPQPQPQPQHRPHSIQRRQS SPGPPPPHPHPHGHVHGHPARYYTAYPPPPPSAPHSSAQAPPPQAHQPSTPQAPQAPP AQSSAQSSAQAAAQAQAQAQAAAQGGQPQQQQQQQQRRPRRASSPRFIADQRDRDRER GQERGQERGQERERGQERGQERERGQERERDDRPSSRTRARAGEGEGAEKGPGKGREK GREGDTEDRANAGAAGGSRRRAGSDSASARAAGAGAGVGGGYPAAAYPQPQSQHQHYP YPYPYPYPYPYYVDGSGHPLPPPPPPPGSHPPGAHAPPPPGHPAHAHPHHPPPPPPPT SQQQQHQIRPSIPPSHAQAPYNAHAPAHYPHPHPQYPYHPHEVYPHPHPHSYAPPPPG YAHQPPPPPGHAPPPGHAQHPPPPTNAPTHAPPPPQPQPARRISAALAALPAYPQTLS QPHPPAPVMAGPVHFVPSRYSYGVLGGGAGGGGGAGGGGGGTGAGGEGGEDRRDGRDG NGKEGNGKEGKEGERERDKDREREKQREKEERRERRERRNPLPPPITISLDDTPMLHS PAASAEAEASPHPPRSAMSVSVSASNSNATVPASTSTTTSTSTAAPPTTAPASSNITN TTTSTNTSTTTNTAQRRTYTPPITVSLDPPASFPQINIFATEPLPAGKPHTHAQWYAH THEYTVRQGASGAGGVGGSGAGGQGGGGQGGGGGGGGAGGSASDGRGSRASERRTERA GAGAGASMNMVVPLQGEAQQVPYMYSSYAQQQQAQQQAQARAGQRVLHVGVPVQERGQ ERGMDSGERERERERDARREREKDARREARREERRRDMGMGTGVEMDVDSVGGGDRDK GGVSASGNGNGNGKGKEKEKEGASTTSTSTNTATNTATSTTTSKTVTMTATRRPSVTA DAPSSSSSTNSAAVAVAAAAHPNPHFGPQPYPSPYAYGYAPPPPPPPPPPGAGGPGGG GGGTEGGGGGGPGPPPPPYSRHAYGHQPYYSPYPPPAQQQQQQQQGHEREREREGGHR PPPPPPQYYPPPPPPPHPHAAPPPHPHPQHPQYSPQSPHQPYPPPPPHPPPHPHSYPY AVPSPPHGHGHGQPPAHAHGYPYSYPYPYPSHEGPHSHSHERDGFDPRERERDRDRDR ERERERDGARDREARDREREREGPRDRDTPRDRERDAPRDKDRDRDAQMRQQLVLFQN GAVGPLEHQVPQPRKPRGGRGGSGSGGGGAGGGGAGEGDGQSSGKASGGGGGGGGGGG VVTLQHIPKPIHPDTVHASRNASASASASHPHPHPHTHAHAHGQVHTQTHAHVRSHSS VIHLATTPPAATALAPQEHLLLGIPPSSGAVLAGSGSGAGAGARADVEGGAGAGGTDT AGTGGGGGGVDPTSPHYVGGAQFVLKPSKPPRARKKLLAGGGEEGGQGHASGSSSGVG SGVGGEYHAQRHLRHDPVADPYHLHPHTHHSDSHSHSHSHLHSHSHSHHSHSHSHSSH SHSHSHSHSHSHHKPNSTSHTLSHLSAQYNTPDELGQSQGLIVYEQRTPAEREAYRAA REEQDRLAGRERGYRRVWRQGGGAGGQGQEKEKEKEREKEGGKGKEREKGKENEKEKE KGKEKEKEKENNKDKGMETDKDIGKEQDKGKEKEKEMIVVDVDAEMEMEMEMEDAGQE KEKKGKGKENEKEKETETEMAGTGGEGKKSTNTNTNTANANANANAARERERELEQEQ DVSMAVDSASAHATSTARRQQQQQERERDGDRENQSNNEEVARGLSRSSSSSANSAQG RRGARGTKQRVYEEEERERVRAQGQALGGRKGSSGVGERAREGSDESVGRGSREREKG KERERDREKKKEREAEERRKREAEAAEDSDVVIIDPPPGERERRELRERERAEREVEM ERERRRWMDAKKERERGKEKEKEKGKEKERERRERMDGDDDDDDDEVVIVDERRTSGG AMDVDVSAVVSPGPGLGSALDEADVAREEAAKKEAEKEKEKEKEKQKEREREIVVIDS DSDIEMMEVVRVANPSPLHSASGSAEKDREKEKERSRSRRGSDEENMDVDLRARRAAG SRTGSGAGSGSRAGSDTHSRPHSPQEQRHHHHHHQHHHQYDNFEGRRYAAYQQHVEQE RRASHSINPQNRYPPPLPPVVIIRPRERVADQAQTQTQSPSDGSHRMISTPRPVDANA DASSLSGAAKMRSSAAGRAVSGGVHGVAHYPPHLHAHPHGPPPPSADFYPAREDIPPP QPPPPQHQHRHHPHRQHHPPATHHSSGRPPPSYNYSPYPPAPAPGAPPGAHQSHPYSP TTEYPPAPAPAPASASAAVPGPGGSETNTFAAPARPSRKMKRYPCDVCGQIFTRSGDV RRHKESRHTGGGAGVRCRFCGRVLTRQDALQRHWDKYCRKKAKRSAHRQSGSGSGSGS GSGSGSGSGQRSGSGAGSDGDGDGDGDGDDHGEGDGDGSHSEAEGIDGGDQWDGDMSS EGEGEEEEEEEEEGMEGMEVDGDVEMETDRQQQQREESRPSSSAASRVPASAPGSTAP SPHAHATSASASAHAHAESERVREREREYAHAYERERERRRVRREQEQEQREQEQELY AGGMSRPGSGSSSGSRHSHSSGSRHSHGSAHGHGHGPTVSRYAPPPPVPVPAHQHQHE REHQNQQHQQHHYSSLQPQHKRISPNPHPPHPHPHTHSHPHPHANSHPHPHPHAHPHP HPHPNSHPHPHPHPHPHPHPHPHPHAHAQHVHPPPTQRPRPIAIAPHPAPAPPSPPPN PHTHHHPHPQPIQIQPHLQEISIPPTDGWQHEDPAAEGRRVAEKQARLLRERRERERR ERERERREGAGGTGGLSHSGRTSASGGSVREGGSVSGRSGREGGSVSGRSASGRGSAQ GSVRGGSGSRSGRTSASAPSPPPPAPPPLQAANSNRT JR316_0010562 MPCENVSHISEASAPVLEVPNVYHRSLVEVITSAFKDSTSKQFH FTPFRLFWQPSPDVEPERVITELYNSEAFLGEYEQITRKLKPTPPGTVHIETCIAAMM LWSDSTHLASFGDASLWPLYLYFGNQSQYFRSKPNNFAAHHVAYIPSLPDNLQDIYME AYNGAAASEETIRNMKRDLMHAVWLLLLDGEFMDAYENGILIKCTDGITRHYPEKVLL ATIRFLGKSLCTGCTIYKKFVSALGTRADDQRRNHERKDTKERQRKVETSRSWIFEYG GSIKSQGVENVLADESYVPARNAFSTRLFGLGFNFFSMFVPDLLHEFELGVWKAVFIH LMRILYSCGENYIQKLNKRYRQVQTFGRSTIRRFSTNASAMKKLAARDYENLLQCSMP VFEGLLPNQKHDAMLQDLLFILCTWHAYAKLRMHTMSSLTGLKATTRSLGQALRDFSK KLCPKYDTKELPKEEAARARRARKSKGKGKASSSTTANTAAPSAKVFNMFTFKLHHLG HYLKAIWQYGPSSGYSTQTGELEHRRVKKFYARTNKGRKFEHQITRHQRRERILRRIA NRVKNAASESAGSLSSQQYQYKRGATTHLVPFEDSESLQPILPELHHYISNGKNSPIN IFQWIYDNNDDEALTRFVPKLKDHLLSRLLGHDDNSDESLYYTDEDRHHVRIIHDRMY KHKRCRVNYTSYDLRRCQDTMNPTSHADIMVLSGNEELDGHPFAYARILGVYHVDIKH TGPKSRSNLVHRMDFLWVRWFELDSTFVGGWETRRLHRLQFVESDSSAAFGFLDPSLI LRGSHLISAFAHGTTTARLGPSIARVSGEKKMQDKDWNYYYVNMFVDRDMFVRYLGGG IGHQATNEYTADLRPNFEARDLFTGYKPGDNQLQVDSDSESDQSELEAMPSSLNHDKE YDIDNVDTEDEDWGYKSSNSSQDSESELEDPEVIVAEEAGAENEFDLDDLGPGDGEAA WESDDYEAEGYAEL JR316_0010563 MNTSALTLPVVTIQHTFAEVIQEIQDGVIASENFWVSCYKKSET SVHAKINAELDEHNRNLVNLTTIEEKGDVEVSVGPTGNYRVTCKSLGIPPTEVVTPIQ EYKDEERSNSTRPHRITAFDVSPDCSRYATGYLDGTIFLYPTTPFKPPHKSFLPDTIE TTKTKQQSRPHVAQTTSLKFFPSSRVLLSSGLDFTLTILPADLPDPSAQAPSYGARIS PARTMRAHTRMITDTAIIAVGRNVVSSSLDATIKLWDVSSGDVISSITAQGGVSSMSL GDRPPAPPDGEEPTPPIATDAREVPETSAKVIFGGLENGSFQMFDLGFKKSVYTSPKP SVRSSLSSIVYLQSANLLATGSSSGLISIYDTRSLATPLTSFRRLDTTVEQMAFRNSL NGEVQLAVATSDGLPYIASVVPEGPSVLTELIGVDCDSVRNVTVRGTDVWCASDDGIV RRYAL JR316_0010565 MTSTVSEESSKADPPWICGDPLKYPLPKPDSDPWARVLEPMLEK ENEIAFVVESYKGLQPDSSVEIISLLGQIASILRESTNLTADSILNGTSTIQLQQDDP QTPPPVMSRINILWFISLILSITTVLVGTVSLQWIREYRLYPRHITSRDKLALLNMRI ESFIGWGVPTLISLLPVILQASVVLFLVGLIDFITIVAPSQVSIPVIAVIALPLTRAD TILRPVSWRGKISNLVDRMLPSNDRIFLHYRQRFHEQIYRQKWRLYDTQSTPNQQWCE KEQVSFSCDDPNTSPPLYDCIMGLRKLLREGDNLDPELASSSYYCVQDLFKYDRSGSF GPKLWHQYLQGLTLDDHSHTSLASHNDVQQLIPSRILQEETLMTALTVLPRTPENVLA LYEHHIRLFNFYLTLPARSVTKRQRLESTLSLLSRERIEDPKARSDVSRQYTMLLEKL FETYAYQTTPYVFRYQNTIQLLDVAFRAQDDADRNRTLQLIYSHVVEIGKFSSSPIGP QYLLYFLMASYVGLVVGAGHHFTAFGRQMEESLILQLVDIGNSIRFDQLTQSADPSGT SKIGAIHKPYASSKYALALTHAVSHADVGARDPET JR316_0010566 MTNEGLSRELKKLRSFCTKHRPAFTEYGLRALQLSKDPTRCTRD FLLISVFPVPDETRSEKAFKATGAEIMPFDTFGEEHGDELRSQLKTYEQENICPVGFN SDIYQMEIGQPWREPLLEKLNSGIVQ JR316_0010567 MGDSRIQTHDSSEYKQQLISLKNSTNSFFVFVNDWRLAIASSDP DRQSPSTVIIGKQVGNFVKAYDTGIDAAQTGHAVAQEGIGLVEKIHLASEDDIAKYLE QMKSCASTGAKEAEETAREFRNVQRGIKDAIKANKGVDRLNGLENNFDTVLHNLEECI NMFKRWWTKTGMVQNHQDEKLGEITYLNAREKIPTTMPTWLELRDAYEDYVNKMNYVR DANAEAFSYALTFVPKDWLESRSVTRALSIDYNPAKVNVVPANVEKNATSQPAATATI PITQSTPSRQTPPSEAGYIKTCMSEIGYAVHSTDQPPTYLQSTSLQSPKPWHPKLTPY RLAVLVTTVGFGTAKAIATQNGGAIVSTTLEWVAGTLLSVIAYDSREDVPSYLTWLFK PDCMDFVWKFFALFSINRPEYVSDEAPGELNAYMRSSNPDVTPYRILVCSAVTAFGLS KAMLGYANQSTAATWTDWTIAVPVTTVLYILGLYEYNSSNIFPGFFNRDQSEILHSGI ALASAWVALWTYILYQFTFDPQWKFGSLPEDRSHLTMEAYFEAWQAASFKIWLQECFF AAIALGVFVLFPLLTPAANSVMMSVVLRKILRVVRSFILRLIVDYTQNFNLAVLQSVE TRTEKAMATAQLSPNTPLPTQSKKGVFAPGNYSRRASSSNGSLNSGLTPPQGIGYSPG TPTNNTTPTSPAGSGIPAFRTLRSLLPFGPNKHATASPNNSKSPFANFGSVRRSMTRE RKSSLSNDVGATVIAIDRANGPVADEGAIRRSASLSRLEKPLPREPAFREENVLSNGD GTLRSAFTLRTPSPGPPLTAELSTIMEADSSGVSKIAQSRPSSPSRHFLHPHSNHGSL SVRAGQRHLQHSDSVEFDTSALNLSATDVENQVRDALRKSASSSRSGQEWLKADKAVV VIDADEHPEAANQTFNPDTVDADLLALLSPYSASKNSSSKSLSHTPDHRRRPSLSPTT PTFPPSPGSRHSRAQQSASLLPKLRSSPSPSPTSPWFPSLSPATPSGKNATSTTPKVT PEKINTNVPKPTITAHDTPPASPSPSLSSAPTSTPAPRRTLPPPRSPSMFSQPSLRAT NLFGNRNRSTEDKTPQGKETPVTSRLATRTLRQVMLGSGGSGRSKDSPSSTGDSSSPL QQVVTPPSRGSLDSRRPLPGPSLGREIGLGRPSLDRRRTSLEGRTRPHISRRSASPEH PERRMTEAEISPSRETEDRPFEVAGSRVKGDSTHLPSSVQRLRERERERERERERTPS LRVTGSSGDTALSIPRVTGVPPRARKRSMSVQEQLNEGRYVNTMMTDNSAYGSWISRP GSSASAHTSPKMFRDDDGVESRYERPASSGSGAGPKMEWLGPRTAKAFKAAGLLDFER DRSKEKEKSGGESSFGRLRDRTRSNSVSVAPSPSPLSSSARYNGARSASEYNYNPGHS RAQSRMAYSEVGGVTSGRRGSESFGGSSYGGGSSPYNHQGLMESPTFTVSSSSRDRDT PKSVSTAPTSLAESFGYLGRDRDRLDREREREREEVRDLKEKHGTEMAALLNALSDSQ RTVRLLREENSQLRDRLEHASVLVQQNNDLRHAFDSVERECAALRRELAALRAIKVPG LSPSWSGSSIGTTSGFRTPIPKTGNSSPLAMDSTPSFIRQDEEQEPEDDQEDAYNNTV IIHHSIDNQPECATPEFEPNADDTAGDFLPPTSTPSIKRRRSDTSSIFPIPPSNMTML LHDDTNYSMDSRSIVDQSQYAFAPANSQQMQRSMATPRAIPRPRGSGTNALATSQSSS YSGPGHVNNKSITSTTSISPTIANFSMVTGSPGSLFLRPEHEILLGDMESLDLGVPSG PESEPGRASGDDAW JR316_0010568 MELVSTQNADLVATQDAHQAQLTAIEKSMNKFLKSLDTWRSSTA TSNSTPESLSTHPIGRHIGRFAEAHRNGVQAAETGHAAARDGVGVAEHLEMFNNGDVN PSENEDYEHYMEQMERLISQGASEAEQTARNFREVQNGIKKAIQGFRKQGSNKIETLE AVDFVLEDLQKCMTIYIRWWTKAGMIHKYLSLKMTEIRGTDSHNNVDAIKTTWKDIEL AYLQYTDKMKYIEDTNQGTFEFAQAIAARIELTEYNEIRREEDERVEREARRIEEMRI EEARVIEAERIEEEARRIEEARIEEAKIEEARRIEEVCRIEKESRVEEARRVEEENRI EEARRIEEARRIEEARRIEETRRIEEARRIEETRRIEETRRDEKAEQQRIEEEMMFEK TRRLEEQRAEEARRILEAKKLEEQRVQAAKEFEEAKRSKEQRVKEEENRIEEPKRPKE EGCKCCIIM JR316_0010569 MPFGSGVSNIPRCPDSSRLNLDDLVDSTIESFELLVPWCSGQES GSKAGTMIRAQACSYAIAIDKSIIAAQYGFNTAREVLTIVRLSKACDKKGTQEHWKDM LKWAERGKKNAIDAQKEFRNVRLIEQAKRDPEETHDIDQLDTLKSHIPVLERFSEQVS QFASWWNGLEMQNGSQHRRTMMLQSMYNQVREASVVAEWMKLEDQYVDYTSAV JR316_0010570 MVLVVDKASQLNVDEKSPYEVGQKQTEHYTRLYNEVTPGVPEVT IKFQEPLSARIFLNGQTPIHKGTGVEIEDVHRFSFGMSSKWHMYCALAHTSLFNFMEI IQVSLDSPSTDICLRDLAESTSNIYKLLRPCHEVFKPIKSQALSYITAIDFSITAAQK GYTFCDEVIAFAASLGSSNDADRQEYLRGMVDLAHQAEGNAERAKAEFRNVRIVVGQW LALLTQPKIQLVQDAKKNINLNQYETASSNETRLKEFEDGVSLLERFSACISSYISWW NTIYMSHKSQVTRQTHVATNYNKIRNNDVLTKWKELRQEYVFYTDRIQHIQDTNSDFR KNAFQFLEEHQKATSDFTGFHDGYDGTHSDQLIPPPSSRRLANDQASGTPFKYHGQEG TVPTFYWAEASIMYDEQDSGGKARGAKSQ JR316_0010571 MSLYVKLETPGAFDFAAFTRATRGTLALLASLLKFQKCDPTVLG QIEAQVSGFVKAIRLGTSAAQCGFTTAEDILILSELVQSTTLEERREYLNGTLELADQ AYSQSKEAQQVFRQVRTEIMSIVGKLNSVETVSKPSSSATRTSLEGRLRGIEALDSFE KHISALADWWDWIKIETKVQRNGRSAIVDFDDSSLRERSVIDRWKLLRVQFLDYTNMS SFQNPIKFRLHIKDQSRKVTRIRDMYFFDRIYVAL JR316_0010572 MTDTQVTDCIKHLKSGQVIPDVIPASSTFAPNIFFSVVWPSNGT EVKVPGSTVPRDATINEPRIRLLPTFVSNDEARYTLVMTDPDAPSRKDPKFGQWRHWI VSGLSLPTVSASSPQGEIIANSGLTITPYYPPEPPAGSGLHRYEPPEGVSIPDNAIER KAEPGSRSKWDAMKFAHQCKLKLVGVNFFETEVNAHV JR316_0010573 MGIIKLTSLLGLFSYAVAGPVWSNSSLDARATSSKSVIIQMFEW TWDSVAAECTSFIGPAGYGFVQVSPPQEHITGSQWWTDYQPVSYILTSKRGNRSQFQN MIATCHAAGVKVIADTIFNHMAGVDSGTGVAGSSFTHYVYPGIYQNQDFHHCGLEPGD DIVNYDNRLEVQTCELVNLADLATDTEYVRSRLAQYGNDLLSLGVDGLRLDAAKHIAA TDLANIVSRLSPAPYITQEVIWGAGEPIQPSEYTGIGEVQEYTTALKNAFSGGGISSL QNLDNQGWVSGSQANVFVSNHDTERNGDSLNINSPSNTYITATIFSLAHPYGRPTILS SFSFSTTDDGAPNGGSGTCSATGGSAGWLCQHRFVAISGMVGFRNNVGTAALTNWVSP QSEQIAFGRGALGFVAINNADSTWSSTFTTSLPDGSYCDVISGKSSSGLCTGTGITVS GGAFTATVPARSAIAIHTGALGAGSVATVPTPSGSVAVLFQETATTTFGQNIFLVGSI SQLGSWAPASAIALSSASYPVWTVTVSLPAGTTFQYKFIRKNTDGSI JR316_0010574 MKLSGLVGIAILAQSASAHYIWNTLIAGSTTSSAAVRQPINNSP VTSVTSNDVRCNVSPGAASQTVSVSAGSTIGFKLDNTLYHQGPAAIYLGKAPGSVASW DGSGANWFKIAEWGATFNPFTFTDFNLAQLTTTIPSNTPSGEYLVRIEQIGLHVAGAP QWYISCAQINITGGGSGNPSKVSIPGYVSASDPGLTVNIYNPVPTSYTVPGPRPWRG JR316_0010575 MSTNIKDLQARLILTNSKLKRKDEENKRLKAIITNLLAKNDSED LSQDEDSAEESIQNSEDGEDDEDDEDEITGDEQTDDDAPPEPIQSLEFEDVYLCTNTA CGGEVTDGVCHFCGKPHQVLEDEFSILASTESQLEHPDRDIAPRGDTPLKEIEEGIEI PADYASRIGEYKELLRRGATPEMCTLFSLVFIPHEGISAFIPPEIFNQFSGPAMNDDD VWQIYLGRRVRLEETDVDGREFVESLLDDISVLPDTGNWATEEESPGIWITYPKFRGE LDICRPNMMDCRYASDDDADTPVYANDYDLSDDDSDQAELEGDDPAFFTTSGVTYNHL DRWYIHSDEDMSEDESDKENQDDDDSMKEDSERGDSSTISDSDLAGSDFDSDEVLTGD EEVLGYANP JR316_0010576 MAAKNSSRTTRSASSKSLSKTTLPKKPTKTQAKKAKSTRRAKQK EAEVLVESSDGESDCSEIENEAEGEDLSKCSIECTIRESPTELKQISASESVLNPDLN RSQIASESSTLTSPNIVPPNQSNDYELSDDDSEQDDVEMEEPMYTTTGGISYLHADRW YIPSDEEEDMLESDSGGEQQLDELAGDDDHDDHTTMTDSGSDFHSDEMFSGDEEILRI KFGGPPAQVGNGNGTMI JR316_0010577 MAQKLQRKKIEIKSLKKALKIVSNGSESDQDSTEDDLDTEDSDD KGDDEESDDEGDDSDDDDGEDLPIPTQSYECKDIYLCSDQACGGEVTDGYCFLCRKKH QIMEDENMASTESQLEHPDRCMAPRGNTPLQSIPKDDSPLPALYESRAREYRSLLRRG ATPEMCELFKLEFTYTNGIVARVTEDLFEEFAGLSMVECDWKIYLGRRLTLAKDDIDG YEFIESILDEISVLPGIGNWVTEEEETGVWVTYPKHSGQVESQPRDILDSVDIAAENR GGQSGRFGGDSATRFRDDSGHQKRGKTLNRLRT JR316_0010578 MFGLFSKKWNPEGKHVYITGGSSGLGLGLAHILAQKGAHISIVA RSQSKLDEAIESIEKLRVNPSQIFKAYSHALDSGDAAAKALQLVAEAHGGELPDAIFT CAGSSKPMFFIDTTEQDMVDGMTNAYWLQAWTAWRVSSHKRQAATKQMVKAGKKGAKI VFVSSLLGYMSFLGYTSYTPGKHALRGLADTLHSELKLYGIDVHIYFPGTMFTPGYEE ENKTKPAITQAIEGTDGLTSDQAAQALYKGVVNGNYHISGDLITDLFHASTRGSAPRN NWLLEGLYDLVAYFAVPVWRSGVESQVLAHRDEHQTYLAKKGFFS JR316_0010579 MTSTIDPNTIGKPVRPRRHVRTLTGYLPEKDEEGRDKWPKGEEK VWKDGMRSLDKDVNDITRSFVNHVQTSLARQPYNIDDLGAYHAAALSTRDNLLVNWND TQLTYTRKAPKRAYYLSLEFLMGRTLDNALLNLGLKDEYKQGVRKLGFNIEDILEQER DAGLGNGGLGRLAACYLDSSASQELPVWGYGLRYKYGIFQQLISPSGDQLEAPDPWLD NQNPWELPRLDVTYQIRFYGHADRLDDGTGRALWQGGQEVLAQAYDVMIPGYGTRSTN NLRLWESKPKRGFDLNSFNAGNYEGAVESSNSAQAITSVLYPNDHTWKGVEIEAAVVR VLVSGKGAMAYSVISFWTAASLADILRRFKNLNKHIREFPDYVAIQLNDTHPTLAIPE LMRILIDEEDLSWDAAWSIVTNVFFYTNHTVLPEALEKWPVPLLEHVLPRHMQIIYDI VYLLPMKPRRAMLIQCAILAVEKKFPGDRDRLSRMSLIEEGIPKQVRMAHLACIGSRK VNGVAELHSELVRTTILKDFVEFEGISKFGNVTNGITPRRWLDQCNPELSALISKTLK LDKSVWLKDLTKLEGLLPFTEDKAFRDEWAAIKQRNKERLAHYVQTTLGLTIRTDAMF DVQIKRIHEYKRQTLNILGVIHRYFTLKNMSAEEKKKVNPRVVFFAGKAAPAYFIAKL TIRLIVNVARVINSDPDTKDYLQLYFLPDYSVSLAEVLIPASDISQHISTAGTEASGT SNMKFCLNGGLLLGTVDGANIEIAEEVGESNVFFFGHLTPAVEDLRYQHLYHPIPIEQ KCPALAHVINQVAAGVFGDGHVYEPLLNTIRQSDYYLLTDDFDSYIAALAMVDEAYLD KDEWAKKSIRTTAKMGKFSSDRAINEYAESYWNIEPVIVEKA JR316_0010580 MTCSTVLRKIRDDPWAIINRCAGTDDMPHGVDTIPSQSSLAVQG PLSYYLLETGKKWKRECSLSVNPASWMTDKIKELNNIETHAAIYKTWDENEREDNLFL RRQSRLVAHLKSLGWEPELSLMSEDGYEDLFDIPQIYDACCNELTDTVLISMGPDLNV LMSESRVLRLQADRRVFLENRLPILSKVAKECAAQYSLYTPTPSAADLFKVQLVRDLI DDTSLEPFTSAHLDPLRVNYAQISHEWRTQMEGKLISLIKSACGTTYNFDESSVLRLA TTIFSCKTCRSDHLRYPNVLAHYHGIPYEPYISAEKALDELERRSLSHHTRSTIWNDE NGIYFNKDRMRAMADILEQFGFDPAVTTSEEMDAADPIFECVSCNSLIKGRCVLRWNI LDEHRIAHDRQLLPGLGSVCNYELLTGEDEIVARRKEECGATLNEHVWKEHGIAEPGD SDILISPTAMTNNQSRMWPPRKINYLVSRK JR316_0010581 MREIVSNHQLCVRTYPTNQNDAFVPNVNVALLTRLIHDGTANLL PGRDLKGKRKASNDIEQEERESKRARNSANLTSNTKESTQQYRVTVSYPTISSLRSQD MPHHGEMAPILHHLLNIHYDSDLTQDIGFARPDPVSLDIWNREDDELQAALLALNGSS LDLGIVRITQDGEHVVIVSGKGEWLLTTPSLRIDANSHDIQSESAVDLLLAIRTLQLM GRSHLEAKLKVLPPSESFFFSLQLEYTASIVLPAIMKPFSSKRVSKKDVHSRQDARRR FLTAAWVYDDMHDSGGKAMTVSSFYSTMGPAPPLPSPEATKAMQPVALSSTLLPFQLR SVAWLLEREGISVTSDGKLVPQESSGQFSFWSEVKDGERTWYYNRLSGELAEEAPELP TIHGAMLAEEPGLGKTVETIALMLLNPAPKDWNPSLTRWDPDGHLDVKAIKSTLIVTP PSLASQWKTELANHAPSLKVLMYDGWTKVKVPISKTKWGLERFKKVELESRPKKKGKS KARNVEEDGSNSRSDDGEVLEWCEYVHQFDVVITTYNVLRSEIHVARPPPDRPKREEA SYHTSSRLRSPLVMVEWKRVVMDEVQMVGGGQAAEMVSLIPRLSSLAVSGTPAKSQMS DLIHVLKFLRIDQLVGDLRLWNRLLKPGFAKDFAAFLKHYGIRTMKSCVTSELTIPQQ TRYLVGIDLGRVEQHVYDQTLEAILQQLGLDARGIAATEGWQVNGNLLRSAIRRLRGI CTHPQVGQLQKRGDGLYKPGALKTIDAVLQSMRDQNWKNLMEDWKAKIQLMIRYAQLQ QKDDTVPNHQQNALRTLVLVEEDTNKHLEEIKDALTKHDAKGKILIEEAAQLRQQREV SAEAGEPNEKGKGKARDSADGREDDGGEDEDPEEKGLPKTPAGEEHRVKRRTLKSRLR EGYVLLHRVKFLQGDVYHVLGRSNDEDAAYQAAEQLRRQLLKVTENEAAKAMSMLNGP NLKPTVTLNDLIIELPLLGKGGIRSTDLMEEANTIVDEVLNDQSDLTWEWRTHIMELL TKPLNPGGAEDDVDGQEYQRTLDDQGEAETYLQAYAAILSDRREALVNERTLLAAHEV REKQVRQTKAALKAATAAAEALDVPEGLDIKPEHEVLHEELSSQRKDILIRLDGRSVK SILIDLNGVAVKILQENNPEKVIVREAIDKLRRFISEQNSLYEKLDADLALLRKAFNQ RILYFRQLQEISDSVADVEWEEASAADAGLACVTEKNELEAKINTTRARQRYLDNLAK NQDEGIMDEDDKTCILCRSEFIRGFITQCVAINPDSVQRFTVNAAQIEPPPQPVLGEP APQSRRQIEYNRIDPAIFKDIQTMETYGDFGSKIQTLIRHISYIKHIDPGAKSIVFSA WADSLHIVERAFSENGIPCLRIDQGSKNAAEKFAADPDILVLLLHGERENAGLNVTCA SRVFLLESVVHHSFEIQAIARIDRLGQTRPTEGTIERNILDLAARKGLSLYTKENSHG TVNVSPFNQDNEQDVDNPERRKGQQRGDFIHKIDDMLSILFPHMFEDLEYLLPPSVAL PFDPSIDEVHDVTMADNVSASITRALNHAPNSATTNVVAGPSRLR JR316_0010582 MGIGNTMKNMLSSDTSPSDERPGVDMQGSDPSSNADTRLTRESS RLTNSNRATHGPAHKLPSGTGPGTSGKPSNVASELAMQQDAERAKVHGISGYGTDAAA AVGGDLSARMMDAGTSSGGGTGLGSSSKRTTLESYSQEATEGRAPVPVTRTVVTESTY ERGRPSSDKDYMYREREYDVDLLSGDHVFGHNKNSPKDSMPTANAEEDTNQLQPVTHE RVRHIETEEISRVKDRERHIHHIQHHTQPIVAAEELEEQHKSFIHPVTHVREKHANKV EDNTLFEGQVNQFHDTLMHSSKERTIIDKGTTVNETVHHHVHHVIQPVIEKETIDRQH IHTTIPIHEVTHEAPVVHQSQTHAPVPMEHFLQRGGTLHGAISQEEISRKVLHTGQCT REVEGIAETMERDLNLKQDTRGAHTHSVTEDAELAAKKAAAKRQASTNVDQPTTTTTA RSRN JR316_0010583 MPTLRNRKAVPSAVEHSASAVKSTRDATKRSRTMDSNVDDDGEE SPPKKKKRKVPKKSVAKAKTVENSLGGLPVEIWAFEICTDLEPKDLWHLSCTSKHFRR ILKSSDLAPTLWKASRALIGMPDCPSDLTDIQYTNLAFGESCQYCQKFVMVEGPGQVS MDPRVQKKCPKILVPYLPSVLLSYTNYVATGETWKRECAAAENQAKWMKEKIEELKYI EEHSTIYKDWDAKQRHNNLFRRRLARVIAQIKSLGWTSELSKMSRENYQNFLKSPRIV DACKNNSMTDTMLNDMGAFINTSMTQYRTLRLCADRKALLGNRLPILSEVTKECAARY PVDTPTPSAAELLKVQLVRDLIDDTSLEPFTSAHLDPLRLNYAEISLDWRTRTEGKLV SMIKSACGTEYKFDESSVLRLATTIFSCTKCKDSHSVTKDNFWYPQVLAHYHSIPFDQ NRRAEEDLVELERRSLEIRTGSTFWNDDNDIFFNKDYMQAMADILEHFGFDPAVTTSE EMDAADPIFECVFCNCSHRGRYVLRWNMLARHQWGHKRHFRTGKMPLTYELLSGNDEI AAKRKIGSSTMIAEHAWQEHGIAELADSDMLPSPAAKTINHSRMWPPQKINYAVSRWA PTV JR316_0010584 MSAPIASTSSSSNFDLEAQSPVSPVPVLTHSTWTAPPMHMLDPT DEQFGYTFSTHDSRHDERRQSLSDVPPPYAEESAIPLPEYTLHAPEPVTLAMFLFKFG FLFPPFWLFGAFILLSPLREPSQPSSDEDTPAWMPEKTEEERREIIATIRTTELKWAR RCLCAFCILTVLAVGGGITAWAILRRS JR316_0010585 MSKKEEKAQLKESQSLLEFYKLHKDTIASCTLGAFCITLDRPTT RNLREMLVIQVVPNPASTRPETAFRVTDAKIMSLDSPETIEHFGQSQCEHFRKYLVEV AKMNERVGNIGGFMVVLETNSIKYYEPVSFKRPPVLLPSSNPDDIPLRLTWRQSLIRT LNSVMPCSFDASGNLVIMLGGGHVLLVVVKTQIPETGNNKKMETKGRDYRFLQTTSSS YERGTAKLIFRGGHILLCLTAFATGEGKMS JR316_0010586 MSRLIIKNLPVYVTPARLREHFEQKGGPGGTLTDVKVSSKSDGT SRRFGFVGFKSEAEAQKAQKWFDRTFIDSTRINVSFSEGAADAPRPRKRQRLDPSTSH SDSTTPSSVTKDQKSPMKSDKNREEFMKLMEKKKGPAWANEAATPVPIMPAEPTPSVV PAPEDTEAQGAISDVEWMRQRMSAAVVDEVVEERVFEQDEEDGGKPVNATESQKQGTQ PATADASKPVDPTKETILQTARLFVRNLAFSCTDEELRELFEPFGQISQIHTPRDPTT KQHKGLAYVTFAKPTDALAAYEALDKRSFQGRLLHILAAVDRKGKPEVQEGEGHKKSL KEEKNQKRKAMAGQEFNWSMLYMNSDAVASSIAARMNIDKSEILNPESGDNAAVKLAL AETHIIQETKSYLESQGVLLSAFSSKARSDTTILVKNIPYGTSEEQIREMFEPHGELA RVLIPPAGTMAVVDFEKPDEAAKAFRAVAYRRLGNSVVYLEKGPLGMFIEGFVPPTKG TASAPTTTAAHAVRIPEQVGAADDTDDVEEPSIAGGSTLYVKNLAFSTTQERLAKVFS HLPSFSFARVQTKPDPKRPDGRLSMGYGFLGFKDPESAKKALKSVQGFVLDGHALYVS FAGRGAEEAEAEKKGKVDAGKSRTTKMIVKNVPFEATKKDMRDLFGAHGHLKSVRLPK KFDSRSRGFAFLEFVSRHEAENAYNTLRHTHFLGRHLVLEWAEEAEQDIEVLRKKASV GYGGGKAMPGQKRKLDMGRNDEEDVEALE JR316_0010587 MATAKPKTVFSDEKNPRGIPKAPFIADVEEYIGSPDASIESTMK VFQDALAKYRFMDSSLTQRRASLEQKIPDIRKTLDMVEYLQERREGKSKAKDDEDDLD DLDDEEEGDATKPLTTTFELNDTLYAEAELEDTDTVYLWLGANVMLSYKIPAAITLLK SKLDAAQTSLDNTIEDLEFLREQLTIMEVNTARLYNWDVKRRREKREREQKAVTATST VVTK JR316_0010588 MTTLDDTTSKTRGNASDDIHDEKSPIDMAEKDSHTTYSSTENLY QDDALEPVYYAKTLILNRAIEEIGMGKYQYMLFLVSGFGCDSVWPLITGLILTPVVDE FGFNPPFLSLAVNAGLLVGAVFWGLGCDVWGRRWCFNITLFLAGVFGLAAGGASNFIT LASLLAVMGVGVGGNLPIDSAVFLDFVPASRQFLLTFMSIFWCLGQLVVNLLAWPLIA NFSCPVATPTSPPCTRSANMGWRYLLFTLGGLTLLLWAIRFFVFELAESPRYLIGKGR DAEAVMIIHKIAAYNGTTSTLTVDQLAAVGGGEFSDKQQETKAEGKKLSLTRTSDFTL GHIKSLFRTRKIAYSTSLLISVWGIIGLASTLYNNFLSFLLNSKGAHFDDATLNITYR NSFILSVVGIPAAFFATWLVEIPQLGRRGALAVSAALTGAFLFATTTARTSNALLGWN CGYSFFSNVMYGILYAISPEIFPGKDRGTGNGLTAAATRVFGLIAPIIALYADITTSV PVYIAGALIIFSGSLALLIPYEPRGKAAM JR316_0010589 MAASYNSSTVRPHAGNGQSSPGPPAPGLPSTSTFSFKAPFPVSP VSAANVTALKHRRVSLASPSSPRIVKPWSFRDEMGLQPQASEDANVTAAAGKATSANT ATSSPLAGSPSETTTPPTDGLPEKKGKMRKIDPTQNSDDSALVLEKKPRKKWSSEETQ MLVDGCNKHGVGNWKTILQDPEFTFHDRSAVDLKDRHALFFNDYHMTLTMYWGCRFRT YFPDAYKKHYPNARTHLSSKIRSTFADGTSLFEKTRSKRRRPFTEAEDRALKAGYEKH GTTWATIVKDPIFQEQNRRSTDLRDRFRNAFPMLYQAAGYKPRTAAASKKRDKDNLDG APQVMRAADDQLATAGPVRSRRRAHTSQGLLRGGTKSVPQSTACSEDEDSSGGEEESE SSRRVFKTPPLPVFVDGNHDFRAGSKMKRPVKGTTSVSAPVISTSGSASSNTTAPSTT TSAPVSQPTEYNDGPEDEDMDLVLVDTDPLNIPDFLPNHTHSDMETWSSGLNTPTHSS TAAWSTAAASPTSSHVSDFFLTGNATSNSASSASSPFIHRRTDIPGSHNMGNMIGKSA WGTNDWFSPNPRLDSGNGGNASSSASSSSYIDASSFSPASPFSFHPHLNHDVLDRYDL FPPYMPDSNSSEVGHGDTHTTFSGEFGLGLGLGGASGTSKGYHSQIAGDLISGGARMH QQPSSFAAAFLPLSLSSGAAYGGAGAGFGGAGQNGEISGLGLEGIHPMQLHGHGHGHG HSHSTSLAAIDELGLTGISLNDRVDSSSGASTASGNGGDAMDDGRRDGVGGAGTGNND DEQDAVMMEMAFGIPHSPEKAQLQQQQQMRSLQEEEEANSVDLDNSLSSDSFGLDDLV DMNELHATPPATPVLTQPRPMRRASGTMMHSFDSPLTGGGGGGGGHHARSISVPPSEA RNVFLGGGIPMSMDDVGLHSMHAPVDLQQQQLAESARTLHAFFNPHLSSPVSGNGHGH GLIAQQRHKASEPMRLANSTGSMQPPMSPVLRQQQNGSVQQQIQQQQQQQQQQQQHHQ QQQQHHQQQQQQQQATGSVYTNNLLSPSSPSILSPSSNSASAAAAHQGHGIGIGHSVH MNMNEQNLNNEIWRNVFPYNLSFLDLHTDYTHAPGHHHSQYGALNNHHGLGGGLDVTL EDYSEARQGLALDLAQTAVSSSSSLFGANGAGFGSFNMNLGASLRGFNPSPVGTIRQR GMGREKTPIPARFVAPSQTVSTVNGELSELGIVGATVDGHGGAEDGGRGSNKDGTIRS RKQPQRSGNGTLGRSMSHHRGQSQSAVCPQDLMLRSDNKRKRASWDGGIA JR316_0010590 MAQPTAAQPPKLVLEDFISGALAHTPAELHPFFESFKTLYTRKL WHQLTLKLFEFFDHPSSGPFRQRVFELFVRDFESKLNQLRLAEMAVKVAGVIDAPQNF LTALLSRIDKETAPEAHVILLTAIAKAKLLFGDQQGTKTDIDAAQKILDSLDSVENSV NAAYYRVAADYFKMKGDFASYYKNSLLYLACVDIEKDMSPQERLERAHDLSLSALLAD TIYNFGELLQHPILDSLDGTNHAWLKKLLFTFNEGSIGKYEALVPLFASKPPLAQNIA FLQQKICLMALIESAFRRQGNNRTMSFKTIAEETHLPIEDVEFLVMRALSLKLIKGSI DEVDQKVLITWVQPRVLSREQIAGLSNRIGEWVDKLNKVATRLASEVPQTA JR316_0010591 MSRTNIILSTPHNYDLQGLAETTQQSLSLLKPWTTLPPSPSNPL IGEISTKAANYVSAIQMGVSAAYSGFTVTEEVLFLAKVLPVDDQEDLKQYLVGMMDLA REARENANSAFQAFRNVRTDIYETMSKLTEQLKSADHPGHRARDDYPQYRKEDLDVLV KFSEYLSKYAEWWDWIKVETDPSSSSKNEPLAFQLDSLTDPEAVKRWTQLRSQYTAYV QMIGEFEDSDPQFFNRSISPSPEAAGQSSTNKHIMDVSSTPSSTATLHASSHATGPQT VNGSSIPPHKPNKPTPERASSVDIKLNGNKSSGPSWHIKSVNLISKFIKSLQITKFGQ IAIRCVTKRGSYAAQNPNQTSTEPSPKKKKNEKKAPTPSSSVNPSPTKNSTPKTSSD JR316_0010592 MFAKFAAALTVAISVLSAGVSAAPTNTDLVARGGFHSFNGYQGL SSFHNFDNFYGADNFDNSHFSESSISVVKEKEVVCHSQSITIIQQRLLVLQEMAKRII SEQICEVETQTVVFEQFHSSLHGFSRDLRRFSGRNVGYDSGILSHFNSFYESDGSLSV NDWGFSGHDLGRSYIVPSGNNWDNSRSFGSVGNAYRSARSAVTKF JR316_0010593 MTSKARLKSLADDVEDAEVASLLEAQHSSGDNGQWSKDKSGDLD WSYTARTVRTLSHDQLLNELWEKGFSIPPREYNPSAPFVYVKMFNGEVVTLPHGYRLP LMFVARYYWGIALSKLNDVNLWKKERANVYHLSRLCENLFQRARAKAFAGGMEKGWRC VMFDRLLARFYKSWYRNDPPAGKEFEQKFSVKEYDRDVLKHDWKRWCTRGLNGVRMKE QEVSDGITIAEFRAGLERLGDGEWSLFGERLTWIGDDEDLSWSSESELTEFEMDDEAE QGAQEEEEEEENADSDLDAEGDEVGDDDDDPTTHQSGHTDLEDAPSKRVRDLPIDEDE NERATKRARIEMISDTSHRRHKVNNIVALTRTLAAMEKNPIWARNNFSGASGSGNGNE SQEPRQSIGPTPPANPLDPEAEETIVSRPRPVSQPPIGSGVDSSSVTNNGTLANRGER PSSPNSMPLQTSVPRRFSSVTLSQLRSPSANVSSSKLSSASNPSTNKPRRSGKKAPHP SLLHPSGSKPRQTRASQIAGVATVNVYVSDGKPRGGAVAHAAARAARKAAEQSRASSV SSSSSSSGSSRSPSPTPSASQSNLPFRSPAPQQSTLTHTGPTSTEQADTENTLHAQTG KWAAIAPPPPPPGRSAAARNKRAAQASVGAESHRPENSREFITSEALKLLVNLVTSSR NEGEKLINAGSASSSSTAVPSIGTAPASGSAIDQQALEGRFQHFEGRFLSVKEELVGI VDRLQALEKEAREQREARKALSDKEFCDRNIGCAPEGTSQSTQTERNSVDKNQGGDED VEMMSVEKESGEKERSMDLRRGVQSVPRAMGEIAIQTDQVEDDSAAKSHASSSSQSGT QRDTDIGSGANRNGPDTHSSGHCGGSETDTDSTLNAAKDETIDPCAAEMSSNLSLLVN NLVSVKMLSLVGDMVKGKSKDTSAADPDISIRSMSPAIPSSDSFAINNIMDEVKALKD EARAREQRDKEEMMALRQLHSAEVDALRRRLSYLESQSRYLDSDRYRSSSRHEEYHIS APVGNDHHYHNEGGRHSRSRQPAFHSASARVHRSPVVGDGDQPQSQSHTPVPDRDRNG TGPSSSSSSNPMPTPLNSERSFSFTRPGTDREDDIPLPIKSQRKHMFATRATFG JR316_0010594 MYQLLLVPIRIESSGSTIMQTLAGLALDSKSRTSSASIQKVINA FLTLNIIQMIGVFSMMKFDQFRQQLLVEQLPQYEQYEALPEDDNVEPNSLEVSQELQP LDSRRPKRSHDMIVSPAERARGKVFFVTSALLIAGTWLLFMTSAGIEFWSAKT JR316_0010595 MVTAMCSGMSIITCGFVIITQGPSLKLTFDRERQRFLAFVSLLA AIFGLFGNGFQLSDHPKSAGESTASFFFNWLVQLGFAGIAHNSIIRLLTARAKSPAPE LIKRTSTLCCIFYLLTLPVMAPTFISLIHPARSSVKVVARDMNITYVCVVEALATVAD VTLLWRFTNNKEHGHETRKKMIQDMWIVYAFIWFTIGADIFAKASEFFTTYNSCL JR316_0010596 MQINVRVIISALSLASLAAANPVPRNEPTGQCNTGELQCCNSVQ AANSSGATSLLGPLGIVLGDVTALVGINCSPISVVGVVGNSCNTQPVCCTDNSFNGLV SLGCTPINLNL JR316_0010597 MQLDTGKTSAGLVGTFRNIIREEGAGRLYRGLVPPLMLEAPKRA VKFAANDFWGKTFLGLSGESKMTQSISILTGCSAGATESFVVVPFELVKIKLQDKKST YKGPIDVVKQVVQKNGLLGLYVGMESTFWRHVYWNGGYFGSIYQIKSLLPKPQTQKAE LLNNFISGAIGGFAGTVLNTPFDVVKSRIQGTEKIPGVVPKYNWTYPALATIAREEGL GALYKGFIPKVLRLAPGGGVLLLVVEFTLGIFRTALGPPYI JR316_0010598 MPSHPLQTRYSVKWKSSEGKLVLKITDNTTCIKFKTNSSIFLNR FESLNLSIMQKMQNQQRREETPAAAPTSDVHPAAENDRASPVPAQISNAIPVQVPATG GVKKKKPKKKK JR316_0010599 MLSYHEAVHVFDADDVQSSTSLSPSATAHSSPTLPQPSDPQLAA QPASTHPPTDGKGDPGNDGDDDDDDQENDDDDDDNDSTNKSKKSSTEKKKSGNTRRGV PRLRFISRSDPDDDGMNITLSFNFTGSAISLFALQPRGFVSQMPNGAPTNMNLSFVLD GEAAGDFRHAGSADADGSSGSFANATVFSKTGLEDSPHQLVVHVGENSTFIFDYLVYT TEASSSTPTDTGSPTPLAETTPSPTVDRSEKNRNVATFAGAVGGSVGILAIFSLGLAI SIIRRRRLAAARDRLDSESLHTNGSDDSPPMAGPAPFVPRFFPDTVIPSEPPTYTVAL ATDANNSTLLSSLTSSPYLNGTRTTRSYADIPPSIPPPPEEEVVLMPPPPPFPVAVSS PPPNLPPGAMPPPIFGVAPPSHPLDAVGTTRSNSAPPPERVPLLQSTPAIIPRPISRA SSRSAYDLDGNPVDSDSD JR316_0010600 MIGFEPEISPRDSVAHYSNKSDSTTPASVIDQAALVPSGPLPRR TLADVREQVSREIAVQTVTKFQVFDGVRIGVRMVMSKSGDENFLRMVADHVKHQLTLS NHLFVVATIAQSAVSVPSTLIISGSSQEYVQRAVLLASSKFIGRIVAANDEDDRWIAN VMDLGVSPYDEEALWDVVRKAARSPMDPLTPPPGSRGIDETLSLARAKLQRVSAAQAY DELRETQVGAPTFLVDIRPQAQRELEGGIHGSLIIERNVLEWRFDPRCASHLAIADRY DLRIIVFCQEGYTSSLAAYSLQQLGMLNATDIIGGYEAWKNAGLPIDLDRRESRSLLS PRGSVA JR316_0010601 MVKKTVPWYEGATDMEEWPVHPAEFKEPPEGWTLPPFPPRWVPN GKVSYRVLGFPLRWSDFIRFAEKNELEVGKSDWDKASTACSDMMDCLFYYKCEYADIA IGDVEVPVFLVADNSKMKYLKHPEEAKRIYFIQTYLGLPRPPVWLFHRQRMRDNVKLV QWLKDGAAQNTAGGSQKDDETKAKPQENENTEQAPPESSSQTVAVPQAEDDKAAL JR316_0010602 MRSSSPYLVRQNGGRRYELAIGNSASWSRSRSARGATDRWDVPM HQLSLRSVRIIALTERRNIIVDFKRYALRTKKINGALVNYNVCHKPSSPPSQKALELL KASLSAPYPPVTSSSSSSTENAGKPLDFNLEVVESPPTSDQLQVILSYLPSPAANPSL VFLSAHPSNALSAAEQPTTVKGIAELAQKNPNAIKWPIVVDWLDGKASIGSVDGVKGI LEHLRKKRDGEVE JR316_0010603 MSYGLMALDLHRNMARWENEVFHVIVHPWPGESNPRLDQTFLAI DAKPRRIDELHEVHELGIRQELEKLKAEVKGDKQLCGMVVITTDIESMISITWPIAFT DVLPAIPPGVDADDGKNLYLSKPPSSQNQHREMDLAPASTGPLPYGWLRKSMMQCIKY RKQPLWDGVTLSKCASCQTTHYCSKSCQKADWPVHKTTCSKFRDILQADASAPEDARI QKALHLFANKHKSVLGTYGVIAMDFRFDVTRGLRDAVAVFLKYVPNEKRTDQAFKAIH AAVVPFELFGVEREEYLREESRKLHDEVKGTRTIGCVLVVCFTVDSGLIHVSPMSFGN REGHVDNGNDQRLENLLD JR316_0010604 MNHLEVEKYRTQTNKPSSARPTSNHITISSRHLEGPRWGSRRIL LNKTELKVLTNEPENRFVCHSLSPSTTLTELTKSKRWYENEEDVADWPVHPSEFKEPP QGWSLPLLPPRWVLDGNVSYRVLGFPLRYSDFIRFAEKKWHEDAKDVEDSPVHPTAEF KEPPEGWTLPPFPPRWVPRGNVSYRVLGFPLRWSDFIRFAEQHELEVGESEWDKASAA FSDMMDYLVDYKCQFAEIAIGDAEVPVILVADNSKMKHLKHPKEAKRIYFVQTYLGLK RPPVWLFHRQRMRDNIKLEKWFDEEDVENEEQSQQDGKTDHALEKIENSEQAPLGISS QIDDDKSK JR316_0010605 MIAALASQSPAVAAKGDVLKSLRAFCSKHRPSLAEYGIRSMDLS LDPTRSLRDVVLIKVKSVPNARRAETSFKAVDAEVVSTDTFGFAQGEELRGQLKDFHN QQKRIGKLGGIMVMVLDVDTNTSNVCPVGFGKDVLRLKAGLPWKEPLIRTLNKGIVY JR316_0010606 MHSFLSKVFGRKEKDAETSPTTLRPGELLDGKFEAISPNVSPSA ANFLELEGSKTEGENGKEKEKERDNRFSLFKVKSRPSPPQVSYKAPIPLPQLSLDFST SNGEPSSRTLESFLSVDPDANILLSDAIIGRRRLNPLEALVLIRACSQAIVARGLETL GVMHPHWYSASPEVQRRLLSQFIHSMEPKTPLTAISLTPALVSSPFESEIESTRSPHD VAAVLRWGLRHLQLEGDSFGTDDGWYKAFLDAEAAAEYPPKAFSEKLAPALPKAHLDL LVATLEIFSSLAAHSEANSTSGSKLSKIFGLWLLTSRRVEDKDDWKSFYARWERMGRM LEHLFLSRIRDESTDQRMPVRLLELVRRYPYTQGLSPPTTDVQFLSPPHFTTRLYDAL YVRIEVELSSERRKPKLKVHPLNILADAFSTKVEGGEYAELWAKITAASKTGSNPSPL SNIFADETIRFLSIVPDKGSEKAQEAKSPVFNLLSPSLARGHSASVGETDRPVSSPSR GYSFSVGEQSRPSHQHSKSATEPPATSAIGSDWVQFSASGFLDSTPAMAPLVSTLFDT DLEKTTPVTTTLSRKSSKRGKAVSRKSVDFSAEPVPPVSPPPPADPVKKEATAIVRAT KLEVIELDEAFIDFWSDSLLDPITATWPTFIICKFKSTLVPQLLYGPVQEGGKQKTLK WLVLEQAFTVRPAPPPSPSAVPRPESARPVSPALSTSGRNRFSFWSMSRTASSSSQSS QKGKKKERALNVGEMGELIEEPAEQAKQEVVQLKAAPSKSKSSKAEKAQKPIEKPVEA AKQPADTTDTPDLETAAIAAGAAITAAIAGAATAKAAAPAAEVPEPAVETQAEAPAPA VEEPATVSETPAAVAESEAPAPAVEEPAPVSETPAAVAESEAPAAEVSPPVTEAPAIS EPEVLATEVEAPVLEAPVEAKHEAAVEEIQAPVSEQQPSTDVSSVSEAQETTVEPELT STEPQAPVVEEVLTQDASTDDNATVSEVPIYESQVAVADAPSAVVVAEADESAPEPEV PTAEAVAPAVDADLVVPEARDDFVVPVATDVETEVPALEQISEERVSAVVLEVQEPVI ESVPPATAAEEPVATAPAVVDEPAVEEPVEEDPAEVAEVVVESNELESPVVGAPVAVD ELPSTTTETAAVVDEVATSNVEEFAPVEAADLTEAPTSITETPSVDVDAAQESIEEEP RVTDKEQETTELASPEPPAVEDAQPVVEEEVVVEEEPVAEQKIVEEHGEARVEEEAIV DEASSGAVEEKSAIVEDTPVVEEEAPVVEGTPAVEEKALAVEEVPVVEESPVVKEEAP VVVEEAPAVEKEPDVKEEETPVVDVEETPAAVEKAPIIEEAAVVEEASVVEEASVVEE AQLVEEAPVVEEAPVISVAVEEKSALDEVAPVIEESPVVEETPAAGEKVPVIEESPVV LEETPAVVERETPVVEETAPVIENIPIVEAPPTPVIEDHIQDAEPLATHAEEPVAEHS VSGTDVEVPEVVQTVDVPTLSTVIQTAEEDSVTLADPSSVPVEIGTSTVSEHEQESQS VTLEEAIAEAQAAPIVEQAIAQQNEPEATQALSETPSAEPDSWVTIDKIASDTEPEAS ADSEPTEVIIPVEEIVPSQVLNAIPPLDDELNAEEAEVLEHASSDILEESSFEVNPAD HSGDEVQPDHVAEHNLLAEPAPVPSEVAVDVTDETPSLEEPTSIQLDQTREDVVSEPV QVDAVVPDVEDKQQEVSDAEIAENIKPNVDDVPPLPVDSQSMYSAETPVTVAKESTVV ADILVSEPQALDADAPTPAPVAEVGDLPSNAEIPPAVEASSPVSEAVEPEVPATDILT PVAEVSDASKPENLSQVPISDIPVTAELDDQVDRSLATEYIPESDQPPVAEHQDDGVE LQPFKADYQAPIVEAPAAEPEVSVTKASVRVSVTEASVSIQQIEPPATEITPIVNGEL QNVSAVEETKSQDTSAVAEPQTLIAEVESPAVEVHEQVSEEPVGDVEDQEPIPEAVIP PIVALHESVAAVPKEPVDEAVAQEHLTDVVEGQDEVETPHVEVPAANDEATLNTEDAT PFVSAGILEAPTSVIETSPAVIESSQTHIEVSQVTEKEQQIAKDESPFVEETPAVDVD ELSAEEDVAAVEQEDIEPARVEEQLDHTLHFEKGEPVVEEETPIVEGKISVAEDENVV VEEKSLATEQATIVVDKKEAAVEDEVPVVVELSSISIIDDYIQDNEALTTDVSEPVAD SQFKDATHDDQLSAVEVSAPTLEAPVNNATASEEFPPQGIAADSGAAAEEPATSDLIV PQDNAVEAIADTPNVEDILGSDSAEITESNVDLPTIAKVSPVEDSQVSEVVQNVHSKG NVTEAHVAPIAESDLVVDVVQSEELATPVAKVTPFPGPSAEYISKEASGPTEDFPTVI ETSPIEETLLIEKTSPIEQENPVIEGMPAIEESSGVEETLVTEETPAVNDTHVIEEIP AVEEAIEKAPAVEETFAIEEAPAVDLEEMPLDTTIAIKETPAIEKAPAFEETPILEEN PAVLDTPAVEGSPAVDELSSHADTPAVEDCPVVSDTPPVEDTPTIAETPFAPVVEDIP TVVDTPSVAETAVVEDTPAEEETPAEKETAAIVETPTVADTPSVEGIPPAVEETHIVE DAPAVEETPAVEEASTVEEILVAEETPTVEDAPAIEDTPANTPAVEEIPVVEETSAAL DTPGVEDTPGVKETPVVEDIPAIEEASTVEETSDVKERATLEEIHAIETPAVEETLAV EETPTVEETHVVEETPTVEETHVVEETLAIEETPAVKDVSTVEETPVSGLAIEETTAA SDTPPVVDDTPAVDDRHGVEDTPALEETPAVKEILAVEETSTVEEISAATDTPVIEET PAVEETFTIEETSAVEETFAIEEKPAVEQTPAVEEKSAVEEMPAVEETNVVEETPAVQ ETPAVEETPAVEETPAVEETSVIEEEPVIEETPAVEEKSVEETPAVEETPTVEETSAI EETPAVEETPTVEETSAIEETPAVEETPTVEETSAIEETPAVEETPTVEETSAIEDTP AVEETPAVEETPAVEETPAVEETSAIEEEPAIEETPAVEEKSAVEEMPAVEEKSAVEE MPAVEETNAVEETPAVQETPAVEEKPVIEETPAVEEKSAVEEIPAVEETPAVEETTAV EETSAIEEEPAIEETPTVEEKSAVEEMPAVEETIAVEETPVVQETPAVEETSAASDTH LAEESFTVEGTPPVEEISTVEETLVTEETPAVEDAPTPAVEETKTIAVEETSAVHERP AVEETPAEDIPVVEETLDVEETSAASDTPAAEETSVVEETPTVEETPVVLEMPAVLEM PAVEDTAAVEETPAIEKTLTVQETPAVLDMPPTVEDTPTVSDTHVVEEASAVKEVFVT SDMPAVEDTAVVSDPPAVEETPVIAQTIAVDESSTIEDMPAAVEETPVVSDMSAVEYT PAIEETPATEETTVIGETPLVGTLAKETPAIGELLVVEEAPGAETLANEETPPIEEPP ANEDSTVAEDTSAVHKTVDKTPVFEDTPAVGEQHVIEQAVDNTPIGEGNVLEVTPAIE EKSAVEETSGIDEANEETLAVEETPVIEEIGIVECKPVLETPVITEASEGTPVVEQIE ESKGPVENTPETAELPAHQEQEQSDDVVNDPTLNLLPVDPVEATQDASLFVEQNLTDS DNPPTEAIARDAQPSEGQAEILNIVSSVPTTESIIVPEDSRTEALVDLPPVPLVDVNV VPVVPDDSTHTTILIEDAPINELPIVHEESSSLYDHESHLVQDPTILSGSTPAPHVAL SISEPDLKDAVENSRERIDDVREDEQAVSTAEVVNIGEEKSVGDNTLNEEGSSPAAAE TPLEKADESLVATDTSTTDVTPPSAEIVVEAPATVESSHEVKPAHANALDDTVVDSES NPAAQAFEVQTSAPPVIEEVSLISEVDQVVSTADIDVANSPAEVSDVVISHSVERTIE HTPEPPAPAVEPAVPDPVAEETVAEEPVPVVLEESDDTSPAVQPETPSFAETLPQPST LDELNESTVQSTDATSNTDTGVSTIDVPPVISEPISESSLPQNDIAEIIPTVEESATS DLGTEPLVSVQEPSSSELAADELTPPATQESAMTETEEHHSLDRVENVSSEPEASAES STHLIAVAEPVQSTEVPTLSTVDSAPVNIDAVAPEEPAAQEVLSTEALTVASDNQHDQ ENIETENLTPAVEPETLVSESSASEPVTTGSPAIVSESSEPSPETEQVVVEASVPSES VPEPTMSLPVASEASSSEVVASSSAGEPAKEEPADVDAILSTPESAVEPAAVEPAVKS ASVEPAVVEPAAAESLVETSIEVPEALKVEDDVEEADITINSTPAEDPAAIETEQVPA ISIIAAEPAFFDEDSLDPTSPEELEDLVSPITDVSTMDPDASFATSGPFALESVISSI GEDEEDLALEVDSKVSEAEEEVLNGNGNGHHLELRSSVSDLVEDHDDLSPVENAAEAD ESGNGKVHVDAQPSIIEVPSAGPETTVVVIDGEQHAEKVDLSAQHEPKEGHADAAEAT DLIVASSTSTEV JR316_0010607 MAFPQFPPLTLVLAATAALHGALLVTAQTTHTVKVGLQGSFFDP ATISAGLNDTITFIFAGFFHTVTQSSFANPCSPLPGGFSSGPAGTQNNDTQHPMTWDL HITNVSEPLTGIGAFATQTPAIPSTPVSIPPSTPTPSDSSTTSSTTSSSTTSPSDTST AAAAHSSSSSHLGAIVGGAVGGAAVLILGLILILWLLRVQKSRRTRTPGAPDSPTSDD ANFFRYNPAPVRRRPSEAFAEAKQLEAARSLSATRQATPTPAPASAPSMSMSMSMSPN RRGEVVQQPQFPPGVPRTLMVEPHMLDRQTSFGSTAMSFTGNSSAGAASVDQHPHPHQ QMQQTANLNIHALASEVAGILRQPANTAPVAAHPNQEGTPRSIRKLASDSSRFGAESI HRTESPLSPPAYRTTVVSQQTLPDTRPHT JR316_0010608 MASNGGHQQEYDAALLASAPAATKAQLQSGYNPDLLVEKPSTPT PQGTDLEAALPPTANPTHTTANAHRMQQQRQPFYRTRKGIVIIAIALVVVIAAAVGGG VGGSKKKHGSDAVVAPTGPDDGGNGNGSGAPPQVSSDGSSSSTTGTSTSTSAGTGASQ GVGDPNAPQPQPGPSQGATPTQGGVIGRPTESAATAVAGAVGTGTDANAVGQLVGGST JR316_0010609 MPLPAPQAHQANGVSSEYIDIEADLSDRDSNANAHARTDRDPPS TSPPTPALAPARTRRSIASGAPRAGSSPNHDHDHDAELPMASSQRLVVTSQLPAFWRT KWGYVLGVVALLAVVGGIVGGVLGNKSNDEPARNPGSVHTTMSSSSSGVSSGMMPAQS TTDVMENPEIPHTVVMAAVTANPIKGLNAVVTATTVLLASSIPNPPGRNLLRYAYAAP LVS JR316_0010610 MNQIGVQESHTQTDQQRLSKECQKAAWPTHKIACRINVENSKQH PDAQDVMKKLSTFCARHRPSLLKYGIQALDLANDPDRRLRDILAIDVIPVVDEEGNSK SSKLFLAFEARVESVDGFPPEQRHELKLQMKLFDEDSRRSGNMGGFFVIVIDRPSGAG NVCPMGFPKEIVNWERAQPWKEPLLNSLNAPLKSL JR316_0010611 MEASKRLPNAQDVWKKLSTFCARHRPSFFKHGIQALDLANDPER RLRDILVIDVIPVVDEEGNSKSSRLFHAFEARVKSIESFPPERRDEMKLQMKLFEEDQ RRTGGMGGFLVMVFDRLSGVANVCPMGFPKDILKWERGLPWKEPLLDALNAPLTRLEK KQRGFKIACEAIDYWQNDFGAEIKH JR316_0010612 MGSLSSNAEAVKHRITSKECQKAAWPRHKPTCQGIRGLKSVATS SINDRHNMLRAYCMKQRPLMMCYGILGLDLHRNIERWENEVFHILVRPSPGASLLRPE KAFLAIDAKPRRMDELGGAHELGF JR316_0010613 MFTLYLILLFTSILQFGGALFDNRVLGAPPPSNLALLVVTIPLA LPSNRVDPKEIGHSVSPEDYTNLWGWITFKWVYPLVKRGTNTTLEEKDVWDMSPTMQS RPIFVKFSSIKRSTLLRRLWAANSLDLILDFSLTFVSVLFNYAGPFFLKRILDLIDLE EPTPESRTRAYIYAFLAFTCSILKAQADVQHLWFGRRAATRIRSELMAAIYDKALKRK DFSGVVNKDKKEEEVKESPSNGVETKESKRKSKAKKKEEKEKAAKADDPKAGADVGKI VNLMAGDANRISMTISALYFIYGAPFEIIIAGVFLYQLLGLSAFAGFVVLLVGWPLNS FIARRSIRIQKGVLAARDKRMGVLNELIGAVKFIKFFAWEERWIGKALDAREFEMKWM VKARINSVLFGLLWTTAPILVSIISFMAYVLQGNELTISTAFTAIALFNMVRAPLNVI PTWIVQILQTGVALNRISVYLDEEEVTDQVSSLKKDYSEPLLPGADDEGLGLENASFK WNEVTDAVDKDKGKSDGKTTLPTTTADLTADDASTTVDDNASERSVTGPQDRVFELRD ISVVFPQGELTVVTGPTASGKTALLLAVLGELTLTNGRIIMSKEPSRVDENGLMHCIS YAAQSPWLRHQSIKDNILFGYPYDEARYNMVIDSCALRPDLKMLEDGDATEIGARGVS LSGGQKARVALARAVYARTKYVLLDDPLSAVDSHTSRFLYEKLLRGPLLANRTVVLVT HHVELVLPGAHYLIRMLDGRIDTQGTVKELREQGVLEEIKLDASVDAHKEEAIEADAA AIEETLEDPSKTADATKKPRKLVKDEHRETGGVKWSIYKSYLKASSYWTWAFLALIIV LIQFLNISEKLWIKTWGEAYKIGNETSPAFYEFRTFATAEHEASMDGLSMNHQHYHTY QSLAPPKGIFGIQWPNASEHPLFYIGIYAGIGMATALASITSVMIQYTGALRASRILF KQLLVTVVRATFRFHDTTPQGRMLNRFGKDVETIDSSLAGSLQAVNSSLMGFFAAIIT VAVVFPYFIIPAIFIGFAYRSLAIGYLNTGRDLRRMESNSRSPIFSDFGELLEGIVTV RAFSAERRFLDNLHKKIDVTTKMWYTFWMTNRWLLLNFDALGALSVLVTTLFSIATLA NGAGLAGLCITSAMAFTSSVYWACRFWTGLELDLNSVERVVEYLDLPQEPPAIIESNR VPAYWPSSSNNDSLVVVEDLEIKYAPDLPAVLHGVSFSLKAGERVGLLGRTGSGKSTL AMSILRFVDPTNGRIMIDGIDISTIGIHDLRSRLTFIPQDATLFSGTLRDNLDPFGDH TDAECLDVLRRVHMITDSPHLSLESSRDQSASSSRNPTRPSTPTGHDRESTIDTMSAA STNVDSKASVSLDTKVSAGGTNFSQGQRQLIAMARALLRRSSIIVLDEATSSIDFATD AKIQTTIREEFTNSLLLTVAHRLRTVIDYDRLIVLDKGQIVEFDTPWNLINKEDGIFR NMCMKSGSFTELESAAKAKASSNA JR316_0010614 MATHSPDVPQLNALDLIPRVENLIFQCPANSVTRLQAIIYSKAI RSSVDAAHVGFTICDDALALIKFMPHSTPEDRIAFLKGVKESTQQGYDKAVEAKLGFI HLLNKIKVEKDSTRDCGITEMQTLLSKGVQDLEQFSIILTKFAAWWNEMAMETSSQLR REKMLMQAFTNLQLTSLEQKWKEHRSRYAAYVNEISIVQDRYPGLFDESKPATFGEPQ YAPPPGPPPGYAVQQQDKAIIARTETTETHSSDHWRFLPGLHRPKPIRSPTVIISEEL SSTSYLSASLSTVQVLEPHRCLVILQFQCHPSPSRRFNALRIKWKLKSVRGVHPSKMI DVAPKYAIGAKNEESHHRKYSFSIPAQFSVGGFNTGPEVNAEWQVRKQLAHAMTITGS IRGHSQDSAEWSVEENASAMTGIPPHFCIAAVVQYEGIVMMELDVLAKQRGTGSWWSS RNQAKEDFVVDFEKARREFPEYMPSHNWTSWFPLITGEVAGGAVVHPQEAVTRN JR316_0010615 MATHSPDVPQLNALDLIPRVENLIFQCPANSVTRLQAIIYSKAI RSSVDAAHVGFTICDDALALIKFMPHSTPEDRIAFLKGVKESTQQGYDKAVEAKLGFI HLLNKIKVEKDSTRDCGITEMQTLLSKGVQDLEQFSIILTKFAAWWNEMAMETSSQLR REKMLMQAFTNLQLTSLEQKWKEHRSRYAAYVNEISIVQDRYPGLFDESKPATFGEPQ YAPPPGPPPGYAVQQQDKAIIARTETTETHSSDHWRFLPGLHRPKPIRSPTVIISEEL SSTSYLSASLSTVQVLEPHRCLVILQFQCHPSPSRRFNALRIKWKLKSVRGVHPSKMI DVAPKYAIGAKNEESHHRKYSFSIPAQFSVGGFNTGPEVNAEWQVRKQLAHAMTITGS IRGHSQDSAEWSVEENASAMTGIPPHFCIAAVVQYEGIVMMELEVLAKQRGTGSWWSS RNQAKEDFVVDFDKARREFPEYKPSHNWTCWFPLITGEVAGGAVVHAQEAVTRN JR316_0010616 MATHSPDVPQLNALDLIPRVENLIFQCPANSVTRLQAIIYSKAI RSSVDAAHVGFTICDDALALIKFMPHSTPEDRIAFLKGVKESTQQGYDKAVEAKLGFI HLLNKIKVEKDSTRDCGITEMQTLLSKGVQDLEQFSIILTKFAAWWNEMAMETSSQLR REKMLMQAFTNLQLTSLEQKWKEHRSRYAAYVNEISIVQDRYPGLFDESKPATFGEPQ YAPPPGPPPGYAVQQQDKAIIARTETTETHSSDHWRFLPGLHRPKPIRSPTVIISEEL SSTSYLSASLSTVQVLEPHRCLVILQFQCHPSPSRRFNALRIKWKLKSVRGVHPSKMI DVAPKYAIGAKNEESHHRKYSFSIPAQFSVGGFNTGPEVNAEWQVRKQLAHAMTITGS IRGHSQDSAEWSVEENASAMTGIPPHFCIAAVVQYEGIVMMELEVLAKQRGTGSWWSS RNQAKEDFVVDFDKARREFPEYKPSHNWTCWFPLITGEVAGGAVVHAQEAVTRN JR316_0010617 MSTDSTDPAIAFLDSAKFFDTVALARSTEKALEIIMPWCEMKEF SQLGIVKDVQNQAIIYSKAIRSSVDAAHVGFTICDDALALIKFMPHSTPEDRIAFLKG VKELTQQGYDKAVEAKLGFIHLLNKIKAEKDSTRDCGITEMQTLLSKGVQDLEQFSII LTKFAAWWNEMAMETSSQLRREKMLMQAFTNLQLTSLEQKWKEHRSRYAAYVNEISIV QDRYPGLFDESKPATFGEPQYAPPPGPPPGYAVQQQDKAIIARTETTETHSSDHWRFL PGLHRPKPIRSPTVIISEELSSTSYLSASLSTVQVLEPHRCLVILQFQCHPSPSRRFN ALRIKWKLKSVRGVHPSKMIDVAPKYAIGAKNEESHHRKYSFSIPAQFSVGGFNTGPE VNAEWQVRKQLAHAMTITGSIRGHSQDSAEWSVEENASAMTGIPPHFCIAAVVQYEGI VMMELEVLAKQRGTGSWWSSRNQAKEDFVVDFDKARREFPEYKPSHNWTCWFPLITGE VAGGAVVHAQEAVTRN JR316_0010618 MATLNVRQGPPIGTALVVVNKDPPPIVRLQGLERPVSSLAENVQ KVMGAFYDVHKTLIARKGLHKGTIERFKEAKHLFRTECESLRQVLQSGYRHAVDFTSS CQRAPNDRHISAKRCQLSGKSVLRDLQEVNRVYDRDLQSFRTQEKDLKDQLTEKNKKG GQSRSSTLDSQQQQTPKDAHPELTVTTLFSALEDVRSSLWDILAFWENHAAFLTLIVN RQSNFPSPGDETKATVEIWIKYQAALLQASSSIAQSADAMTIDPAIVQTNGKTRRRNT YPQARADGGKLPLNDRRSYPAGADKANRSDEGLYSWWKKICRFFSHSK JR316_0010619 MGVRACDTASSNIKSIVGHVNLQRSPLQRPPNKLEFRQMGICNN RSVFEFNDPCIRASWSALLPFGLVGLLCLSKLPKPRPVQRFCRFLQSPLHNFLTLREA EALDNKSAIGRAFENDNIEDLPKSHVRRHHVAFAFIGAAEGLCWIVSGSFQLHDSHNN VDGILCYALAFSWIYTAIRPLVPSTSKSAPYDVVVIYLLFFFGAIVDIGGIIYDYAVL LSPLPSQLSLLLRSVNLLAIVSSLIIIFNIPSELPSAKVDPQEIGHTLTTEDYATLWQ WVSFSWIYPLIRSGRYATLHEKDVWNISPTMQSRPLFAKFSALRQTSLFRRIWAANSL DIIIDFTLTIVSVVLQYTGPYFLKKILDTIDVEVITPEKKLEAYIYGFLAFFCTILKT QSDLQHLWFARRASTRIRSELMTSIYAKALKRKDFSAIVDQSKATDSTSQAPPTSGRK GKAGSKGKSDTSDDPKPGASTGKIVNLMSSDSNRISRMVTIIFNLYGAPFEIIIACTF LYQLLGISAFAGFLVLVVGWPLNNFLARRNVRINKGIMSAQDKRMGVLNELIRAIKFI KLFAWEERWIARAMEARELELKWIRKARINQILFHLLTNSAPILVSIISFFAYVMSGN ELTISTAFTAIALFSMVRAPLKVLPTYLVQILQAQVALERISVYLDEEEVTEQVSTLK RNSQPFDAIVDEGLGLSNATLRWNEVTVVEKKDKARTSSISHPPATSSVPEIAVVANE GSSSDSTTLESNEVHKFELSDISVKFPEGKLTVVTGPTASGKTALLASMAILGEMTLV SGRILLSKDPNRVDENGLMYCISYAAQLPWLLHRSIKENIIFGYPFDEERYNTVVECC ALLPDLQMLEDGDATEIGARGVNLSGGQKARVALARAVYARTKFVLLDDPLSAVDSHT ARFLFDRLLCGPLLANRTVVLVTHHVNLVLPAAHYLVRMLDGRIDTQGGVHELREQGV LSDIVVESSIRVHEEVKVEVEQPEAKTALEDQLEPKIEVKKPRKLVSDEFRETGGVKW SIYNTYLKASSYRIWFILAVIVFVNQFLGIAEKLWIRTWGEAYRNETSSTPYGVQYPT ILTINLNAANDQTNFGIQQFGQAVGLDSRTPGPFGIVWPSAMEHPLLYIGIYAAIGMI TTLVSVLSVTAQYTGALRASRILFKRLLVAIVHATFRFHDITPQGRILNRFGKDMDTI DSSLAGTLQAVNSALAGFLVAILTVAYVHN JR316_0010620 MAFTLSVYWTCRYWTTLELDLNSVERLIEYLDVPQEPPAIIETK RAPAYWPSYSSNNDLLVVENLEVKYAPELPSVINDVSFSLKAGERIGLLGRTGSGKST LATSLMRFVEPTNGSITIDGIDISTIGVYDLRSKIDATLFSGTLRENLDPFGDHSDGE CTEALRRVRMFADDTPQTQSIRPSRTPSRASSMHSEHFSTATTNIDSKPNISLDAQVS AGGTNFSQGQRQLIAMARALIRRSPIIIFDEATSSIDFETDSIIQATIREEFSGSLLL TVAHRLRTIIDYDRLIILDKGKIVEFDTPWNLIQKEDGVFRSMCMKTGTFSELEDAAK AASLT JR316_0010621 MTDTLRNSIVGLFINTISDGRFLPFPEQRLGWQLPANLQLARAA SSPPDSKVHETSESVSFQPTLARNDLEETISNRTSANAFHSSINSYRKSLSSIEKAQV DLNMKPVHETVSETIVNWYDEHDQENPQNWSLGKRIFVLGLVSLLTFGVYIGSAIYTP SIPGIIEKFNVSKTIATLGLSLYIIGYGVGPLIFSPLSEIPSIGRTPVYMATLLIFVL LQLPIIYAPNIQTLLAMRFFTGFFGSPALATGGASIQDMFPIIKLPYALIVWSVTASC GPILGPIIGGFAAQNRNWTWPLWELFYIGVFAFIVLLFWLPETNAETILLKRAQRLRK LTGNPNLFSKSEIKQSKLKPSEVLFESLLRPFQLMIEPAVLYTNVYLGLTYAIFYLWF EAFPLVYGDIYHFNLGLSGLPFTGLLVTCILASSVYVCWNYYHVEPTFRKTGYIVPES RLAVALVASGFTYLFQIGWSSRPDVHWIVPTIGAALYIPGLFLLFQSVLVYLPSSYPI YAASILAGNSLFRSTVAGCFQLFGTALYRKLTIGGGCSLLAGLSIALIPGLFIFYKYG GRLRARSKYTVNV JR316_0010622 MIDTFRDSTVGLFINAASGGRFLPFPEQRPGWQLPANLQLSKAI SSSSDTIVSEKRDSIASPSKDHLNDVTDSTRTSTNAFQSSESEQKSLSFVEKGQVDVR VDMLREDTIQEPVSGTIVDWYDEHDQENPQNWSLRKRIFVLGLVSLLTFSVYIGSAIY TPSIPGVIEKFNVSKTTATLGLSLYVIGYGVGPLIFSPLSEVPSIGRTPVYMATLLIF VVLQLPTIYAPNIQTLLAMRFFAGFFGSPALATGGASIQDMFPIVKLPYALIAWSVGA LCGPVLGPTIGGFAAQNRNWTWPLWELFYIGVFAFIVLMLWLPETNAETILLKRARRL RKLTGNPNLFSESEIKQSQMKPSDVLFEALLRPFQLMIEPAVLYANVYLGLAYAIFYL WFEAFPLVYNDIYHFNLGLSGLPFMGLLVTCFFTSFAYVCWNYYRVEPEFKRTGYIVP ESRLAVALVASGFIPASLLIFGWSSRADVHWIVPTIGAALYLPGLFLLFQSILVYLPS SYPKYAASILAGNDLFRSTVAGCFPLFGTPLYHRLTIGGGCSLLAGLSIALIPGLFIL YKYGGKLRARSKYTSDL JR316_0010623 MQFKVLATLALGATLAAATGSPSNQCDTGSLQCCNSTGTASDGA ISKLLGLLGIVVQDVTALVGVNCTPITVIGAGGDSCTAQPVCCTNNSFNGVVALGCTP INLNL JR316_0010624 MAAHDKVLKARSSFVIENDGISIMPHAGLIRNKVFPHRIRALLC KTMHDAYKVGQYWDQISTLGGRGLCAKCEIPKTMAHILFKCQASAQQHAWDIPSELWA LRIMPWPQPTLGLVLDANLVTVKDTKGRHLQGASRLLTPIRALKPQEKTGWWEILADR NGLQEDWIRQTRVLVGVG JR316_0010625 MSKPSNIGKSSFGRTISFITDIKLAELEKQRLAYQAHIKVIDDS KALAEKGDILGQVKVLAKAVESWTGSGAVSRLDSIGGKLQLSDLDFWIHQAERDPSFN IDVARGWAQTLEDHMHHNTLRFNAAKLFGNLFNEWISSGDSAALTYQSGVEEETFDSD AMSFVDVGRTDLRAEKEKLESVIFEDYPIDTDKLHDYLEGLFDSAEGAAALERLRNNF ANFGYALQRKPITTADVGYAIQGLLSSGLMDEENRDVLKAFKGNGTVMKELASVLNMR LSNLGSWCWPKEPMVVEFRRHLHGKYRGFTDPEIIDALFLQHIAVAWQVEMKIRLFDV FDSKAWARPGQPTPANSKRWSMQLQDGDGSFSVNSARDDNRRQYFFLNRLQDEVSIPS SYDDLVDAPEGDDEDENMASPATVKRKLLHVMTTDCYLNKTLHGSHCIVRSDLEWFGP SLPHDTIFTVLEFLGFPTPWLNFFRAFLATPLRFPEDTQSRIRKRGTPIAYAMSVVFG EAVLFVMDLAVNQRAGGLHLYRMHDDLWIWDSDAKKVADGWAEMNTYADLAGLKFNKK KTGSTFVGTLTDDHVRLPEGDVRWGFLKFDPNTGRFVIDQEDVNRHIAETKRQLAGSK SVFGWINAYNKYVASFLRNFGGIPANCFTDAHINDLIETMGRIQTELFPKYEGTDGGA VGYLKKTIEDRFDIKDMPDGYFYFPIARGGLGLRNVALDVLGLEKFGRPLASNGKTNA SPEDLFKKQADGDRSEYEASKERRDSRVGPTKVAFMSFDEYMSLRESWLSRWGETYRE MLCSPNPRRITLMPKIREAMRDNSRDLEWDSMDWYEKWILSVYGGAIIDSFGGLEVVD HDLIPIGMVELFRTSRMNLEQ JR316_0010626 MPSRTQPLYAFNLPFEVWQHISSFLSVDEVWVLQGLNRMLRGIA LDTRFRCIKVNLSPQHPASYARRFRFLGEDHIAKRVKEIHFLPHVPQDPFDVKGPRHK SEMRTSRSQFKGIFEDVVSPESNFIVTTIARFKKASLLRIEASYDTRLRPPVLQLIHG SLRILANTIRSLTLMVSFDMMSTIILPDLVLTRLEALEIVPLILERPNNQSEILTLYS NTLKATLLPFVNRHRLTLKSFNFVPQKTQMLWISSKSKCMAHFNMSLLFEGINHIPHL VYLSVSVPATTSTNDATQRFFSLHSQSLRHLQISMAHMSVLNYLTFLERFEVPLPQLE VLTLDCGFRSRSLIADPALPKFLGQYASNLISLKLLKKQLGKCEIFSLFEIPHGSPVV YNKLRRLEVCLYCLTPEIIDMLACSLPALEELDIAVRIFKGETASNNGRNQVRFAWKC VLVITVGGRFNDCQ JR316_0010627 MATSSSTSISKDTPLRKRMRVLERAQSLTSLNKLDGKPLDINLK HNDTAEDVPHISYTDYETISSSSDDEGGAENREEASNTSRTEHAKPQRPTLDTKGAYH YSRNRSERSESSPMSPKSRAWYEFDLAVVVALVSPIGNWLTGGDHVKNLLLIVLLIFY LHQVIEVPWRLYHKCRPHRRAEHIPPSGALADSTSKEAQYAQLAASELQKFEFLFLFL TFLSPFLGAALLRYATDAILGPDAVSWFSTGLFVLATGMRPWIHLVERLNERTDELHD FVHYPSPSHSSSEERQKLLEDRVAQLEKSLLKMKTKVNHATEDVYDYVDDAVDAVEHA IRKQDRRWDKFEGKIKDVERVVVQLSSKASAQPNLLSKTISIDLCNMKAYTRYLLAKL VPDWIIHPQKMIYSSYPEDPTANIMLTKKVKKRSISGSSSPSPCVTPLETIVEESAEY VEDPVARSSLLARPSYITSALIYRTGYIMTAPLRAVLRMILRKY JR316_0010628 MSTSVNQDKQNPNDLPVTAIPVTPDVRVSGTPVPPPPKKTNAFG YPIPAPQIFHPAAATATHFFISDKQWDEILKHGEFDDIIVGSGFCALAYVTEALERDP YRKILILERGGFWLADHYQNLPLPYRVILGESTVSFPWQLSSKTYQSELKLVAGFTPF FGGRSPFWSGWCPEPTRNYMRGWPESMLKTTEEPIFWEKARRLLHVTRADKIQDGVFS TLQTKIDHILQKNISQILSSRSAEPAPLAVGRRTPTSRLLFNKFAVQGPLLTLHNKQN QLANTGKGSPLLIATDVTVERFFADEENNNAVKVLKTSRGNLCFPKGKTNIILANSAI PAATVILNSLESMKARAGHRLSGQILGQTIARFPIDQERFPDLGRCTKGDACDGDCGY NLQMTANYIAGMDDATQLQYHIQVSAIYSPHPKWDIVDAARESTDYAASVTPEQLEGS EDHLILGKVCTSLGEYNEQNPEAWVKYNPQDRNPITNVKVQATMDPKTKSLQKVMDQA TFDAIAVMAGPQSRELEYWHHEGGWSNVKPTPEEVCMPGLIHDASTLYMGPESDPHAA IDQNYAVYGCKNVYVTGAAIFPSAGMLHGSMVKGETDATLRIVESNLYHGCICPRSRK KNCPSFNEVAAVTW JR316_0010629 MVEISLQMPSPTAIQGLSSPTFKAPPFRESFFTVPELYDWHSVH SKDHPYFVYFDETTQTNITIRWSDAVRAVHFIANHVMRIVGEQEHGAAKPLIGLYSSA DTLTYILSFVGILRAGYPVFLISKRLAPAALEHLVTKSGVKHVFVAEHDKILSNNIQD IQSKLCARIGVSHIPFWLDTLSPEFVVQKPPMGQYNPEDICLVLHSSGYGERDVCGQI MSTPSIPTAGASVVMESIYPVSKIEQEVLAASGLVLSGLKPSSPPTSIDAENVWKSMV STQSTFGFVLQSFLGVSDILFDIFVTFYLLTTVTALVGGP JR316_0010630 MAVALVTLSFICAGLLAVFVPVKRVRTSIPHLAIIVWLLGHNLI HAINAAVWAGNVDIHVPIWCDIVTKVLLGIKVALPGALRTILKDRRVLRNRMIFELFL CYVLPTLYMLLHLVIQDRSIALIISGVSIHNSGRVVGMSLSKHLETRSTMTASQFNRQ LIICMTMAGSLVLLNLSTLFSIHSFEPWTSWSSVHAFMTKIEIIKNSSDVKTVQFLWW SMFAISVLYIILLFAIAEDGRDLYRWVREQATRKRKFPRRLELPLYLKRKPSLPAPEM ISRSPSLTKSHMRPLTIELKSGWEDDLLDDKKSKRRGPREDVKPPSFSSRPSEGVFHD VEAQSLSEDKPRPDSPLICASPTMSCRSISEDDQMFMESTISYLSSPTAKTLGILPPL QIPPPAKAPPPPLAIEIPQRAVTPPPALKPKSILKAPRSPPSKPVPTDIDEPINSVFD AAWPVPPLSPVPTMAFSTKRAPRPRSRSHSPASAAQEVGYPLCQTSTPPHRRARPFEG SSISSVDSEIIPSPLSPTSRKAAHRQTGVYGLRKVWSKERLGQGSPASQAIHMTVVKE IA JR316_0010631 MGNLPYELWLHITSFLNDEEVWMMRSLNRTVRGIVLDRHFRKVC LSMLDVYSREYRSRFSRFSNSNISTRVRELHVCTVVPATSKIAKPASSREKILSFLSQ SWRNIVKSDRDAVSETLQTFTELRHVVLKFDLIQAMDLSKTTRLLQNCLLPSTNHLNS LEMSFPIELIGKTIPPALLLPHLKSFTVTLFTHRSAAEAERLFTTTATTTLHFGIYNL GIYFSPAQMHLTTLIHLSVIIGDSKTTSTRGLLDQFDVPMPKLEDFLLRCQYDTSALA SSSYQKSTLQSTHQQVSSLVSMKLRNVLHNREDFLTIFDPAVSYHKLKYLHMSLQILT PDMLDIFALHLHNLHGLYILVRFLKCRHKGNLIINEQEENEVSR JR316_0010632 MVNLPYELWLHVSFYLTEEEIWEIRNLNRILRSIAFDRCGFKIS VSMQDIYSRKYRHRFSLLRPRAPCVYELEVLSVAPKIAKTASSRENVLSILGKSCRNL VKSDRDAVSNTLLTFTEVRHAVLEFDFFQEISFSKLVRLLQNCLLPSANCLKSLEISY PMDFIAQTLSTTLFFPHLESFTVTLFTHYKAVLAERLRIFNTTAAPALVSFINRHRLT LTTLKMWFLPFRKSTGNFGRSISTNFKLSRLFALLEHIPKLNTLSISIAGSTNVECIF RFFEMHLTTLANLTIVMDRIIPNSTQGFFQFELSFPKLEDYQRSTPECELQKVSSLVS IELRNSMHTEEEFLTIFNPAVSYHKLKDLRISLKILTLDILDIFSLHLPNLHSLELDV EFLRSRFKGYATIDEREENEVSLDPLFFSDKMIDDG JR316_0010633 MCSIPLPWHLEAWNTGTCLYMVWTGLSCLNQFVNSVVWNDNAIN WSPTWCDISSRFIVGSAVAIPAASLCINRRLYHISSVRSVTISKAEKRRDVMVDLSIG LGIPLVEMILQYIVQGHRFNIYENVGCYPFTYNTWPAYVLVYCPPIVIGIISGIYAIL SIIQFNKSRSQFNDLLSGHSNLTSSRYVRLMCLAGVEVLCTVPIGVYALSLNIRTGIQ PWISWADTHYAFSRVDQFPAVLWRSTSVGESSLELSRWLVVVCAIVFFAFFGFADEAK KNYRSYMQSVAKRVGISTGSFGSSSGAFSSTGSKSKVMGSNGKVRPVLPTFVHQDFLR RHDSIDSFTDVSSASLRDVSGHLNEKGDPEKQEEFNPTLSYSGLTLSDVGGTLNDCSA PPYSPAPSLGASSSASSLTVPEPARTRSNSNASTPSSQVPILSDSKSKSNTPDIV JR316_0010634 MDSFTNFTFEFLETGAGHQDQNDSASVSRPSFLDSDERAEPDDC MEDLTYINTNIQHIDTALPTESYVQ JR316_0010635 MALHYVVQPHRYDILEDIGCQPAIYNTLPAYFLVFMWPILLGAI SFVYSALTFRAFWMRRLQFAQLVSTNSSLSIGRYVRLMMLAVTDMALTVPLGIFSVVF GTQGVGLAPWISWEDTHFNFSHVIQVPAEFWRTSPSYQVSVELTRWLFVASAFIFFGL FGFAGEAQRNYKLAFWKSVKPLGWTPSNTKKSEIVSLPSWRKAADSKNVSISDPVFLG KNSQNLSGKASTTFSVASSTDATVRVDIDIEKFADQPTPSTPAPAYVYPPPSSCYSYT ITTDVSPSSSATPHDPHWPVHR JR316_0010636 MADAGESSAGAASQGRGRGRGKSRGGLGKYLRARGRGRGMGRPA QFGTRLLLEGEGAEQLTEEEQAERAQELAQKYSRRSLGTNADRYKEEEVELDSDGEPV VEPEVDLSAFLEKQKISDDAGPLLSAIEKKDYDDDDVDTSLAHITSKPTYTASQAVSK KGKVEQIVWDEELDEMSREKKAAEATWDLKNRFRAKSFKLRAKPAVPSSSTRTRKQEY QEAPALPLPEGTQVKPKTQLEEMEEFLDDLLP JR316_0010637 MATNPNTEPKPCSPASSSVHKSDLEKDSFNEVDHAKIRSAVRKL DWTILPMMTMFYLLSFLDRANIGNARVAGLQKGLKMTDRQYQICVTVTYVPYIMAEVP ANLLLRKIGPNIAMPVILTLWGIIVIFQGFVSSFHDLIAARFFLGLVEGPMFPGIVLY LSGFYTRAELSYRIAFFFSAASLSSAFSGLLAAAIDNMQGLGGKPAWAWIFILEGIFS VLMGVLGFFMVPATPRESRFLSDNQKDLVMRRLEKDRPFVNPLDEFRIRHVLSSLKSP HVILMFITFFMGGTNLFGLALFAPSIINQLGFSPNHSQLLSVGPFASAFVVTLVVAYT SDRLKSRAIPLCSVCLIGIAGYAMYLGTTNKHAAYGAIYLMASGIYGTVPVIAAWISN NSEPYYTRATSIAVGFMATSMGGILSTWRYPTSEGPRFHKTTVMNLIFMIMTIVLAIV NASILSWKNKNKERTRQEVLAPYATESEPDGGVRAWVDLGDRHPDFRYTL JR316_0010638 MGSLMSTLTDSNEDEQSAQGRHYTPLPDDVDVVRGYLTLWVPVE LANVIIDEAQYWPKVHFGVRPPDDVPTCVFETGGPECSLVTPKLSELIGTTKFVRIKK VVFRTISHDQGFCSENNFPSKYLGSWTWFEAGIIREVEKTSVGEQPGTEEGLILTRVR DFMDAMDDTVGDGMKIALVANPSQTDSSTWHLQRNIRASREDAIHQIVWSDEVPDYAE EDEMKFIDSTGAGKGMGYVRCLQPSDRIVVIAKAKVK JR316_0010640 MAHKFRISTRFDVAINSKPSGRIVFQLFDDTVPKTSRNFRELAT GQHGNTTTVTRLSDTSSGQRYAQSTLNKKPRLVKKEDVVQSSNHAEKMSLDRSRARCD DREFPAIQRVTCTAQKISKTKVEKCYMLKEKDLIGLERETRSVRFWQPPDIPQNVWAP IYLYREYEIERVAWKKFGGYHGFLKA JR316_0010641 MGKRRLPCITNDHTRLWLLLLIAYLGRVTATTFIDGSSSIVKLN YGSFQGSVAGELEMFLGMPFAAPPIGNLRFAPPEPPIPFNGVRQATTFGSACFQQVQP SINISASPAVTPPITPGLFINVVKPAKIPSGKALPVLFWIYGGGFQVGDTSLNPGNTV VSRSIALDEPVVYVSANYRLSALGWLAGKEVKEAGIGNAGLRDQRFAMKWVQDHIRHF GGDPNRVTIWGESAGAISVGAHIVVNDGNPTGLFHGAVMESGSPLPTVDITTKQPVFE QLLANTGCTGSADPIACLRAVPFDVLGDAMNLSDSLFSFASRIIPWQPTVDGDFIKRD PQVSIQKGLYAKVPFITGDCDDEGTLFSLSNTNITTNEEFLSYMENIYFRGLVSQEQL AEVAAAYPENVTLGSPFDTGTANAITPEFKRMASVSGDLFFQAPRRFFLQTASKTQST YAFLFKRGKSIPILGASHGTDIPEFYGTGTDPDFIGTDGLAQGKLTCFIVNFANTGNP SLPKNPISLLSAVDWKPWSSSPTSPPILTFLDPAPAISITSDTSREEAMNLLSRISLE LDSSAGQ JR316_0010642 MPLPMQKPCPKQDPYISFVKAHVESTSDANSQSSTSSINNPEPS KPRSCTSASGSGYLTCFRLTEGCTSSLGAHLSILTLFRFSEISGRQAIAANAAALPEP IQKQFASLFDDAPQVPYSTIHRVFVNELGQPPSGPNGVFEVFEEKAVASASIAQVHKA KLWPTRDESGRVVDEGKWVAVKVQKPDVAKQMEWDIAAFRSVMWMFEHWAFDLPVYFV VELDFSRKLYFINPLDSVVVPLPGTPSQRRLTELLSAQMFQFGQAHYDLHPGNILIRP SPTNRTQPQVLPEEFKREWAELWRAMLVGDFSGVKSVAERWGFGPLPDLVASFVMMRP TILRKGREVKKTDSGAEKTRKDGENEDRDNEKKRERMSEYELSLKMKQRLKEFLADTD RMPKVILFLNRNMRIMQGNNASFGSPVNGVKITGYWASKAPTRNTHLTFSERTREWYN HTRFQLSMFSMDFAIWKALVGFWLRTLPARMHARDALPRDDGRGTG JR316_0010643 MTNENKTKFPDTPRSQKSFGQDIGIVQLRNQIAREMDGEFITCP VESFMETYLPFVPTEKETQYFVKKLLEDIPTGRGAGPYALRSDTDPISSVLTGDETNF AFRAYPPKKKMAVLTDNEIAVFKGWEKIAETIGNIDYPGQRNRNKFSYVNVPYKPIDS PNRGSNNKIDAAFVAAFEKNKPLLTTDIAVVMEHKLSESQKRQNALQAVSANVQIMND DVRRMFTFGITGEQRNVTLWYHGRSHSAASHTFDFTENPKLFIQVFIAFSFATEEELG YDPTVTLEADGNYMFKLPESPGSKKFRLFRTLEPLSLYRSNNITGRMARVYKVVEINE SGEEFGDPLVLKDVWLDSTAETERKIQDTIFGDIEQFWNSTDEPTELKEIKKAHQQIV LDGSYKKYFLEIVLDHSGQLTKLRAKDGTQTTDLLAEPDPASHVPRTGTKTQKSQLQN VQASVNTHPIASRTPKRPVVLREYSPKRQYRVLFKELCETVGRLETLGEVVNVLYQTL TPLQLLFCAGWVHRDISSGNILAFKKNLESKGEWFAKLSDLEYAKKFPLPNASAHAAN PKTGTPYFMPLEVMLSTYLFSSDHDDTIRAKEHLPPSPGLEGNTLEIDELQIVAQGIA KFQRKAFETATAEPPAGVAHNFQHDLESLWWILLWNVCCRLDPEQSTPSLQNFGKAIF INEIRPTDARIHCITKATFGESMTVSAPKSIYSFVASLFFLRQNLYSEYVDRGREGAY NDVGSYAKIHNTFFYVFKLLNIQATEALDSGAGDGRDLHWSELRLLVKNHSNTAAEGS IVGEPERKRPRSNEDHVDARAVESEGRPNPKQPRKK JR316_0010644 MDSEFKFIRCSVESFMNTYLPFVPNDKETQYFVQQLLQDTSTGA GNASHSSDVTTRPVLSVLTGNETTFAFRDYPLQSKRGRQSNSKITVFRGWEKIAQTIG NFEYPGERKRNKFSYVNIPNKRIASSNRGYNNKIDAAFVAACDTDKPLQTTDIAVVVG HKVAASHNRQNALHVVSSNVQIMNEDVRPASYPFNLSTNPKLFIQILIAFLFATEEEL GYDSMVKLAPDGNYTFKLPRLPGSDEFRLFRTVEGLSVYHSNIITGQMTRVYKVVEVR ENGEAFGDPLVLKDVWLDSSAKTEKEIQDAIFTDIEEFWDCPDEPLELKSFKEAHRKI VGNGSYKQYFLQIVLDYSGQKTKVRVERGTEVRGFFDAEQDLALDAPVTGTKSQESQS QNDQTFVNTHRIVSQPPALPAIPSEQKRQYRILFKEICRPSGRLETLGEVVNVLSQTL IPLQLLFCAGWVHRDISSGNILAFKEDFESQGPWLAKLSDLEYAKKFPLPKGSAPAAD PKTGTPYFMPLEVMMSSYLFSAEFINAIIEAPLDPAKNTVKTEQKRIIAERLAQFKQK LQMSTPAKKVTGVVHNFQHDLESIWWILLWNICCRLHPEHSTLSLQDFGKAIFVNEMK PTDSRMRCITEPSIGESIVAEAPESLFPLVSALFLLRHDLYQHYVDRGKRETYDDIGS YSKIHNIFFYIFKLLNKEVTEDSEPQNPDDDEGDSHWSKIPLLVKERIMDSGAEGSAV GEPERKRPRSNEDHVDVREVESEGRTGAKKSRKK JR316_0010645 MLARPFVLCLVSSAITCVIAAPTTPTDADPSSSTDSEFPEVIPG PGMPSLESLGLTTADLYRNSTLKALSANHVELLITCEKGGLTVSQSSAQACVNYLTSL GTTDCMVPANGLSRFYSSGNANIWGTNVWGNGQDVHSWCSDVGITAQDIVSGCVITSG QVEGYGPAAQNSNLQVSVEAYDTVC JR316_0010646 MFGEVYYNLVVLRGLYLETHTLLDSWLCSILEAFQTPSKLTELL KRSTKQTSMNSDQTFMVVNSTDFQIPTGIYSNMFGPDGDPTVAILFERIMQNWTIIGR LHLVRVPTLVINGKKDISQDFVVQPSFDRIQKVKRVTLENSSHSPFIEETERPVYMKL ISI JR316_0010647 MSGSLQQFITPEPAELRWFERANYIAVNLGLIAYGVTIVTICTC AYYLIHEKNLKKNAKWLAFIFALFIGSTVNISINMTFNEWAWIDFRAYPGGPLQFLLE QQSNVINTWGNSISTAVQLLSDGMLIYRVYVLYQHWYVIVVPVMAWIVMLILGAFFIL QAARPDSSLWSHITLNFSLPYFSLTMATNILLTLMLIIRLLYMRHRVMKIIDRSHGKT YTNIVTMLLESAALYGVVSFIFLVLYIKGNTAALLFIPLLTQVQCISPVLIILRVTRG RAWSAKTITKLQLNNNARMNMVNVNSNTSVERTDDVSLSTLQASPNKSHFNSTTSNIM FSDKV JR316_0010648 MSALPQPRLSLGAGVAHRFRTKFRTKTETVVLTASPTSTPTASR PLLPFDGLPSTELLAHAPGWTLFRNLYMSNGTLYIVADDNAREKFPEIRMMTSTGLEA ENTPENIAMREPTDDNIQIISPEEAKDRWVSTTNAKGRVLNRVWTVEGNTLLFNDPKQ FLRHYYHFVAELFFGAQAFWHGAFSPPITPNELDDPSPSTSVHFSTTHPPVPPMHRAI FAHSNADGWRDSPGFNAYFLRAALPSLIVEHVEDWNDRIAATAFKLEEGERAWHFPTV LLVDRSAAHRGTVCGSQTQRTAAEAWEYMRRKARLRGLHVGGWWAPLREAVWRFAGAE EGIEQLALAPVKEGGVMPFMTREAEVRGMDTFIHPNDIVNVDSKHQKMLPLPDKIVIS YISRQSARNRKLIQEDHDGLVKAIRELVERKNKERAEFLAGVSGNEGTTVPLEWEFDE LIAEYMSKDEQVRAAARTTIMLGVHGNGLTHLVFMRPTRFSSVIEIFYPGGFAHDYYW TARALGMRHFAVWKDRYRTYPNKPNVDYPNGFQGNYIPVDGVYVARLIEDHISGKI JR316_0010649 MDSEFKFIRSSVESFMNTYLPFVPNDNETQYFVQQLLQDTSTGA GNASHSSDVTTRPVLSVLTGNETTFAFRDYPLQRKRGRRSNNKTTFSRGWEKIALTIG NIEYPGERKRNKFSYVNVPNKRIASSNRGYNNKIDAAFVAACDTHKPLQTTDIAVVVG HKVAASHTRQNALHVVSSNVQIMNEDVRRMFTFGITAEMHNVTLWYHDRSHSAASYPF NLSTNPKLFIQVLIAFLFATEEELGYDSMFTGQRQVFRFFRTVEGLSLYHSNGITGRM TRVYKVVEVRESGEAFGDPLVLKDVWLDSSAKTEKEIQNAIFTDIEQFWDSPDEPLEL KSFKEAHRKIVGNGSYKQYFLQIVLDYSGQKTKVRVEIGTEVRGFFDAEQDLALDAPA TGTASQGSQSMNDQTFVNAHRNASQSPAIPAIPREQKRQYRILFKEVCRTSGRLETLG EVVNVLSQTLIPLQLLFCAGWVHRDICSGNILAFKKDSESQGPWLAKLSDFAYATKFP VPKGSACASSTKTGTAYFMPLEIMLSSYLFSPSHDNTLPAQKEFAIARQLSVKERLAE LALQLQNSTTTQPQKGVAHNFQHDLESMWWMLLWNICCRLDPTQSTKSLQDFGKAVFI NDRKPTHARIHCITAPFGKTVTPKAPKSILSIVTSLSLLSGELYQNYVDRGRNGAYND VGSYAQIHSIFFETFKALDEEVTEASEAQASGRNDGGDQHWSTIPLLVKAYSNTVAQG SAVGEPERKRPRSNEDHVDAREVESEGRTKAKQPRKK JR316_0010650 MSKPYISLLRAAVYPSPLSSESSVDDDDQDHASYRKSGLDNTAC ISTQVDPRTSSDTVVAMEIYSEDGSALITWDYKSNFTPGKSEQIPELHERVGQRVFDL LSTNGGLYIKFGGRQAIAANAAALPEPIQKQFASLFDDAPQVPYSTIHRVFVNELGQP PSGPNGVFEVFEEKAVASASIAQVHKAKLWPTRDESGRVVDEGKWVAVKVQKPDVAKQ MEWDIAAFRSVMWMFEHWAFDLPVYFVVDFIADHLRQELDFVNEARNAQQTADYIAKE PLLRDKVYIPKIYEEYTTKKVMVAEWIDGVRLSDREGVYRLMGEALPKKAQHVPEGTT HTHITAVESSDFLTPSTVSSFHYPAHPLKGGVKSLIETLTELFSAQMFKFGQVHCDPH PGNILIRPSPTNRTQPQVVLLDHGLCVQLPEEFKREWAELWRAMLVGDFSGVKSVAER WGFGPLPDLVASFVMMRPTILRKGREVKKTDSGAEKTRKDGENEDRDNEKKRERMSEY ELSLKMKQRLKEFLADTDRMPKVILFLNRNMRIMQGNNASFGSPVNGVKITGYWASKE PTRNTHLTFSERTREWYNHTRFQLSMFSMDFAIWKALVGFWLRTLPARMHARLRRGAL PQDDNKKKKMTKEEMEEALREFIVDAMGIDLPDELPDLDL JR316_0010651 MAFARLPAIVAIALAAAQSAYAAAAARPVTCPSGHTTANAACCA LFPVVDLLQDQLFDGAECGEEAHSALRLSFHDAIGFSIHGGKGGGADGSILAFNKTET AFHANGGIDDITDGQFPIWQQTSLSAGDFVHLAAAVGTANCPGAPRLKFMFGRPAPKA PAPDLTIPEPTDSVTSILARFADAGFSPKEAIALLASHTVAAADVVDVTIPGTPFDST PFTFDSQVFLEVLLKGKAFPGNGSQPGEVLSPLAGEMRLQSDFVLSQDPRTACFWQEM IDNQDFMMSQFKDAMAKLQVLGQDTRKLVDCSDVVPVPKPFTQKIKFPASFSKKDVQI ACHALPFPNIATVAGPAPTIPPVPGS JR316_0010652 MAYQVTQASLTPSRPPPQAPQRRGTDNSQGYSSNASLANSGYNS SFTFTPSSPSSSSYNSPYSGIGGSPNRGPDDASGSQVVRSGAVSMKEDAFGNWIFQRK WLVLRDQTLSFHKSESSPQQIVIWLRDITNVERIDMKPYCLALEAKDRRYFIALKSDE ELYGWLDDVYSRSPLMGVSNPTNFVHKVHVGFDPLSGAFTGMPEQWTKLLTKSAITRE DYAKDPQAVLDVLEFYTDHQKRELEDMSLGAPARFNAGTGFGGASKLNDNGRPGIKRQ ESSPPGLGGDTDNLPSAAARAAELVNGSHVASAISTVQGPRGPLPNPQPPQASRPAPP RPLMTGTRPAPPTPGQSGKVDLTPNAADLRARAKAQGPPPNAIPARNDSLPALPDQTI KQQQERQEQLWQQEQQQRQQESRVEQWQKQQQQQQEEDRYQQQQQQQKNLDLPRPQIA PSKSSPATTSPASQPAPGPAGSTTGPPPVLPLQPSKKIQIQAQEKPTKLAANGGVAAA AAALEKPKEKEKRISTMTEVQIMEKLRQVVSDDDPKLLYSKIKKVGQGASGHVYVAKT LSTGKKVAIKEMDLSHQPRKELIVNEILVMKESQHPNIVNFLESYLVKNNELWVVMEY MEGGALTDIIENNQLEEDQISSICLETCKGLGHLHSQSIIHRDIKSDNVLLDAQGRVK ITDFGFCAKLTDQKSKRATMVGTPYWMAPEVVKQKEYGAKVDIWSLGIMAIEMIENEP PYLDEEPLKALYLIATNGTPTLKKPEALSRELKGFLAVCLCVDVGSRATANELLEHEF LKKACALSGLAPLLRFKTGKQGS JR316_0010653 MDSKESKDFSKSEQHYALNSPSDDGTESPNQMAFMAFKSTTIRM YLRNGDLFRVRDQVQVDFAQIFWLTWIAWHATHDHYVPHTAICPSDTTGVDETTLQDT NNSDILLNEGAQETTAQDDADASMKEISLDEPDFPVNQKFEEVEDEDGPPDFDEFFKD WLAEKKEK JR316_0010654 MKKSSTSTKPKRHSRGASKAGEIARTATGSPANSSAPTGKNSEK LSQASHASVSAIHVGGAEEVHSDWKMIQSEEDCDLFFPTPDAVDRSFELQSLF JR316_0010655 MTNENKTKTTKPLPFPDTPRSQKSFGQDIGIVQLRNQIAREMDC EFITCSVENFMKAYLDFVPNEKETQYFIKKLLEDIPTGRGAGPYSLRSDTEPIPSVLT GDETSFAFRAYPPKKKIAVLTDNEIAVFKGWEKIAEAIGNIDYPGQRKRNRFSYVSVP HKSIDSPNRGSNNKIDAAFVAAWDKNKPLQTTDIAVVMEHKLSESQKRQNALQAVSAN VQIMNDDVRRMFTFGITAEQRNVTLWYHGRSHSAASHTFDFTENPKLFIQVLIAFSFA TEEELGYDPTVTLEGDGNYTFKLPESLGSKDFRLFRTLEPLSLYRSNNITGRMARVYK VVEVSESGEVLGDPLVLKDVWLDSSAETEKQIQEAIFDDIERFWNSTDEPTELKMIKE AHKQIVDDGSYKKYFLQIVLDHIGRETKMTAEGGIATQRLLVEPELPPHILRFGTENE ESQFLNDQASVDTHPIASQFPESPVVPRKYSPKRQYRVLFKEVCKTSGRLATLGEVVN VLLQILTPIQLLFCAGWVHRDISSGNILAFKKNLESKGPWLAKLSDLEYAKKFPLQNG SKRAGNPKTGTPYFMPLEVMMSSYLFSSDHDKRITAREHGTPSSFPAHNPLDIEEARY LERGANLERKAQETPAIAETGVAHNFQHDLESIWWILFWNICCRLDPEQSTPFLQNFG KAIFINEIKPSNARIHCITKTSFGESIIANAPKSISSFVSLLFVLREDLYQDYIERGR EGAYNDVGSYAKIHDRFLFLFKIFNKQATAAQDLGASSGRDLHWSELSLLVKNYSSTV AEGSAVGEPERKRPRSEEDHIDSREAASEGRTKAKQPRKK JR316_0010656 MEHEYNTRSLWANQTKEKQVSRSPEALRSYRNSAQLEHIGIVQR RQQHIVREMDSEFKFIRCPVESFMNTYLPFVPNDKETQYFVQQLLQGTLTGAGNASHS SDVTTRPVLSVLTGNETTFAFRDYPLQRKRGRQSNSKITVFRGWEKIAQTIGNIEYPG ERKRNKFSYANVPNKRIASSNRGYNNKIDAAFVAACDTHKPLQTTDVAVVVGHKVAAS HTRQNALHVVSSNVQIMNEDVRRMFTFGITAERHNVTLWYHDRSHSAASYPFNLSTNP KLFIQVLIAFLFATEEELGYDSMVKLKPDRNYTFKIPSLPGSDKVRFFRTVEGLSLYH SNGITGRMTRVYKVVEVRENGEAFGDPLVLKDVWLDSSAKTEKEIQNAIFTDIKQFWD CPDDPPELKGFKESHKNIVGDDSYKQYFLQIVLDYSGQKTKVRVERGTEVRGFFDAEQ DLALDAPVTGTASQGSQSMNDQTFVNTQRIVSQPPALPAIPSEQKRQYRILFKEICRP SGRLETLGEVVNVLSQTLIPLQLLFLRGMESKGPWLAKLSDLEYAKKFSLPKGTAPAA DQKTGTPYFMPLELMMSSYLFSAEFINAIIEAPLDPAKNTIKTEQKRINAERLAQFKQ KLQMSTTAKKATGVVHNFQYDLESIWWILLWNICCRLHPEHSTQSLQDFGKAIFVNEM KPTDSRMRCITEPSIGESIVAEAPESLFPLVSALFLLRHDLYQHYVDRGKRETYDDIR SYSKIHNIFFYIFKLLNKEVTEASEPQNPDDDEGDSHWSKIPLLVKERIRNSGAEGSA VGEPERKRPRSNEDHVDAREVESEGRTGAKQSRKK JR316_0010657 MMFFRSLALCFLVSALTCIIAAPATSEDAKLPPTDSEYPEVIPG PGLPSLESLGLTSADLYRNRTMNALSSRNEDDYIIYCLTGGATTSVSTAQACINYLSS LGTGDCMVPANPDVITFCSSGQSVICGTNVWGNGQAVHSWCSDVAVTAQSIVDLCQTN GQVDGYGQAAENSNMLGYVALAINHDFKLYPMSLTPNPATEFSSSTIENFSAQASEFT APILRVIPYITFASSVVRTTFAFIWSLFRPFLRLSPLPIILYVLGPVIVFVDIFTTVF IRAPYNIIVYLLEALYPLYVFCGVACITGVILGLSARIISRLIVAAMSAEEEPPHMDE NEDEPRLDSDLKGKGRQREPERVRVKVKVESESAISSVRIACQMPPGIHTLSTLLCGL VATMKHYKPTFLIPESYFVQRVNHTPMDR JR316_0010658 MSLLSPISRAPSMDVAGPSRTTPSEYEMDAETSALIAKLALEDL NEVYAARKGKARADAPPTDEELAFEMQCLHYQQLITLADDERLAKSISDAVSADEAYV AALVAAEEAAEADRRAAEMLARGEPMPEQNEAQARMEDKSFVMHPEPPSTNSTATSAN IGKQREKLVDIADEESVGPPSTLVTPPFIKPTNDSNHTQVSIAKVSVGGPASSSSSTT KKRHPTIECTICTESFPVHSVLRAPCNDYYCQPCIKSLVEHFIRDESLFPLRCCQQHI PINLVEPFISSSLNKMFRAKHQEYSVLAKDRVYCVTPSCSKFLGSSEGDTMYEILCTD CWTSTCPKCKQAYHGRDECPVDTLRVALRELGEREGWQTCPGCQVLVELELGCYHMTC RCQSQFCYLCAAPWKNCECPQWDEARLLATAERRVENQVGERVQNMAANLRVTHDCTP SHSWQYRPGGGRCEECHFELPNYLLSHSSPPGTSTSLKKAPAAAVAATTTTTTQLQTL TSGDSIRASVNHLLARAFSLPCSTAALAFTQLVQPTSRFQLALDALLPILDSNTSAEK QGLTIDVHVLQLAQRILVSFILYSLYTPHPVTINPFKSALLVAYVREREKAVSIANEG GVSPNEQFVWVLWKILKGDGNDVSVTIVSARHALSNRSQSTQIGPYSPSTLSRCPLPP KLRAINLVLDEELYNSISDMLSASSDTDESTTNSTHTHTYIHDSRSPVPRAISDTPSR SPVNFDEDRKNERLIHAMKLLLAARDRVLSLSEQRMLVPIIPDLANTNIITSIDLAPI IAHNPTIAHPLLVALLTNPNPEKNNPLPFLDVIPFLPPTLATFDLFGRLLRDQTRVTV QGYSTVADLVLIEVLARFVHECINWLDHAEREEREGNISDDRFAKGVQNLCRFYNSLI KFNIVDPTADADSTEMAHFSLRNARFEEANNLYRVIATSRF JR316_0010659 MSAIHTRSRPSIARDPGPSYSAIDDYEMDYETSALIAQLALQDI EQAYSSRKGKSRFDAGLTDEEMAYQLQLESFQRLLAESEDAKLARSLNAAVCADAAYL EAVMVAEEAANADRRAAQLLSRGERLPKPTAAQARVESRGFVMHPESQRNSMPQPAYE VPVDDYDDDISDTESYIGEFDRMQLEKDVKWKMVESKAKAREWRPKAAPMPNATAGPS VNRNRTCISSLVEYTTRDESMFPLKCCGIKPIPFTEVIPFLSNELIKLYRTKESEFSV PVQERIYCVSATCSTFLGSSADYKKVSHVVCPTCTLRTCPRCKKAAHTDEESCAVNAA NIELKVLAVKSGWQTCLGCKRLVEKNQGCNHITCRCGTQFCYTCALKWKTCGCPQ JR316_0010660 MALLCSNPVSSLPFLGSDVPPEVQYIHTQDLDIETAILIAQLAQ EDIEQVLSTRKGKARETSPLSDTELACQMQLEIFQQAVVFSEDAVLARSIDAAVAADA ACLDAFSVVEQAACADRRAAEMLARGERLPARTEAQSRVADPDFRMEPVIPGVPVDDS LAPDTKHGAECSNSKAVDVNHTVDKALNPLPKQEDDSPSRKCKYKHNIVDLKPAFPFI CDGCGISLINCTIDKQEETQVLEKDRLYCYFCHTFLGCAVKLSPHKSSEVGLLVDHIR RRVARASGAWGGKKRARRSPSMSLTERRESRLSMVTDIESS JR316_0010661 MFRSASRLLSIYPAPTPDIVLVESPSALEREIGVVRRHAMRAYD DAHAHVQGWVSKWIGVEHAVENRVKSIISPEESMTPNLLYVGVATLTGSILGRNRLLP TRLLLPPLFLIASADYLLPKTTSNLRAYLGGLEDAYFPAVAEKHEIAKAHAGMTWERV KEATESGRERVREGARGAVDWVQEATGLKLRETLGWGGRGVVEQVKEVGEGKVEEVVK PVEKKVDEVEKKEDVKRLV JR316_0010662 MESLNLNTLANSLPTTQQNAEKELLNDFKAAALSITTLYRSSRK NSKRAYNAGYAAACQDLLNFIQQGVSADVGHSPASSSHAVVEGGGMTIGRVMDWTEAR VDAIKAREEEEDEDEDRERVAVAAPAVPATRTAPPPVPTKSEGKKPGHPSSSSTASAS SSRVKENMTSLPTPNSPASHSSTQPPSEPSSPSPPPSATLPRSSTRSSRSRGTPTKES FRQSTTLPVHFPSTHPHSHSHSHSHLSHLSDPSTTPSSVSTIQYPETPIVNVIGAGTK RRHAVMRMLDANTNPPTTTISIGGPSSTVSSPGGMMMGGNNNAYTGSTASSLSRRRTR SSRNLTQLQPHNPNISVIQMNPEAMDVEEDGRDRKRVARR JR316_0010663 MALEEPPLRGQVVMRLGFFLLFVLLGLDLSYASAPSGPWDAFNL APESKTVYPAAIHSSHGTVKNSNLLVKNKGKASLSTNGSWIALDFGIEVGGLISLNLN DNPSPSSFSLSFTESPAFIRPSASDDSSFPSANTTYDGVLQVSVPAHAGYWVQPASSL RGGFRYLTVVSNSENDITLSNVSCAISFVPHLENMRDYAGYFYAKDPQSKDEDLLTKS DMGIAVPTQFVSTNDLIPTRNALSTMFAAINPKTGALPESGPPLSQQGSDTYHAWTLI GTYNYVLFSGDVEWLQGVWANYTKAVAFLEGKVDGTGLMDVTGLRDWARQGGGGYNAE GNAILYKVLTTASSLASTMSLPSLSSTYLQNATALKSAFNNAFWLPSKGMYRDNQTTT LCPQDANSFAILFNLTEREEQKRMVSDGLVRNWNGIGPVAPELPDTISPFISGFEIQA HFEAGNDARAMELIRRTWGYMLTTDLSVQSTLLEGFTANGSLAYRYNHGYNDDPAYTS HSHGWSSGPTPALTFYVLGLTLTELQGRTWALAPHFGGGLRAAEGGFQTGLGWFGVKW SAVFGEQGEVESLMMSVETPEGTNGVVMLPKGINGWIAVDGSRLENLSLQDSRGVTLR LIGGKHEIQVQPV JR316_0010664 MTSFRNHRIVIASLFLPTTAVLTYSSPPTPDHEHETLAQVQARV DAEHTIPAVSSRLAAVAGASAAGAGAAAEGGGAASAAGATATAAGAGAGAATAKKPLK PALVTRGSAVSGAGAGAGGASGQNQSQTAGNTHTRQSSLNVPLKSIVDDLKDKSRLAT PSARSPTNETTNPFTKLTRFAADSTTAGDAKDTAHTPSITSPLPRKHQTTHPDTSSVP RLRRNKSRSTSRRATSSARAGSVPTSPSVDSNGGSMMYGGASGVPAWHMEPNPHCNGG LKNAVESVGERMKRKLWVGTLGTPTDGFGEELRRDIDARMVAQRQSLPVWIPDDEFQS CYDEFCHQVLWPCLHYAVPDAPKTKQFYESASYAQYLSVNQRFAAVIINAHQEGDIIW VNDYHLLLLPLLLRMSLTPGMPTSVSPPSSGNPHSSSISAPSHISGLPPSSSSSNPFL ITRTSSENGNEGERRTPSIPPSTPIGFFMHVAFPSSEIFRCLSVRKDLLRGMLGADLV GFQTGSYARHWRQTVSRILSFEALPRGIQVPEGEGMAVGELDVGLGGGGGAGERKGEN VQRGRSGAGGRERSEGEGARESKEGRVRDGVVERGRFVDVGVFPMGIDVRQLHIRRRE PEVAEWVQVLKQRYAGMKLVVGRDKLDEIQGVRHKLVAFETFLEKYPQYINKVVLIQI ALQTSSPNEQAATSSGITEIISRINARFSTLTYTPIVFLHTQDLTFSQYLALLEVADA FVVTSLREGMALRTHEFVECQEGKDREVVEVVDPETGERKEVEAGRHGVLVLSEFTGS YSYSGFRSCIAVNPWDARGTARAIWEALSMGREEARSRWEDLHNHVTTQTAQTFVNSF LNRCIRANTEHTASLLDDVGSGPTILRPLPSPGSPPLSPSSFGPNETSVSVLVGKFKH SRKRMIFVDFEGTLWRRDLSRAAVLADEGEDAPLPEEVERAVSVLGRLAEDTRRNEVW LLSGLKVRGVLEAVARRVPRVGIVAENGCFIKTRGGGLGSGEWINMVSNFNLTWKSSC LEILNYFTERTPGSFIEERQASMVWRYWSGPSTTSSGENPDRQWAQRQAAEAQNHIFD SLGERYGLRIIPGRNSFLVLPNNVSRSTAVGAILHPGGVVRGGHGGGGHHRHHSHSHS HGHGHPMSPSASDISTSLGLSNLSAFNSDGTLTSPTVDASSFDPSTSSLHGSSSSGSG DGAGGGVDVDMLLAVSSDEKLLRRLNEFEGAETVSTSGKGTDAKWRLESEESGRVLGS FVGV JR316_0010665 MSSIALTTCHRVLQNNCRRKWPGWWRLEYIKGLRGSLLRYVSIL TSSSSRRETGVACGVRRGALRMESSFLDEEKEYVERSAPPLLAIILTLIPLVYNVFEY LLANLYLFYYYYKYLRSDSYKQIYFGAWRIFLKVDLQSDQRA JR316_0010666 MSTSASSPSSPSSSSISDPDRWIAQLKTCTHLSEPDMKKLCAMV RNILLEESNIQPVSSPVTICGDIHGQFWDLLELLRKGGDVPGTSYIFMGDFVDRGHYS LETVSLLFALKARYPDRVTLLRGNHESRQITQVYGFYDECQQKYGSATVWKTCCGVFD FLNLAAIIDGHTLCVHGGLSPDIRTLDSIRTLSRAQEIPHEGAFCDLMWSDPDDIENW AVSPRGAGWLFGGSVVKEFNHVNALSLIARAHQLVQEGYKYMFDKQLVTVWSAPNYCY RCGNMAGIMTVRDDGGQTFEVFEAAAENERDAMGAGGLGGMGGGMGMGGGFGARRGGV SVFYIFRSSFREFFADLIFL JR316_0010667 MRLLAAISPFITLSGLLQLSHLTQSVYALHESDVGVVDWHKHLI GVPHSNAPVTAPSFVDVNLDETEKGTAIGVNGTKTVIVTTTGNNVLAVLDPEDGAVLW RHIFDAEDRVAGYYKSSTTLATLSGPGGSTLRTFSLLTGSLLLEKRLHHPANGALLEP AHLGKQVVFSREGNDSNGNQKDVLYVLTNGCEVRKLDAQSGKEMWAWKSPDQGSLTIY SDILISPSSQHIHLIGLSSSTASFTLHTTALSISSGEVIENLSGGVPSSISDPLTQFF VVRASATSKDTGKPVILWLSKSTLYYLPLPSTSSSKQFKPRPQALKNSGSAGLEKLVD VGLGKEGHVVVGRSDGSSLVLRVAEQGEEEVVAESVWEFEGSATTSTQAESMYAGGLD ARGAPAVGRVWWDHAVQKASADVYTVQSEASTQQAPRPFAFAFDTQSHGVLSHVALDG PRDASSTHARVLITTTTGAVQLWSAPSSLELEPVLHWTREEGLAATVVAEFVELPEGG KGADIIARESEEGFPGRLSRQIVDAQGFPNYVVNFIKRFTSSPAPAAATVVIDYRALS NAATPPSNDSTTTLARDAFGHRQLIITATLFGKVYAIDTSTGAIVWSRVLGMGWVGRA GMGGRVIPVKLFVIRAVGDEAVTSEGDAGDVKGDPEVVLVGQRRADNSLVDTVIFHIN ALTGEDVLPSSKKSASDILAELGVESEGESEEAEKEKEKEEEKKDDQDNGGILEGQDI VAGPVVEVYILNAEGRKIIVLLDEFLQAYIYPSNPTAESVFARALPSLSFPLRAHVES RHRIVGHKLGLPPKDQSHFKPTAYPTWAISLPAGEEIQALLPQAPTMGHAKGSGVASV GKVLGSRKTLYKYLDERLFVVKTVARKNKVDEEGNAKTDVMCGIYVVDSAKGTIVYHA EVKASPPVPSSDAPGTPGAGAGTVRGGGCDIKTNLVENWLVYHYYEAEVAGGSVGGAK GYRMVSVEFYEGQKADDKIMSSSISAYSNDTLNYSVYEQAYVFPSAITALATTTTKFG ITSKDLIVATANRKIQSFPRRIFDPRRPSRKMTAEDQEELLIQYDPLIPNDPKRALSH NYDVANVQKIITAPALLESTSLVFAYGLDMFLTRVTPSNTFDVLSESFNKVQLVLTVT GLLVAILVTRPMVKRKSLREKWYN JR316_0010668 MTSVFALPSSSTLFFLATVLTTNSRSSKYLYRVNVLDSVHYGHL TKTISTLIKERSSEEEAGERSSGFADADDLIQAVSERAFDLAGDAASEVRVVLGVPKM ILLASGFSVDTTIAKGPPHFMSSKNVSVNDIVLPVIIGVNPPEREEKQRVIINITFHE NTELSQVKSPDYKQIVSGLCKEIEPTSYLTLEKFVMEIVRFCCLSSDKVAGVTARAQK PSALSFAQSSGVQITRTREIFL JR316_0010669 MVSVEFYEGQKADDKIMSSSISAYSNDTLNYSVYEQAYVFPSAI TALATTTTKFGITSKDLIVATANRKIQSFPRRIFDPRRPSRKMTAEDQEELLIQYDPL IPNDPKRALSHNYDVANVQKIITAPALLESTSLVFAYGLDMFLTRVTPSNTFDVLSES FNKVQLVLTVTGLLVAILVTRPMVKRKSLREKWYN JR316_0010670 MTSVFALPSSSTLFFLATVLTTNSRSSKYLYRVNVLDSVHYGHL TKTISTLIKERSSEEEAGERSSGFADADDLIQAVSERAFDLAGDAASEVRVVLGVPKM ILLASGFSVDTTIAKGPPHFMSSKNVSVNDIVLPVIIGVNPPEREEKQRVIINITFHE NTELSQVKSPDYKQIVSGLCKEIEPTSYLTLEKFVMEIVRFCCLSSDKVAGVTARAQK PSALSFAQSSGVQITRTREIFL JR316_0010671 MVSVEFYEGQKADDKIMSSSISAYSNDTLNYSVYEQAYVFPSAI TALATTTTKFGITSKDLIVATANRKIQSFPRRIFDPRRPSRKMTAEDQEELLIQYDPL IPNDPKRALSHNYDVANVQKIITAPALLESTSLVFAYGLDMFLTRVTPSNTFDVLSES FNKVQLVLTVTGLLVAILVTRPMVKRKSLREKWYN JR316_0010672 MAPLDLPSSTDVVFVDTLRVSANIGRDWWGKARAQPIEITVYLH LEESYLQTSGKTDNVLDSVHYGHLTKTISTLIKERSSEDEAGERSSGFADADDLIQAV SERAFDLAGDAASEVRVVLGVPKMILLASGFSVDTTIAKGPPHFMSSKNVSVNDIVLP VIIGVNPPEREEKQRVIINITFHENTELSQVKSPDYKQIVSGLCKEIEPTSYLTLEKF VMEIVRFCCLSSDKVAGVTARAQKPSALSFAQSSGVQITRTREIFL JR316_0010673 MSIIVAPTNWPKHKALCKAFKAVETKDANNLLAPYMFIDDDDET YVATNVDQLNKCIGTVIQTEMRALERELFRPLRMEERNLIGWEPHCLACGRSDAIFRI EASLRKRKPLSPGLKPCPDCLFTSYCCEEHWDAVKEKHKREPCRDGRDNLSQCDMNKL YLEDIRFAMVMSGANEGTFKWAPERTLRAWKSLRGIGWSDYSDDIEQDFGGLPGVSEL LPTLARAATDGLSMPMTILWALENLNNDDSWTKKDTLNIHVGYNLNLWSSFLLINKLQ ILGPAEKEILNANVFEEILHRLPLVKNLTVTQSLFML JR316_0010674 MALQEQEKAGELGLEIYSVSLASGHVDDSFLQRAVSSIPNTAIL LIEDIDCAFTSREDEEEEELPAFPGMGVSRTTKSGVTLSGLLNVLDGVGSEEGKLFFA TTNYIERLDPALLRPGRIDRKIQYKLSTKEQAEALFLRFYPPSYTLTASEASDSSITS TDSDKTEKSPTSVIIQVSDQETRLKTLASEFSLHFPEHEFSLAELQGYLLSCKKEPEI AVAELKTWVEEERKEKEERTARAEERKIKMKDKKDIKEAAKLQGSLQRLGILGNSSET PFVHVNGISAE JR316_0010675 MAIQASHRAISHWLTGEHLKIQRPLGDFSKDNWGDNLTRDPKNY PTLLPGLVQESKATSNLLRVVMKLTDKRWEKIIQGAMKATRRHKSITIQPVAPAAPDA IEEPLSDFSILDNDNDSD JR316_0010676 MSFDVADTSGQSWFGPASLLQGMGTKLESIGNAAGGSNSYFGNK YSITAHFSEGDPAYDWIILFLTEEKVWTRSRQFQVNAASSLRQWTVNLASNPKPGGHV DEHAEYVPTYDEPQLFRWRGYWAEIRRSGSQKNQANYNAFIMDGSGSLGKLSLTLYTR DMSALSALVDDARKKYMATSRPHVIVHSADQAGELGLEIYSLALSSNMLDDAMLARLV SSIPKQAIILIEDIDCAFPSREDQENEESSMRGMMMGMNMMGMNRRAMNMARPGSAVT LSGLLNVLDGVGSEEGKLFFATTNYVERLDQALLRPGRIDVKIPYQLATQEQAAALFV RFYPDDELTVDAGLDIEKKSLPDFAKPLDIPALAERFASFVPQHEFSTAELQGFLLSC KNDPLRAADEVGAWVEREKKDKLERLKREAEEKEKKEEKKEAHETKRLRGGLARLGVS VGGQEPKFEALPYLNSTVTPQHRAKVDDKPDANGAAMDQYHAEASTSKVSQVVDPNGS TLL JR316_0010677 MSSSNSTASSESQSFSSTSFSSSFSTSSTFSSSTSTPSPFPSSH SSGPSTDSNATNASIATSASLYLYTFLATLVLLLSVSAAIVIRSFVLRRRHRLMVEEA IRNGTWVPPAPPTRPVKVDLSKKPMLWEAYIDGKGDVVSYGAHGAGSQRINDTWKAEH SKEWDTIKPIAVSYLSSTTLPTSSPSPSGLATIPSVGSLHSTAAQQPSTPVGMQRDVE ANAGTPTNASSAAVAVPTPPTSVRTPTSRPRAILSRVVRMLNPTPNPTSPLPGPLAGS NAGSNANLPGPNSGDATANEKLELKGPHTMRVAVLIAMPSPPSSSLSTSSSAILSSAS SSTGAPGASTSPSPPIISHPLSVMGDDDEHPLPHLEVGVADVVVMPPDYGSGVGDAHV GKRKAAQRGSLASMATGSEGSEV JR316_0010678 MEQSTMMLVRTARKTVNTPTLFMRVAPHAGHQTTLTETSEQAES LPIEARLDQSTENEISMSPQLITTSSASKGKEKEWSASIETVRGKENVPVTLGPLIRD L JR316_0010679 MESKVTPPDAQLAVSGGRSLCKLLVENEHERVRQLLEISPDLIN TRHPLGWAPLHTATLNCDPELLAFILSLPGLDVSVKDQSTFNTSSSDADIQCRKEELC PKIVGTESTAGASALHFACMRGDAAVLDLYIGSTTDISKGFDWQDEKKRLPREYFDLA RVDIEVVRAYHEATVQWRMRYRSLERKVGSRTQIYVINVDSAALCRAICERDLEYCKE IINSNKSLAITRSRGIYGRISSIIKSLKPASIDLHSITSANPYSDDDSQPLHLACLVG EMSVVELILQAGGDWERKDDNNMLPEEYVKVHGSVRMEEFRALCAEEKERRDKKVQQR KAEEAKEKEAKEKEEKRKLDEQLEALVCMGELMDDLQHERERDLEGLSDEKARKRAER ASKAQKRCNKAERKKTAKAEKEKKRKQIAEDKYRMRHLEIERSIGANVVGQRGPIRSI ASALRLRENGWVDPERPLVLMFLGSSGIGKTEIAKRIALYLHNDALKTAVRDKANGRS SRDNDSESGDDSDEDGNNNRDASKDGGKEGKKERKITLRDIEKSGTFVRIDMSEYQHS HTVANLTGSPKGYVGYEGGGNLTNQLRKNPRAVVLFDEIEKAHPDVLTVFLQLFDDAR ITDPKHGTIHCPDAVFIMTSNLGSEEIRQAAPGLHKLVERTEAVDMHTQYLNGIANFN KTLYPVLKKTLKRDEFLGRINQMVVFLPFTENEIRKIVRVELDKWKKRAHEHHGIRLS WTPEVIAKLAQGYDENYGARSVSNEVKSCAIQG JR316_0010680 MARSYNTPSTTSNHENSDATLMEFFTNNAAAINAILHQHSSTET FNSSTDDLAWNNAVAALHNITHSRPATPVLLRRMYATSNAFSPQPSESSTVVSPAVSQ PASPPPLTVISPAVSQPASPPPLSNTLSLAHNDNNYSDYTQYDDYSSYNNQQSQPNDF RPRSTLIPRVLPPINWPSDLYPPIQPTAELQAQTPFPNMESSPVLQDFQIEVCHRLID DSVHFATLPFELVMGLIAQLQWLLKCARSSAEQRDLQRLFFLAHAILRNRD JR316_0010681 MCCLFGYILPVHLLYVVHHTPSSTLPQLLQPTPSASLPDQLRLL GLYAAPTLGDGNCLFRALADQVHGSPVRHAEVRRDVCDWIEKWGERYEGFVEFEGSEE DEGRGKSKGKEKEKEKEKDSGAGAGEDKGEGSSNSAGKGPSPRLAAYLRNMRQNGTYG GHMELSAFAHMTRRDVKVVQPGLVYVIEWRAGSGSGSGSSAESQSQSQEKKEEEVKDA AKPVSVSASASIATSATPVSNRRATRSANALSAPPAKVPPKDRDEGKTKVKTGRHGYY VLEEVSSDEEDDKQALGLGLGNRRGASRGTAPAASAEVSEEKEEKEVQQQLVAPEPTP SPTPAPVSAEVKHEEEEQSGGPTVYVAYHDWEHFSSIRNLRGPHTGLPNIQETPAHSH PAYVPPADTVSAPAALSKEALKEREREKKRERKEKERERERERREKGKEKIVSASASA SAGLKVKLKLTASKTGTPAPSASASVSASAPVVPAVGAQAQAQDPLAVPLPTSRSASP FPVSSLASTSTSASGSTGSRSPQPQSQTQPPSSASTISSTSTSTSTSTSLSTSTHTAI SPGVNINLNLNLQAPHMAHLHASPLALARHHEQQQQHSHLHHRSPKRSFDESSASGGD GESASGSEKRSRRRVGSVSVGSVPGDVRLRASVGGENMDVDVDVVEVEGEGQGEGEGE GEGEAGTPGLSAPGSSSSETSSEVVSEEEEGDADEGGGDARHPHHMDAVDDDEMSALS SVPPTPPPEPELDLDVVQEEHDMDDMDIPRIVNARLSPPPSSTMSSLSNSHPNSKKPK SRKGTPLSSTSTSTSFSQNTYTAQLGPKELTRRQRKALGLPKARPRLAGGMSAGKIVI PGGRWTGREMTPHGVGVGGGRDGGGGDGEEEEEWRRNGTGRLDVRGFRELKI JR316_0010682 MPKARKSKSSRSLRETVLSRQAGLAPKPAQPAPRSNHSAPVAGS SNLRTQPVFTAERTGAWTDCFAHGITFVEGCEDCEFHRSVVVRMQRNNDYIKSIGGTE EFIDLLKRMTSES JR316_0010683 MNTPYTLRFISSRNSTYNGEHDLVLPAHKLEQLHDAVDELNTAQ LEHKVEMYFALPMDADAVCGMLVELASLRKIAAYDKSFGFMLSEKNIACLVLERWDDV PCERSAAYYDLFRPQFRTFLQKLDVIYESVLGDTQDIKQTVNSNDSMDDLSQFFKGLS HHEI JR316_0010684 MPSANKRPRPIELEPDASNSPSIDDNDDEDSTSTRRRTRSGKTR SVLDSHARRRVKRPRKAESVEEEEEEEEEEEEEEKDELMDDGEEEEGVYLRTRLYARE KAKAKEKEKAKEKEKTNAKGKEKAKEKEKSTRKGSKEKEKEKEKEKDKATPEFRVIRD SLPVPPRAKDTSTLKPIPDPPWLLQKPAPAPAPAPPPAPVPSTSASTSTPTSIPKPTP IPKPAHKPKPPSKPPKPALYTGSDGDPADDGDTIMRSAADDDDDDDKPLDTQLEPVHS PSHPRDREPASSSAAAAGDGGGNGARTAGYTPRTWNPSSYVHSSRPPFIPPPSHSPDN LAYTHSPPSPMRVYHSPSPPPHPLPQPHPHAHAHALQRRPSGSRHRAPSPDYEREREY GYGYGYDSDPARDPRYRAPHPHPHPHSHSQRYRSSREYASSYPPPHATSRGAPPPLPP SASASPYPPPAPPLHAAYPHPGRYSHSHPRPHSRSGSPPPPPPPPPAAHSKRPHSQSQ SQSHSHSQSQSHSHSHSHSHYTPPTLTQPPIYHPRPPPPPHALHSPPPPPPPHHPHHH PLLPAQHYRAAPPPSHAHVPPPPHGHGHAGPATTMPTRQLQPHEPYPPTPPPPLPGSG PGPGSGAGAGSGPGRDREREHERERERERALQPSWSALGDARVDRDRERDREKERNQE RERERDRERPPLPHHSHSQPPPQPPHSQPQSQPPPPHSQPQPQPQPQHRPHSIQRRQS SPGPPPPHPHPHGHVHGHPARYYTAYPPPPPSAPHSSAQAPPPQAHQPSTPQAPQAPP AQSSAQSSAQAAAQAQAQAQAAAQGGQPQQQQQQQQRRPRRASSPRFIADQRDRDRER GQERGQERGQERERGQERGQERERGQERERDDRPSSRTRARAGEGEGAEKGPGKGREK GREGDTEDRANAGAAGGSRRRAGSDSASARAAGAGAGVGGGYPAAAYPQPQSQHQHYP YPYPYPYPYPYYVDGSGHPLPPPPPPPGSHPPGAHAPPPPGHPAHAHPHHPPPPPPPT SQQQQHQIRPSIPPSHAQAPYNAHAPAHYPHPHPQYPYHPHEVYPHPHPHSYAPPPPG YAHQPPPPPGHAPPPGHAQHPPPPTNAPTHAPPPPQPQPARRISAALAALPAYPQTLS QPHPPAPVMAGPVHFVPSRYSYGVLGGGAGGGGGAGGGGGGTGAGGEGGEDRRDGRDG NGKEGNGKEGKEGERERDKDREREKQREKEERRERRERRNPLPPPITISLDDTPMLHS PAASAEAEASPHPPRSAMSVSVSASNSNATVPASTSTTTSTSTAAPPTTAPASSNITN TTTSTNTSTTTNTAQRRTYTPPITVSLDPPASFPQINIFATEPLPAGKPHTHAQWYAH THEYTVRQGASGAGGVGGSGAGGQGGGGQGGGGGGGGAGGSASDGRGSRASERRTERA GAGAGASMNMVVPLQGEAQQVPYMYSSYAQQQQAQQQAQARAGQRVLHVGVPVQERGQ ERGMDSGERERERERDARREREKDARREARREERRRDMGMGTGVEMDVDSVGGGDRDK GGVSASGNGNGNGKGKEKEKEGASTTSTSTNTATNTATSTTTSKTVTMTATRRPSVTA DAPSSSSSTNSAAVAVAAAAHPNPHFGPQPYPSPYAYGYAPPPPPPPPPPGAGGPGGG GGGTEGGGGGGPGPPPPPYSRHAYGHQPYYSPYPPPAQQQQQQQQGHEREREREGGHR PPPPPPQYYPPPPPPPHPHAAPPPHPHPQHPQYSPQSPHQPYPPPPPHPPPHPHSYPY AVPSPPHGHGHGQPPAHAHGYPYSYPYPYPSHEGPHSHSHERDGFDPRERERDRDRDR ERERERDGARDREARDREREREGPRDRDTPRDRERDAPRDKDRDRDAQMRQQLVLFQN GAVGPLEHQVPQPRKPRGGRGGSGSGGGGAGGGGAGEGDGQSSGKASGGGGGGGGGGG VVTLQHIPKPIHPDTVHASRNASASASASHPHPHPHTHAHAHGQVHTQTHAHVRSHSS VIHLATTPPAATALAPQEHLLLGIPPSSGAVLAGSGSGAGAGARADVEGGAGAGGTDT AGTGGGGGGVDPTSPHYVGGAQFVLKPSKPPRARKKLLAGGGEEGGQGHASGSSSGVG SGVGGEYHAQRHLRHDPVADPYHLHPHTHHSDSHSHSHSHLHSHSHSHHSHSHSHSSH SHSHSHSHSHSHHKPNSTSHTLSHLSAQYNTPDELGQSQGLIVYEQRTPAEREAYRAA REEQDRLAGRERGYRRVWRQGGGAGGQGQEKEKEKEREKEGGKGKEREKGKENEKEKE KGKEKEKEKENNKDKGMETDKDIGKEQDKGKEKEKEMIVVDVDAEMEMEMEMEDAGQE KEKKGKGKENEKEKETETEMAGTGGEGKKSTNTNTNTANANANANAARERERELEQEQ DVSMAVDSASAHATSTARRQQQQQERERDGDRENQSNNEEVARGLSRSSSSSANSAQG RRGARGTKQRVYEEEERERVRAQGQALGGRKGSSGVGERAREGSDESVGRGSREREKG KERERDREKKKEREAEERRKREAEAAEDSDVVIIDPPPGERERRELRERERAEREVEM ERERRRWMDAKKERERGKEKEKEKGKEKERERRERMDGDDDDDDDEVVIVDERRTSGG AMDVDVSAVVSPGPGLGSALDEADVAREEAAKKEAEKEKEKEKEKQKEREREIVVIDS DSDIEMMEVVRVANPSPLHSASGSAEKDREKEKERSRSRRGSDEENMDVDLRARRAAG SRTGSGAGSGSRAGSDTHSRPHSPQEQRHHHHHHQHHHQYDNFEGRRYAAYQQHVEQE RRASHSINPQNRYPPPLPPVVIIRPRERVADQAQTQTQSPSDGSHRMISTPRPVDANA DASSLSGAAKMRSSAAGRAVSGGVHGVAHYPPHLHAHPHGPPPPSADFYPAREDIPPP QPPPPQHQHRHHPHRQHHPPATHHSSGRPPPSYNYSPYPPAPAPGAPPGAHQSHPYSP TTEYPPAPAPAPASASAAVPGPGGSETNTFAAPARPSRKMKRYPCDVCGQIFTRSGDV RRHKESRHTGGGAGVRCRFCGRVLTRQDALQRHWDKYCRKKAKRSAHRQSGSGSGSGS GSGSGSGSGQRSGSGAGSDGDGDGDGDGDDHGEGDGDGSHSEAEGIDGGDQWDGDMSS EGEGEEEEEEEEEGMEGMEVDGDVEMETDRQQQQREESRPSSSAASRVPASAPGSTAP SPHAHATSASASAHAHAESERVREREREYAHAYERERERRRVRREQEQEQREQEQELY AGGMSRPGSGSSSGSRHSHSSGSRHSHGSAHGHGHGPTVSRYAPPPPVPVPAHQHQHE REHQNQQHQQHHYSSLQPQHKRISPNPHPPHPHPHTHSHPHPHANSHPHPHPHAHPHP HPHPNSHPHPHPHPHPHPHPHPHPHAHAQHVHPPPTQRPRPIAIAPHPAPAPPSPPPN PHTHHHPHPQPIQIQPHLQEISIPPTDGWQHEDPAAEGRRVAEKQARLLRERRERERR ERERERREGAGGTGGLSHSGRTSASGGSVREGGSVSGRSGREGGSVSGRSASGRGSAQ GSVRGGSGSRSGRTSASAPSPPPPPPAPAAHAANAANAANANLNRT JR316_0010685 MVVCDGCSKSFKGFQGLTAHRKQCAATKISSNHIIRNAAKAFKM KSNLQHSINKSAARPASPMEAESSEMGGQRSKATKKPSPPPQVLESEHSEDVTMDICR PDSPDLPAPAFIPPPTRSGRVRQFPRQFADMLPNSTTQLPHMPKRPPRPPARHAATTA LPSTERESESNTPISYRKTEPNDFGLFRVYPIIPTKQVDEHEDIDNLCDSPGLATSSD PQHRCWWKSFGIKNTEDIQKHPGGIFAPFLNATVFRLFNWFYSGSVLKSVKELDRLVK EVILAEDFNPVHLKNFNVQRELDRLDADDAQTSPFASEGGWNTSSVNISMPCENVSHI SEASAPVLEVPNVYHRSLVEVITSAFKDSTSKQFHFTPFRLFWQPSPDVEPERVITEL YNSEAFLGEYEQITRKLKPTPPGTVHIETCIAAMMLWSDSTHLASFGDASLWPLYLYF GNQSQYFRSKPNNFAAHHVAYIPSLPDNLQDIYMEAYNGAAASEETIRNMKRDLMHAV WLLLLDGEFMDAYENGILIKCTDGITRRVFPRFFTYSADYPEKVLLATIRFLGKSLCT GCTIYKKFVSALGTRADDQRRNHERKDTKERQRKVETSRSWIFEYGGSIKSQGVENVL ADESYVPARNAFSTRLFGLGFNFFSMFVPDLLHEFELGVWKAVFIHLMRILYSCGENY IQKLNKRYRQVQTFGRSTIRRFSTNASAMKKLAARDYENLLQCSMPVFEGLLPNQKHD AMLQDLLFILCTWHAYAKLRMHTMSSLTGLKATTRSLGQALRDFSKKLCPKYDTKELP KEEAARARRARKSKGKGKASSSTTANTAAPSAKVFNMFTFKLHHLGHYLKAIWQYGPS SGYSTQTGELEHRRVKKFYARTNKGRKFEHQITRHQRRERILRRIANRVKNAASESAG SLSSQQYQYKRGATTHLVPFEDSESLQPILPELHHYISNGKNSPINIFQWIYDNNDDE ALTRFVPKLKDHLLSRLLGHDDNSDESLYYTDEDRHHVRIIHDRMYKHKRCRVNYTSY DLRRCQDTMNPTSHADIMVLSGNEELDGHPFAYARILGVYHVDIKHTGPKSRSNLVHR MDFLWVRWFELDSTFVGGWETRRLHRLQFVESDSSAAFGFLDPSLILRGSHLISAFAH GTTTARLGPSIARVSGEKKMQDKDWNYYYVNMFVDRDMFVRYLGGGIGHQATNEYTAD LRPNFEARDLFTGYKPGDNQLQVDSDSESDQSELEAMPSSLNHDKEYDIDNVDTEDED WGYKSSNSSQDSESELEDPEVIVAEEAGAENEFDLDDLGPGDGEAAWESDDYEAEGYA EL JR316_0010686 MRAILVELKLYPKHVIANARTLAAALVFHSYRLQTGGTDNHLIL WDLCPIDLTGSKVKKVCDLMGITINISGGFRLGTSALTSCNMKEEYIKVFAKFLHCPV QLLLLLQKEAGSKQDCVCVATVPQDSLKRYKQVTQLREEVIMFASKWLLPGIDVVTLT RHERLHE JR316_0010687 MCFNRFDTPCMCTPSQAHDQQMNPYTETFQTRYREQRGEEYNGL NSQSQWHSSAPLPTREEYGHNPSAPGQRRRDGYDRWVKERIAIEALLEMADQPFPAEL SKSIHPNLLQGKNISNGTLRHIETNEVSRHPTTSPQNTLFQSTHSVELADITSSEDES FEVTEPVAETVQNKSATTTLTSSEWLSLAFKVQTIHSPTTWHVDGMRVKNSRRKLVPS VDGVASGAASQHQLHKPVEVTIHDLPLIPCVIGKCTENVPPTRQIRRWQGVFGEGVQK CDEGRRNAPPHY JR316_0010688 MSNQNYKQPLDDWEAYRDALFNLYDPVWFQDQYQVSSPSSTVHW WEISEYLSTNTGRIAEGYIRGALPLHLIQISSMQLIDQGQLLHVALGEIRRFVITKLS NWAAIAEYDWSKKGFQKTCKNVIADAATDSTKYAILSHRWGPGELNIEDVRELSKVNA EAVAMLMRMPPTNHGPGSHKFKLLSTLINASAGNRNTTAVHMAPNHVNSRPEIIYALK HFIDSQACLTMGGPQDAGLLKLINFCFVAYNMHGYRYAWIDTCCIDKRSSAELDESIR SMFNWYRSSSICIVHLGNSRRPIRPTAFRDRPFAEIFNDPWFTRGWTLQELLAPTVVK FYYDAWISITTNPNDKIQQAFTPCPTTQNALIEQVSYITSIPLLEIIHFVPGMQNVRD RLRWASTRETTREEDKAYCLLGLLDVNMPITYGEGEKAFHRLQASIMEESGDRSLFIW DGIPSSKSSMLARGPECYACSNDVIEANFLYPEEIVDVIHDSLVEPIYMLTNYGLKIS VSLYTEEFTKEWLFGPSVPYSRPEVSPDSPHTFRLAVLAYSTIMDFDGRKAPGLSYMP IVMLLIEERELNHHYYRKYPWKPSALPIREPPGFPTSIFIR JR316_0010689 MTNILQLSSLIQTRRLLTDRTDPFPSFPVVPQSALPPYIDQKQN IITHSSTNPKMIIDDKVIMYPPPPPYQSPSSPSPSSPYFQSSSRRHPTLTSLPSHILL QIIHHTFPPLTSPTHLPVLPPSSSLSQHQLDQYLYEDSKPSLQRKTLFWMSTSLRLVS RRLYTACMHVLRSTYLPSYNMLIRPPYTSDPFPLTLPTSSNTTSGSGSTPAQPQEQVP TSPVSQFGPPAYSRSTTSLVSQQQVEDTSPLMTIHRETLVLDRFIALKVRQDVFADDS ELHIEREDAFRDLFDVEQPRARLEDLVRIEGVRQGVVCMPEVGQSGGRRQERRMYGAN MGTGSHSSNSSLASLSSVKTAYMVPQSNSQSQSQTQPSSSTRIHNPPPKPKPKRLFFG LIKGSSPSSPSSPSPSTPPPSAVKETQVEIQPIPFSALSVSFSPRRIGLVLNRSRTIA EVPRLGVGRGKEPLEVLAKGLVRELRSFLEGSR JR316_0010690 MAHTVEIPEGLGYVGASLVSVVFLLIGQSQVVATYRKKAGIAYP QLYAEKAEAEKSQDAHLFNCAQRAAQQTLEQMPIVLILSAINGFKYPILTAGTITLWT VSRISFTRGYITGDPKKRGSALNYLGQLGLIGTFSSGLHYTTADFCFRPRGIINFYVS NVVVASSQLVKT JR316_0010691 MTSSQRKLLNTAQSKYEFRTCPTNGSRSPCPALNSLANHGFISR DGKNLAFMELVHAMVLVYNLTYPLAFLLAIVGFVTCGHISLSRPPRTTTPKMEPSGFL RRSLSVVLRPINTPFFFYPRLVLDLSSLSSRGKLKITHDAAFVHPDSIPSVTPDVILL EDLLQYASQRRHESFGDSEGGLSYIDIAKFHARRVKNAPRPLSSIHQQISLGECALTW EALRGYQCHSGSDAHDRRTYSLKGGLDGVIPVSRLRQWFGEERLPDGWWDDEGVRPHA PIGIIEARLLADLMGKIASEN JR316_0010692 MTQEKIRVGFVGLSANSGWAASTLGPALVHPSVRDKYDLVAVST TSEASAKASAEKQSQAVGHPVKAYFGDASAIASDPDVDLVAVAVKAPYHKQIVLKAIE AKKDFFVEWPAGTSTKETEEIAAAAQKQGVKSLVGLQGRNALVTQKVKELLASGVIGK VRSTNVIAHHPREINYHVPYNFEENAYLLERKNGVTMFSIAVGHQLDILTHVLGDFAS VSATSAQFYPVVTILGKDLKPTGKTFDAQNEDHFAITGILKSGVMANIFWRSGYSSTE GRRQYIWEIEGEEGTIRLESNHILGAFPAMIESELYLNGKKLEGHGNGVVTVSEAWKE FATGKGSYATIDDAVKHHRLLDAIETSAKEGRRVNL JR316_0010693 MDTTEWTPRRELALPNELLYKVLLLVMSDSVHSICVSTEDTPWE RGIMDTMYRVSRTFKAISTDIAGKAFDIQKVIRQDDARMLRTSREIFVYLNHLGTRLR SESEWGSVSFRTIDCTASPLVFAYALYLSCISLRRNASRSPPDVFQTTHKVILSALAQ SESLCERVYPAEMTIPLRQCISKEFKLARDGLVIVQSFAELHNHAISMMILQPSRNTD GTGPTAAVRSLIHTSLLKVEAVYERYTSIITEKSPLPEPRIYELPTVLCALRLIYSLK FEEDEYNLEMRTKKIVDLWSVGCPFLNRNAKRAENRTTG JR316_0010694 MTSHSNGQKAGPSNSEASRYRVQTTDVLQDMRVNVSEEGSDNVI WFKERFLSDNEIVENIVHNPTSTIQWTIHRPLRGWYIRIRSPTFPPGVFIPLTPVPSS SPLHTDAALSFNSRTNITPPSSFPSRSELPSSSSQFTLQDEDITSSSSSLHSYPPTPT ASTTAIINVHPPSPTRESSSSTLVDTDKTPKKSLRRPRPPARSQITQFLLAPSSIPPL QHPDANASFLSRALSLLKTHRPSHSSSFTLSRVVEPSGTTPPPYASQSSAAILQQQHP HLHAPLLVFHDHTPVLTVRSLTGVIELDKSEAQYLGVDTSFWIAVALTYLEFLEERES YLAASSD JR316_0010695 MFSALRQQARLSLRIASRAAVPASRTLSSLTLRQAVVAPALATR AFSSAKVWAYEREGERDNTPSPPSESLYVGNMPWDMTKEDLLELFSQFGQVVNVRIQT HADGRPRGFAHITFSTQEAATAAMNSSAAEPFHVAGRDLFLDYARQNRSLPPLAPNKK LYFPQYYEDEDSLRQLFSEFKDQITSIYFIRDGNTGEKTNAGFIEFTSTEVATEALDK LNKTPTGDNTFLSLSYSRPPRREARRMGRQDNQTPYTNRGYQPRARDY JR316_0010696 MTYPKKLDIGLVLLPGYQWLDAAGPVDYLNNHSSAIVNGISFLP ESMKEKAPVINWHYIASDLTPIQSTSGPLQLPSCTFADCPPLDCIFVPGPRDIFTLPN GFAEFLKARLEDPKLFALLLVCTASLCVAATGILDGKEVASNKFVLRDLAAEGTLEKG YFKKVKWVGDKRFTVDGKVWSSAGITAGLDLAAEFARVYVAKELVDMAQEMSEYRPNP AQPDPFAYMLDGTMSSQEPPRVLNLGLVLIPGYQWLDGAGPVDYLNNHSHALASLLST LPRSTYDKAPIMKWHYISSDMTPIQATSGPLQLPSCTYADCPPLDCIFIPGPTDLLST PKGFTEFIKARLEDPKLIALLMICTASFLVASTGILEGRAVASNKLALRDLAAAGLLE SNEHFKKVKWVTDKRFTVDGKLWSSAGITAGLDLAAEFARVHFAKEVGIMAQEISEYK PNPADPDPFAYILEGVKV JR316_0010697 MPPGPAYNWLCVLASTAEILSNAARYRAAQVAPNYLATSYANPR KRRRTEDTEWQQTVEHVNDGPQSSSGSALASQYAAREALVEDIRPTVAAAPVVERIIV EEIQIPEADVRKLSEQSEPASDASPVVDTSLKPEDPNVEELAAQKPAASLAAGLESYP PQMSRNLQSSKVPSSRIGRLFHYGGLAASLTYGAASEVLRRTGSGTSGESSVMMTEAN IRRLVSKLSQMRGAALKLGQFMSIQDTHILPPEIDKIFRRVQDSAHYMPDWQMEQVMT QSLGPSWLDNFVSFDRIPFAAASIGQVHTAVLAASVSPTGKEERVAVKIQFPNIVNSI ESDLGYIKMLLTAGKLLPKGLFLDKTISVMKGELADECDYVREASFLKTFGSPDCLGN DPRFKVPWVWDGSTATVLVMERVDGVNVGEANAQNLTQQDRNDISAWIIELCLKELFE FRAMQTDPNWTNFLWNSKTRQVELVDFGATRTYSKEFMDSWLALLQAAAVGDRDTCID WSRKVGYLTGEENEVMLNAHVNSMTLLATPFKAETRQPFSFGPGSQWADITAEIRAQI PVMLAHRLTPPPKETYSLNRKLSGSFLLASRLGAEVDTKVIWDKVVGKYRFG JR316_0010698 MDSKSLVTQFQSLVDVNKTSLYISLLSIAFNPTAWNIVARNEYK NKTITRIFGGNARYGCYFLALSIFSFGMLRDSLYRQALLEQPQQAILPKEYATIVPVV LFVIGQIFVVTSTWALGITGTFLGDYFGILMDQRVEGFPFNVLRDPMYVGSTMCFAAT ALWYERPAGLFITLYVYILYVIALRFEGPFTDMIYSRRAEKAKAKAL JR316_0010699 MSQSISPAVVRRVMRELSELENSPPEGIRIQENEEDMLDVTGII EGPEGTPYAGGYFRVKFKFTEEFPAAPPKCWFATKIFHPNVGPTGEICVNTLKKDWKS TYGIGHILVTVKCLLIYPNPESALDEEAGKLLLEDYDSYCSRAKLITSVHATPRVKPA EFNKPSATEDETPSASSAKDGSSSTTPSVASTSKTTTTPSISVSVSVSVSPTKAQSTT PSTTFSVPVITRKGASPAPQISLKASLSSEKEGGLGAGPHKDLKERHPSPSPLGTADA NVGGKPGMGVGMGMASTAGMAGITGTKAVKRAATNSATTGAEKRKKALKRL JR316_0010700 MFTITDRGAGQAYKSAQRNGTEASRFHLLSINFEPLFAPATLLL FTTMSSSPKVKVGFVGLSSVAGWASDAVAPSLLAPSVREKYDIVAVSTTSEATAKASA EKYSKSVGHSLKTYYGDASQIASDPDVDLVAITVKAPYHKEIALKAIEAKKDFFIEWP AGTSTKETEEIAEAARQQGVRSLVGLQGRHSAVIDRVKEILASGVIGNVRSTSVLAHI PRDANVHAPNSKESNTYILEKKNGVNILTIAIAHQLDTLQHVLGEFASLTATEAKFYP TITIVTDDGKPTGKTFEAQCEDHFSITGFLKTGVFANVFWRTGYALKEGRHQFVWEID GEEGSIKLQSDFLLGAYPSIFEPELFLNGEKVESETKGFGAIVAIGAAWTQFADKSKA GTYATIDDAVKHHRLIDAIALSAKEGKRVTL JR316_0010701 MASAPAPIGGTVYPGDFGPSVLFMVLYAALVPLMLYRMFDPERV AIFALRTIQSRSETQRFASGIVSLLRCMLVNPTYGSEMYFQSPAAATKGGTYSPPPPG TPDVPRLRARLRRLMAFMSLTFFAAIVPGIISNSGYSQNFHNQDAANRKSTLRFASAA INLALCLTMVLTTVWSLKWFPSNSKRETGIVFTVASLMAVISIYRLSVNHFKVTSLAG PSPLNSSGAKAAFYIFHVFPEWVANTILLFPNIRKLFGTGAWGDFRFKDMTPDQVRKW NIQQEKKERKRKARLEMPGDAIPLQEKAEWGSNQSHD JR316_0010702 MIKRFVDSRQFRRLQYIKQLGATDYAGWPQTSYSRFDHSLGVSR LARKMASHLKRTQPELSITDRDVNCVEIAGLCHDLGHGPWSHLWDGLFMPSVLKGSRW SHEEGSEMMFDAIIEEERIPLPKEDQQFIKALISGDPSRTPREKGFFFDIVANRRNGF DVDKYDYIRRDNHLIGSHTTSLSLLSLIESSRVIDSQICYNADIASYIYNLAISRFRL HKEVYNNVISKAVNYMIIDGLSKADRVMNIAQRVFNAEEYLTLTDDIMPQIEATTDPR LSESRAILRRIHDKNQHYECILNEVVDLGQWKIFAKYVTGQRILEASRRLAPADSREL EFLKGSDVIVDFSLVHHGMKEDNPMKFVAFYSAENNNVWLKLDQTHYSSSPLPSNFAE VLLSVYSKSRAASKLLEAGCHDVLQNIEANIATANV JR316_0010703 MDLGLEGVHVLITGASGGIGLETAELFYEQGAIVTAHYNSNFKP LESFVDSRSQAIKADLTKEEEVIELFKSATYTYGPIQILVINHAISVVEDADVWEMSY DRWKHTIDTNLNSSFLVAREYMRQLKTMAPDLKEEACIILIGSTAGKYGEAGHADYAA SKSAMMYGLTMSLKNEIVKIAPKGRVNCIGPGWVRTAMATDSLKNPHVAYAALATTPL KKIAEPNDIANQILILSSNKISGHVTGQVLMIEGGMEGRLLNKPEDVGITF JR316_0010704 MRATSTAFWRCALLLPYVWAQDSAHPSSASVALSSISVLIPTVS SALSTAPLSSTTPDISASISSFFSSASLPVTSELVPPSSISSAISASSAAATPQVTEP RSIPPITSYTFSPFPVPSDVPLPPVYPSVDPSNPPDVEDSLTVIPDFGPAWKAAYAKA KAKVAGFTLEEKVNVTTGVGWQGGRCVGNIAAIEPARGRGWPGLCLEDSPLGVRFGDF VTAFPAGINAAATFNRRIIRARGLAMGQEHKGKGVNIALGPMMNMGRLAEGGRNWEGF GADPFLTGEAAFETILGMQQGGVQACAKHFIGNEQEHFRTISSSDIDDRTMHEIYAHP FLRSVMAGVSSVMCSYNLLNGTYACENDKVLNDILKREFGFQGFVMSDWSAQHTTISA ITGLDMTMPGDITFNSETSYFGPNLTDYVNNGTIPESRVDDMATRILASWYLLKQDQP SYPATNFNAFNPDDDATNERIDVQDDHDKIVREIGAASAVLLKNERGALPLGKKDRSI VLVGSGAGPGKAGPNEFSDQGGSDGVLAMGWGSGTANFTYLVTPLDAIQSKAREFHSS ISWFFDDFNLPRAGNMAKKRTTALVFLVSDSGEDYITVDGNEGDRKNLTAWHGGDALV QAVAAQNNNTIVIVNSVGPLILEPWIEHPNVTAVVWAGLPGTEVGNALVDVLYGAWNP SGRLPYTIAKSVSDYPAQVNLGGNNRDVLAIPYTEGLNIDYRHFDSFNITPRFEFGFG LSYTTFAYSGLSVSKIAAEATPADQPLIKAWENGNATLIGEGSSRALWLHRPAYQAKF QVKNTGSVFGGDIPQLYINFPSSSGEPPSVLRGFTNVEVSPGQKTTVTITLSRYDLSI WDVERQGWAKPAGKIGVSIGASSRDIRLRGTVPI JR316_0010705 MFRLTIILTCLAALLQTSMVASAPIPDESTGETDAILPGYGDHF YGDFGGRIRYPQLKGGIEHTGLANLADNVGDALASVGAGLGGLL JR316_0010706 MKSKRSSLHGSLHGTMAEKYSLGPSPEEWGISLYSREADDDLHD PRAQLEPSKILTMRALVNIGCLMLLLIGCFALFVAYPVVSHYLRQSQSFQGGFNLGGI NASGQVPEISSNGGLIDKDTPREAYTRPSYQDPTQDMVLVFSDEFNTDGRTFYPGDDP YWEAVDLHYWGTVDLEWYDPMQATTSDGYLRLRIDKVDDIADNHNLQYRSGMIQSWNK FCFTGGIIEAGIILPGSNNVSGLWPALWTMGNLGRAGFGGSLDGVWPYTYDSCDVGTL ANQTYPPSLSSSPDITTPAAAIIGGDPNYNGQLSLLPGQKLSACTCPGEAHPGPIRPD GTFVGRSSPEIDVLEAIVNNGVGQASLSAQWAPFDAGYIVSNSTDNIIIQNVDTIKNT FIGGAFQQTTSGLATTSQECYELGSQCSSVYGFEYKPGFDDGYITWVSSGQLSWTLKG PAMGANTESEISQRPISSEPMYIIANLGLSLGFGTVDFDNLIFPATMSIDYIRVYQPA DSLNVGCDPKDFPTAKYIETFQRAYTNANLTDWADVQEPWPKNRLIDTC JR316_0010707 MRCPVKLDGSPVTRARVVSTSLQATAVGSALTRITVAASFQATS AVWGASTLMRVTVAAGFESTAVWGALTRVSVAAGLKTTAVWGATTLMRVTVAASLETT SAVWGTSTLMRVTVAASLETTSAVGGTSTLMRVTVAASLETTSAVGGALTRITVAAGF QATSAVWATTVGGSLTRIAVAAGLKTTAVGSALTRVSVAAGLKTTAVGSALTRVSVAA SFQATTVGGSLTRIAVAAGLETTAVGGATTLVRVTVAASLKTTAVGSALTRIAVAAGL KTTAVGSALTRVSVAASFQATTVGGSLTRIAVAAGLETTAVGGAAAFVRVTVAASLKA TAVGGSLTRISVTAGLKTAAIGSAAAFVRVTVAASLKATAVRGALTRVTVAAGLETTA VGGATTLVRVTVAASLKTTAVGSALTRIAVAAGLKTTAVGSALTRVAVAAGL JR316_0010708 MPIVNVEETVKSPFDYVVIGGGTAGLTVAARLSEDPSVKVLVLE AGEENLNDPLIEVPAQFLRTFGNPKYDWAFPVVKQKHANNIEHMWQRGKGLGGSSGMN FFAWIKPPAEDINAYEKLGNRGWNWAEYEKYSKRSETFHPPTKEQTDLYPHKFIVEHR GTTGPIQVAISHSVHTLDELFQETMVNKGLKEVDDPYDGDMTGTWIASSSIDPRTWTR SYSANTYLVPNLKRENLSVLTGAYVSKVLLNESEGNDDRIVNGVEFIHDGTKHKVMVD KEVILSAGSIKSPQILELSGIGNPDILEPLGIKVEISLPGVGENVQEHYGCPVTFELD PKIPHETLDFLRDPEYAAKQIELHALGKGAFRKGLTSFAYFPLTAIDRSEALRIISQA EEEVKALEKSGTLRPGIKEQLDIQLDILKDEKVPDCEIVVMPFFASRAVAPEPGKLYT TLVGILNHPMSRGTIHIQSSDPLLNPTIDPHYLESETDLEVLAQHVKFIKSLADTEPW KSGIIRETDPGEHLKTDNEIKEYVKNCIATIWHTTGSCSMLPREKEGVVDYNLKVYGT KNLRVVDLSVLPLQIAAHTQATAYFVGEKGENTR JR316_0010709 MPIVKLEDVARKTFNYIVIGGGTAGLTVAARLSEEPSNSVLFLK TLGNPQYDWAFPVLDQKHANNSKHIWSRGKGLGGSSAMNFYAWIKPPAADMDAIEQLG NPGWNWSEFEKYSKRSETFLPASKEQIEVYHYPDNSITEHRGTSGPIQVAIPHGAHTL DKLFQETMINKGFKDVKDPYSGDINGTWIASSSIDPKTWTRSFAATAYLVPNLDRENL SILTGAYVSKILFDGVKSNEKLKSSGVQFIYQGETHVVHTDREVILSAGAIKSPHVLE LSGIGNPKILEKVGINVNIDLPGVGENVQEHYGCSVTYELNPDVSHETIDRFREPGYA EKAKELHTLGQGIHRTGLTSFAYFPLVDINADEAATVIKGAEEEVKDLVNGGTLRPGV EEQLKLQLSILRDNNVPDLTPEPNTRYATVTAILNHPISRGTIHTESVDPLAYPIIDP HYLESNTDLEVLVQHIKFIKSLANTEPWKSGIIREVYPGPNYSSDRDIREYVKEHVLT IWHTIGGCSMLPRSKSGVVDANLKVYGTTNLRVVDLSVIPLHIAAHTQATAYFLGEKA ASIIKGE JR316_0010710 MLGRLKGLFTSTAVDLNSLRAPMSSWAMAYVVLVKSVNCTTTNS HLRIRHFPPSQNKGILSTTVGYTGGNPLVTNPSYKLVCGGATDHAEAVKIEFDPSIVT YDQLVEFFYRTHDPTTVNRQGADTGSQYRSAIFTHSDEQAAVAKRVTEEVQAKHFTPK GQKIVTEIVPAGPWWNAEDYHQLYLFKNPSGYQCPTHRLHW JR316_0010711 MVEIKVLKRPRSEAVVTQRKFFKKTARGKVIKVLRERYLRDDVA CGIEGCRECDQGVLPASGDKNHPAFPNGHFILPDTNVFLSQMDLVESPLFNPPIILLQ TVLEEVRHRSLPLYNRLKALTKADDKKIWIFYNEYRSETAIIREEGETPNDRNDRGIR KATAWYNEHIKLSRPPIRGQPTPQIPTVVLMTEDSDNRQKAEKAGIPASSVRKYVQSM KNSTQLLDLLSAEGSSEIEPTKAVAGRQSLYPEYLPTSTLLAGVKAGELHQGHFNANQ YNYLEGSVHVPAFTKPVLLVGRENMNRAVDGDIVVVEVFEEKEWKAPGDEVVDQDSTL KNDDAEDSADEGDVEDHEVLVKESKFVETTEIRGHDKQPTGRIVGIIKRNWRAYVCHI DSTSLTSSNATSLSQQTVFATPVSRLLPRIRLRTRQAPSLIGQKILVTIDRWDVTSRY PEGHFVRSLGKVESKEAEQESLLLEFEVPYRPFGKAILDCLPELGDQWVVPPKSDSSP EWRDREDFRDLIICSIDPPNCQDIDDALHARPLPNGNIEAGVHIADVSHFVHADTPMD SEAASRGTTVYLVDKRIDMLPSLLGTNLCSLRPFVERLAFSAIWEMTPDAEIVNVRFT KSVIASKAAFTYEEAQIRKDDPHRQDELTQGIRLLNSLAQKLKAKRMAAGALNLASPE VKIQLDSSESSDPIDVEQKELRETNSLVEEFMLLANISVAKKIEETFPQTAVLRRHLP PPRTNFEKLQDILMKRKGMPLDVSSSGALAASLDQCVDINEPAFNTLVRIMATRCMLS AEYFCSGSVGKDTFGHYGLASPIYTHFTSPIRRYADVLAHRQLSAAIGYSPLHASLHS KQHVERVLDVVNRRHRMAQMAGRASVEFYVGLALKARGEREKKNSAEVIEEAFVIRTF RNGLGVFVSKLGIEGLVMFKKDMQFDAENYTITVGTTTLAVFDKVKVRIEVEKDRNTQ RGRVKMSLVHPIDSADL JR316_0010712 MPFYKSTTTIEDWARSEKFHDSFLLSKDYILDAVANHSASLGLP DVAVSESQGKFLNLLARSIGAKRILEIGTLAGFSAIQFARAVPDDGNVTTLELDPLHA KVASENFERAGVAKKITLLVGRAADSLVALDPEKLFDLVFIDADKLSNVIYYTEAKRL LRSGGIIIVDNAVYDGKVADLEFNDDWALGVRELLAVMKDDKEVEAVTIPTVGARGFD GFIYAIRK JR316_0010713 MSIRAPRKGENYELSVDLNSEYREKRKDAIKRTIASMTVGKDVS GLFPDVLKNMQTDDLEQKKLVYLYLMNYAKTQPELVILAVNTFVKDTDDPNPLVRALA IRTMGCLRAEKIIDYLCDPLQKCLRDENPYVRKTAALCVAKLYDLKPELVIDNGFLEQ LHEMIADSNPMVVANTVAALTDIHIAATSQPGTSSSDPGVFVITTSILNKLLIALNEC SEWGRVAILNALARYVAQDEKESEHICERVVPQFQHVNGSVVLAAVKVIMIHMRGVRR EELTKQLVRKMAPPLVTLLSSPPEVQWVALRNINLLLQKRPDVLSNEMRVFFCKYNDP LYVKVEKLDIMVRLASENNVDALLSELKEYSSEVDVDFVRKSIKAIGQTAIKIESAAE RCVNVLLDLISTRVSYVVQEAVVVMKDIFRKYPSTYEGIIPVLCSNLDELDEPEAKAS LIWIIGEYANTIDNADELLGIFVDSFTEEAYPVQLQTLTAVVKLFLKKPDSSQGIVQK VLNTATKDCDSPDVRDRAYIYWRLLSMDPAAAKAVVLAHRPPISIPRTTVAPALLEEL LGEVGSLASVYHKPAETFIGKGRVGADSVRKGGELSEDQFSTQKALQTVVAGQQAENL LDFDDGPGLEGQPSGLAATEVLSNTPAAANLLAGTSSNPLDDLVSIFGGGGSGGLSLA PSTFGGGGFGMPITPAPVTPASGYPASKPNVQSPPQQQDDLLGLF JR316_0010714 MPTDLMEEVFTEWGAQYGDIVHISVFGQPMLVLNSLQAARDLLD RRSSIYSDRPRFVLLSELMGWSSASTHVRYGPRFRKHRRFINQVFNHRAIAAFRPLQM KETVILLESMLHNPDHFVDHFRRFAAATILKITYGHDVVSVDDLFVRLAERAGSLTVE AGSAAANLVDFFPSMRHIPTWAPFSTFKIKALECRKAVEAMMDVPFEQVKADMKSGTA VPSYTSMLLDGHRDSDGSIAPEDEEDIKGSAGTLLAAAEDTTIASMHTFVLAMVLNPH EFKKAQEEIDLVVGRQRLPTLDDRPSLPYFDCVLKEVLRWSPMVPLGMPHRLMEDDFY REFFIQEGTIVMANIYAILRDCDEPDAFKPQRYLDDETLPDPLGVIFGFGRRICPGRH LAEANYWTMAAAMVSSFNISRAVDEHGNEVGKAKYEFTHGFVRHPKPFRCSIKPRSSN LSSIINEASQNQLPSISQTSSSPLMSIAQPPSATPGNFIDAGDNNLKQGSPDPRPENA TQQTIKLDDLGPIVVNSDGTLSRIANWANMTDAERERTLRVLSARNRQRIANEEKKQR EREHGQEADESGSARSAPLSLMGTASTNSDNSNLSLQPGAAQ JR316_0010715 MNKPTGDYEAEFTSEQNMPVQCNRCKQQFAPGTRLQWMHNKHQG EGCYLCGSCYEYYLSKKTTIRRDNNQGHKSEIAARNVKALPVASAAAHVGRDNAATSE AAVVQKHIAAAQRDERLAPVVAVGRNITQSVAYGSPVTNRPARQQGVSSFGALSGPGS TVVNVGLKAKSLTFPQKDLLNPGYQEAHGFYNEMRQHFAAMAYSSVANAELVVVKVWL SVRVPTKKSPVHIAGLHEAVSNIPVHIGLTDLKRVMYYALLPQFLEWSKGFPLRINDC VVRNKLWVELIPKQPDVDAISEHFFSFKGRNKLSKIFTPKQGIELYLCITHRLYESII DHITEPKETSHRRNDEMQVSILLASLHGSIASKAVKRKYWESDNFEADPVEGPSLQHT ADELKNALQLQVPPRKKNMKSLFQTVIEDVSFFKLPPPVSFSDLVKNPDELQNPQHFA PMNATISYDPSAIPFKGAFKLARVGYTSTPFLGSRLSNTCICIKQIFGGIDPVTDKPI VYEGQTQAKKVSIELNCLGWASALMELVYQKKRTRGVPPFTIPVMRYVNSGLAISKTN NANVYLLEEYIESKSPTGDAWFVKYLNNSSAHPVYFANPEQNEWSQFLSFAQHIQYIE TKGLAFVSDFQGTSIPGLIFGDGNTKFETFALEHDCNKFCQFFGLPKIDRPRINLADI PPLVESDSLPSQIRRQKSDSGSADMELSED JR316_0010716 MPNQHKPLPPEDEIKEYVQFYYDLGQNDREIQDNMKDHYNTELY GLSVSSIKRLRKKWDLLSTRQQKHTLESIAPAIQEMRTRFPTRGVEMIRKQLRVEFNI RVPRSVVYNYLQMTEPDALKARKARRFKRRRYHAAGVNDTWAQDQHDKWGPRFGLWLH HSADPFTGFLNWLKVWWTNKNPCLIAGYFIDTARKYGAIPLTTQSDPGSENFGVANIQ TLARHRLDPTLVGTLQHRWLRHKANIKPEINWSVFRRDFAPGYEDLFQQGVVSGWYEV TNVVENLVFRWIAIPWLQNELDKWANTKNRTAPRSDRKKILPLGAPLLIRTKPEKFNA LDFKIPVTEDLLDDLENEYAPKDHPVFQLTPPAFDERARKIYEDIGTPEVTMASFWEI YRTMLERFCGEVDEEIEVVLTARVAEEQGIDQEEISLLDGMKDLRQGDKVVGLQEHSD DRNYASFTDTDEE JR316_0010717 MLASAFLLLPSIVSTARAVTVYGQIPLAQTASGTSGIPTIPTLA AYDKTQLVPPAIVEPAPANAYTLTLQDNAAAVDGLSIPHVGPGFWGFSLEMSVLNQVL GKNSSLIQVPFLNLMSNLIERSGGVLIRIGGNTQEFATMVPFLEGGKTFDKEDSGSTQ TTKTPAVLYTIDMFYMAANISSMLNVKWFFGIPFNDSVNWRLTIAEEAQSIVGDNLIA LQAGNEPDFYEEFGRRQTYSPEMYTQEVEQLVAVIDANDRIPIKNMLLGPSVATGPWT PEQVWETNFIERLKDRLHALTVEHYPNNNCAAAYNNGGRVVIPQEIFPEYLSHTAAVE LVRPYWNSAALAVEAGKPLYMFETNTASCGGFAGVSDSYGAALWAMDYGFQMAYANFT HGMLHVGGQNVFYNPFTAPPTNQSSYNQWTAGAVYYSTVVLAEAFGKSNTSRIVDLLG NAGSVYTPSYAIYEQEKLSKVALFNYMDDASGAHDLSVTISVPTGVPTTVEVKYLAAS SVSSKDNITWAGQTLGNQYEVDGRFKGELNVTTINCDTVASTCIIPIPAPGFALVFFN NAAEQLTIGQATQTFGTTAFSRGHNTATVDPAVLQTSNGHSGANRDSYRSTSLGSASS AEKKVAMIPGVIALFSVIVGSFWVARNLVQ JR316_0010718 MFWSSLLLLSSSLTAARAVTVYGQIPLAQTATAGYSKPTLKAYD PIELIPPPIPQPPPAPAYTLNLERNAAAVPGLSMPHVGGSFWGFSIEMSVISQVLGKN SSFLAVPFLNLMANLQERSGGVVIRIGGNTQEFATQVPEGSLEFGHTFAKTDSGSNQT TQTPAVLYTPDMFYMANNISSMLNVKWFFGIPFNDSVNWRLTIAEQAQNILGDNLLGL QAGNEPDFYLKFGRRTTYSPQMYTDEVADLIRVMDENPNIPNKHMLIGPSVSSIEWIP EQVWETGYIERFKDRLYCLSVEHYPMNNCAALTGGGPGNPIINPQDIVDTYFKHDLPM SLMDQYRESTRLAQLAQKPFIMFETNTASCGGFPGLSDSYGAALWALDYGLQLAYGNF THALLHVGGQDTYYNPFTSPPTNQSSFNAWSVGAVYYSALIISEVFGKTNTSQIVDIR GNFGNTYTPQYAIYERGVLSKVALFNYVNDRSGASDSLVTLNIPGGVPASVRVKYLLA PMITSRSNITWAGQTFGPQFTVDGRIRGDLNVTTISCNTAANTCIIPVPAPGFALVFL DSSAEALSLGQATETFSTSAFTQKHNTVTYEPATVSLSNGRSGSDRDKNLGTSYGYKE SGDVALRVPLLSFTLSLAILSGLWIVRARALL JR316_0010719 MSKLHTNKPGYHGTGSVEVAPPARPGEGPTRRCYLSKDGLVTQP FEGIDTVHDVIEYAARTHGNRKSLGWRDVVNIVEEEKEVKKVVDGKEVTEKKKWKFFE LSDYKYITFIELKEAISEVARALVHLGVTKDDVFNVYAQTSPNWQLMAHACASISTPI ATAYDTLGEDGLAHSLNEPECVGLFTNAELLPTLHRVLAKTPTVKYVVFDGEPTQAIV DDLHSVRETIQVFSLNKLRELGKTQSIDILASRRPKADDIALIMYTSGSTGAPKGVTI THSNLIASVGSVKVLLGHHLTYEDTYLAYLPLAHVLEYIVEMIMLFVGMPIGYGRVKT LTDASVRNCKGDIGAFRPSIMVGVPAVWETIRKGILNNVHAAGSVKKTLFNGAMAAKK KNLPVLAKLADTVVLAGVRAATGGRLRIALSGGAAISRETQEFLTTALVLVLQGYGMT ESCGMCAILPPELMRYGSVGVPVPSIEVKLLDVPDAGYLSTNNPQQGEVCIRGPSVVK GYYKRPDLNEDENIFTKDGWLRTGDVGQWNSDGTLSLIDRLKNLIKLASGEYIALERL EAIFKSCNLVGNICVHATQEAKQPMAIIIPHEAHLRNALQAKDIDATRDLATLCKDPV VQELVLKGCNAIGKKNGFKAMELLQAVVLTPDEWTPESGLVTAAQKIQRAKIAKTFKS QIDEVYKHS JR316_0010720 MAHVRTNKPGYYGPGSVEISPPTKPGEGPTRRCRLAEAGLVERP LDGINTVYDVITYASEKHGNRKALGWRDVVKIVEEEKEVKKVIDGKEVTQKKIWKYFE LTDYKYISFIELEEAVSEVARALVHLGITGGDVFNVYAQTSPNWQIMAHAIVSISVIM ATSYDTLGEDGLAHSLNEPECVALFTNAELLPTLHRVLAKTPTIKYIVFDGEPSQAVI DDLHSVRETLKIFSLDKLREIGRTLSTETLRGRRPKPDDLAMIMYTSGSTGPPKGVCI THSNLIASVGAVYVLIGHHLTYDDSYLAYLPLAHVMEYMVELTILFVGMPSGYGRVKT LTDASVRNCKGDICAFRPSIMVGVPDVWETIRKGIQTKVNNSGFIKKGIFDSAMAAKK HNIPLFAQLADSIVLGGVRAATGGRLRIAMSGGAAISQETQEFLSTALVTIIQGYGMT ESCGMCAILPPELMRYCSVGLPVPSVDIKLRDVPDAGYFSSNEVQQGEICIRGPSVVA GYYKRPDLNSDETIFTKDGWLRTGDVGQWNADGTLSVIDRVKNLIKLATGEYIALERL ESIYRSCNLVANICVLALPDAKQPVAVIIPHEGNIRLVLKAHDVDATAEFSELCKQHL VQEIIRTECNNVGKRNGFKPMELLQAVILTSDEWTPENGFVTAARKIQRSKISNVFKN QIVVWPVA JR316_0010721 MPSILNLKFKGNKSFVAFSNLNDTESLTKTWKVCTKVASYLEQG QRLENLSWRLWHLQNLMVDTDNARSKREFKKLSKCMGDKLDKEKGRSIEELEAPDFKR NHSTDMIRQRAVEKERSREASQNAQPGTIKRMQFTFSVDQPAQSLSTAPVKKPDTKPS SEFSKRARAPKVTQEDTVMADGDAPATTATAPATSTSTSTTTTTTTATNTTASSSTAS LHFPGLFNNNFGPSALLYATPTVTNRMNYGEGFNPSGNTDQFSISRPTIELALDDLLF NDDSSNESNHDGDATHTVSDWSSQIAEAISSTTAENKSAPAAHTDGAAEKVDAPAPAQ STNAGPQQDVVMGTYNAPVTSSAPSTSAPVATSPVPVTTSAPTVPSLSTLSYLTKVPM RTFNIPTEEELVAAAAVSEDEDIDQLSPAPSTARKLSAFRHLPPVSQTVKDILPETVS PSRINSIYGTRSSTPTGRPTLTLRTQTVTRSANPGATATSLNPALLRGGPGSTLGNSA PGGLKAECSNCGATHTPLWRRGLNDELNCNACGLYCKLHKRPRPKSMRNSHGESRASA TPRQEAVDVMAQCYNCHTTATPLWRKDDEGKTVCNACGLYYKLHGSARPISMKSDVIR KRSRHDARRSGNGTEDTPSASPGVSRRTSPVRDGSPTLAPDSTTQMTYEYTDDGEYRN TTSSSELLGALGGSTDSAQAPNYGGPNPFQLAYPGPYHPDYLMTLYGNIASDALPFHN NDSPADAELTLSPRSTKRRRMSTDSTSEPPSSAVSFGSYSGDSFSSTSSASTSHSKRA SMEFPFSTYNSNGTINQGPALRGSGNTFWHPPMMPQSNTDNEPGLFNSGTSSEANSSS SSTSASSSAGANASGSASSASGSNSTSSSSSSVSSSSGAADEDSPMDYLHHPPMALQD EESLFSTYLHPPMALSEESNKGSPESSASSSSGIGSGMFESYFQ JR316_0010722 MIADRVISDSQSPQPSLPNYSLHNLEQPLANVTSDKGAIYADVV DRQTLETSESLNLQQSPPPSKESRRQKRKIKQANKLAFPGADDDETPLRQIGRGLLTI VVTPIAFAGMGIYAIGLIIEGTGTTLKGIGSIGRRAYALPRRKRQSKSESDESSTQ JR316_0010723 MLARIIGPSTQSYEKWCKRNSEHPIIETIGSEAEQARLLWIGSK HPKRVILYLHGGGFWLPMADYSVKFWRYIRDALLHQKGIDASIAILDYTLIPEAEFPT PLKQTVAAIHHLLSNGVLAGNIQIAGDSAGANLVLQLILHMLHPLEDVPSVPAETKFC GAYLMSPWLYLRVRKGVKSYSENEKWDVIAPTSKFTEFGDRVLAGLSDDAILPYIDAA SAPPGWYSNAESIVDRVLITGGGKECIRDDIVEFGEAFCKEHSGAQLVIDEYGVHDDP FYDFLVGEKKLSELTPKILQWLAEGFKLSDD JR316_0010724 MPEVEPSTLDKLKVLQVLLTFPLVATTSLIAAPFRRYVKEKPLK RVVVDRFLLWILSQLTPKQLRGMLGSTQDMYNTFIKERNLASVVEELGNGSRLLWVGP KFTERVMIYFHGGGFVVSEPPGATEFWSMVQSNLAENGKPIGLAILNFTLVPDAPFPT QLKQAIAAIQHLLDSGVKPENLQLVGDSAGGALIHGILSHILHPLEETTKLELSSPFG GALLISPWTKMVNEENVLFANDGYGDFLDARTIIRWGSMVVHGVPESIIPYLEGNNTP ENWLEGVDKIVKRIMITGGAAETLRFEIEKYSAIVAKQHKEVTLVIQANGIHDDPFLD RFAGMKELGSLTPLILDWMDKGVAKTA JR316_0010725 MVAGDPNNINRQYQVAIPGPGSDLDEIPLFTLDPDGSLQVSQNG GGDAQPAAPYVIPGDIAPSAIVPALPATAGTPITQVSPSLVTPPPAIASQDLLSQTIE AASNTVTGANSAATVVATEDSSSPPGLSTSNTVTVTVYPSVESGRATVSVASTLVSSS RTVLVSATSTSSVITSTISSSQSSSMTTISISSSTQTTIVISSSSSVITSSSSSVFTS NTFSTSHSANSSAIATSTSSDQTPTETVTAPPLPRTGSNLNVHTPPYYVGIVLGSIAG IAILAALIAWWFRLKSNARRKRWAKTFVPWGGPSNHDDGGLEAGKAKDDYFSDPYSQT FPSREDMAHLQAWTPRGDRDVGEPKRSDSFLNGSTWSLHDHSIPGHNLFSAESFGAIS SIDAYTPAGVRHKHPQRYQPAYLGDQGPGSRGWFRDNASVYSIEHRRPLTVVNNVPSS LKPAYKPPLSSLEEDGHSEPQRTIVDRLRNRGKGPTETHTGESPLPTPGGSPQVVSNE AWASSIRSGLANAFNAVAANLSAAAGAPRMGKEDKHPALPSVAPRKSVRDTVWDEKAL RKDSLSRKDSLSTISSKPWTLEDTGDGAGIVHFRIKDTDSLVSKKFQRPTMPAPNLSF GDGDSISMYSEQDSDFRRIPTQESHRPLAASSRPLAAHINADGYLTRKNSGETISGRG VSRNNTMRSAVSTRSQRRMDYANNMPRVPPGIDVHKNKFNAKVGDEEQESVVIRPGEL SRLSSTNTSLLRSTLDGHESEHMDRAEYDMASQALKERARRASKGALS JR316_0010726 MLSSHLTVLESSSKQYSNKTLFRTPQINSSGSVEAWNSISYAEF HRDVELYARYWTRVFSEESIPKRSVIGMWISGFTYIDVLHIYGISRAGYIPQLFSLRL PNPSVIYELLHKASAKALIYDPSFESILIDCPVPAHRILSSERIESVEESLPSILNIH EDDIAFYFHTSGSTSGSPKLVPMSFRWLNSVAVKSHHISMPQNQERQDVTVAMGSMCH IGQTFMFVGTLQHGSCVILPTTIAFSSEELVDMIIRCGLNRLNQFAAFLMKHFRNARQ DAKLLSIMKNLDDVLYSGMALPYEDEQWALKSGIRLRNLFGSTEMGGMLLAGEHELNR ALLRPLPGTSYRFDPIEAPEEEGVHQSTAALFELVILSDSPDCPHQSLRHADGHFHTG DLFQQILPGWWVSRGRDDDWIKSETSLRCDTKAIEDNARAMCGSLIAECIVVGSGRPS PVMFVEPAVEMDHNKLKKEIIRKTRHFHSRRYLHERITSSDMIVIVDRQTLPRTATKG NIRRKAVEEHFKAEIDQIFGCSR JR316_0010727 MDATPTPTTSSSTRCLPNVPEVPEEDPKTASPYSMTSPTSSTTT VNSSSTGKASRKTASVRTLGRTSSEHLTSSSGSSSSTVKRTSSSSTVVPTPSGSGSSR TRGSAAAHARSLIPEPKNRITPKVPHDKDAEPAPSTVMYWSRAPAWGAAPSRTLRAHT ATLVDTTAWLVGGCDDKDVLKEKAIYCFDTETMQWSHPETVGDIPPPCRAHTATLCDK KLIIYGGGLGSTYYDAVYVLDTATRRWTRPHIAPGRRPPARRAHSAVYYKGKIWVFGG GTGLTALNDLWTLDISGGAGTQAKPMRWEEIHTNSKRPGPRGYHTANLVGNIMVIIGG SDGKESFTEVWCLNLDTLVWSAVKQQTSFHKRLAHSATQVGSYIFIVGGHGATDYVSD LLMYNLVSLQYEDRVVLGKPPSIRGYHATILADSRLFVFGGFNGATAFDDVHILDLAA GAYLPQVTSFTMEETI JR316_0010728 MSSFPTPTFNDTLQSIAIAKLEEIEKQRVAYGNAIASIFAKKEN MVKTNGAPVSVVADKEDLLKRIEHLIEAVKQWNGAGKLHGTTVGGRLELSNLEFGIKQ AREEVGFDMKIIRGWEETLEGHVQHISNRLQMAKLAGEMYLEYLSSGDSEVPYYGGRL PGSGGVEEDEDFDMLSDAPGEHSKSSENMNQDSDDAERKARIAHEKFVSTAFEEHTTS FSNLFDYLDNLFEPEEVTQALAEVRASNYRFGVQFDAEHIGDSIHDILEDLLTSGLVD GQQREVIEHIQGNPTARDQVISIATMRVLNIDTWSWPKEGVKVAIRSQRSDEFCVSIE PELIDAIVLQFIGKKWKVQLKMACTQFFYSSGWKRKAYSITQEHAKSKVSMLSKQANG QSIDDIREQTFRQVFLLSDLNDIGHHRLDRHSDFINMPESDMWQREKQSAVAARRQAL HLMAAESRLNSLIHGCHAMVHSVITNLASCLPHESILIVLAYLGVPQKWVTFFQTSLA VPVILPGETVPRVCKRGIPSGYASSTFFTETIMFIMDVAVNRRTKGLRLYRIHDEMWF WDSDVKKCVKAWKAMCEYAAIIGVKFDDKKSGAVYSGPPTAEEALLPKGEVKWGLLKF DSGTLNFVVNQTEVDLQITEFRRQLDATKSVIGWVQIYNRYMAHLSRHFGGVPVNGLG SALVGDLIKAYKKVQNGLFEEPNIGPTGYLRNRIIKQFGAHDFPEGYFYFPVAAGGLQ LFNPTLLCSALQTPYAPSDSTHFLPPPGFKSSLDSSTAGVAIENLPIDTKLRRQCFSD LNDYQHLRVEWEQVLSLGPKKVGQGNFISFHEYVALRECGLVTWGRLYSEMFNTPSIR EVALVQVGTDRGRRSSMVRSTQKDFRGLYTRWIEALYGDDVASKFGSLDILDQSLIFA NADYKMLV JR316_0010729 MIIVGSSQAPKGPAPLDYELASRLTAMSNQQNLEGRHVEHYAAA PPYYPGPPVGGEHPMVIVNEASIGAQYQAELFARCARGDHQTTRRFRPLGIITAIVRN SLQVTNFYFFSERAILLARFCSPWDCFVYTQTPRRNAPVVVKSSYHDAERV JR316_0010730 MRLTPSLLALSLISTCAAQKKVVLTNDDGWATAQIRAEYAALQA AGFNVILSAPAINKSGTGSSTTTPTTLTTACEFNTCPSGSPATGANSTDPRINYVNAF PVDAVRFGIQTLAPKFFGSKPDFVISGSNIGTNLGSIGGSGTVGAASEAALEGIPSIA FSGSSGSQVSYTTLSDTTTTSTMAANIYTSLILKLTNQLLNNTSPILPAGISLNVNFA SISSCPSASSFKFVLTRLESSSATDVTTCGTNKLTPESTAIKEGCIATVSVFNASTKA DVNSATQGVVLNKLQPILGCL JR316_0010731 MSLLIGCYADTNIVLTNDDGWAVAQLRSEYSALKSAGYNVILSA PAINKSGTGSSTTTPKQLEVPCQFETCPVGSPAYGYESFDRNINYVNGYPVDAVKYGI KTLAPSIFGSIPTLVISGTNIGTNLGSISGSGTVGAAAAAALEGIPSIAFSGSSGSTV SYTTLTSNPSSSSSKSAKIYTDLVLKFSAALLNNSGTLLPKGVSLNVNFASTSSCSSA SNYKFVLTRVKSSSSATDVTTCGTNKLTDESTAIKKGCIATVSVFNATTKADVGSSTQ SIVLGKLKPILECL JR316_0010732 MPATATSSPNPGPYAGWTRERLIERLTELEGAAADVKTKQEPPP PKISKTFDFSKYSRRKIALKFCYSGWEYGGLAFQMGPTPLPTVENVLFDALAKTRLID PEAGFEGCGWEKCGRTDRGVSAGGQVVSLWVRSALPSQGAQEEVSNVEKVAKVEDVES LPESDADGLFGDLDFSSEPSSNTSVQKVSQVREHDYLSMINRLLPDTIRVTAWSPVDP SFSARFSCKYRHYKYFFSSLHLDIPAMQAAAARMMGEHDFRNLCKLDAQKQITNFRRT ILRATIEPLESEENAHGNMYVFDLVGTAFLYHQVRHIMAILFLVGSGLEPPRIVTELM NAQEGVEDLRPEDTDAVTKYEAIDRKPEYQMADALPLMLWECGYDESALDWQTSNLTE NDAINGGLYRQLQAIYNRSRVFSALDDHFIRAAEKHHPVPPTLLPLKNGLEGLNNMKD LPERERYINIPLGGGTFKRSLKYVPLLKRKRLDTVEAINERWRISRLQKDGKSVEPLE RAEEDDGNE JR316_0010733 MDSTVNQRADGLYLCRMHDDLCLWDSNVSKVAAGWATMNEYAAL AVHKFNKKKTGPYEEDANDNDDEDEDEDGNVMAEDKFSQRVANDPKVYAKLKEQWESD PFAHENRYNQPEPEPEEFMTFKEYTWLRES JR316_0010734 MSLFGVGLKGVASFEVSDGGRDKGDDIIICPESLLIPLFLFLPL LKGVDVDTGNGVCVLYRSMVLLRLGGALASALGESRSARKLRLCNLGVPVVSSSSLSL SGVFLVAIDSKDSCVLMITSPPSFISGDFDSTVAYFSAKSTRSFQDKGPLAVSVPRIS NSGPPGAQSV JR316_0010735 MSNPISHQPIISHHVHSDNEPLPGARGAAPAVDYTPDTIEHARL PPSEVGNTQDFAPHPQPHQTAPQYQQDDTSKGLPELPTEHHEADRSASGKSKPTLGDK LVGKTQEIAGKVMRNEGLKEKGELKKQGDLN JR316_0010736 MHSSAASRTFLSEEGIDHVDDYCTGYVERIRFMTIIHVAEFLVL GIIVLRVWALRGSIALLGLLIQDYVGEIVELNTSLASLPGCYATSVPSIIAGQWLAPI IVETVLFGLVISRAFLWWKDAMLLANYLMFELGPVFLSSLLVTPSTTAGCILGSHMLL NLRVMSGHKLLDEYGTESTSVDIPVPRRQPRTINSVGILDGATNPFPYPSHTATVRDA IDKVFERHDPNHIK JR316_0010737 MIPRTARRRLEATLLSARQSLPRPARLYSTPTKRHNAPATASAT IPTPDSYPPFIPQNNSQHDELSAFLHRETSLTLLPTPEPGTSLSKEAERWFVDSSSLD MAGIIDACLHNLHDVPRAHSVFQRLRTKVGSTVVQAHMYNAFLTAYTGMAEKEITQEK RQYWIDEAWKLYEVLESEAEEVKPNAQTYSFMLLLWYKFHSVPAKNLGISSAYATGPD GLLIKMHKRNIPVLDVVCNSAITDPVALTAIAKRLAFDALSLGYTNVLHDLGHAPDAV KETNSEYAASVPDVRPVMDSTGEVPSNISGLRTQLSNLQDTRDLLKSDAYARQRQLES SAYDLAKEQLERQQQAFDEMGLTSSLSINDNVLKRWMWEWHLRLRDRLEKDHAKLNKG EHLFKGPDRDVEPYLLILKPDTLSLLTILEVIRLHGSGGVINGMKTARAIISVGKAVE GEHKSQICKKTNIPIPPQTQQRFNNVFTPKGYQALFQRRIAAAQTATDNEGWSAAWSQ ALRAKVGSVLVDRLIAVAEVPMEKIDPHTGDKLVESHPAFYQSYEYVRGQKLGVIKLH NRVVERLAQDNLHRTIHPRHLPMLVKPRPWIDYNNGAYLINKSYAMRFKESMEQESYI KAATEQGNLELVYAGLDILGSTPWRVNKDIFDSVIKVWNTGERMGKIPPASYEEPEPV LAPELAKDLQARSHHIVRHRAWAQEQANNHSDRCSVNYRLEIAKAFLQDVFYLPHNLD FRGRAYPIPPHLNHMGDDLSRALMMFAHAKPLGVRGLRWLKIHAANLFGYDKARFDER VEWAEEHFEQMREAAKNPLDGSRWWQKADDPWQFLAACIELTKAHELEDPTTYMCALP VHQDGTCNGLQHYAALGGDTQGAEQVNLAAADKPSDVYTFISLRVQAAIDKDADNGVD IARILKDKITRKVVKQTVMTTVYGVTFIGAREQIEKQLRDRTDLPKEICWQAASYIAK QLLSTIGDTFKGAKGIQDWLNLCARLISKSVPASRLGVVVDEVDGKRYATLGRKEVKR EQMTSVIWTTMLGLPIVQPYRKISRKQIKTSIQSVYISDPHRSEEVNSQKQATAFPPN FIHSLDATHMLLTAIKCNERGLTFASIHDSYWTHACDIDTMSEAIRETFISLHQSDIL NKLQHEFLTRYAMHYIPVHELVSRHKSRPSLLLEKLHHAGTVVYTSEEDAGLLKPLGS LVEVTEDSSKWAKLMEMRKAVAAEGEDEDAALVAAAQEGKKVKKGKGKPRGRPKKAGA EDGEGVVEDLEDDEVDVALREMENEEEMELDDELEQMLMNTRGQGGVYIRLIDLIPPL PEKGDFEVEAIKASPYFFS JR316_0010738 MSTILRQAASVARQTASRRAFSVSAGVRKDLVQDLYIREIKAYK PAPTAKDAHVGAVKKFTAPAAPVAPALPADLAAELNTYATTEPTLADATASTKASEPT EASTGGADAFLAFLEEDLPKPVHHH JR316_0010739 MLSAVRRVPRAVSVSARLYTTGRTEGSVAQSKGFNKKEKAHEDQ FVHAHEMEQLAKLRAQIQAKQQEIDSLQKDADAITNKTPKA JR316_0010740 MADSEKDDANPTRSSGPGLSLDALGAPVPVPVRVPVPVRVPVPV RVPVPVDSSAYASGSQMVVVPQLPSDVLGRIAQYVVGPVLVCEGAGAESRYWRDVSGR DRGDGDGDGEGGRSEREREHEHRYKHEEHEYEYDYNHEEYEYDYTTLARVARVSRAFH LAAARLLYERVVLHFPDPDSDVDYGGDPDGDGDGERDVVFPRRSNVKSAQLPQYAPYV ISLVLSGTTTTTTTTTTTTAAHNQHTSSTPAPAPALALALELKACIRAFPNLRRVHLT PRRFAPELIDAGVRALRGCRVGLEVLRVEASFAGVGAGGAEPGGGGPFGLGGIVEEEE EEEEDDDDDEGGQQEGGADAEEEDTDADVDVVDILVGTEHDAQGRSVRAVDTTWLKVL ELRNPGRRILQALPVWLERLAPPLGKLTELHLTDNCGSVTPGVLKAMTPHTARSLKAL TLGLSYSLKDQDVFEFISSLKALEPPRFLPTLPALREFTVSHVATTSTETRVDVDKLD KWIRWIVGGSGCGLRELRIKGDGDGDGDGTHSAKTRGPSVSHDGLVDHVVSVHARTLR VLDLLNDPSLSRRGGGGGGAGMCIRKKKMAVLLRGCAARLEELRVRVSERAFMLFAEH PYDLTKMRFAVFEICNAPRSQRPRSSSKSKSKSSQTRPNRLFAGGGSESEVDALATSI LQRYRPSLRKLAINGVVWEAQWVPAESDNFEGAGDGEGGRIVAKRVEVLVPPLDTHER GR JR316_0010741 MVQFDTLAILIALGSLGLIYYRKSKKGPYSHLPLPPGPPRKPIV GNLFNMPSRPEWQDYHKISQEHNTDIIYLNVVGTNIVVLDTHDAAMELLERRSSKYSS RAHLPMVNELMGWDFAVGFKEYGQQWRDCRRLMHHSFHPGAVVLFRPHMLRATRNLLK RFLDYPENIMGNIRHMSGEGILSITYGLDVLADNDPYIKVAEQSLAGFAEAAVPGAFL VDSFSLLKYVPEWFPGAGFQTKARIWRDAAFEMRDYTFKGAKKNVLAGTSPHCFVSAN LNDMDPSKPDPVKEQLVKDTAGSMYSGKDEPSAMRASTLSAVGSCILAFLEYPETLKK AQAELDRVIKPGHLPDFNDYDSLPYITALTMETLRWRDVGPIGLPHVLIEEDEYKGYR IPAGTIVIANSWAMLHNEETYKDPFTFNPDRFLTKDGKLDKTARDPGHACWGFGRRVC PGRYLAFSGVWITLASLAAVFDITKAVDEKGNIIEPSHEYVNSAIIVPKPFQASVRPR SRGHEQVIRDAVAAPAA JR316_0010742 MDSAASDHPPAERKDLDPAIIDMILGKPDSVQMKEDMAIAVDDA KSEDERINALDHMEMLIEHIDNANDLEKLKLWEPLQSLLTSNSSTSEIRTQALWVIGT ALQNNPSAQEDYMLYNPLPILLSFLDPSPSSSPGIRAKAIYALSGLLKHNSPAVQALG PSGWTKLRESLQDPSITVRRKVVFLLSSLLLPNGSGPTPTPAPTAANILTPDHRPAPT SDEPIFSNSHASNLRNPNRSNTSSPTVSALSEYNIMESIISGVVTPVPYGNDGESVEP DADFEEKAVHLLFTYAVSCSGELSQSQKEALKSWIQTQKTKKGESQLLESWNISREEY AGLVGKLL JR316_0010743 MRFSKTFFALVAAISGAAAFDGDATFFFPGLGACGLVNSDSDFI VALSTTQFQSGAKCGTVIPDEVNGQTVMAQVVDLCPGCAANDVDLSPAAFSGLASTDL GRIQVSWDFV JR316_0010744 MSEFNIKQYYAEQDEHMNLKEETLEEYSTRTTSSRRRAESDKTN AILGAAPPAAPLLPSHDIASAPEHRRTSEKRKASFLEPVVQKDKVIDSDKIRAITSDT TGLNKMPLAPSQTSFATPQRSGDPKAQKPSSPSLEDIDSFTVDFGNEIMFLSSANVER RDLIPIDVPPTVQKKRRVDGIRSPQIPDTCSPISSFSVSAVHSPQKVRSAPKDDSGPS VLRQVVPLHLVSQAVEIEAKSNKVPSDPPTSPIEDPSMVIEISSSNDPVAIKPLKNAP SKMLLDIQERIAAKQAGKGLDKVLKISSNSEMAKAKSSKPISSYTVKEALEQPITKTA SSEQKGKQSHLFNPLKKITQAKKPATAKKVRELMSGAQCAEKVLSDLASNTIKLRKLR PLEGTNILFVGADALHATRDTEEKMTQIVRYGGNLMPNYNPDTTSHIVSAENNTRNVL KATGAPNVKAIPERIPIVTWKWVEALMNSRSPDDIAEKLRETWKYQSFQSRVVLTPIT SLKTYKEFKRKQQSKATTIGYGGSDCEVAVPSTSSIPPVPNVGQDVPSATASVNDDQP HVTNRQVGALLSPPSSPRIHNIGVSKVPTSSAVLCSDPNDPLAEFYGRPREQKEIEEK LTSGDDINMIEQRGGDETDESEPEDGRPLPRSKRGWTCDTMRPKTSSQCPNQDIIDKL EELMKIHDSKVGSDQAWRVRALSKAIGALRSHPHRVRGYSDAKRIPGIGEKSAMKIDE IIKTGALRRIVYENSEDVQVTSLFTGIYGVGQTIALRWYAAGCRTLEDLKVGKGGVKL SSIQKIGLQFYDDINERMPRTETKHIYDLIRPIGDIHIYGDVVKLLTKPAALSIDSIL FVELMGSYRRGKTTCGDIDILITRPTDDGLTHRGVLSRLIQELHKHGIITEDLALPDN PYDLEATYRGLCRLPGLKDAKRRRIDILTVPWKSRGAALLYYTFNRAMRLKANHMGYS LNQRGLFGGVVRDPSDRRKKLDDGKLSKILLTIRSDFYIRTNSCLRN JR316_0010745 MSNPTDPSHNHSNGNNGNNGFDAQTDVDNNTSDVESDHDYSDDD TSSVVELEAEDFPGYFTERDGRLYHSGQSPYPLPVDAPEQERLNFQHLLFKEFFQGNC VGPEVELFTDPQRTMALDLCTGKGTWYPLENVQFEVDDVNNDLRWNNETFDLVNARTI AMAVRDCPRLIREVARVLRPGGVFVSVEWSPYPAVDLTVREDSASYAPASARFHEAIN QALLNHCGLRPIAGQIHTLLANTGSFRDIVSSPRSVPVGTWSNSRVRAIGEYCLEMNT RYADSVKTLLIDSGWTEGDVDRLCDEYIREIRSGDKKLVNILYIVHARKV JR316_0010746 MLAARASTARNVQRITRSFATVVDTSGVKVAAVDHNQPTASVTV LVKAGSRFEPKEGVANALKNFAFKSTKARSAIGTVRESELYGGVLYSTLGREHLALTA EFLKADAPYFVDVLTSFVTSAKFARHEFEEYVAPLVAAEAESATHDPATRAIEAAHQL AFRSGLGSSLFAPAHNHITVEDIKSYASAAFTKGNIAVIGTGIDQATLSNLVEKSLAK AAAGTSASSPATKYFGGETRLDGHGGPQTVFVGFGTTGAPSAELATLAAHLSTTPSVK WSQGISPISSLPKGTSVQPVYLPYSDASLFGLLVQGTTIEGVKEAGKAAVAALKAAAK GISADELKSAVSKAKFAAASAVDTREGLVTALGSKAFSGSEVSLESTLSSLDNVNVDA FSKATASLLSAKPTFVAIGDSHALPYADELGL JR316_0010747 MDEYKDQSTTAMSLITYNNHFKARKQPPLIAMQSSSSSSVLASS STPQKSPKNWQAAFGQLASTYGFTGNIPSLPKKMQTKKSSVTTSKASIYSNVSSQSTL TESRSTKDYETAFGQLSTSYGFVAGVPCLPSKASKSTKSSTSKSPSRPSIMDAQPSKN YQQSYGELPSTYGHGSPIATRL JR316_0010748 MPDTKTREPYVRLATTSEFNEFIDTAQRAFIADPVYNYFGNVKK FLDLEKDLKPCKPRRAMIAFLTKACHIIGGRITVVVEKKEGSEEERILSGCLWLPPHK RLEAWMVPTIVRAGILPVLKGWGMTGFRRIVFGYQSAAEKKMKDVFKAANSKASPEES WYLALAFTAPEAQGKGFVSLLIREHIALTPNAILTLEATSKKSRDIYAHLGFEATGFG KGKVDARGINAKKEEAVGVEVWAMAKTLAM JR316_0010749 MPSTTSKSSFGQTLHFITDIKLQELEKQRIAYQSHAKVLEDAKA LGDSGDIIKKVEILAKAVKAWTGSGSVDAFEKLVGNKLELSNLEFWLQQAKNDPSFSR EIAEGWASTLEKHIHHNIVRFDAAKLFGNLFNEWLASGDSVAVVYEEDADQKNVASEG ETGADFVEIGRKEMYEQKEKLVSIIFDDYPIDVSKLKEYLEGLFESEEGTKALEKLRK EIKYFSRWLQRNPITSNDVRNTIKGLLASGLMDEEKRTTLKAFQENQIVIDEIASVLT MRMAGIDSWTWPKEGILIEFRRHLNGKYRAFTDPEIIDALLLHYIGVTWQVKLKACLL KIFEGKAWLRSDPPNHKAKEQRIEQLRGDDGSSSIDAERKDAQKQHFFMTQLQSSASN TRPYDENQVDDHNSKELQDSSKIKQKLLHIMTTECYLNTALHGSHAAVCSDLEWFGPS LPHTSILTILEFFGMSKTWLGFCKQFLSAPVYFPGESESRVRRRGTPISYSLSTLCGE AIMAIMDIAVNQRANGLYLYRMHDDLWLWDADPKKVADGWAEMNKFAGLVGLTFNQKK TGSAYVGPPNESASRLPVGEIIWGFLKFDPAQSRFVINQADVDVHIAEMRRQLASTKS VFGWINAYNKYMAFFMRNFGGIPANCFGKTHIVSMIETLARIQRELFPVTGTDSNDGG AVGYLRKTLRERFGVADLPEGYFYFPIGSGGLELRNTMLELFALQQRGKPLSTYTYDD DSSDNSENGDVSDASDTSSEPDTDISDDSDAYDLDKDATMPEQMFVKLMEGDRQRYNR FQEVWDRDEDKRRAKHTVFYLQGVEDKFMSFEDFTSLRESWLSGWGQVYNYMLCLPDE FPLKVVPALRESMDKWKWNSTGWYEKWVVSMYGEEIVKKFGGLEVVDPNLIPVGMVQL FRSSRMKLDQ JR316_0010750 MPSAVVAKATVASRRNRDYNSRRRGERYADDSDEEQGLLRSAAF EDDGIEDENRPRRNLSPVHEPPPPKNTTFSAKDKSRTIPFNAPEKFQSRYPPNIVRNQ KYNTLTFLPIVFYEQFKYFYNLYFLLVALSQFIPALKIGFIVTYIAPLAFVLFVTMGK EAYDDYKRHQRDREANSQRYLILTPPPASRSEVDAEQDYLNTHANTRSVPSSSLKVGD LVLLEKNQRVPADLVLLHTSEASGTCFIRTDQLDGETDWKLRVAVPECQKLDEGDLVR LDAEIYADAPIKDIHTFVGTFTMNKPPANRSFSENSLPLHPLPPSVSPLTVENVLWSN TVLAAGSAVGFVIYTGAETRAVMNTSHPETKMGLLDLEINKLSKILCAVTFALSLVLV ALNGFRGQWYVYVFRFLILFSSIIPISLRVNLDMGKTLYAQQIMNDAEIPNTIVRTST LPEELGRITYLLSDKTGTLTQNEMEMKKLHMGTMSYGSDSMDEIAHQLALAFGATGEH GHQRQGSLATGAQLAVRGRRDMSSRVKDVVLSLALCHNVTPVTNDDGTVTYQASSPDE VAIVTWTASVGLTLTFRDRTRITLSTPSGTSLNFDILDIFPFTSESKRMGIVVRDAQT GEITFLQKGADVVMAKIVQKNDWLEEETANMAREGLRTLVMARKRISGTLYKEFASAY HQASISLEGRNEAMGNVVAKYLEHDLELLGLTGVEDKLQDDVKGTLEILRNAGIKIWM LTGDKVETARCIAISTKLVARGQYIHEMAKLKSGDQVRDQLDFLQNKLDCCLVIDGET LQLCLTLFKNEFIEIATKLSAVVACRCSPTQKADVARLIRAFTRKRVCCIGDGGNDVS MIQAADVGVGIVGKEGKQASLAADFSVTQFSYLTKLLLWHGRNSYRRSAKLAQFVIHR GLIISIMQAVFSAIFYFAPIALYQGWLMVGYATIYTMAPVFSLVLDIDVNEDLALLYP ELYKELTKGRALSFKTFFQWLMISLYQGAAIMIMSLVLFENEFLHIVSISFTALILNE LIMVALEITTWHIYMVISEIVTLFFYIISIAFLPAYFDLSFVITVPFAWKVAVIVAIS ALPLYIFKLIKRRVAPAASSKLL JR316_0010751 MANSASSLYPLTSFTDNIPNTPGSVLSFEHLSYSVKSRKGTKLL VDDVSVQIRAGELLALMGPSGAGKSTLLDVMAYRKTMMEGGSIHLNGQAVSPGMMHKI STFVEQEDALLGVLTVRESVTYALRLHLPLLPRKQVNERVNRVLAALGLNGCADQKIG TPISRGISGGQKRRVTAACAMVTFPRILFLDEVTSGLDSTSAREVMAAIGTLSKAEGM IVIASIHQPSLETLAQFTNVMFLASGKTCYLGRVDELDAFFEEFGHPVPRFSSPSDHA MNFLNSDFAGSSKAVDEFRQFYTSWSSSMLADSKNNSSGQLIEDNSQLDGKAGPFATL FWHTAVLSERTTLNYLRNLLAYGVRVGMYGGMGLMLATIWIRLGNSDSIINDRLSVHF YSVAFLGFMSVAGIPSFLEERAVYYRESKNGLYSTLPFLLSNTLVNIPFLFISTIIFT VICYWAIGMNPGASQFFRFVIYLFLSIFAAESQALVVASLLPIFVAALAISAFMNGFW MSVGGYFIKARSLPRFWFYSFHYMDYQKYAFELMTNSDLRGLSFTCDTIINGTCACSY PSSTPDTCTVSGQDVLNYLDIGNIESKHWIGILISIVIIYRLFLFFALKTKSG JR316_0010752 MLLLNAVFFLLNPALANAAAVVNIGGSTIIGTEFKPSNVEFFGG IPYAEPPLGNLRLQPPVLLKSLPVKTLHAENFGLACLQTDLPRDTVSEDCLTLNIIRP AGISKKANLPVMVWVYGGANVIGGTSLYNGTEIVAHSVKRGTPIIFASMNYRLGPLGF PQGAEAGQKKILNLALEDQLTALEWIQENIGQFGGDKSKVTVFGESAGATAIRVHLQE TRIDKFARAVILESTFSRPTFGPEAHEAAWQLFVASIPGCEAVANTPNTIDCIRQADT QSILQGLNGGQVFFFNGSNYQAVIDGPGGFLVDRPSVVPAKSRLPMLIGTNLDEGTIF TPQDTRSPDDIKNFILSATSPPVVSPAEQEAIIDRALAMYPDNPALGSPFNTGNDTFG LDGEYKRYAAIFADFIVQSTKRTMIQNRMDVGAKVFAYLFTDPDGVLIPDLIDTPPAP VAHSTEVFYVFGTLAKKTHTAVSLSSNMRDYWISFATSLDPNDNHGNVNRPRWEEYTQ QNKVLLELNGHDTKTIPDNFREEQISFFQNNPDAFRR JR316_0010753 MASRGATLRSGRAPRSHAAARDVPTTGSDWDPPASRGDDPKQEA LPTGMEEGGARGRDDHRGNNPNNTGSAAAAARRARRSLSLNSLNRYMVDTEINSVYRS SVNDAQTVVTETKELITSLMDRLSRIENAINMNGSIFEVSRAPEDSDNSHGREESQEI TIDNDYLQIQEMERKLTEMKKAYTRRYQSIEVQPKTERRGISTTPMSHDVEDLITQAA RQQTQARRTEAIKPSNQLPAESLLGQLLANPKGNSGKEHAELSARALGPLDTEGHIIN PARFKPLPPTAPDKYHGDADFMKFYKYITQCDRFCKEAALPPQDQVVKCADYLAGKAY KFYSTMVSISVDSWDRRRFFTELYNYCFPPDYRLKQRKKLEKFSQGSMTVAEYAAELL ILFRIVGKSTPSQRVDRLWNGLKPELQSALWKEGLDYEQNTWDEVIRIATRYEVAHEI ERNQRQYIKYNKQYYVSGKYDYKTHDNNYGVNNDDEPSDSDHGQLSSGGTDDDSDQDK EDKVLALPQDSNVKASGYIRNAQIKCYRCGKTGHIGRHCRNVRRNISSTYNESPSVSN RDRALDSNSENEHHGATDQTASGLGSYFMEVEVAMCDIADTGLNTDIYSYSDHSAIDT TASQGTNVELSELHVNQILVSEDLEGGWTQLAQTVTAQLQDEDVDLKPEKIATSLFDA DSLVKQGIECQKSCPIEFTHESGDISHSFGMPGGQVIELRLPPLPINIPAEAKFDSIQ ARRSTANNMSHGAAQASPPPVVWRPDDNQRPAPSHPGSSEIYRPDILQTIEKRIAELD KELRELSLDVHAHPELGFEEIHAHDVYTSFLEKHGFEMTRNFHLKTAWQAVFQHGSGG RVVGINSEMDALPGIGHACGHNLIGISGVAVACAIKAAMEKHDISGKIILLGTPAEEG GGGKVILLDKGAYKEMDICLMSHPAPGPVGSVSLSGSLAIQQITAEYRGHTAHAALSP WEGKNALDAAVLAYTNVSALRQQLKPTVRVHGIFEGKDWAVNIIPDYAKYKCLVRAPT LKELKVAVAKVLPCFKAAALATGCEVTIESPMTLTEIRQNRALGSEVANVVVNKYGAI DYEWGIKSASTDFGNITYALPSLHPGFAIPTVINGGNHTRDFAASAATMEAHYATLDV SKALAAAGVRVLTDEEFFKEVRRTFEEDMKEYNSD JR316_0010754 MTDLLDYTLSHLSSVKIDTEDLASFSRQISLFLVGIIILSSIRL VLRGATRALRVTSRNLAASLMLLVLAQIMGMYLLSTLVQMRSSFPPPPPPPSHPSSST DAAPENLFSTIPQYELFGALFDWSFLVAAGASVFVRWGAERVNGHGEAD JR316_0010755 MPISIPQFHPCDLINNYDPGHRRIYELVVRQEPKQARMCGVGGK ADRRPIDPPPIVQLRVIDPAAQGGPSQISPSRRRRNSSTGSPPGSPTPSSSSTVRQHS DDNEGAAYAQSFLQNPYYFMFASLARPDDDNELHWLKDGRTRCTTGSVVSSLYHLKDP QHNNEDAGFFVFPDLSVRTEGSYRLKLSLFEVVANNVRHCKSIYSAPFYVYTAKKFPG MEESTPLSCSLADQGIKIRIRKDIRVRKRPNPQLTQVVPMDVTSDNDDDDDMRPQPKR RESKRSRVDDIIDLGASTPGVGPPPGLAPVPQQQQQQQQQPWPPAASSNPGMGPTIPP PVPQGIPPPKAAQEIPPPGSMSSTPAPLPGPSVFDGRGGGFMSGYDTQQQQQPQQQQQ PGQQPQPQQPIAQPQPIPQGPPPMYRDPSQPPPPPQQQQQQLPPHQQHPQQYAPQQMQ PQQQPQQQPMPPPPHVMQPHAMHGAPPPPPQGYAPYPQWAPPHPQQPVYDPYHHHHQQ QQQQQQQQQGMHPYYAAYPQQTMPPPMPPPGRYDYPGAMYAQQPPPHQYGYYEQPPPP QAHPHHQQMGQPLPPPPPPQHQQAPPPPPPPHAMAPPPPQYATPAPPVPGSATANSSP STIPPPLAPPNAASVPPPPPPPAPVPVPGAYDYAASYRAPTGSPQPRAPYHGQYAQPP PPPPPPHPSQGYAMHPPHPPHPPPMPPRHNPYAPPPYGYGYPPPVPNPTPPVPGQHQH QHQPQQQQVQQVQQQQPEWGTPAAAGVGVGVGMFGVQQGQQPQPQQPQQQQQQQVQVQ VQQQQQVQQQHSPSAPSASPAGGLVGAIGSPGDRIQLAPLLRSGGHAGANSNNNSSPV LASASVAQGAGGNSAGAKE JR316_0010757 MQRLFILVSLVLGVSAQTWCGKHYMASSPIVPPGGLFPIPAVSK TPLLALRCSPAIRPYLEEDANSDSDDVAILIDTPVTFSEIANAAPISLPHLNDLTSTS LSVTVTVDGKKLASGNVPLNATKHALPFKLSALKPQTKAFNISCTATLQSQKFEASGS LSFLPPPPADIGSVTKMDLRTGAVLARPATGEPGPFAPVFPIGFYTDFGGYLVNDFTI PSQLKAQGFTVVHPIPSFDNLTALDIVLDKMQEAGLYLMYDMRLDYMNATAVTEQVNR IKSRPNLLLWYTGDEPDGTSDPLDATLKSSNLIQSLDGGDGLGGAGYHPVSLVLNCEN FHYTDYASGADIVLQDTYMIGNNVTFSSQWGTVCTPDYGDCGCDNCKGSFEDISTRMD EFRERNFINGWERTKAVWTVPQGFGNDTYWKRFPTGQEFVVESVVGINHGGLGVVSWD DPTTPDIKASASLLAQSLPKMTPFILSPSATFRQITINRVDIGLWTVGPKTLVLATNM NYFSTSVSLQSLGLKPVGPPGSVTQVLDSGARTDAARTQLLFDSVGTGAFIVGN JR316_0010758 MGRVSEIWYSYTAEQKRNIGFYVAGIMMYKFGLEFFNGSITTLA TDRFKATNAFTKLGAAQGVNQAAQCVGAILIAPLIRRWPTRSVLAGAIFFFGLMTAIL LIVDASTGGKIKPDGAKTPVYGDWHPNLIFVIWTLSGVCYGMVELIRRVIPADIVGGN AGKLRRMDAVVHIFYEVAGTSGAFSSSSAISRFGNNYSFFMTPVFFALAGTLWIFVST LSFKSSEAIQEELEQAGLAEVDNKKARSNNYLVQVGYGAIAFGESIYVGSKIVLTNRR FIWLLPSYAIALYMHRFLENSLAPAFAKRILGTSAWSQIIVGGSNFGELLGAFAVLIL SDLVTTPLPWLRLDALLLNIVWILPVFAKSATKDVSVAWKIAGCFIPISWGWAAGDVS LAAYIQSTLSSDPKFRHANVSALGAVMAFLYSTYIVLNAVFSSVLGKVFDRDFSKNGN ILHSLKTVGGVHFSVACAVILLSTLIPKGAFALNPKTLGSPSAPEQEQTNSESEDGED MKKSVPADLETLEKSRS JR316_0010759 MNTTATNSTLTTSGYNISADHLHSTLGAAFFGFSAGAILFGITI RQAYQYYATSGTQDGIQRKLIVRMPLCSLCLISASANVGAVIVRLHLHGKSSFASEAA SASDKTVSSSLLDTLHFVFSMYLVYNLILQFVGFAGSASKVLCPLHPRAPRTLRRAFG ILHRPAYVGHKRLKLTNTFLTLSIPSHQLLPLADMAPCGDQQTIQQISSFSSGFEYVV YLGFGATAFIDCAIAAAMCLILHKSSAGVGERIDHKLRRDFMHKSGKYHIHILHLDKR TFVAQPDTLLYLGMEFSVTRLYANSVLAMFNARRRNNERMNKTIELKFPSAVLFGEPG TMSHTESLISDPFSPGQETKYSKCNQRCHDEDYSDLKGHHEKRISVSSRGYTV JR316_0010760 MGVSVVINKHTTTSKPYPHVVYVVEITTGTTKIVIHRRYSEFVA LHAALKDGFSLPSKHAILTAIFPSGWLDDALIAERKVGLAKYLAALLWSPEFRDTPII KGFLERDALKPDENIDVEDVLPSTLTRKDVSAFFSLRDQVQSLSLVEDDHEEEQVPVQ EEPPKKKLVSAAYYPEWCAGTLPPESLDYSKFDIIFFGFATPNASSTLDWARDTLALL KRLIACAKASGFGTKIALSIGGWNGSQWFSHLCASANNRMTFVQTIQNVVNTYELDGI DLDWEFPNAKGAGNPYSAHDAANFLLLIQSLRSALGPAKIISAAVQHAPWAGADGTTL NDVSAYAESLTYINIMNYSVWGTSAPGPNAPLGHAIKNQRRVQTTAEAALLRWKTAGF PSGQILLGLPLFGHVFKSKKTALNGGTPPTPTDHILSFASHTFKGAHKAVYVKDLGKK PNSRGALSAWWGKPIAFKDIVASGALVKRFDGNYGHGDGFTMGWDDPSSTPYIFNVEK KTLITFDNTRSLAAKVKFAQENDLAVSSPCSLQHSWLQDDGTSLQDVIRAAMGIQTVW PINLESNPYSLFTSFPITSMSSAIDSLFIYNHTTSSFPQPHVVYIINVIRENGNQYEV LRRYSEFVTLKEALEVPFPLPPKHVFATSFLPSAWVDNQLIEERKRGLQMFLTHLLHR LDARSSATFLAFIGASDLVMNESCFWPKAGLPEMSFKGTLMPKEILKNEPERTTKPIA AAYYPSWASDSSPPHKIDFSKFDVLFFAFATPNGEAGINWDSGAQESLKNLVSCVRRS GHPTKIVLSVGNSRIISAAVTQLPWLGPKGLPLTNVEEYAKYMSFVNIMNYDVFTSSS HPGPNAPLSDACGTSRQPQATAEAAFKQWTRAGMPASKLLLGLPLYGYVSKSTDKKLS GSFAPSGRILNVAHPKSKRPISLSGAAGDLSKLWGQQIAFCQLVEAGALEKRGEHYVA ANGYTMGKVCQTLMIGA JR316_0010761 MMPTVTISTHTTASKPRPHIIYTINAKVDGKELIAHRRYSEVEA LKDPYTLPPKRLLATTFIPSAWVDDELIAERKVGLAEYLVDLLSTPRFKDKSLLYEFL SAQTIERDMKFDPEDALPSTLTRKEAMQIAAGEPSEATLSTANANASKIAGAYYTYWG GSARPPESIDYSKFDLLFYAFGVPTSSNTLDISNTALLKRLVTGARTSGHGTRVLISI GGWGGCQYFSQACSTSANRTKFANSIAAAINTYNLDGVDLDWEFPNSPGAGQPYSAAD TANLLSLIKLLRISLGPCKIISAAVSHMPWLGSNGKPLTDVSAFAAEMDYVIIMNYDV WGASATPGPNSPYGNLCGTSWQPQASAQAAYKQWTAAKFPGNKLILGCALYGYVSKST KTVLTGSSVPTPEMLLLQKTETKGSDGKVEVTEFLNGAHPNTTLQVTNPEADAPTINA AANLTGYWGKQIAFKDIVGAGALVKRSDGNYGQAGGFTMGWDNCSNTPYLFNKDQSTV VTYDDTWSLTDKAKLVVSSNMAGCATWSLDQDDGITLHNAIRKGLGK JR316_0010762 MACFSLSQQFRKVSPWQDAPSDVLIEIFRECIEPLKYEQPNTTV APMLLCQVCSYWRYVALGAPQLWDSLYHTCKIIPPKEHTESSVLKNCIRAKDVRFLQW WCKNLGTKSSQLRLRLDWSHVARKSKHPELGVNEDSDQAPHPEEQCQLFESLISSAKY LDLDPSYVTVLSNWTSLRPFSFPNLNTLVMRHDTCTTRRQGTLYRGVLAVPMNAAHTR PIQQLYLEAFRFPDSKSLAAFNWIHLTHASLLHIHIPLPVWHHLIRCLTSMQSGFFQI CSFEMPNANTHFMNSRNDDGYSHPPMAILPFLHTLHLSLPPHRILTSQILLALSLPSL RHLRLSSLGLSLSSLHAILSSTPSLTQLQLCGSIPFCWTSHTISIPPAIPPHPTQSAC TPLTEILPNLTHLLLDGSMQNNHMVLSWVCAVLSSPWLQLPMRGGHYQRHHSHLDSSA TFAAATSTESDATNAKRRGITHLHLAISNPSDTIPDGDDTNISAQQQFPLTLYNSSST HNEWMPSHLFSMERSNYVMQKLKSIVAKCDLDPTVEVVIRGPDAPKLWSGNLINEDEN PAFEVSGFFPLN JR316_0010763 MAPAGTLWTTDYQAKGKIIKAVAALSGVEIALPAAYEHMVDNKK PEFLSKFPHGKIPAWESANGFKLFEGTVIARYLASVAPNSGLLGGSPEDAALVDQWIH LTEAEVDTFTDLIRGLCVGLYPYNKATHTTLVERQTRTLNTLEAHLATRTFFVGERIT LADLTVAGLVQKAVGITIDAALRAKLPNLIRHLETIVNQPKLKAVFGETSYVDKAIQF TPPAKEKKEAKPAAAPAPKAEKKPKAKEDDDEDDNLVPAEPKVKNPLDDLPKSSFNLE DWKRAYSNKETRGAGGSIEWFYENFDYDGFSVWRVDFKYNDELTQTFMSSNQIGGFFN RLEASRKYVFGSLGVLGTTGDSAISGIIIARGQDIIPVINVAPDYESYSYTKLDVKNS PADKAFFEAALAWDLEIDGKKWVDGKNLK JR316_0010764 MSKKVIIVGGHGNVSLRLAKLLSATKSVTSIIRDASQNNDIMDV SATPLVLSLEDSPVSDFTKAFTGQDVVYFSAGAGGKGGEERTKKVDYEGAVKVFDAIE GVSSAKPRLILVSAIDIRDPEKIPAHYNEDDIARSKKYREALKTYVHWKYEADKNLTK RTAFKWTILRPGTLSNNPGKGTASIGRAHLSNHISKDTHFKLAINSDISYKRDDVAKV LALLADREDAAGLAIDFVGGDTPIEEGLDAFIKKGETDFLG JR316_0010765 MGSMPRLLISLFACLALVPAILGALHTSFPYGEEKIRGVNLGGW LVLESFTTPSLFDRTGDVRVVDEYTFGKYMPKLRAEELLKEHWDTFITEKDFEDIAAA GLNHVRIPIGHWMFERGPDDPYYQGQLPYLLKAVEWARKYGIHIIVALYGLHRFINSG HFRDAAYWHKNGTNVDRTLNVMKTLTAMFEDQTDVVSIIQVMNEAAGFRKAILNPELL EVLKKYYYDSYNFIRNPLGGKKKSNLIVMLHDAFQHLSYWNNFMPNNTYEGVMMDTHI YQMFNDHDAHMTYDEHIQRACANATIMSKSPMMTIIGEWTSTNNDCGPHLLGRFVGQR YDGTLPGTNRVGSCIGRTGKASTFSDDYKEFMRKYWEAQTQSYEKGGEGWIMWTWKME NADEWSYKAGLENGWIPQDPTDYKYPNVCVRVIKVLF JR316_0010766 MIKTIVFSTEATHGPISVSTALQDVDIVAQLISPSGQRRAILRS PKSGTPRYVEIWRNGLLETSLDVTDLHGDFYSDEFLGSLSFSPSETTVLYTAEAKAPE TKDPFEKFKFTPDFGEGLTGKRRPVIFIFNWENPPSEDGDKRTLVQITTPDGDTRFGQ AVFSSNSDKVIYATGYDFTADGRILGIKGCFNRPSGIWKLNIASEPPTRTDDFKIRPV KVDASVQKLTPRHVSCRSPRIFTHNGRSTLIWLSSASGGAHLASSTLYSLDVTNDSSE PLNIPSPHEPLVGIVDTPGPQTNGFPGLYPTYNILPDATAISPAGLSVLVSSHWGSRT TVLQISLKDGLVRDLIPISTLYSWSVLATDGFTRVICSCSSPSLPYEIVLGEFDETGA ISWRVLDKPELPEDVSSALAGIRTKIVRIPGRPGVETIVVQGANRGSGTIPPCILSPH GGPHGASTTAFSPTTAALVIEGYTISFPNYTGSPGYGEAFIQALVGRCGELDVQDCIA SARHLISLGISKEGPGMQLITGGSHGGFLTAHLVGQFPNFFSAAILRNPVISVGEIST SDIPDWYFSEFGFDYPVFSSSMSNTEQLASYPNPPLVTPMTFATLQAASPVAYIDAVS VPVLLLIGAEDRRVSPTQGIEYYHALKARYSAKSKASKVEMLVFEGESHPLDGVEAAK ASFEATVQWFREAVNSKNHL JR316_0010767 MAAIYLHNALNTRDSSDIQGELPEHSRHHSTVSTTTLHTNTTSA HSQPNEPLLHPSSPQRTVSVYQRSFHETRLPHETVPQLRWTDPPAPFEGSSSPGEKSR TSLQGTRKPWRRRKRIRFSLQVVIAIWSAYNVARYFIASRLYGSDTLAGRSICLALGI SAGITFAITFLTLVLSGIKQHLQVHSPALRRILQFFFLLQHLASFTLLAPAAVAFALT FIWKNSPNHRYNVHSRCHLSVDIVWSVTTNPCHDGASSWAIWLLLSCIRLAITFFIIA IYHTLTLLHPDFPRRPPLHHRLRSGSETLTPISSSIIVPHQDRQQDLRVYHQPSDVSL GGATLKEATGRSIRPARSHSSDFSGETAHGDAQGYGRTPSGSVESEGKYDQCGYGERF RSLLSQISQETDAAVEYARHESPENISESAHLPPSYSPGNDDDDDNNDDVYIHEHTQG RHNSNIFNLPPVAPTLGYNEFGLPYPPDQDVRMLNGYIRRMPTIESMGSGEIASSIGA SSVRQGGSMYTSSRPPTRNTLLSFASTDYDMMPANGSNPPSRANSLSARAELLLGGAT EHGELMVGRSDPSAMRRMSTPNSIPDRPPSSPITDTFSTGTAGSRGTNASYHTATTGS SGDSLRPPYSHHDALS JR316_0010768 MSKLAKDAAAFKSTLQRQDYTSWHSQPKPSTSSSAAPSSSAGAG LAIAGESPNAGSKKKRPKTNIVYSQPADTGTGNNINTQLVYAVNHLKSTPNPMRLQDL AIVTSTPLDTDPLLLEKFKAHDRIQWDPKTDLYSYKHEYSFRNKAALLTEIQRQTRKG GGISVRALKESWKEAPQAIEELEKEGEVLVTRTVKDGQLRMVFWNEIKPTENSGGAPV EKEFHDLWHSLKVPNDVDLLKQLASEGLQVTAAETVVPKAPAGKKKGKKGGAPRQRQV RITNTHLKGEIDLHYAAAHAK JR316_0010769 MAAETIMSVTYGLDVQPHDDPYIQVAEHGVEGFSIAAVPGTFLV DAIPALKYVPEWCPGASFKRKAREWKRSTISMIETPFAAAKKIIADGTSPHSMVCSHL RQIDGRDPEQEEVVKHAAGTMYAGKSINTLSALASCILGLLERPEVMKKAQEELDRVI KPGHLPEFEDQDSLHYITAIAMEALRWRAIVPIGIPHLVTVEDEYKGYRIPAGSIVIA NSWAMLHHEKTYPDPFTFNPDRFMKDGKLNSAVRDPAHACWGFGRRICPGRYLAYSSI WITLASMLAVFDIEKATDKDGNVIELTHEYFPALISVPRPYECSIKPRSKEAEKLIRT SAMPELNRLL JR316_0010770 MEQELTIAIFMALTTVLFIYSKRSNTGPLTHLPLPPGPKGLPLI GNLLNMPKNPEWVAYHQWSKDLDTDILYLNAAGTDIIVLDSAEAAFELLEKRSSIYSS RARLPMVNELIGWEFAFGFMKYGASDDV JR316_0010771 MAAKSLSTSTLSLKFMQNAQRAKNLKEVELDRAEVKDDGKWEIS QHIRDSWGITNERLSESSDVHEASYLPFLFSGDTSTNTNSNVTASTSKPTGRRTFNKK GEDISLEPSTSDSATANVSTPSVDPPPSKGRKVHPRPVSITASGASGHLRGFEEFNDS KDSRLTARQAMFESGGVGTDLRGQVQKPPKATFMKPAGIDDPQPVKNVSSAVSSQNNI ISGAREKKVKRQREAPSDDTNDTTKKSKKKKRKNPE JR316_0010772 MSHRKYPTPPTGHDLMAMFPPAPPDNFPEMRPGPTSGFFQRQER AFFAQAGKEIVRVRVEVDFPHGAEPEQLKPRTQTTRPWLNGPPPATPAAAAAAAPPHH SPVQSAPPTLYPSRPTPRPSTIAVSPAPLFTSSSTSSSHHSPSLHQPGMRTPPQDLIP PGPPTTKPEYSAEEYDDEAWKRPMPFAERRRAGKHTRRVIVRT JR316_0010773 MPDTKFDNDVAQFCAVTGASAKDARKFMEAHKRLDIAIDAFYTN PKAFASSARRKGDSTVPSSSKLNQLFDKYKDPEDENITVDGTIKFCEDLEVDPEDVVL LAIAYELKSPRMGQWTRAGWVDGWKAVGADSISGMKYALTRLRDQLASDPRYFQKVYN HTFDFARSEGQRSLGLETAQAFWGLLLPHGFQGGALSRANDDDNDVKMDGDEGWKKEY LQWWFDFMNQKGLKGVSKDTWVMFLDFIRSTNSTFSNYDMEAAWPSTIDDFVEYAKHR LASGA JR316_0010774 MAGEIIAVGEDVKKWTQVSGSHPRTLVLRGSSDTSFAVASGATV IATSSSNEKLAEATKLGAKHTINYTNKPEWDKEVLELTNKVGVDFVIEVGGQGTLPRS LNAVRIGGSVAAIGFLSKDTSGLDFVLPVIMKSILVRGVYIGPVSEFINMNRLIEANP EKTRPVINKVFNFDQAVDAYAYLKSQQHVGKIVIKVA JR316_0010775 MDPAAVNWNVTIDDFDSILTYDDQSVWITPDPSVPNFDPTNSPW LRGTFHQTTTKGASVSLNITGPALYIYGSTGPAFGSYEVQIDSTVLRYSAYSETSKNV STLLFAASNLTFANHNVVLRNIGAQVDAGDKGGDGFLLDYIQSTIQLAPAGSTVKNVT FEETDPAINYTGVWGHNTSPAFSGGGSTFTSGDGASFSFSFHGSAIYVLGDKKNDHRL YSVVLDDQPAVTLNGISGCGGAFGLTCEQQAPSIKYLASNLDGSEHKLTLINHANVNT SFFDLDSIVVTVPSQYAPRQLASGSSSPFTNTTASSPTTSSTLSATDANTTSGPGSNT SAALSLFSTMTNPILFLAFTLLFLFRTSTRGH JR316_0010776 MRLTLLNVLSLTALFISSTNAFQQNSGSHSSTIRRRRNWSHYTN GTEPQGDDPAELFKRDGTKYVFMHHFLKLFKIDTFPYTYADWLDDMIKIAAKGVDAIA LNIGGSDWQRNQVATAYSAARDSNTGIKLFYSFDLTEMDCNVADLVARVNLYNNHPNQ FKVNGKAFISSFSGGCLGNDGWQSLKSQTNGYIMPFIWGLENNFQSWPSLDSWYCWGC AWPQGNYPKNMDDDNFYISQLGTKYGTTVGPWMYTHYTWKNFYLRGDDWLVVSRWEQL MQLRSTLTFVELATWNDYGESSYYGPIKGAQPDGTTWANGYPHTAWYDLTGYYVQAFK TGSYPAITQDVIYFWARPHPAGATASGDNLGKPTGWDWTEDSMWAAVFATSPATVVLR CGSSSSTFNVSPGVNKLKIPLAAGKITVQMIRNGQTIINYTPSDYTYVLNPVRSADTC GGVWRINIYKSGVSSTSTSTSSTASATPTGWNTLGCVAEGTSGSRRALTGASYTQSNM TPQVCQGLCSGYQYAGVEAGNECFCGNSLLNNGASGLVIDNSNCQWTCSGDSNQKCGG SWTLNVYTKSAVTPPFSSAWALAGCFVDADSRMLRGYSVTLPNTLTVETCTNICNGAG YIMAAVEYGQECYCGSQIYKDGGAGVLVDAGQCNVACSGNAGQKCGGGWRANLYTKPG TTWT JR316_0010777 MAEVSEKTTQVEASVPEVPVSAVESTTDASAATTSADAPMADAN VTEKQQKAVKQVEFYFADANLPYDKFMWTLYSKDPEHWVPIQTVASFKRMRDFVSEGV EWLAGAIKSLSTFLEVDSTGTKVRRTTEPQEPKNQFERSVYAKGFPDEDDTLQGRLEE FFAQYGAVGAVRMRRDEKKKFKNSVFVEFNDFESVEKFLKADPKPSYEGKELLIMTKH DYCEMKIKEKGLTGKGAAFRRELISSKKFDAFRDMAKDKGTPQRAAAEDKKDIFLEFL GHKLLIKQDEDGNGTIDASDIPFVKGVTLKFDGCGGDVSWGEVKDPIKARFDGKPPYI KYARGENSGLVGFYKPLTEEDIEYVKTTIKTINNHEEEEKQFEIERAQAAARNAFTQS TSRDASGRGGRGGRGRGGRGGRGGRGGRGGRGGDKSRNNERDSKNPAATAEEAVGEKR KRGVEPDGAFDAGIRGKVAPPTIQSAKKAKTDGSS JR316_0010778 MNTASLNTESHYLCLSVSPSVASELAIRKTIADALTETFGVTST STYLDLLWLKDDGSECIIRAHKSDATKISIAIASWTETPRMAVKQQSPFLPSLLRTDI TL JR316_0010779 MAPISDNWPDVEASLEIMEPEYANRVTSMVEQLKPHYQSIESYA TSLHPQRLSCRIMDHYTWGQSFVVFELLFSDNVTSWIIRFGMRPMDAYFNTAAQLERK ILNEVAALHLVRQRTTIPIPEIISYHAHPSPSNPLGPDFPAFVLMTAITGITIEDCGI SIEELGSEYDVTGEASDPLGGDESKRPILQRYLHDLADIHVQLSRITFDRIGSFVIDA QGEVSVGPGADFGLGPFECAKDYFAIQAEAYEQLAMAAGLDDEAGEGESADAAQLKRR FVASLWRKAMMPLVDERDDRGPFPMRHGDLHSDNILVDETGHIVGVIDWDCAGTVPWE AFAVPTFEVSGHFSDSDAVSTYSNSSSESTSSSLMSRTRSVIHNVFNRELAVAESQDG VVLVKPASGRSLAALHDSDAGHVGAYLAYWMYSLACDYDQTGRALHRMLGSDDDIDQD FEKFAKEVGDMGLHEKHEDEEVQA JR316_0010780 MGMWLPVHNEEWDGDVPLGFDRAPSPHRDAEKGTLTFKGNTLPW LVGRYEVRYHHDVDKPEDVNFTTVRESLKRIVPLCLDEDPSLIPLSCKPTKKLSQDGD FPLHTSDTPSNSGILEAESELDHEGRDPDDFSFWSERQAKRICSAIKQIFDVEYAPEV IVADANLTTLANRILVSKEILSSGP JR316_0010781 MPSSEGFLRQRKNVFEKGESGSATVKSATPDVDHTSDAPKEEIV WGKTPSGEVFRVPTTHDVLTTLFHPGYPKSHLDLLNLGLLGLQIVIYFALSRKAAQIF FFFYFAFWRAAYDAGLGWVLTKQSKKKWIEREVQRRGWLDEKRRPAVRNWIKKQLVDK MGKDYSFDELPLEYNTWLLFRQAVDVILVNDFLSYCMFAFSCFRVPEDLSVIVHIMRW VGGIALIAFNLWVKTEAHNVVKDYGWYWGDCFFQRGALVFDGVFELAPHPMYSVGYAG YYGLSLISGSYAVLFVSLAGHAAQFAFLVLFENPHIERLYGKRKAIAKRTPLFPSDHS KITEGSSIAPSTNGTPVAQSSSYLSTPAITEAETATETDLETETEMDDEMLPLAAAKA QNFPNKPKAPKLSRHMPNYSIDSTISSSNGDSESISLNMASSPRSINTVASQRRKVLS QHDLLNKYFRRDAVVLRNVDLLRATDAMLVLIMMYGLAISFLPDLSPKSSLILHFVHA LAWCCLHYFGLGLLLRAQSESKFLVRHYLKNYHYDYAQQDGGQVAVIEAFSNWKAIYN LSMCMTYVSCIGVAWKAYSVPYNWTVGNELLRHTIGAILIALHVWATMESYEVLGIFG WFFGDFFMEEFPAHLEYTGIYRYLNNPEAMGGAGYFGLALISGSKLVLALAVIRHLAN WWFLSSVEHPHMRKLYGDSLRKDAGFVKVMKNVASKNAKLLESRAGRHAPELKRVARE VIGTFDKVYEETADAVEDFLARSAPRLSEVVQDTKILLRQSREKLVITRVANDISSYD TSKYHVSVVPSSTTGKLAFYLGEQITIKWQAPHKHSRKDCV JR316_0010782 MAPATPATHDPALSHGAPPAPGAPAPANAPPNASGDIAGMQLSG LDQSQIMNLLRSLPGMFSGGKIPDQGQGNKEDAAQTLSNLAQAQPYGQQLPLHYQAGG PGGLPGINDPGPSTHPRGPPNLGQLSAVAMQAAPAPIQHPDQQTNRNDGEQAGNASAS TSGKDGDNAEFVPPPAPAPTTGRRGGRSATMGSDEWSRQRKDNHKEVERRRRGNINEG INELGRIVPSGSGEKAKGAILSRAVQYIHHLKENEARNIEKWTLEKLLMDQAMGDLQA QLEEVKRLWEEERMARTRLEAELEVLRNMNGVNAGSAPASKDESAAGTKRRSTDGAEA ATAATESSTANAEGERDGKRQRTE JR316_0010783 MVGEILLDSFRVVKRIAAGGFGIVDEGVNENQERFAIKRELASH KVSRPILEHEYHILKHLAGHRCIPDIKTYGRQGNFNILVMELLGHNLRDRFRDCANHF SLSTTARLGIGMLDAIEHIHSRGFIHRDIKPDNFILGLEEKSDIIHLIDYGLARRWKV LENATHVSPGSSQGDTGVIGTLAFASQHAHLGLEQTRRDDMHAFAYTILLFARGNLPW DHIRGGTRKHCARRILEKKRSWTPERLCENLSRELEEIVSHCLNLSLNENPNYALVRN GLISLANREGSSKFEWDESDWQVSEVPETEIGYTRELPGLNLKRGDIVLLKISPERTL DYEPVPRVVDSSFFPHQIAFDGKDETSFRPAVIRTIICEDNDPEYYKVQVYPLTLRNL DGLSRRRRGCFRPIQDLTDSELGMQRNFDNLQIYTTSLLPLFGVAYEQASDISPQLSL PEDVLTQLEADLACVPTPYSLIYDSDDEETRAVRKRLPKIWSSGTFVTEIRPCKASDL VGPNSRLFESVNGWIPDMLYVEELRYQENGGSRTFGEDSDSDSDEDADFWDWPRPADE SNPSNLER JR316_0010784 MSRSEVNVEDITPGMVIYAAPGPLIVEDVLTTQSLSKQRKDKHP LLVLSVNAPAQSITVTYIASFKGATDLASVNMRGGSSAKKLFVPILPAIKEYDHDPVS WESHPKPIPAGWVSIRNKTTFTGEKFTTFNDEKYFSAETASIIDALITTLA JR316_0010785 MNPTTATDAHERTSLLSGRPQSAANSTAPYERQVQPSRKSQCFT PVTVITIITLIYRLATTMVITTNIRVLHTVACQLWYHVNDPDVFPGGNIPEKYCALPG VDKYYAIMVSMTTVIDGLEGILGTGIASYMSSRFGRKPVLMFLLSCTMIDHLAILTVQ NVYGWKQLVTFGLIMIVETIGNENTTVFLVSMYVVDVTEAERRTAALSSITGWIVLGG ALAYSIGGSITTFLHSNSAVYIVSFSVTGIVLTFTAFVLPESFPAEKRDLLRLERLAE TRGHSQSWTQKIKAVATVALEPMELLKPTFNPITGKANWRLVYCALHSFIVTLADAYA LPAMLIFFTTQYSYTPAQMGYVMTTYSVSSVFVLAIALPLFIRWFKPLYNNTQTKSVP DEGDGLRATDSGEAGVHTQEVVVSETSDRMDVHITVISWTIESLAYIVLGTVGSFYAQ LLAVASIGFGSGRIPGIRSLVAASVDPLKQGEALASVEIISNVGKILSPIVMGAIMTS TISTHSQTVFYVHAVIVAIGASVLFLWTKHHAKMDLTLIGFGTLLLRNASPDTGIVVR DLAVPNFALTSLVMAFDLKTEDGLITYLTKHLSLDVDTSGVKRLSGGFVNVTWRIKLN APYQGHTSIILKHAQPHMSTDEDFKIGVERSVYEYQAIKLMMANREVLGGVDGIVSVP EGLNYDLENNALIMQDVGKMKTLLDYVTAKPPLATDIARLVGTEIGGFVARLHNIGRE RRDDPEFKFFSRNIVGRTTSDQLYQTIIPNAAKYGVDDPLLPTVVKDLVDDVMHSEET LVMADLWSGNILLQLEEGNPSKLQKIYILDWELCKYGPASLDLGYFLGDCYLISRFQD EQVGTTMRQAYLQSYARTSKHSINYAKVTAGIAAHIVMWTDFMQWGSEEERINFVKKG VAAFHDARGNNDNGEITSTLLKESSTA JR316_0010786 MIAVLFSFVIAGCIYYIVSRRVRRSRLPPGPPGIPIPFIGNMFD MPEESPWLTFLQWGRDYNTDILYVDAGGTEMVILNTLETITDLLEKRGSIYSGRLEST MVNELMGWEFDLGFITYGDRWREERRMFAKEFSEKGIKQFRHAQVKAAHQLVQQLTKT PDRWAQHIRHQIAAMSLDIGYGIDLAEDDPWLEATHLANEGLAIASVPGKFWVDSFPS LKYLPAWFPGAVFKRKAKVWREAADHMVDMPYETMRKLAPQGLTRPSYASARLQAMDL NGDLEHQEHVIKNTAAEVNVGGGDTTVSAMSAFILAMVKYPEVQRKVQAELDALTNNG QIPDYDEEDDSLPYLTACIKELFRWNQIAPLAIPHKLMKDDVYRGYLIPKNTLVFANT WAVLNDPEVYPDPSVFRPERYLGPDGKPDNTVRDPRKAAFGYGRRNW JR316_0010787 MSLERSTSPNPTERTSLLSDTASTISSRDDVEQSSLKQRRTPIP TGQLGVLFSIRFTEPIIYSHLWPYINQFVNDIGVSDGNPRNVGFYSGLIESVFACGEV CSIFMLSRLSDRIGRRPVLLPSALGIAVFTALFGLSSSFTMMLTLRVCAGLLAGATPI VHSIVSELTDDTNNALVVPLYGLITPIGFAIGPLIGGTLEHAATKYPNVFGYELFRKY PYFLPSFVPCCMAIVGVTFGYFFLKETLPSLVKSKKRLERQRSSSSISSENSTLYGAT EHIRDSTEETAADEEPDSKPKGITELIRDPSIRAIMASGTFLMFLYTSSDVIFSLYCF TAVEDGGVGLPPEKIGYAFSVAGLIAMLMQLCITPWVLRTFDKAKVYHFCMCSFPLVF ALMGCLNPLAQTGYSEINKTLHPTTTGLLYAAIAILLLLARVCVMAFPISMMLVKQTA DKHSLATANGLVQVAMTLARAFCPTISSSVFAYSTSHNILGGHFWVVVMVFISLVGVW QSTKIARVTKTKEQL JR316_0010788 MHIRNPYRTPIDYQALSEAFPPLKPFVSVNADGTSSVDLTIPEA QRAFTAALLHRDFGLTMTIPEDRLCPTVPNRLNYVLWIEDIFNYTNKTLGLSDDRPIR GVDIGTGASAIYPMLACARFKAWSMVGTEVERKCIDTARLNVVANNLQDRLSILETSI DGPILVPIFEATEEYEYEFTMCNPPFYDGAADMQTSDAAKGFGFGVGAPHSGTVIEMS TEGGESAFVAQMVRESLKLRTRCRWYTSNLGKLKSLKEIVGLLKELEISNYAINEYVQ GSTRRYAVAWSFTDIQLPEELSRPSNPELSSLF JR316_0010789 MQVIPACNSAAIRSLCPTPESLRNMGWLSVSDAVYSEFIGELAT RASNRNYSNEFGLMQPIQEFKAFIESDPVVHQEFIDMFEGIQDSPRNYQELCNMFNDI FRKAPVYGDLGPPVYMIMAKLMNTRAGFSAFTRQRLNLHFKKLFDTWGLFLSSKDSRN VLVADQFDDRHCGWLNERALSAMVKHYNGRAFDEVFLCDKNAPYYGFNSYDDFFNRRF RNRDIDRPVVGGVNNTTLISAACESLSYNVSYDVQSLDTLVFKGETYSLKHLLNNDPF TPQFEHGSILQGFLNVTAYHRWHAPVNGTIVKIINVPGTYFAQAPSTIGDPIPDNDYD PPPYLKSLVYFSNIAARQIMFIEADNKEIGLIFLVFIGMTEISTCEATVSEGQHVNRG DDLGMFHFGGSSFALGLRKDCRAEIVEKFTEPGTVIRINEVVAALKA JR316_0010790 MASSSAFKEFMDQRIPEAMSLKPYTMPSNIEVATAGSASASAEK VTLSIQELGKRVMALDEAIEADKMAAQPFFYQEEELMAFYEDVLAIPDSEVNDQASRE AEEKAIGELRAQEDLVIIDQLEKRLCPPEGEPAMLPSHMRILRRAKDIVSRVEAARRR ADPNASSQPLVPMGVLSAREYEALVRACIKEKDWTTAEVALEVAKTSGLPITEEVLTS ILSLCMSARKALIADRLLSDFLTGPPTEVQRDLHVRTHLLSTNIRDIPESALTLLHEY ENRNIPAPMKTYTCVIASLLSRSSSLARAHAWDLFSHMRYVAHPDPDAELYTLMIEAC AFPVSVAYSSEPEKALDLWTEMTSDHNISPTIASYNAVILACARSGDKSYVSEAFRLA RRMLDSHRDARGFSAFRPDYKTFCALLEGCKRIGDLAKARWILAEMARRRTGDEPNSV DVKIGHEAMSHIFHTYASYKPPIVRSRIPSVATDEVSEVDTAQRSPPTASSSSNEEAQ HIVDNVVNATEALTELHESMDGEGYIAKEDEPPSSSVFLRTPPQTSEEVIQEVQFLLE AIIQDRKSSSSLLEEGSPSAVPFSKPFQYVTITPRLISSYLSVYYAHAPLAKAREVFN TIFDRVGIECSPLSCVDALERCAKARRGPEREIASTFSDDVWAKWLPFEDASSNGRKG LPARLIERANIARIRLLALIGDISRSMAQLRIFAAKYPPEGIKTPPPKLSWQSTRTAL VGKRPLVRMTPVVEVPDDTVPPLLTFRNIDVLHQRLIYEDRVSDIAYLTWLCKSYEWG LRIRRDKAHKSKAADA JR316_0010791 MGAIAASSSPTPSETHVEKRADISDVLAIINTLETATTPILSSI DTVAGSILPSSVTLTPLVASLVASLNTASASLALLGTVSPTGGSPATIAAATAPIVSN IVRTLNNAKRTIPGVNLVVATLGLDASLNQVLVGLENSTAGVLNLLAQL JR316_0010792 MSPPTKPVIGVGFVGLSSTGWASTALAPSLIQPSLTGAYDIVAV STTSEESAKKSAEKYSKWVGHPIKAYFGDASRISADSDVDLVAVAVAAPHHKSVVMRA IEATKDFFVEWPAGASLKDTEEMAEAARKSGVRSIVGLQGRHSMVTRKVKELLSSGVI GTVRSTNVFALMPRELNLWTPFSSEKDLRLTQRENGSTMLDIPIIHQLDILTFLLGDF VTISATDAIFFPVGTVVDSEGKPTEKTYPSTNPDHFSITGFLESGTMVNIFWRAGYAS SEGRRQYIWEIEGDEGSIRMEAPVAYPSIYEPDLYINGKKFEFDAPGDILQSLGVAWR EFAAGGTGNFATIEDAVKNHHLIQAIEASARSGTRITLQKRV JR316_0010793 MARARFTYLLGTLALLCGVYAIGQDTCVTFQSSSNTFAIVDNKK ASPILISSDDWPGVQIAAANFQADIERVTSVKPSLTNFTIPSTPNNAVNSSLPGDAPA PKPPAGASPIIIGTLGKSSLIDQIVKSAKLDVSSIDGKWESFMTRVVSNPLPGVASAY VIIGADKRGTIFALYDHSEQFGVSPWYWWADVPTTTQASIFVANSGCSHGTPSVKYRG IFLNDEQPALQNWAAEKFTNGTGAPFTGSPFNHVFYTKLYELILRLKGNYLWPAMWGS AFGIDDPQNQPLADYYGVVMGTSHQEPMMRSTPNEFNVFGKGPWDYTINADNINAFWM AGAQRARPFESVYTIGMRGFGDLPLSENTNIALLESVIANQTQILQQVYNETDVSGIP QIWALYKEVEGYYDDGMRVPDYVTLLWSDDNWGNVRRFPTISERNRTGGAGVYYHVDY VGDPRDYKWITSSQIEKIHQQMSLAIQRQADRLWILNVGDLKPYERETEFFLSYGWNA TRWTPANVQSFVSQWATREFDLSQQDTVTVANIVANLTRFNARRKPELLNSTTYSLIN YREADNVLADWAALEAASTKIYNSLSKTMQPAFFQLVHHPVIASSNVAKMLITAGQNN MRASQARLSTNQLADTVEQLFEHDFDIETQYHTILDGKWDQYVLFWQSFWLSVNNIIN LNDTDLGYFYWQQPMTNSMPMISRVQSKKNALAGVMRIVPEGTLGAWPGDNPNQCAQG YSCPPPSVTLDNFSPIADRYFDVGAGGPTPFTFTATSNVTWLKLTPAKGSISPSAPEQ RVIASVTDWSKLSAGSNSAQITFTATATGQAPLVVPVMFFAVKNTPPSGFKGFVEGTG VVSIEAAHASRKTTVQGISWTELPGLGKTLSAVTPMPLGDVNFTAGAGPTLEYDFVNF NNNAAGDNVTVIVYLSPSLNSNTDQQPLAYSLQIDSQAPVKVVPIGPEVPGGLPNGWD GNDGWVANSIITTPTKFSGVRPGAHTLKISMMEPAVVIQKIVIDAGGLQPSYLGPPES IQV JR316_0010794 MALPQSFSTTADCPFMEQSPSTATVKLSSSSNPASPSLSRSVAF SAGTEMQTRARSNTDSGHYTHLSSSPTMRSRLSPVRMTQSSSFASLLLSVRPRSRSKA PSDIDRLSSASELHKADSPTSWFGKACDFIRPWEDSDDEDIPEEQKQAYIQTREAVNA TLKRMVGYAGDIALEVLVTSIELMEYAPVPALQGLSKTFMGIWKTVQQVSMNRLAFLR LTQTCTTILDSICNEVENSDEYVARQLAGPILVLERSFDSFLSLVKSQVELPFLARYL KRDDTAKAIADCNESLLRSLTLFTTTIQIRTYQAVCANMQMSSNNQAQILSLMDHGFT THSEALLTTEKAKRCSAPDLDGLPSSSSHRSFELSASPTIVSRSTDDLLSRPHIMPIL QKIQTAQNAQDAKADMEDLRRSIKAALESGSDAKLLSFLGIEAQEMPEAIKTLQRTLE KKSEIGEEGTKDTLHEEFIESGIDALRRMSVGNRFSTNLPFWTITKYEVERGRKIGMG FFSEVFQGTWKGRVVAIKVLSEVTPSDLFVREIKVWKSLVHPNVLKLYGASSATGSHP WFFVSPYMKNGNLVEFLRKISQRDETELHELGSIAENLPSSKSRGSYGRSFIKILKLT DVYRILQEIAKGMEYLHQMNASNVLVDDHCRCVISDFGQSEMKSEVCRITGSSMQAGT LRWKAPELLEGSSMLTTATDIYAYGIVCIEVLTMGDLPWAHDDDDEVRYNVLEKDKRP LIPENFTSPLFHEMISACWERDPAKRSPFTDTVSRLQRLRIIVGDGSDPSVIIDDEMP PLSPSLSATCASPSIKSPTSTPHLSHGNHRECFDDSDDYVAIPSAQEVDALRMEDVKI TSGQRNVQPETLRRASSVASKRLSQTQPPKDNGPNCSVLDDNVPLSANAGFFEARDEM RYRYLLEHDYNPSLTLPLWTPSTVHIGDVGYLLKPSGSFFTLFNALQRTKTPGGLTGG FPSMAGYGSVSKGHIHLDKKNAAQKGLEAFTGLLAFRTKHDMPLVRHHTFRLRSGHKS AHIYTEEAEYHYMKKLDAPRAWFRANVDLILNYYGKEHNIQKEDLLLVISLLEAPNYA LFVNHGHPDGQGHFYVFSTPKKGHPWGKFTTDTTIAPDGAQNAPDEAVDGRKDACKVS LVNDPPKAVVVGRLRFKPDCAEPTTAKSCIFDNPHKAKMARQENTNDQKFPSLTDFWK DNCTDDPEFAFEQYVQMYHACFKPATSPGPYGQGSSSYFHRKGIKECRERLVTRQNTE LSAGASQENVDDLLELGLRVATGTHLKFDEATGVALFKRVVDGEYPKEKKAIAASLIC HCMKRRILDDTQQFDTLEAFQWADLSCEYGLFSYCCLHLVLSYDRLGKAPLDRGDFSK FTNIWNAYDRFREETGLQRPRSGHCENCHRQPKRRSMLKKCAGDCILDNKPVYCGKKC QKEHWPKHRRWCKLETVETDSEWTTDSVREDWEYSEGEGAPEPPDVLPNTKVEVNFAS GRR JR316_0010795 MPLARMVNSAPLSIIVDDQRTDYIVLSGPQQWTSTTEPEWFNGT SQSPTYALQNKGGFGTLEMKFHGTSVAFFGVTPPVFPDSQILSVSIDGSVPYNTSYAD PNPQTYRQWYQSPLLADGPHVVALSHIAGTSLDYAVVTVGPSTPLTNEHIIVDNDDPG VTFNGTWSRSHSMFNSGPQPDGFPYHNTTHQSSTVGSSFTYRFSGQDTRSLPVYLRSS KVLGHSAAVYGIFNWAYVGILSLTFSLDGESLAQSYRVSPDTPQSKNEIGQQQNFLFY SYDFLSPGDHTLTVNVTEAINQTFAFDYITFKPSFSSLSNMPDLSQTSVSGMLKGDKS STRHSFAIVAVVASTVLLLLLSGLLFAYMKHRKNLKGRYVPVYPFALVQEHRPEVTVI RGLLSQPDLGTASSIGRESSWNEGSSQDNLIDPHNNRQGQLDSAASSHVHSLENLSTP SATTSTALVADRSHIAHRSVISTGGSERDSMYTQSSPPSYDETTREQPPPTPFRRTAQ Q JR316_0010796 MTGTTLPRNKLSILDQLKIAAVLVPTPVVMSAALIKSFFTEDGR AKSWKRVIADSTTAWIVSNINRRQVRGVFGETGTVYRDFVKAKGLTPVIEELGHDSRL LWLGPRKSERVILYLHGGAFLFGALPSTPYFWTHTQDVIAKNGKEVDVAMLNYTLVPD AMFPTQLKQAVLAIQHLISTGIKPENIQLAGDSAGGALIHEVLSHLLHPVPDVPKLVL SAPLGGAYLMSPWTSLKDSPMLRSNQGRGDILTLSTVVYWGSKVLDGVPESGIPYLDG ISAPEDWFKGIDKVVKRILISAGDAEVFRDTIIKYTKTVEKYHKDVTFFLDEHGVHDD PFLHFLVGEPNRGKLTPFIIDWLDKGFNV JR316_0010797 MILSIALIKSLFTEDGRAKSWKRVVIDSVIAWLVSHVNRRQVRG VFGETGAVYRDFVKAKRLTPEVEELGYDSRLFWLGPRKSERVILYMHGGAFLFGAFPS TPYFWTHIRDVIANNGKEVDVAMLNYTLVPDAMFPTQLKQAVLAIQHLISTGIKPENI QLAGDSAGGVLIHEVLSHMLHPVPDVPSLVLSAPLGGAYLMSPACSLKDSPLLRSNQG RGDIITTPTVIYWGSKLFEGVPEFGIPYLEGNSAPEDWFKGVDKVVKRILISAGDAEI FRDAIIKYTKTIEKHHKDVTFFLEKHGVHDDPFLLFLVGEPNRGKLTPFIIDWLDKGF NA JR316_0010798 MSIALIKSFFTEDGRARSWKRVVVDSATAWILSNFNRRQLRKVF GETEPVYMDFVKAKGLTPVVEELGHDSRLFWLGPRKSERVILYLHGGAFLFGAFISSP YFWTHTRDSIARNGKEVDVAMLNYTLVPDAMFPTQLKQAVLAIHHLVSTGIKPENIQL TGDSAGGVLIHEVISHILHPVPGVPKLDLSAPLGGAYLMSPWSCLKDSSLLRNNLGRG DVVPLSASIYWGSKVLDGVSESGIPYLDGISVPQNWFKGVDKVVKRILISAGDAEILR DAIIKYSKTVEKYHKDVTLFLDEHGVHDDPFILFLVGEPNKGKLTPFVINWLDKGFTA JR316_0010799 MSTQVPTVNDLRGATLKVKLCYICREEENADSPQDPNTAPRAWT HPCSCTLIAHEQCLLKWIQSSQSNASRAPNALKCPQCGTQYEMESDRPFVLKFLSVGN KVLQKCGRWFTVFGAAAAVGLVGTTVYVCLTGYGAWALEKFLGKELFDLILTDDPTNW PWSAYINLPLLPISLILSRFQTNSTSLVIPLLLFWPPTSPVTGERSRRLQEYWSNPQN SSLITSGFNSGGLLGTKHHWPPPPILFGLVGLPFVRAMYQNCYAWAYSKLLGTHLPAP RRPPRGGLRFNEGPFVIRIRANLDGVEGGNGDDGQGEGGGEDGQPPPVAAPGAADQNA GVVEPNPDPNAAAVEAAEQLIEINASSLGRRIGGALIIPVIANSMGQLLLSLSKHSKV LRLLLGIRQHQKQAGSSGMFGLPPWERVVSSWVRGGNKDGSDKPWAQLNMFQQARLSV RLFMALLTSSSTSWVDNDPVWWRNGVGFGLFVVVKDCIQLLHLWLAKRELETRRVKDR DFKGVDIRELDLLPSFFQRAT JR316_0010800 MPRILFVSGFHPTTRARDLAYEFERYGPLVRCDVPAPRNPHATH NPYAFVEFKSNRDAEDAYYDMHGRYFEGSRLSIQWAKNPPSSVWRYERRSPPPSHRSG RDRSRSPRRRDDRDRDRDRLDDRDRDRDRRRRSRSPPPHLDRDRRRSPSPDRRRDARD RDRGDRDRGDRDRERERPKTPPAEEPVKKDEDRERERDRQDRGDNDQVRTPPYDH JR316_0010801 MKFFAFVFVSALASVSQVMAVPAAAGALTQCGGPDHIACATNFT CCGPFDSDGFGTFQFKGCCDHQRPIALQKSPDAACGCHMLAAPLHIGKVAFRASIRNH SNLAHGYVASASKNWRTPVWNLVNALKDPHNPEQIHDILRDNQLTVKQFEHWENVLRQ PNLTQAIQVLQNYKDKVDGTGSANNSSTNFPPWVLLSIVAYVVKTPEDASGPLMDLVF ENLNSVAPEFQAPLLILTANQLAQHNLLVQFRRVLDAFLVAPIPERHQKAQFNYLLRA LSRMPFRSGEGSTMAMEILEVMDERTLQVAPSTYHILLHEDFASLHLARQLFVRIMTQ ENFQPPAKLLEAFLRLLTKHNRGDLALKFYDAIQRVIDSAPPGSEDANDAYNTRTRAR TIMLNFFNKRSDAKQFLHSLSSLPTSRPRSTPIDPTHAPYNESSALNIASKDSTVTIN RFIKIFLSLPTKPTIVTYTILIRGLLARRAYPQAEIWWQKLVKQSTITMDAYALVAGV QALIRNGKPHEAFMYLEKYAKKPPGYHRQVSPSVSESESSTSAPSTLAPGENSVVLTT VSVNDILVALNRISRPDVVFRLFTHMNVLYGTQPNSATLSILLQAARQAVQIDDADVL AGVFGKMKLLDPFKRTRFLLSSKRGKEHEHAQSEKDEAVKVRADAVNDILSCVGHPSR GGLRRYVNGSHWMGVEAVEFGRRTFLQALFGRAVASGKATYERVLRTESPAAAIRESY DAPPPTFIRAAPKPYVFVPPDTIKAVSKASSKLKDDPSQWSLLTSSGTSHLPSVALTN NNVLNYIQLLCVSNRVAEVPLVLAWARELGVQPSRSTLSLALVLWSEVSQMAPLVALW QKKKENKKHHDEGDADELGSSQSEMNESEAVDLEDGGEYRKFVQWVESWVGAQRMPKA EDIQKWRIVVHRMRTGSTYDAKGDGFLQPDDRLESQHE JR316_0010802 MVRGTGKFKQKRGGGRSFSKDMAFDNGDRLKHRGGEGIEEESSE EGSSEEEEEEEEEEEEESSEEEKPTQELTRAERREMKKKEAAAKQKKKEEGEEDDDED LINPNHVQKKLNISDLNEPRQLSRREREQKEKADAKERYWKMHLAGKTDEAKSDLSRL AKIRAEREAAQAKRKAEAEAKAREAEAKKQAQLSKRST JR316_0010803 MVIKSKESSSASPSLNMIQTVIVALALLAGLWFIRNKRAPSTPY SHLPLPPGPKRLPVIGNLLNMPAAAHDYHEWSKQYKSDIIYLDVPGSKMVVLDTYDAA FELLERRSAKYSSRARLTMVNELMGWDFALGFMEYGKAWRDRRRLMHNSFHPEAAKQF RPQLLKETRKMLERFLDTPNGDVMGNIRHMTRNIILQITYGLEVQPEGDPFIEVAERA IEGSLVASIPGNFLVNSFPFLKHVPDWFPGASFHKKAREWKGYGLKMIESPFSATKRN MAAGESPQCFVSTNLEKMETHDAAHERDIKLTAGSMYSGAQTLSAIGSCILGFLQKPE VLKKAQAEVDKMVKPGHLPDFEDIDSLPYITAITMEVLRWRVVGPIGVPHLLTEEDEY KGYRIPAGTTVIANQWAMLHDESVYPNPSDFNPDRFMLDGKVNPAVRDPSHACWGFGR RICPGRYMAFSSVWIAIASLVAVFDISNAVDDEGNVIEPTHEYTPNLIRVPVPYKCSI TPRSPQSDRLIRASVHEELANA JR316_0010804 MTTIPARLASLTRTSSGPAESRKRALKLYRDWYRSAPEICGIYA LSVSPAYVRHAIRQRFEKNRNVTDQRAIDVLLLKSRQDYQETMNCWKQIDHVMGITLN SQERPKKTFLEKFYEGKDEQAVIPAASGI JR316_0010805 MIPPTPTAPASSCSDGDGEQLGRPRPHAFHRPSQLATLTTIPAS KLTPKAKPLSRQIDPWIWTSLLLSIASLILCRYTNDNSNHQRPIFKAIPLNRENTHIV IQSDAEHSGGNTLLALGMNFGAGLGLEALLVVIIFYGTILLILSSKERRLSDVRTSSL TRPRCESENKYFVAHNLYRCTDGDHDEEIAVEDKRRSTIIWILAVSQSGLAAVGLYAA ARLVLVMGGFAGKEGANPILECFELVYVLGEMGMLMYIAICCVVQASGRSKSRL JR316_0010806 MSISPHEDELAQALIDLKARDPQLGISKIHALLLKNYPDWIVSE KRTRKILQLHGLIVAPTPPGGYEPPVYPSSRIIETLNIQQWSQKVQVRYFNKKKGKGL VASTDIDEGETIWREDPFIIAPEPEIYDMQRASTACGYCTTPLLPDSPLINPCPASSS TSYCPYRFCNRLCLARSAKHHPLTWPAQNPAVEPLLKFARDHQWIALHALAQTTSRVL LANQLADSALHHDWDVVMGLAELGMEERFKYSFKSASAPEPDRATWKKAFALYVKAFK EPVSPQDQKKLAKLLKKPLPEDVDYELFQYEGFLRGLGRMNLNLESHGGLYSVHAHLN HSCDPNVSVRHLDQRTALSRITILAKRPIKTGEELFITYVNPKLGYKARQDELRGWGF GSCTCSRCVEEARMVRQAPATNDELDDLADELKAGLGVI JR316_0010807 MPSVDSPREFVKWEKSYNIPLLHAEVFGTHILIVNSIEDAIALF ERPERASIYSDRPDLPILDLIGTEKNIGLMRYGEKWRSHRRVSHQNFNIHAATQYEPI QTRKVRDLLQKVLDRPDKFSEHNKWFSTAVTMSAMYGYEVKSVDDPCVALADEALRLI AQLIHPGGSLINHIPALRHIPEWFPGAYSRKLAARTKLLNEQDEGTSTPSLVANFYEK KLAYGASEEEEVAMAAITYAFVYLMTIHPDIQQRARDEIENVIGATHRLPTLVDRKSL PYVEAIYREVLRLYPPLPLGLPRTTTEDNHYKGYYIPKGTTVLTNIWAMSYNELIHEE PHRFNPERHIGTNGELDDKRIFAFGFGRRKANFYSHKFSLPYHLITEHAWLMMASMLY SFKITRAKDSNGNDVVVNDEFEDIGILRCKKEFKCHFHLRSAEILQLIAET JR316_0010808 MAVILPNPAAADENSEQHDTFESIAPERAYTSNSYDVEAEPDTV EDASTVDQGKCEPSDDVVEEPQRLSVSEPGPGNAIEEIEAALADHASIGNQGECEPSY DANEKPQRLPVSGSCPGNASRDIKPALAASESDVKLSAEVRRILHYFLASMPEFLLLS MVYMQIQLTLDQWSKGKAEITQVIIYAVYEVCVILALMILASPLTREWLIARPTVGVV YEGYAFYYARTRFSSSGRVKADLLIIMLTFVFALTTTCLCVKNLLIRTDSNEGKPSDE KNDVPESPPPDYGYNFTVWIPGNSKILLVPKLVILGNVA JR316_0010809 MDTVFVGDKKRVLGTEGSTPPVVGGTDAVGHVESGLGLGAGDER YGMSSKTESEAIDLARHGRRVIPYLWNGTKGKIFRPPTDKSNNKSIGFRYTTTDPNSN HLRIPTAVHITNMQTCIVINAVGAGYAAFVLLFPNSQISPGANIPRGMIVPVFVFALG TAYTCAKILRSERENLKVYQRLDGEENMSIPSGGGCNVSIWVPWNAKVVIVKIGC JR316_0010810 MASDVEMRPSPSPATSRRSSFAVPTVPVVHAQPSSVSIPLLVHS PSPDSPGPSHGPPSSASSSAPPPATTPTAAADSAAPTPSSPLTKPPSSAAAPSPSASA STTTLKPASSAKPRSTKPPRPRSPSPSPPPPVIPLRTIRLEIRLGGPSNYEVDIRRQA KETGQRPPTPPVVVKRAVPDSSDEDDEEDEGGASASAGPGKTKKKKKKKNPASEYYDT TDPFIDDSELAVDERQFFAQTKQQGFYVSSGEVALLKDKTPKKPKSKKISFATGLPPS LSAALPTTAHKGKSIEGTREAPITIDAEPGTSASTSISAPVGSTSTSVNGAKSAATAS TSISTSGAPDVPPHTLLPPPLAGGGQKRKRQNSFITETGKRKRVVDETLFHPSLQAAL KEMRELIKKENWENKGKFPPALKAPLARMAVLAIKLDEYDDDFFSLMPNLFPYNKFTM TKLIKRTVFSDHLALLTERQDTLLAQLKQLADDGFERAKEEWERNVVAWDKRQEKIKA AGGGGAAGGHEGTGTGSEAPTRHGTEEMDVDGPSGTQEDKDKDKDKDKEKDKDKDKEK GEGKEGKEREHGNNPPARRYRMTEQMKNIVWELVLLSNECCRLENEKNSLEGSVVQIS DQGLRKVLYQKIVSAYPDGWMSSGQISRDVSAMKKKLEREAMEQENDD JR316_0010811 MLRVSDNMSLSVTEPTALGLPFTSATIPAIAHPTSTTHISPNHS IHSVPIAKSSQSFSSSSSSSSAVFMHLFAGSGTALSLLFLFGIYHLLQHALAACRRRL GHRHYHRSATQRTSINDLKKRMQMYGRLASPVPFPVNVDVAGEQRGDHDSRDAGADDR ERERGRDLSSASVEDREQQSSAHEDRDEDIEAQAGMRSAFAYAGGVENGGGGGGAAAA AALQVCIYRLEARVRHLERVLHESAGGANTSTSTSTSTSTTANASASASANRNTSASE MRPGSVAIAVHARAATPIQRFIGMDADAGAGGAPQMDARTRAGASAGFGAGTFESASA TASATAAPSTSTSTSSSMTRVPSISHAQENTSNARSAAEETAPAPVPAPAPAPAPAPA PAPSPSPSPSPEPPTANASALSYTAVTHTRHVSTAESVDSHSIGRRSSPPEYRSREGS VITARSFVGVEGRPPSSSHGWTV JR316_0010812 MLLTPCLLRELICVLTLTPDVRNKMPYLSAKVSELPLPLSSVHI AVYGDTETISQNHLSYTFTSTTYLPQITHQSSTSLKVLDIFSTPTSASSFFSTVSSAS ITTSTASALPSNYPITSPNVRDTQPLGSFFSANGMFPHLFAGAGTAGAFLLLLAAYQL IQHALAACRRRRRPPSIQLAGIQNMKKQMYGRLTSRLSENRNDNRDSSVEQEEHRDND IEARAARAEDGGAVALPLTLQMCVSRLEARVQHLERVLEGRTGGASAMRPISVAIAAQ ARVPTPAQCSTGMNTDIETETATEINRRIVTGMEHGSTAPNAASTAELTTPSVVRAPS ISQCREDSVAQCDASPATASPQPTITSLSALSYTTGTRRESRAESVDSISGRSSPPEY RSREASLVATRSLIDERPSSSRSSTGTANRA JR316_0010813 MEMNTGIKTGTGATGGSTGPNVDTSESTASVAQVHSTLRTEENS ANRPSPARSPQPTITSPRALSYTTETQCGSHVRIESVDSVGGSSSLPEYRSREVSIVG GRNNVEEALPSSNFEMEPGKTWLTEYVLMDKRLYGWGRETSAAWIVALGLCHNSPIIQ SSPHLRFVNTLDVMDEANAKKAFYIARRFLNWNEAAF JR316_0010814 MSKSSGHHRATISSPSSGSNGVLPLLFAGSGTAFALLLLFAAYH LLRHIVSTCRRRRRHRGPASQSAGIKRDLRQNTYGRLASRVGHPASDDDGESITQDKD VRRASAIANSGSEDGDPAAPPLALQIYVNRLEERVQHLERVLEARGATSAMNPISLVV AARARAPSTSQGLFEMDTDMETATGTGTAGGDSQQFDAAATTTIARAHANPFLQDEEE SRFRRDGLPPSPEPERTNDSPLSYTTNTQRGSQAESVNSVGGRSSPPEYRSREVSLRS NAN JR316_0010815 MDATSTPQSNDIDKKALPECIVFPARKEHTATVIISHGLGDTAK NFGRFLRELKDHSDLGHVKFILPSAPVMSVTGMQGRVMPSWADVYSFDYINRQEDEDG LMRAVSWIKHLIRIEETEHNIPSKRIIIGGLSQGGSISILTAITTEKPLGGLFALSTY VPLRRKILTPHAKNIPILWCHGTGDQLVSIDDWKQLAQTLAQQLSVPFITSDYPNDFP AMDQDTSFKNDDDMQNDGGRLHFRSYEGMGHTIVNEELVEVAAWISRRIPGEPGPSKA GCLLC JR316_0010816 MSWPPPRDELTSTVCNAVQQLTRNRKANFLLDTALALIEAGQYG ADVENYLEVYLKTPGLSKEDITRALLARGNARKRGGEGLIAKAQEDFQAVLKLDPSNR ELQHTLRRKVIHFSNEPASQRAPLEVWERIASHIPRYHLRTWLFLSSFHRDIAVRHIF HTVDIYFGDDQQENLNRGLDIFDRAKNDPVFASQVKSLRLHWAYEEGDMLDLMTRIFK TALPEFKALRDFEWIGYPEMRADMVQAVYASHKHLHGLGLIGWHFDAVGVSAFRNLRK FTLRAEDDDGFADMGEVRTVLDQNEATLKHLILGAFLERQHSWDSAFQSVTIKNLTHL DLVDTRISHIVLARIAHAHNLQSLTLHGTFEEPSSAAVVFASDHIIEGRHTFLPHLES FRFLLVGHDDDHALYNSVTRFLRQRQKLRRLDLGNCPWEMVLALLPDLRNLRVLGVKI ANVSQAPIDALIDALPEEMQAINLSTVVSEKPINEYATYFSGFPALSFLHFHCANKRR PKPNLMSEKDFQVQTDIWISSARSVATAIPSLDFLGWHGEHYVVVRTDSSNEMYGCGS VELKELPTRRRLDCGKGVDLGGEDAAWLERKDVPMDYEMPGLD JR316_0010817 MDDLVNIKSPPSTSLVSTESAMATDMTFSQALNDPNSQPDEPSI FVAAQRGDLELIRELITSGKASATDRDPQNITPLHWAAINAHVAACRYLLEQGAEVDA LGGDLVATPMQWAARNGYLYVIQLLIAHGADPTITDSQGYNSLHLVTHSSSIMPLLYL LHQPINVDSRDSQGHTSLMWAAYQGDALSVDLLLRHGANTNLKDDAGLTPLHWAVVRG NRVAIRRLIEKGADLGAKDGEGRTPRDMAAELKSLGAWKRALEEGGMDEYGVKRGRPL SDVYIRTKDLLQRNTKIAIFIMPTIFFYLIFMTLTLLPWYTGIILALAEFFGMHHIVT RVLLNKSTYTDSVSQTPYFAGIISGSMLWVMYCWVTRLVQVFAHSWRTNGDAETQYHS FAHFMFAVAVGLCAYNFFRAVTLDPGTCPKPSSDEELKSVIEDLASEGRLNGQTFCIQ CMARKPLRSKHCRVCDKCVARSDHHCPWVWNCVGANNHRQFLLFVTTLVIGICLFDYL TYAYFKAIPIPNDSSQISPSCPLPQDLCAITSHDSFLVSVAAWATLQLTWTSILLASQ FWQVARQMTTLEVSNLGRYGFMGGRGGASLSGQMGHRHQSRHDSVLPGVDTEDTALVS DTSSASTGVVHRHSGVCAGCGSGFLMNLLGFDRFTKGKAVDGLTRASKASNPFDIGIV GNCKDFWTGGKELGVEYDKLYDVPVEGFREWKRRKEREEEDDGARKPTTRKGIFMGLG LGRAGSSRGGYEPVSQV JR316_0010818 MDHVGPTPIDLYLGPQLIGTLINTVLYTVEVLLAATYFRSPRGK RERRGIALAVIFNLAVDTVGSTVVCISAYTFYVTFWGNANSISNTHWSLIVNIITNAI TAFVVQGFLTYRFWKLSVSKTNEAVHSPRRFAYLPTNAAICITIVIFCLMLFGWGGDI HLAIRASQPRRRPEDRLRDTRDVIMALAGTAAADLAITGALIWTFDTLQPTVESGQRR RVGLPYTSSQITDEILFARPKNFISSTPSHSVPDLHHELSNK JR316_0010819 MQSFIKATLILTIFHGGSFASPISGPVLEHRGTDFWYPTPLTPT NGPITAIGANGGYNYGGDGANGGYIGIANYAGGGSSIYANGANGGQNAYGNGANGGSI SVGRRGAGFLFPIYNSYTPPNGPISAYGANGGYNQYGNGRISINRREDSISLQKLQGS PDIRNLLWGWYGNQPLLYSGSGKLSSTTYGNKPPTAQPIFVTGHNGGVSYNGNGANGG TISVPSGTTTTEPDTSTGLAGTGSPTKVTITANGQNGVTMNGEGSNGGTISVTSESVS Q JR316_0010820 MQSFVKAAVAIAFLSGGSFALPTQTNTVNRRENDFFFPIWIPPA PANGPIYASGANGGYNYGGSGANGGSISISRRETESKQKDNTFFPLFLGWNQPPPNGA ITAVGANGGTNIYGDGANGGSISINKRKDDFLFPIWFPPRPTVNGPITASGANGGYNY NGDGANGGSISINKRGEDFFFPIWLPPPPPANGPITANGANGGFNYNGNGANGGSISI GKRETESENKDGILFPLFWWNQPPANGAITAEGANGGTNINGNGANGGRISIG JR316_0010821 MQSFVKAAVVLAFLSSGSFALPTENTAEAQKESKFWYPVSAPSR GSVTATGANGGYNYYGNGANGGYIGVGGMPGADVYANGANGGENGYGNGANGGSISVN RREEDFFFPIWRPVEPANGPISASGANGGYNYGGNGANGGSISINRREDDFFFPIWRP APPRNGAISASGANGGENYYGDGANGGSIAVNRREDDFFFPIWRPAPPRNGPISANGA NGGYNYGGDGANGGSISIAQRDTESKQEENFFIPFFGWGRPSANGAITAEGANGGTNY YGDGANGGSIAVNRREDDFFFPIWRPAPPRNGPISASGANGGFNYNGDGANGGSIAIG QRDTESKQEGDFFIPFFGWGRPSANGAVTAEGANGGTNYYGDGANGGSISVGQ JR316_0010822 MAELANEEGSKHYKAGKHFDAKRCYQEATRKGLNEPKYPSNLSA VLYELGQYQESIAAIRESWTRLRAQNPIDGKPSTPIASDPMAMKLATRFAKASTNAVA CKVSSLHDVHLSGPDADMDVDIDHFAALKREEGGDAKIKDLNAAWSQWRGLRDSCATH TAEECNRLNASAAMRLRAIPILKLSPDPTMEYFRIGHDAVRSLMNGLNGSSDDPYCLD TAKYAHQRRWTFLFGGSGDGRHVFGTMIHLGDMAKKLRRKNETDRLSVHMTLVDIHPA TLARVIVIFALLDKILVARTKNDQTSVDELHSTLLYLYISIIMPDYCCQIIMDTCKSL VEDLKGGTGNLLKCLGLYLNPGSISAVVDILQMWSSPLKKSTALMLQRNPTREKLFHR MRSEVPLDPMKKLLAGNKASKADIYTDPDAELDIYDRVAILLPPKTLLSRHTALDKLV KGFRGAKKTQFRAAQVEVEQTWIPNPTMFDRRTGSPNRGFEDGYPQEPSHPYEILPSL ANFTKEIKGTHTPSSNGCCGFVASSGFFDLVADAMLELEGAIKVEIIVGDVITGLPKL LNGDLGVRPNDFPQCYSRMFLSNVPDYTHGVLNTAVHLVPYVETNELVMSNCMVNPGA FTSIGDFCYNYTLLNAQDLPRFLGCAILNPSRFIFDDIALQNLPLPRKLDELASKQEL HAWLAHLLLCILCNARPVHAPMRIYLPANLGSYLNVLVHLHRVGFPSHWIGDFLQSVI SDTLITDVLPYEGTTPVLSSEARKRMSRPRKVHLKAWQADLQVMLAETRQALPFPVFL PSDYPTLPDVKTFKSKIKPFNLERDFRIYMWRELISNFSKIVSLLFFKPTSHVDADFF EENISSIIEGHKELLNVQVQILLSQESVDFNKGEISWKLGQGWYDKMKHEKWVMTVYR TDLNVHVTEPVEASQWLQIS JR316_0010823 MPKEATKPKRKAAEKAEKPSRKTKKDPKAPKRALSAYMFFSQDW RERIKSENPDAGFGEVGKLLGAKWKELDDAEKKPYIDQAARDKERADDEKAAYDNKQK GSGDDDDDEE JR316_0010824 MVFWAALNIESGLLDSIPAPLVTLSIFQTEDDSDSDFKSGPYIP TPAKPKKRTRKSSSSTLPQKRRKATKNIESDEEEEFIPNVHERKKELLVIFEDDEEDE QELDEESSDHSKYSDESKTSDPIGFLNLSARSLKKELERDNRTDSVTESESCAEGSSI QTSQANQSARISAPVHKQTTTEGYEPDSVTESESDAEAASQVPPKATKASHYLSAVAR GKRPQASTQESDSVTESESESESEPQPISKPKALSRRPGFVLQPGQQLASYYLDEQKT AKIPSTLNPFLREYQREGVQFLYRQYAAGKGGLLGDDMGLKTGFSTDKHRRKQYVSRL QDNKDWRKHMPKADKKWPTCIIIAPSSVTGNWEQEFRKWGYFEVGLYMGPKRADVLKD FKLGRLDVLVTSFEIASRDIEELYDLAFTCIIIDEAHRLKDKNTQLSTSCSRFLTPLR YGLTGTAIQNNYNEFWALLDWTNPGEVGTLKQWKHYVTTPLVKAQSATATEDEQVIGS AVAAVLRDKLLPEFFLRRTKDIIKDQVLDTLLNEWRKDKTNKVLVFTKSVKLLNIVED YLKAKLRYTYLKLDGSTKQELRMGLVDKFNKDPDIFLFLISTAAGGTGLNLTGANKVV VFGELLYYGLPPFPGVLTPQTSPQDPNWNPALDLQAMDRAFRIGQLRDVTVYRLLAAG SVEELIYARQIYKQQQMAIGYNASIQTRYFEGVQGDNAKKGELFGLENIFKLHEGAVA TKHVIEKAHIAELDWALANMEKQTGKKIKSSNELAHVNEEEAVFLKEDKKDSLKGLGS LLFDDSVPQPKKGSNTIDETIKQIGVRYIHHNDKILEPSHVQAMQLKISIENLKKKRR EAKAAKAGSAASGSKYGKRKGKQKDEGISKEKEKPKPAAVWPPPNRGKNRKKTTVPVP SQLEMRREALVDSGAINCEADIYDFAQKFVAMCPEEQLEVLRLVDQAAEEVAKKRAAG SSSD JR316_0010825 MSKSSASTTMLLARQFEALRKQPDSGFSVGLFEEDNYYEWKVLI IGYVQIRHCALLSWKLTLSHTRPPDTLYEGGFLEARLSFPPEFPLLPPKMQFITEMHH PNIYKDGTVCISILHAPGEDQYGYEDAGERWMPVHTAESILVSVISLLSSDTPNLDSP ANVDVAKQIRTNPAAYKKEVRRLVRKSAEKAFE JR316_0010826 MVQQDEESEWDQLNRTNWKGLGLTDPIKNIEDKWLLLPAFLKVK GLVKQHIDSFNYFVDTDIKNIVKANNKVTSDVDPRFWLKYTDINVGFPDRNEENAIDK RVTPNECRLRDITYSAPIVVTIQYTRGKNIVQRNVHIGRLPIMLRSNKCVLTGRSEEQ LARMIECPLDPGGYFVVKGTEKVILVQEQLSKNRIIVEIDNVKGIVQASCTSSTHGGL KSKTYVATKKGKIYLRHNSIHEDVPIVIALKALGIQSDKEILLLTAGNTDAYKNIFAA NLEDAAKLGVFTRQQALEYIGTRVKINRRVMGPRRPAWEEALEALATIVLAHVPVKGL DFRAKAIFVATMTRRVLMAMEDEKMVDDRDYVGNKRLELAGQLLALLFEDLFKTYNSN LKIAIDKVLKKPSRTTEFDAFNTMRMQGDHLTTGFVRAISTGNWSLKRFKMERAGVTH VLSRLSFIAALGMMTRISSQFEKTRKVSGPRALQPSQWGVLCPSDTPEGEACGLVKNL ALMTHITTDVDEEPIIRLAFMLGVEDICLTTGTEIYGPHTFVVNVNGTIIGVTRQPTR FVAQFRKLRRARRCSEFISIYINNHQRAVHIACDGGRICRPAIIVENGRPRVRSEHIR LLKQGKAMFEDFLQKGLVEYLDVNEENDTYIALYESDINPNTTHLEIEPFTLLGAVAG LIPYPHHNQSPRNTYQCAMGKQAIGAIAYNQFNRIDTLLYLSVYPQQPMVKTKTIELV GYDKLPAGQNATVAVMSYSGYDIEDALILNKASLDRGYGRCQVLRKNATLVRKYPNGT FDRLADAPLDETGQIAKKYDIIQADGLAGVGERVDPGDVYVNKQSPSNATDHAFTGQA ASVPYKNTPLTYKSPVAGNIDKVLITDTDNDQTLIKVLIRQTRRPELGDKFSSRHGQK GVCGLIVNQEDMPFNDQGINPDTIMNPHGFPSRMTVGKMIELLAGKAGVLTGKLQYGT AFGGSKVEDMSRLLIENGFSYAGKDMLTSGITGEPLEAYVYFGPIYYQKLKHMVMDKM HARARGPRATLTRQPTEGRSREGGLRLGEMERDCLIGYGATQLLLERLMISSDKFEVN ACSECGLMGYNGWCTYCKSSKKMAQLTIPYAAKLLFQELMAMNVVPRLILDDA JR316_0010827 MAGRDSNSKTALRRLMTEYKQLTSGGSPDGMFTAGPISESDFFT WEALICGPKDTPFEGARRLPAVPVQDEIRPALIPPKQ JR316_0010828 MPSQLTARPSDIPLNNLYNLLEPITVSKEHARFTNWGRTFVCTP SSIFEPENEFQCELVLELARREGKTLRVAGVGHSPSDLACTNEFMLRTTKLNRVLEVN TEKRYVVAQGGITLNDLHAQLQKNNLAMINLGSISEQSLAGVVTTATHGSGMTYGVIS TNVMALSLLLADGSRITCSRNEHADLFLASICGLGTTGIILSIQLEVEPAYRLKELQQ TLPFDDVVQNLDKIVHSAEHVRLWWYPSNGTIRCSYANRTDEPKKPAGSWWWHTVMGY HVVQFLLFLGRYFLFLNTWVANFASWLSSGDTVGIDDSYKIFNVDCRYPQHTTEWAIP YENAQACLRELRDYLNKEYQNPDGIRPHFPIEIRFSASDDIWLSPSSGQRTCWIGIVQ YKPYGFNVPYRKLFKAYEDIVVQHHGRPHWAKAHRLRPDSLRKLYPRFDDFRQVMEKV DPSGIFRNDYVERHIMGKPLNPRVYKKHQDS JR316_0010829 MRDLHKAVVDSSFVATLYTSDSDKIMRYTDEGETTELCKWTVDL SSLPTFRENVAARQNSVGGPQMGGFYTEFELGLELDSAEVRGIVLFNNQEWGRFASGF PASSST JR316_0010830 MSCCGEPKDANPPQNRALPQHTGGTIVNQPGYTPGLEKPQYFQQ PSISPPPAIHANAFSVNGQQQQIIQQQQTGWGGSPSPPPMNDFAQMNGASTNTAVNGT YNGASFNVNNGFSASNAPLMRPGSAHNRNMSISTPPLPNSQSIVPAPDEGKMSISIDF GTTFSGVAFGSSRIAGGKVQQILQWPGSFETFRKIPTCLLYDEFGRVLAWGLEAKNTG QLPGVTRCEWFKLFLEPQALRDEGAIDPRLPAIPAGKKPLDLIVDFLSCLWEYAKDQI TREIGAVADLNTADVWLTVPAAWDAKGCDIMREAAITAGLVQSSHAGDTNWRERLRII TEPEAAAVHCAQLNDLHHLKPSQNFIVCDAGGGTVDLAVYKIIGQMANLEIAEMCARS GANCGSLFLDFRFRELVKTLLADHPTHLDSPSLAYFMHSFSETEKLSYTGVQDDENMF HFTCFNVDDPHDPSVGLINGELSIPGILLRREVFDPVVNEVLQLIEDQIARVDQPIHA LLLVGGFAGSEYLKQRVQEQFSNRIRVIARPPDADTATLRGAASYGLARRPLVSSVIA PRSYLMKVKLPAEQEDWLKRPAYIRNNDAGVPICENRLQYLVSKGAILRKGQRLTTKF CKFSQTVQGK JR316_0010831 MRSWTELELSERHPKQAKQQRFKRSLKRSQGHPRTVVSLKFCPK PGLIAALHISMASQSTKALSQKLTVIAETWIKDPFRPNLQLQTFLKSLAAHPRLTPKA VEATRALRDDVMHQKVALLPRDLA JR316_0010832 MGKKATKATKKFVASGQLKKTIEARRKKQQIAKKFAARRGNKGG KGKDRADDRDDEEDEAEEQVAPSTKKSQKRMTVDSFLSGDFMDQSDDDGLGDEDQDVG MGGGNDSEDDDFEGGEGESDDDGSFASVDDLDEDGENHMLELSKLAEKDPEFYKYLQE NDKELLEFNPDAIPDDSSDEEGEDEDVDMEEEEKTPVLTKEQIKKWQKSLLEQRSLRA LRKLLIAFRSAAHLNEDGRVLAWAIDSSSVYNKLVTTTLRYTPVVLEHHVPYKTLANG KFKPPTQTPKFKTLQKLILSHFHNATYILSQLTDEETLRLALTESAKLVPYIISSRKS VKAYLKKCLELWSNGADSIRIAAFLSVRRLAASSDESIIDNIMKSTYLTLLRSSKSTS AFTLPSINLMKNSASEVFCLDHATAYQHAFGYIRQLAIHLRNSMKIKSKEAFKQVYNW QFAHCVDFWSIVLARACDVHSEEANGKQSELRPLIYPLVQVCLGAIKLVPNSRSHPFH LQIIRSLLHLTKHTQTYIPLSSYLVPIIASCLTPSTRPKASTLRPLDLEVQIRVPQQY VKTRVLLEGISEESVFLLAEWLASAPVHGSVAFPEIVVPILVLLRKSLKTGKNSKTGS AGGKEQTLVKVFLERLEESSKWMEEKRKTVHFAPSRLGDVQEWERAIKPKLESDTPLG KYLKVQRKTREKRRKLVEKARHGEDEILEED JR316_0010833 MDSPDTAGNTQESMLSMFRTNGPMMITGGQYTISNNTSPSTAHD LRQVLLDLFERHVSLSATHDTIEDHRPTLCSPFSAQQQLLVTRLLVWARNNDPHAPPV LWVTGPTRSGKTAIASTFAEILQSNDLLGATFFLNPESNDNGERGGITTRGATEPFLV PTLALQLCETVPALQPLMICVMQRAPFLFQRSLSTQMERLIVQPLLMLPFVSIDGNEA TKFQPVIIVDGLDSHHAAGGAAERVLNAIQDAAHRLRGRVKFLILSRPQSTMLASMNS ITLRIDLEPTTHAIHTNSPLIINDNQTVGIDAIVQPSPRDAWNLPQNPTVTPISHTHL TENVNHSMVNQATATNSGYAAQTQTFYMPPLPDKDICVECMMRDADMADVDVLSPGAW ERESDYVFEELKARQQEEEANGGSTHLPNAKAKPTIATTADPLTESNFKLWSKESSYE LSANPTRIRILTEYLKSQWDLLESEQASRYSETSTGSSSQKVQPTHEHPTFSDHSNRL MSDNCDVKSLQQGHLAPDSHTHMGLGPKEMAIELKVHDRQQNAARSVEDQPQSAKKET LTCDESLLYSYSINTTFATPEFATTDDPPKMEFQPLLDYPFLSTVALKGLNSLLIGEQ QKTPP JR316_0010834 MPSHSLLPLLLCNRQINTEVLALMELLTSTSHDWMCYELDCEIV DEVKIVPTWVHIPPIHPKHGATISCVYVTFRLSGQRRFLDPETAGRVEFWSHGNGGPS RIIRGLEAILTRFLKWGPNFLNLSKNPQRSRFKYFRRDPEKAHKLFTVMVDKLVLNFA TPPISPEEMRLREENGEIINPRQACGIVRRYFDILLDGGYMGDSFEKRDFIVARVGHI QWEIDGQIE JR316_0010835 MAKESDTSSRANDGAVSKQEVGVTDDVVRGLEKMGYHQELTRTR GLFHILFMLLAIIAVPFGLAAPIATSLVAGGPANIIWGWVLISITSEPLAVSLAEVCS KYPTSAGAYYWCFRLGPPKHRVLLSWINGWLTMVGVWTISLSVTFGTAQILVAGIGIF HPEWEPQAWQTYLVFLGVAVVATLVGIFFNSFLPTLDIICACWTALGVIVILVCLSVK AASGRHSANFALTHFDPSGSGWTPGWSFFIVTLFYQPAYTFSATGMVASMAEEVHDPT VQLPLAMSWAIPISFIIGLIFLLPILFTLPDVATLLAVPGGQPIGVLFQLIMGSKGGG FGMWLIIFGIGMFCAISICCAASRATWSFSRDKAIPLHQVWSKVNRSLGDVPVYAYLL SLSIQLLLGLIFLGSTAAFNAFVGVAVICLGASYAMPIAISVANGRRDMQDAPFNLGK WGFAINIFAIVWVVFEIVLFSMPAVIPVTETSMNYASVVFVGFAVISAVWYLINGRYN YKGPPLPSDDDSQVVEFEKQGN JR316_0010836 MSYETVLVWMLSLNREYAKIEYDTAYQLCKLCFPNDKYKYDFNN PDSFRMLMTQLLPVLMMRHRRVPRAKWKDHVTPAGKHWIEHIPSESSNRARPPSIGYQ LTFHNSLCGMAVTQGTPAQVVNIGLGVKQLKVEPRGTSVPVYFESLSHKLTPLEIANV SNNPDEIVLKRLCMLIALKESYIKAIGQPMGFDYSRLEFDIPNRRATGDGNLLMGWEF RVFGAKLGVARGTILKQEEYECVCAYYRGTVETTFIFHQTPQELENWVQFINIDQLMA VASKLAA JR316_0010837 MLYKTLGSSYTFLGLHLAPLLLTILPPQPLVSAFVTVYYQEGQR PLSTSTGTGTADAAAASYTGSAAYNPLMLQAPPPPGPSAMPNAFTIALQSTVPPGASI PQTGGFFGFSIEMSVVNQVLGTNGSIIQVPFLNLMSNIQSRAGRIYIRVGGNTQETAV LVDRTSDGRILEKDLSNVTNPTSTPPLIYTKELLYMLHNISSLVNVRWYLGVPFNDSA NFRLGIAEQGQAILGDFLVGLQAGNEPDLYGDHGHRPPTYGPYDYFGEFGVLVNAMNN DGNIPNRGLLIGPSVSTGKWTPEQIWDTGFVDAYSSSLAYLSVEHYPTDNCYAQYGTG TPRDAQSLFPTYLTHTSAQTILAPYLNSTTYAQSKSKRMLMFETNTASCGGFAGISDA FGAALWGVDYALQMAHSNFSGALFHVGGQNVYYNPFTPPPTNQSTFRKWTIGPIYYAA LVTAEALGPSNTTQILDLQANNNNVYTPAYAIYEGGTLKRVVVVNFASDLSGGSDLEV SLSLVLGGGGGGGTVQVKYLRADSVAQKGNFTWGGQTFGATFASDGRLEGVESIQSVS CSTSDSQSQSQSGVCTIKVPAPSIALIFLTPDAQTEGKGGVPRAPAGTFATSLVTRTR NTATVDPGVLATSNGHTGMDRVVGSTSEGRVSGALGRAGVGVGGVGGVVALGMGVLGG VVLGLYTKIMD JR316_0010838 MSKYARALSLLALALQLQLQPAQSVTVYYQPAQSQLAAFASQAS AVAAGAKYTGLPAYNPATLNAPAPPNPTDLPTKFTIQLPNAVPAGASIPHNGSFMGFS VEMSVVNQVVGRNASFLEVPFLNLMANLQARVGRINVRIGGNTQETATLVESTADGKI LEKDYDGVTNPTQTPPLVFTPELLYMLANVSSHVNVRWFLGVPFNDTAHPRLAIVEQG HAILGDYLIGLQLGNEPDLYAAHGHRPQGYSEQDYFNDFGVMVAAMKSDQAIPDYVRK LLVGPSIQVLWSPEQIWATGYIDAYNEELAFLSVERYPSDNCAVAFPLNGGTVHDPQQ TFPDYLTHNSAANLVQSYIPSTVLAQQKGKEFLMFETNSASCGGFPGISDSFGAALWG VDYAMQMAAVNFSGALFHVGGQSVTYNPFTPPPTNESVFHKWSVGPIYYTSLVVAEAL GPSNTSQVMFLEANNNNSLTPAYGIWEHGRLARVLMVNFVSDNSTRSDLTVALDMDGS GMPATVKVKRLLAPSVSHIGNFTWANQTFGNYWESDGRPVGTEVIETVTCTPIPGSAN PSSNTCLITVPAPSVALVFISGDPGDNAAAASTTFATTALTRTRNTVTVDQAALQTSN GHGGKGGVELGSTSPEATKHDAARVVGFSGTGKTMKLDA JR316_0010839 MLTYHRALFALLGAALGIQSAGAVTVYYPPIQSQLMAEASMSSA VVIAGAKYTGLPAYNPTVLQPPPPPDPSTMPTQFTIQLNNAVPPAASKQQNGSFLGFS VEMSVVNQVIPFLNLMANLQSRVGRINIRVGGNTQETATLVNSTQDGKILEKDLADVS NPTQTPPLVFTPELLYMLSNISSHVNVRWHLGVPFNDTSNFRLGIVEQGQTILGDYLI GLQIGNEPDLYATHQHRPPTYSPQDYFNDFGLMVNALKQDPLVSQRTQQLLIGPSIQF IWTPEQVWATNFIPAYSDSLAFLSVERYPSDNCAVAFPDSGGTVHDPQQTFPDYLTHQ SAAALVEPYLPSTALAQQSGKEFLMFETNTASCGGFPGISDSFGAALWGVDYALQMAA VNFSGALFHVGGQSVSYNPFTPPPTNESTFHQWTVGPLYYSSLVVAEALGPSNTSQVM FLEANNNNSFTPAYGIWENGNLARVLLINFVSDNSTRSDLSVSLNMDGPGMPGTVKVK HLLAPSVAHKGNFTWANQTFGGVFESDGRPKGDEVVDTITCTPAAGATGNTCVIPVPA PGAALVFLGSVTDNAAAPSTTFATTAVTRFRNTATVDSLVLSTSNGRSGSSQRLGSTS PESNKNAADRLGLSAGLGGVVAVVALLVSTWLVL JR316_0010840 MTTSRGTTLPAVFLLLSFYIQCALCVTVYYQPAQSILMAEASQA SVVAAGAKYTGLPAYNPVVLEAPPPPGPSAVPTAFTIPLTNAPPPLASKPLNGSFFGF SVEMSFLQVPFLNLMANLQSRTGRVNIRVGGNTQETATLVNSTPDGLIIEKDYEGATN PTLTPPLVFTPELLYMLRNISSHVNVRWHLGVPFNDTSNFRLQIVEQGQEILGDYLIG LQVGNEPDLYPVHGHRPPNYAPQDYFNDFGLMVNALQQDTLVSDTTRSLLIGPSVQVL WTPEQVWDTGFIPKYNNSLAFLSVERDNCAVAFPGSNGTFHDPQQTFPSYLTHDNAVG IVQNYLPSTALAQQSGKEFLMFETNTASCGGFPGISDSFGAALWGVDYALQMAAVNFS GALFHVGGQSVSYNPFTPPPTNESTFHQWTVGPIYYSSLVVAEALGPTNTSQVMFLEA NNNNSFTPSYGIWENGRLARVAIINFISDNTTASDLSVSLNLDGPGMPNSVQVKYLSA PSVSHKGNMTWANQTFGNNFESDGRPMGTEVIETVNCSPVAGANTNTCVIKVPAPAAA LVFLSPQTDNAATPSTTFATTAYTLTRNTVGVASDVLATSNGRGGPGSANNLGSTSAN ANKNAADRLRLGVAAMSTVTMGALVGAWWVIF JR316_0010841 MTNYPPFPTDGTVAVQDLLVVDYKLLKEGDQEQANILWEAATKW GFWYLKNQEAESFVEPMFKMGQETLSLPFEEKMKYWQGNKGASFGYRAAGATYVDVDG STDASEFINISKDDAMAYPRVVHKVYPETVNAYMKDTVRPFIETCINESRVIMKVFNE KLGLPEGTLLDLHDHTKPCISETRCIKVPAAPKDTKIALGQHTDFGSLSFLANRLGGL QVLISDNEGEQWKYVKPIEGHFICNIGDTLSILSGGILKSCTHRVLPPPGPQAGHERW SLVYFLRPTNDVYLEALVSRSSLIAEAVRNAPDKANAFPEMTAAQWFVKKQSQHRTDK DKGVESLLASGPKNFEGSTY JR316_0010842 MSPAAGKNSVATTPVSPSSNHHVGKPSPATKANGKAHLTSADVI RLEHEFGAHNYHPLPVVFESAKGAKVWDPEGREYIDMLSAYSAVNQGHCHPRIVATLV SQAQKLTLSSRAFYNSVFGRFAQQVTQLFGYDMVLPMNTGAEAVETAVKLSRKWAYKC KGVEEGKAIVLSVEGNFHGRTLGIISMSTDPESRTGFGPYLEGVGPVFVDEEGDAQTI RYGVLEDLERALAVHGENIAAFLVEPIQGEAGIVVPPEGYLKGVAELCKRHNVLLICD EIQTGLCRTGKMLASEYEGIRPDVVLLGKALSGGVYPVSAVLADKEIMLCIQPGEHGS TYGGNPLGCAVAMTALDVLVEEGLAARAFTLGEYFRKAVRDLKSPLVKEVRGRGLLNA VVIDEAKSTRGRTAWQFCLLLKSRGVLAKPTHVNIIRFAPPLVIEEETLKEAVKIIGE CLIDLDLIDEIPGDDASEKGHKDGLTL JR316_0010843 MSAKNLNPYDVNEQTGIDMAKARGASTVGVENVRDYLHHGRDEW LARSRIVSLLQQDPVFDKSKRDFMSRTERYERGLALTNRIYELQEIHNWSDQETKVAI SVLDEQLSIGLHNVAFQPVFMLQGGPTLVKKYGHLIAARAIFGCYLQTELGHGTNVSR LETTATFIPETQEFEIHSPTLTSSKWWIGALGKTATHGVVQAKLILGGKDMGPHLFFI QLRSLEDHKVLPNITIGDIGPKALAGFSPTDNGFARFNRVRIPKENMLSGFAQVTNDG KYIQPPHAKLSYGGMLYIRANMVTGGGWLIAKAATVSIRYATVRRQGERGPDGLEKQI ITYPSVYYRLLPILSRAYMFLQLGRTLTTAFDTMSSRLKQGDTSLLAEMHATTSGLKV YVSSSGVQDLETARRSMGGHGYSAFAGLGRLYADYLPSVTYEGDNFVLDQQVVRSALK SYRALLASKPPQASSLSPSSQYLRHLIAHPALPSSPTEQDWRDPSVSVVLLELRAALI VNEHAQHVKAGEVDASANQRVSKAVTEAFVAGQVGEMIQDLSKLPETDKTVVTKVFLL YLLTTVEGGLTDFLSFGIIRPSSGSTPKDPTRSVRLAIKRLCEELLPEAIGLTDAFGF TDWDLDSALGVYDGKVYEALWERVKAEPLNQSEVPAAYQESIKPMLKRGQLQASALIS KL JR316_0010844 MFAALSILSPSVSAINNGLATWYYDGIGACGGWNVNTDFIVALN PIDYAGGTKCGKKIKVNYQGKSIIVQVVDLCPSCGWKAIDLSQSAFQALAPLSQGIIQ ISWDYI JR316_0010845 MPVSRTNPPTGQPVPPSSVSIGKKPMNYQAPQPQTTTPQQQPKS ARAASKAPVYSQQQQQQPQNRHPSPPTSNSGSVNKSRSASGAAGSQNSTTSGPTAAQK GKANANKIWSTSTTEERERIKDFWLGLGEQERRNLVKIEKDTVLKKMKEQQKHSCSCA VCGRKRNAIEEELEVLYDAYYEELEQYANYQQRYVSSGCTIPPPPGPGPFPGSVELDK NGAVVAHAPVNSKRSRAIKQQQQQQQQQQQRGPTPQTVPPLTNGRKQHLPPQHHPPPD KESEFDDEVEEEDFEGEEYEEDDEEPEEEEEEEEEEEEEEEEEEEIPQQRRTADGRVQ KDQVAPAARRVPPQTPTGGRRNAPAKGRDGLFNIGNSLTVAGPGGILTVADDLLKNDG QKFLEMMEQLAERRMQREDETVAGIEDESEEDSDEERDGSEADEDGEDDGGASDEDDE DDDEEDEEEIMTEEQKMEEGKRMFSIFAARMFEQRVLQAYREKVAQERQLQLLRELDE EDKSTRDREIKKQTQNQKKKDKKRQQKQAKEEEKAAKSAEKAAEEAAAKARQIAQEEE NRKKREEERQRREAAKRAAEEERLRKEEEKKKRQQEERERELEREKKKKEKEEKLKKE REEKERKAREEREARLAKEREEKAERERIEKEKREERERIEKEKRLAKEKEEKAEREA KEKLAAEKRAAAIAQAQAAAAAKPPPPARPTPTASASTSALPVTSKVNVNATPVANPS APRSPSSIVNTNGVAAKKVVNNKHIPPPVSAPAAPGVGQINRPHHAPQPLQQPPPQMQ QQPNLARPHHPLTPINAQHLPPHLPPPPQNSMMFGHPQGPVMIPPALSPSVGGFPPMQ YPFGAPPNMPRHPPGTPLAPQRNFNGPPFDANFHRGMPMGIPINNIPPAAPAPIGPPK GKIPAASTSVPNTSMLAPGQGRRSSIPLLSTQSDSPVPGPITRPNIAPIARPIVAAVG ETASSGSGSPIRRSPSPKGVLGSSALAADDDEVVSTPGPRRVGATPIGIGIGISGPSP GGSHSWGPVGAPTAASPRAPIAPWGVGFTSPSASRAIPAPIGSNNHLHQIHPGVPPPI GNGSLWGNAATPTSNGDSWHPQAPGANGFFPPTPGFMNHNAAASSAPHAGA JR316_0010846 MFSTTSASHTAPARSPSSSIRNPSPHILVKSTLGSHASQSESLI TNLSSILAGGTPTASTSIHTSNTKSTIITNTPTPAANNHPITRTVYAGVIVGSAIGGL GVVLLVVILVVSTKKRGRRRTSSDSTDLGMTGARGLWMWMKNHYHPSRSARTRHTDIS PFFLSSSPGTAGATAHGPSSRTTSASERAAKYLRIQGASHGLVQNLHSEPEGGQGRAA VGKYQVRNRTTANPGVADDSESIPLPPGLSQPQPVMGGGGGGVSMEGAGANQMVEDSA NHDVHPSSSQAHVSGEESPPSYHFTSVVT JR316_0010847 MSSYRLDDSDPAVVYSKPLSGGHWSKQNSGASYQNGVMLTRTRN ANATLSFTGTSISVYGLISPSGTGNVPSSFYSVDGQNAKTYTATPTSNTQNQVLFYNS GTLANGRHNLVLINLVELDYLWIDYFEITTANDPPAQPTTAGPPPASSPNSSRQSNTT PVQQTTRVVTQVVSNTVTESSTTRVSVVTSVGSIVVPVSSEAASSSDSTAASSTTGLP AADTTDAGSVSENKTPIGAIVGGVLGGLAVIILALILIILLKRRRRAGNLDNENDRLS PQTAHSSGVVPFVSGPNSSPVETYSPYSNSSSGPSESQYGSYTQTHMGAGHSGVVHSS STARSLSSAVPTGKRQYSATEEEARASSTALLANQPQREMEQIPSSTNGSVPVSIDDL PPSYQAAAASSQSGVNPALQALANARKS JR316_0010848 MSFRIDDRDSIVSYSKPAVGGHWSSQGSSTSYQSTLSLTRTRNA HASVSFTGTSISVYGLVSPSGTGNIQSSSYSVDGSKTEVYMARPIERTQSQVLFYDSG PLVDGQHTLLVTNLVELDFLWIDYFLITSTSGLNGSVPATTPAAFSSSTLGTSTTSTS FSSTASIELASSVLPTQSTVGQDDGASVLAVFSEKRCASSNSNLSDVAAIVGGIVGGI SLIILMVIVVAVARRTRQRERSQYHRDGLSKVAFESESKRASEPSRGLSDFDDTTVST YTASTSYSFDQRRMVRATVMDRPRREVERLP JR316_0010849 MEFPEKRQRSDSLEDQPSDENTALLNSRGSSRYNHANEDLPKKN SFFVENLEYTPEEEKQVLRIIDRRLMPCILLTTFVLNMDRTNNSNAISDNLPFDLGFD IDVVNIATAMHSVFFAIACLTGSIIAKIVGPHKWIPTLMFSWGLVTLAHVLITDKSGY LTVIAFTEGGVIPTTLVYLGGFYKSTELATRLAWFWGVQHVASAVSGLMASGLLKLRG LAGLEGWKWLFLVDGIITVVVALGTWFYLPRDLTRTKGGLRGRSSWLTYRQTQIAITR VIRDDISKKSYEKTVQWSDIKDTLTDVGILGHLVITMIGQTPNTPMHTYLPTVIKSFN FNVSVANALTAPPYLLQGISMVLVIQHSDKVRERGYHGAFGAGWQLAGWIFLRSLPDS AGRGIKYLAAVIVASWPSTHPLNIAWMSENTGSIGKRTVASGLVIGASNIYGVWGSQI YRADDAPYFKRGNLINIGFAGTAFLLWFIQKGLYQYRNARNAAKLRALSEADLAREEE LRVSKGNTSPLFKFTT JR316_0010850 MTSLDHPPFPTDKSIPVQDLLVVDYKLLKEGDQEQADVLWEAAT KWGFWYLKNHDAEPFVGPMFEMGKETLSLSLEEKMKYWQGNKGASPGYREAGKKYVDA EGSLDASEYINVAKDDAMAYPRIVHRDYPAPVNRYMQDTIIPFVQTCIEQSKVVMRVF ENKLGLPLGTLMALHDPGKTCISEARCIKVAATPKGTKIALGQHTDYGSLSFLSNRQG GLQVLVPETDGDEWRYVKPIEGYSICNIGDTLTIMSGGILKSCTHRVVPPPGAQAGYD RWSLVYFSRPTNDVYLKALVNESAYIAEAVQNAEDRDVYFPKMTAAQWFVKKGSLYRT DLNR JR316_0010851 MGAKVGINGYVHSLLLCQDRYSKSPSSFVSCIRFGRIGRIVLRN ALELGNLDVVAINDPFIALDYMVYMFKYDSVHGRFKGTVEAKDGKLHIDGKAIHVFNE KDPAAIKWGSVGAEFIVESTGVFTTTEKAQAHIKGGAKKVIITAPSSDAPMYVVGVNH EKYDGKAEVISNASCTTNCLAPLAKIMHDNFNIIEGLMTTVHATTATQKTVDGPSHKD WRGGRSVGNNIIPSSTGAAKAVGKVIPDLQGKLTGMAFRVPTLDASVVDLVVRTEKEV SAEKIIEVFKKASESKEYKGIMGFTDEALVSTDIVGSNYSSIFDAQGCLVLNPHFVKL LSWYDNEWGYSRRVCDLVAYVAGVYSK JR316_0010852 MTNLALIGRIVLRNALELGNLDVIAINDPFLSLDYMVYLFKYDS VHGRYKDPVEAKDGKLYINERPLHVFNEKNPAAIKWGSVGVEYIVESTGIFTTIDEAQ AHLKGGAKKVVITALSDDAPMYVYGINHKEYKGEIDIVSNASCTTNCLAPLAKIVNDK FGIIEGLMTAAHATTGTYKTMDVSFQKDTLYDTSGCSANSSSIPSSSTGAAKAVGKII PELKGRLNGVSFRLPIIDASVVDLVVRTRIPMTEEEVIDTFRQAAESPEYKGIMGFVN EPLVSSDFVGSNYSSIFDAQSCLVMNDNYVKMLSWYDNEWGYSRRVCDLVAYISSVTP E JR316_0010853 MIVRYVKVNVGINGYAPFALRLVEDVGDRFGRIGRIVFRNALEA QGVEVVAINDPFIDLEYMVYMFKYDSVHGRFKGTVEAKDGKLVINGKPVTVFAERDPG AIQWGSAGAEYVVESTGVFTTIDKASAHLKGGAKKVIISAPSADAPMFVCGVNLDKYD PKYQVISNASCTTNCLAPLAKVINDKFGIVEGLMTTVHATTATQKTVDGPSHKDWRGG RSVNNNIIPSSTGAAKAVGKVIPSLNGKLTGLAFRVPTLDVSVVDLVVRLEKSASYDD IKAAIKAASEGEYKGILEYTDEQVVSTDFIGHTASSIFDANAGIQLSPNFVKLISWYD NEWGYSRRVVDLISYVAAKDKEAGL JR316_0010854 MLRFVLLALMLFTSVQGAVVSKDSAPGTVAKSSPAVTSKNPTQA AISKGSTPAAMFKNNAPGQAKGSTPNGNGVETRTLDQIYKAAQAEKGSLRIGWGGDIQ VSGDRIVKAFETRFPGIKLDLKVDVSKYIDSRVDLAIANTKGADTAYDVVMLQTLHDY PRWKQGGHLMHYKVPTWNDIHPEFVDPDGAYTGYAIFSLGDIAYKTSAFPDNSTVPVH ILDFLKPEYQGKIGLTYPNDDDSILYLFTRIIGKYGWDFFNKLVANQKPQWYRGTSTP ALVMTSGNAATSSSGPTVSFASSSVYVPTVGKMLTQDVYMAWPQTGAIFSSTKMPETA KLFMSWLLSDEWQTIVTGSKFATRKSFDKAKIMEQENVDPFGFMDFMADRETVEGWRF QFESLIGLPQGPDPLSLKF JR316_0010855 MWSKFTNALKGNSQPVKEEHSPQGGEVLNKVYEKHPNLSMFQAN ESGVAESHTDAPSSPSVHNKRNMFKRMSRGAPKDDSEAPPTPSSVNPPTGLLKKVRGH NESYRNGNGSQLSLNALTAEATSSTSQNMPRRSSFDMLRPTSPRNQDTTRSVKESHRR PSMDILRQEAPLAPHDGHGSDPQAEYDFAAQGPERFNSKRSILRDPNTPGTGQNVRFF PRDAFKVITPDNSLSTELQAKPHPPLPNDTPFLDRLAQANSPESSSLARSSSASRSRP TVAEIFSPLGTPAQDSSAPNGEASDMSLSFSHHIVGANDHSNLFDVSQQLDIPSFPPP GLGFDVNAPIFDSNSLDISSVETSDLLKNTGPDGYSNQMTSTPPRPNDSKGKGKEVVL DDSIRESIEVGAPSPEIVDEAVFHAREKSPKLPPPLHERSQSFSLGHTLYYSLGNTGS DGNKSSMAESEAAYPSSDLKPDSLNSSSTGPSPPKKARSRAMSDTVFQSMLRSSPKVN APEADINDESSSGLVVYSGGGGTSEPDPFSVNANTYYTPQTMIPTTPPKGAIKHNRKT SKEENLIISLQTQLSVSTELCAQYEADLKARDELVELLSKKLSDAEKDDARKKNALRS WKKKVQELEKACRLLEETVEDSRQESMERSVMDEASGEALRMLHRRIASLESEKQEWE RREHALREEVETLEVLVKERSEDVQNLKENLWNRDESERELKEGIREAKEQIEMMGNI SVGVFDEEELRRLLMEKEQKTSEETHRFKTLEFALRQELEELKLKCEGLEVQKANCEE QVEDLKKQQKARDEEFATLKNELEAQWEHTEKATDKILLLEREKVELADERDAAKADL AELEVRITNMEVEWNESENKRNELEAELQEMWNVKETLEKDREELEDALHHEQKQTDE LTQRLQERESRISELEREHQFAKDNIARLEDNIRQRNEEISQYTQRVIERESELEHLR EDMSSLKREHHRVVNEQTRALQDVSGQHNETRSQIEGLIKAKAEADVEIKSSKDKITI LKEEVERLRRQVHSLQQESADKEVRLLQAAKQHGQDKEDLAGLNIALDSKQQELELIK RKLNVRGTAGSTPAQPAKASHHRRDSSIFSATPISRPPSVISESGTDAGGSTIKKKSS AETPMLNSTKITALGKSTRINASGASSASSTTSKTAIRAGVDGSMGPPPLKSRPSING TPTPSGRLSSLSRSSSVKAGAAPAAPTHTPHRRLSSVNSDSGSGKSKIGKGGLTSPTS SVAEQDEKENVDSTPSSARIRSMIPTAISTPRLPRKVTAFVVVQMFSL JR316_0010856 MTSDGDKKQITFQVANDDDQELVALGYKPSFKREFTNLATISFA FSIMGLCSSISTTFNTPLLLGGPASVVWCWILGASLCFTLGASIAEIVSAYPTCGGLY TASAQLVPAKYRARVGWLVGWLNILGQIAGISSTEFGLANMILAAVSVGRNGNFEITE GKVVGLFAGLLIVHGLLNSVTTRHLAQMTKGFVFVNLGATFIIIIVLLAKTPRSEMHP ANYVFGSAGIINQSEGWNTGIAFLFGLLSVQWTMTDYDATAHISEEVKRAAYAAPSAI FIAVVGTGLIGWLLNIVLVLCSGPLEALPGPSNSAVLEILYLRAGKAGSLIIWVFVCS TAFFVVQTALHAASRTIYAFSRDHGLPDAGYFGKTSKLTQTPLRAIWLTTFVSILPGL LDLASPVAANAIFSLTAMALDLSYVIPIFCRRVFQDHPDVMFKPGPFYMGKGWLGITC NVVCIAWTIFICVIFSLPTVRPVTKDNMNYASVITIGVIFLASLWYIAAAHKHYTGPK SNLNDDSVEAVTQSLSSEDSDSDRKTPVA JR316_0010857 MEDYKIYSGTSMARFAPRRRVVMGIIAGLILFLPIWRFEVLQET IPPSIQASVDRFNFMKNKSPQIRNNIAIASSFGFHFDVYLAVAWTLQRVMRQGSVQLY TPTPYYFDFQTIIDKYDLYRGSVKDYEDLSKDIMDNSVNGGFDLVILGTCEIDMRNWN NDLLKAWDARDAQHKFQLVCIVHNALDDGWHGYISEWSRRNAIRVLTISEHVARSFRS KFQNNARSADPALKLAALEYIPVDFHIPILDLQHFPELSPTRMLSNAVIQGSFDSNRR DYTRIFSELNASLHANPEVWGYLPFGNGPSFIQNKRSNDPAFKLHLVGSGWITVPDEL KNLIVFHTNLNYTDFYEVMGGMDICIPAFLSEDDINFKYQASSSIAMCMESNVPILAL EQLRNAYTHVDDDRITITRPAIMSEIEAVKALRTGDATEFLAETGPISNFAALSLGSH PKVLHAVKKLVKGGWVRSKRNFEICKRETWKRNEEVIYRLLRDL JR316_0010858 MNILKNQLGGQSKETQSTAGSGGGLMDKASGALGGGQAGEKNED LLDKGVDLVQERVLGAGPQDNESPIEQAKDEQISDAIRRGYKGATGSDFPIKDK JR316_0010859 MSPRPILKRALSTEQHSQQFLHHHHRHIHHPHTTPSVHFPPSPS LTRTFSVYSAAAYDRSPIVVSPNTCALPERGCPGRTYLLDEQATPSRAPRSRGIAYAR DYHPRALAFASASSSTSSASRDYAQVPQLVPDISSESEESDGFYSMPLPPTSQQTFGI HGLAGPNGNGTHASVKYHSNSSTNHHYISINKRSDSIAIASGEYTPCNDAHDSDPLAF LPYGPASPSSPHHFAAEHDEKAYKPRRKRTDRRHESSADPDRIPRGHVAGERESLSLG IASLSVSPSQSAPVKRKKGVRRSVPVQQSFGTGAFCSSDDGCLGGF JR316_0010860 MVKAVVLGAAGGIGQPLALLLKANPLVTELGLFDIVNTPGVAAD LSHISTPAKVEGYLPPDDGLKKTLKGADIVVIPAGVPRKPGINAGIVKDLATGIATTA PKAFVLVISNPVNSTVPIVAEVFKKFGTYDPKRLFGVTTLDVVRASTFVAEIHGDLSL SKDVVVPVVGGHSGVTIVPLLSQSSHPLPASFSKDSLDALVNRIQFGGDEVVKAKSGA GSATLSMAYAGAEFAAKIIRAIKGEKGIVAPSYVSVEADAAGSAALTKELGQELAFFS SNIELGPEGVAKINPLGTITEAEQALVKAALPELVKNIDTGVNYVAEAYKTEEPRL JR316_0010861 MPEAYCGRLRATETELIYRASEAAAEVRDGRACITVRDIVMGLQ NKGTVWRALNKENLEKAFKSADTELSDAFLLFNVGAHVTNNRYQHELAAALESDRQHL LTCLDGLLKRSQLLAEAFEKKDNRKALLNGPSANVTCEYAVGDVVLEPEADATLGTGT IGKVVRGYLGRRVVAVKLLHPEFSQHLTQHCDQKLLKSKFLLWTRLLHPNVATFLGFF INDNQSNLLVGKDHKILVSDYDLAWLIDDAKTTDPASQPTFGSLVYMAPEYFDGGDLE YHVDVYSFAIATWVLHTGCTPFTQVPWRKYRQRVVEKRERPQKPDSIHDVLWSAMDKW WSHDPDDRPTFIEIEGFLHGAKPRVGLRRERSRIKPPEIIIMQPSTSQSMTPVSPAVT MDFGPTIPISESGKKALADTIYALDNFAPVDKLIKQVLLCYPNWFQYQNKRPAARGPR PDHIYEVVPLDCNI JR316_0010862 MSPSASDSSQKPQKSGQSECPICHRSFSVTGFTLHYRSCSNKQE EKRLRRDFKNQEKLKGEGAHLQEPEEERTAGTPQNPAAQDSCSFLSDDFGEEEEDAND ITTSNLQQHCFRDIKIVHHPHSRRPDEIMSLERYHAKLSSKPKVSFQPPQDPFLPFSS RAEFEFAEVALKAALNKSEVDALIRIIQRCVRGEDKFELYDHDQLLRIWDEGSVLHTA PEKHVISSVYTENDIRSFDFWCQNIWEWVLEIVQDPFLCGHMQWDAQRTSVYNGEKFV PFVDEPYTGTRFWNVQSELPEEGKPICIQIYSDKTQLALFGKAKGYPVFVRLANLSAD VRNSQGRGGAELVGWLPIVPEDEHEKGKKGYVDFKRVVWHDSFKKGLESIAQYSKTGY WAKCGDQKTRYMFPFVFLFAGDYEEQCVMGQNRGKDALEPCPVCHVPNSELHNCGVFD WPLRTGIETAEIVAKAQTLNVTQGEALLKKNGIRPIENAFMTVQFSDPHEILSWDRMH CYSHGLGGKHLWPAVRTYIRASKQSGLGELDKRFNNMPPWPDLKHFDQVVSVDYTDAS KLKDIVKILLFNSHDLIDSKKFKEGPLLLSCLRAYLNLFMYTGFNLHTAETIEEGKQE MKYSLKSTLQSDKTWNIPKQHQHVHVWADIMAKGVTRTYNSKIFEPLHGPIKIMYRFM IDKKNVDADIMKFDQMTRVAKTIRYQIDCYDGKYDEPLDDFDEEDEWSRENKKISKLG SSKAPSNVEAGHIILGSVQKKSSFASVEKSHKEDTAFHGFQRRFHQYVSNSFTSKFNQ MDIDDIMDSTSLTTIVEYRYLKVKYISEVTWKPEINRLRCNPNFNKKPRFDNVLVKVG DGTMIAQLVFVFTAQIGKNSSPWALIHPFNAFIGQPTKYETDLQLYRVHAKIRSAAQF IPVEDIIRGVMLIPTYKKEDDYYIFDLVDEDLFVRVRKLWNSRLNTV JR316_0010863 MPNTLCALDDFTLQTSSHLSQQTRSGRVYSACKQVVVMALQLPS MILEAMKAEAALDQDFESEALESHSPPSLVDLTPSPSPEVGGPLPFATSSFDDDKAPL SSSKKRKRPSGRHLSRHIRAMRREDYYDTHGHQPGPKKLKKLVEKVEVEDAVIELKEL PSTMGGYEAKREDEWEDKVYTLEEVKGFGLDVYQWDGRRPVAFVAPNKQIFMVLAGRP DDPQYDAATERVYEAITRDARPIQFGSKYLLHRRGDYPTLDAGVSRGQGLPRPVSLDC RPHEDFVAGLFANPDLQRMAAYASSAFATWAPRTYNYYKRHLDKLFTRMPHLPRIFPK CIFPAMSTNISKAQTVRHRDLKNCPFGWCAVQSLGRFDPKQGGHLVVWEIGLAIEFPP GSTILLPSATLSHSNTKIAENEERTSLTYYCHGGLFQWVDYDFQTEQDLRTNNPMLFE KIWRDRPKRWALGLSLFSTMEELRSGSFDTFDA JR316_0010864 MSVDNIMLRQSIKRGWGHMKAVEVQLESNTSFDSSNSENDESVP MNDKEIESHSGNSHDSSELEDGHEDTGGEGENSELEPESACIDLEKGVLRIQDREVKF LSEHELPDKARDLTEQVDAATLGSGSASSSSGAAPHASTSLATLLDMKTQLTILQAR JR316_0010865 MVLQPNGTLQDPKEICRDEFAHKDHLGRQPKDLNLKKEESVTLQ KEDPVATIHPNRHLKRTYAFYGDISELDLDIFTNYNHSMPSRTQHPKKPAPAKKRDDV LSNDESNTQSSDSKGDLDSFSEEDDDSEMDPEGLSEEIAAARNPQADNWVFPSVDPEK GKRIEYTKALKKAQIKIGELQVTIRKLHIRNVELATYVMRFKKQKKSQKSKTSQLSNK DKRIAQLGRRFGIMNEPFVPPAAFLVARPSTFSTDAKRYESEMSQLNGVIAELFEEIP KDLHGDLKGSPDFRKTFLNGLHSCRRSMIHQLRSTTASQIFGFSQAFYQVDYDRKNLQ QFQNLLKLPGETRYSKFAPILFPNNQKNMKFIFRSCHLAKMLKTVLFGPSSLNSKKKP SGRTQGRMWGLSVVTPGSIALMAVWAIFLHSPDREFASVGAISGIPYERWYMHFKSFL MKSAGKPHIQKLFAWWNGWVFSFTESKQPHVEDEGSSGMEEAELFQSDASSDEEFSNH SDIKEAEREAEAENAAVVAQLSMLQVSNTDIPDVEREAVDGSLSPDSLLSNEEADDQP APPTNQLEDDRISIKSNITPEATSVHGEEALQMVLDKTPQIPVKATDGKLDKSKQQIG PKGRKKKEVTQAEIVQEQFGENGLSEDVSTEARKGGRALRAR JR316_0010866 MPLELLPILHVAALAIKVAREQIPVLQAHKERCTLLINRSDRLL VEISAQYENGGTSIIQPKISILESACISVRDTVRELSGKGLAWRLLHQEQMEKAIMAS EAKLNDACNVFQVGAHISIGEMQAAIAEAAKRDHQQFIVYLEGISQNDQRIIDALRAS NLRLEETLTALLKHIQSRSNAGNDEQPSERFIRRAVDVLKKVSIGFVRDDIAPWEITS LEVEYEAVEDACIGVGGFGKVFRGYWHGEVVAVKEMHETLAMDVRNDRHFKVETDAHP LTKYANILIGDDEKAMICDFGLSQLKDQVVSTQSNSRIIQGTPNYMAPEYLEGDPIDY PVDVYSFGMTAWQIHTGLIPFNDVPRRSFYRWVIDKKNRPNYPDSMNKTLWTLLQECW QHDPVRRPTFTGVEATLKSLTKSSSRRLSMGSSQMLMLPQLPSPPVSPTGSSGYRRYL TLLPKLVKAPYRFISLTKVTTLEPGNALITFLKIGLKVLSRGLNVAFYCGLTISPLFE APTF JR316_0010867 MSDYPPFPHDDSFPVQELLVVDYKLLKEGDEEQANILWEAATKF GFWYLKNHEADDFVEPMFKMGRETLALPFEEKMKYWQGNKGGSCGYKAAGATYVDADG STDISEFINVSKDDAMAYPSIAQRVYPPTVNEFMTGTIRPFIQTCVAENRVLLDVFND KLGLPKGTLADLHDHTKRCLSESRCIKVPAAPKDTKIALGRHTDVGSISYLTNRLGGL QVQLPGNGGEWKYVKPIEGYFICNIGDSLHILSGGILKSCTHRVLPPPGAQAGYERWS LVYFARPANDVKLEALASQSSLIANATQNADEALFFSGLTAAQWFVRRQTRNRTDQGK DFETILAAGPKKIISAV JR316_0010868 MNATELLANTLSPDANVRQDATQKMEAAAQDRYPEYMSMLSSVL SDENSALHVRNAAALALKNALTAREAARQTDLGNKWLSLTNDVKSKIKQDALITLGSS NAKAGTFASQVVSAIAAVELPAGHWPDLIEILLGFVNNQANANLRIATLQTIGFICEA IKPEILSLRSNEILTAVIHGARKEEPSPEVQLAAIHALYNSLEFVRENFDRDGERNYI MQVVCEATQNASVAVQVGAFECLVRIMSLYYDKMALYMEQALFGLTVVGMKHQDERVA LQAIEFWSTVCEEEVELAIEAQEAQEYGDVPESESKFFAKIALPEIVPVLLHLLTQQE EEAEEDEWNVSMAAATCLALLAGAVQDTIVPAVIPFIEANIKAENWHQREAAIMTFGS ILEGPDPNVLTPLVNQALPLLIDMMSDTNLHVKDTTAWTLGRICDLLITCIKPDIHLH PLISALVAGLQDSPRIVTNCCWALMNLADQYAVYYDDDGDVPQNGHLSPYYEGVVQAL LRVTESAGNEANYRTAAYEAITSYLTQATPDAITVVQSTAVTILQRMEHLLSIQNQIL GVDDRNNWNELQSNLCAVVICVIRKLNEGIQPLADRIMTLIIQLIQSAGKTSTVLEDA FLVVGALASALEANFSPYIQAFLTYLYPALKAHEDTQLCTVAVGIIGDISRALGEQSA QYAGPFMTVLLENLQSDILNRNVKISILSCFGDIALAIGPAFEPYFETTMGVLRQAGA VEPNPLDYDLVDYVGQLREGILEAYTGIVTGLKKSEKANLLVPHSPNMLELVHRCLSD EERSDSLVRLAYGLIGDLAECFSNGQLKQLFLSNWLASELRSRNRMPDETKKTMRWAR EMVKIATQ JR316_0010869 MADRGRGAARGGRGGPIKRTMGGPSSAVAQAADKPRREAILDLS KYVDERIRVKFTGGREVTGVLKGYDQLLNLVLDDVTEEMQLPEPHTRTLGLTVLRGPT ITVLNPVDGSEEIANPFLAAEQ JR316_0010870 MAYTQTLSPQPLHQQYHPPSTASTPPMQRRQATKQRFVAELSQC LFDFVIQLLPTQEEMAVKEDVRKLLERLIRTIEPDSRLLSFGSTANGFSLRNSDMDLC CLIDSEERLSATDLVTMLGDLLERETKFHVKPLPHARIPIVKLSLDPSPGLPLGIACD IGFENRLALENTRLLMCYAMIDPTRVRTMVLFLKVWSKRRKINSPYKGTLSSYGYVLL VIYFLVHVKNPPVLPNLQQMPPLRPISKEDTHLNGHNIWFFDDIDLLRQRWHSENVDT VAELLIDFFRYYSRDFTYNTGVASIRAGLLKKESKGWQNELSASRYNDARERNRLCIE DPFEIDYNVARCVTKDGLYTIRGEFMRAARILASRPDRAIVALAELCEERKDEELVVA PPYHSRPPTLPPQTPYTVGSQTFRTKVAERFSPPAQFFEPGPRQVVQPVTLRPPPEHM APKRGKWTSPPPPDASSADHTLFENQLGMGLQLATSSTEAREKDDPYNSSESNSEVFT DEGSDIAEDDIKSVRSYTEGTTSYIPGSMRRPSWHTHDTARAAPPVDAFSSPGLSSRS SSINRGRFSRPVEKFDSSPPIASFSPDFSSSSSRTDLSRRSFSARPLKGPVLSSNTPL AIATPLPPSPASPVDSRFSDSSNVFYQTTNTRSPRPTALYPNHGTHSSLLSHYQTQTG YVNQVPHDIFPSHLPPSLSSSMNPRASNTPGAGNRSSGGPDTPTPMSYTTLHKHSLSS TTVTALTPPPSTSKFTVHSNSHSSQHANSPLTPSHDGKFTQQHAEASLASNENDSNSK HHAIPSPSPGNGRPQHEGGSLSPMPSAARTSTSTSTGSSPSPSSSTGYSTSISRSPSP HSPPPPPTAVPPTVRKGDDEEHRQSPTASSFATSFSALTLGGDVGNVALETGHLMVGL NPAEEKES JR316_0010871 MRLPASYRQTGVCIGDVGIITPEGAFDCLFNICLPRDYSVNSHV PENFVPFGEADPDSAHSLSQHRYPILEYRSFSSGAYLASDNIHANQAHPNNGMHFIAS APEGAILTMPRGAHLQRLANPHQFDGYIAANAESWYKFVNTTLRRGAENGDLRIVTGC HKSSAWGMAAVFHSSQHEAIQSHSTLRFNAIPPTQSRSGNHLYFWEVTGSVLAKVGPD TGDNDGLFDGQHSHEIRNQCLFVTTHSVSIRPEVWAKLTPGSSVYGGGETSGSSLSVH NTMPATDQNRRWPSRFWGQNFRMRTRGNDTVVMIQSDSGEPSDIIPDHTKAINDILLQ QDPNIRVAITNDSDWLDVLGKDDPSLVEPSELYSRIIRINDIQIRHDGLVHFELKNTS PDKYRSLCFEDDADTILQALEQGKRALDRILLTHFSDLRQLPKILTTAYVSNKGIDVP EISSPFLMEHPDALFTFEKLIESLYSEEPDSIPLLPERSTRSELYQTLSSSQLPNSSR QRCLTTLANATWNCYLQFGRPQHLDDAIWLYEQAINLAPITSPRGLIPLFGVCSTLYR RFYLHRNLGDLVNLARYMQRQNTSEMEEVINRLASQAAAATTSHQPNHVVQQARWNND YGADQNITGNYGARNYSISLFSRIKKRLNKLSQISTSQIVTINPQPFEANNLNSPINY QTRDLQVLATKWIQDKETATSILWFYGPYATTMVKGLVERPSIESDIASTFDFSDTAA WSNEVYDLFPTIAVDLAFKISGLLEFYMTVPSNKDQEITDSSLSMQFWGLIINPLLRH WPKQNIHQFPTTIIINGIDKCGYKVARHVINLITEAVVTFGLPLRFLITSNKNRRLQD VFDGPLLSKVSRQFFVGSQAPNMDAVNSPSSLLKSASVKLPSDIFDTVVERSRGLSVY AETILRFIKASGEGNPMARLTYLLENDMALRHPEELTSPFAALDNLFIKILSTHSNRK ELINALGILLVLDNCDHPETISQDIFGLLPMTGFFSSVLNVLQPLMQLPKVSNSNEPH VHPWFAVKMKVPSKYERELKNPYLALPFLSLSTAITTAYTVPLAFRDRVHDSFYDFLV NPERSGPFHIDISHFHGQVAVAGFAFITHQLWRRCFAPGQADMPEASTFSPETLDYLK NHLPAHCAQCSESFRKKIIEHTQNAIESALNNEKEKAHSEGASVVEDFVTKISVLITK HKEDLMINDWDSSVEWCHYTRPHEKLRRTSKSRRKFSSTEHLYPTDEGYSPTDERPDS TSIYEDFYRLMDTFNDTVMVSKRPRKLRRLRKLKWQTRFSGGNFALEEFTNTEGTEAR FT JR316_0010872 MRLSTILVATSLALSAQASWFSGSSSEDPAYSSWNSNELRAWLE VHNVPLPSHTPSHSELRDLVAENWNTASAWSYDQYASAQKSFSDLRENAFETWDDSRL REFLLRHGVVSAKGPREHLVLLAKQKYLAYNKAASSFASQAGASASTAVYGDTPHQMS KSISSVASQATAAAAQASHEVARQLDNTKDYIYSSWSDNELRTWLEKKGVIKTKSQLR REELLQKMHDAYASVADPVWEAWSDSYMHDWLLSHHIIKSDYEKNRDNLVKQMKKYYY NTSDSVYSTWTDSQLKQWLVQHGIMKSDAQASRDKMLQMVETNYLSAKDTFWSAWSDH SLRDWLVEHGYMRSDAQVKRDELIKLANDKYTDQVAKTASYLTWSDARLRAYLREQGV DDHMLPTTRPGLLQETRIRWIQTHTHAENMIAKIKEIVNSGIYKAEDILVHLYHLLSG GWEETKGKADSTKRSAENTYDHAKHQGAMGYENVKKSAQQGWEDTKDAVDDRWEETKN RADWAKDWTKESAEDVRQNVGEKVKVGGQKIKGEL JR316_0010873 MAFFSRPQSTAVRIARPNSEEETPTKQPSPRKDSVQRQCRNIVI HGSCKFQDKGCIYYHPPVCLPTSPAPRIETPSVAALTPQAVNAPVFVPNSPLAISEDY LNNGNGNGHGHDTHLEGYQDTQIDSNQQSEYDNYDYSVDQTANAMQGMDFSDGAYYDD GQREFHAPNPYSDNMMETSYYTTGPVFLRQPLNYLLYTPSMPKELIRDSTNNLFVPPS TDLRQILQERSETIRQVAPMGLNLPEELQGYHTLVPLEPVLPNLERKKFGNWLSMVYR AIRTSDGIPHALRRIENFRLTHQAAFAPIEKWSNIQHPSIVPVREAFTTRSFNDNSLV VSYAYYPNAKTLYDAHMKPKPPPTYQQTVYYGRHQQLHQLQQAQQTIPERTLWSYIIQ LASAIKKVHSSDLAVRMIDVTKVLVTGQNRVRISSCGIFDVLAYGTPQQDVAIYQQED LNKLGRLIFALCTNNLAASSQANLQKSMETMKKFYSVELQTVALSLIGKAPKTIDKLL EMIRGATDRLEHELLGELENARLFRLMCKFGFINERPEFARDARWSETGDRYIIKLFR DYVFHQVDEHGNPVLDLSHVLTCLNKLDAGTDEQIMLVARDEQSCLVVSYKEIKSCVA SAFDDLVRATTTGQSGKEVKVI JR316_0010874 MAAFRHGRFVEQLAFVQFRPLIMLYFLAYHGKAIIEIDPQKNSI IDGIRINFCMSDDGGNRRQSKVKEAALRVLR JR316_0010875 MTSIDKDSDRSHRILENEPQDIGRQQVEILVVKAINLPILKNKL GKERRFYVTITDGTTTKKSKIMNSLNRSVMWDQTMDGFTDTSNIKLSLFAEYQMHKDK LLGSVKINAKPSIVPDLTVVVTVHMHDLVQVASDALREIEAEVVEVNILAEWKGAMDN IVWVMDVIGNLAQARFIHMRKWFGWFSLPFQRHLLIAQFEHDENIRALINAMRSAFDL VQAESLLKNIKPNSHQALTLKVMLRHINICSDVIKRYAEDNGFKKMLLLNVSGGIAKE IQTLCETLGKLRQDLLDGAVISTQIAVEKIKRNIDIKMNEFPYKPGWRSISNVERNGC LPGTRTDFLNYITRWVDDPSSKPGLVLLGKAGTGKSTIGHEIALRFQLEDRLGSYFSF FRTEKSKHEDHHLFTTIIHDLARRYPSFKSAIGSLIKDDKLLQSTDNFNLLFDTLLLG ALRRISMDGPILIIIDALDESAHPTGRAGLAAFLTRSISKLPTNFRVFITSRLDGDIK DWFANSERSLFEVLEMDDPKLAKTVDDIRLFFEDHHNLPPVLFQEYGLELIEKSQGLF QWAAVACAHINEPPPGWTQVDCLRGLLVRQHADKKYAQPLNRPLYELYDTVLAVHFNT EIACDRFRSVMGHLLAAMVPFSVNLLTAIRKSIPSSEQEHEEAVLVILKYLGSLLSNV TAEDHELPIVPLHTSFRDYLTEVVKEGDSFYVNLQKSHYDLACSCLYAMLKELKFNIC ALESSYISNHDIEDLDHRIQKHIRPVLLYACQFWSDHLNNLPFGEVIFHHIHRFLEEK FLFWLEVMSVTDTLPLGVQALTVLKQWMMSCTDSSTVSGDKWNQLNTLIMDARRFIRY FSTPIAVSAPHIYISALPFTPTASKVYQNYACAFLNTISLDCGQQINWHALEMSIALT GCVNSMAFSPDGRYIVASLSDWTIRIFDATTGTMKGKPLTGPKGRFATRSVAFSHDGK WVASGSYDGDVHLWNASTYEMEKGPLTGHTKVVLSVAFSSDGQWVVSGSYDSKIIVWN VLTGKVERGPYTGHAKGVLSVDISPDGKYIVSGSQDKEIRIWNLSTGELEHGPFVGHT DAVNSVAFSPDGQKIVSGSNDRTVILWNAVTGEIDQGPLTGHLHAVYSVAFSPDAKRI VSGSLDQTLRIWHVNTGQTEQILHAHSNGGVDCVAFSPDGERIASGSQMDQTLRLWDS SSTGLNKPSDQRVPFVLQDGEVPSWNNTSIRSIAFSPDGLLVASGSNDATICLWDIST AQYTTTLVGNEDKGFKSAAFSPDGQWIAYGSCKPIRLWNLSTGKHATLTCSLSLRGHT NSVASVVFFPDGKRLVSGSEDETIRIWDTSTGELLGGPFIVKQSVCSLAVSPDGMWIL CGSLEPILQLVKVSTGEVRQELTIDDQFIGSVGVSSNGLLIACGPEILLFDTLTGVMT PGRTFKDWSTSSVASVGFSPDGSQIVCASVDDNLLRIWDSSSGELEYTLPSEHTEMID FVSFSPDGTKILSSARDRAIRVMSARPITDTTSEICFNDLSIIDRDGWIREDDDKLLL WIPTLHRPGLYHPNVPILIICDIPTRLNTSNFVHGLDWATCYTG JR316_0010876 MIVLSLSTQRTLTPVEALAAANAIPEAIVSYKLSRLEDNKNDGE ITFGALDPAKFDSSAMVTVPNVDQDGFWTADVGSVTVNGADTGLTGRTAILDTGTTLM IVPPSDAATIHSGIKGAKSDNQGGFTVPCTLTDKVALQFGGQTFEIDPRDIAFAPINP NDQNGDCVSGIAVGNVGGPTEWLVGDVFLKNVYFSTDATKNTITLAKLA JR316_0010877 MDFLNLNQYSELLPPFDIISKSFGYLLKDINAEIETAFIMKYVP INQRWTELDTLGVWNNQIKWRRYQHKCLIDPWHYTLRNFSEFVEFGYKEYAIYALDAN SELIEAYYLLEPILSSKYVVYVALVSRFIDKTDWKKFLEFFNIKDSEDIYKHMTTIHI CMNQFERETMNRPLLVEWHKLQSTAEFVWKILYIKYMDHKFGYDAEI JR316_0010878 MWHPMSESLVYTGGRDGAISLWDLRMNPSVRLSSGSTGLRSVAT IVHAHQVVGSSPEPTITGLVLQDTATYNVISSCSANGFLRLWDLRSTNTYLSCSSLDP TVYNHRQSRGIVSLVSGVKESDGTIFGLGTDARIYVYCVLDLNVYSSQNPCDSLWGSP SFYVKSSLSPCGRWLAYGGGPHNGDAFIFSVARDTRLDVGPIHAVRLRGGSSSSCGVD WSSDSLAVCAEAGRVRIWRSDPGKRRECEIQPDINKNKWSWATTISQKHGYYNRI JR316_0010879 MHLNYSMIAQNLLFLDEPTSGLDSQSAWNIVAFLRSLAEQSQAI LCTIHQVFDRFLLLRRRVAKQSTSVTLDTMLKLCCTTSTPTVLAHVFLKKIRAAEYML DVIGAGATAFSSINWHEVWKRSPRQSGLSRKSRRSIQLDEVNLLLKLISGLNTPPHGA TRSSNLSFKQGAADHYRIAKLILNVAGGFFIGLSFSRTRTVYKTFRITVYMLLVLNQP LVNMLQVPFVATRTIYEVRKHPSGMYSWTAHIIAQILAELPWNILGSCLYFLVWCWTS RFLSGRAGYLYLSVGVVFPLYYTTIALNDVRTLLIQALLTCCVVIGVNIRQRHARLHR ITLAKSRDRRLP JR316_0010880 MTFSSIDPFDLHGFASESAKQYREHIQELVYYGESRLKRAVDEI LKKPMEDQAPFQKCLRKELHYFLTYVGLITSMGQVVDVPFTLRSLAFSLGRIAPEDLP MQFNVEFVQALNITRDEDRGEVVASYKRPWWEEFRPSWRSVIDDGSIGECLSHHRKLI GIENKHRFIESLGSDITPSSFAMTPGERMLFEPLQNARRTIMVMTEAQTSHQSVIFRK AEEYWNLHDASKAEGMNRDHWKMGITEMGNNITEIGGVDYVDRISTPAFQRATSCNDP PLVRDSKHRSKDWKPSELDDDYSLSPLPSPALLYRSESIQTDQGTVPVETVPPHSGPD ISCGSPEEMGDLSMQASLSPGFASARSRDEMAELYEDIKPHIQEPIQSYSPEFHPPIR IPLADELGDLFRDIPSTPGRSFDMEILGIQSPNVLATLYEEITPQFGIDRQSSPEIKT LRLNPSADDLGELFNDILPPSGLSIDPDITATRPSDVRSTRDEDVTLQINVDRQSSPE ICALRLNPSPDDLVDLFRDILPPAGLYPNLDINGTRPSNVPATLYKEITPQVDVIRQS SPEIYAPHLNPSPDELGNLFRGILPPSGPFSNVEYTGTQSPQECTTMREDSTPKCYPS RHIASTDELSELFMDILPNPEPLKRSEAQTPNKRSGFTEDTPPTYHPNCYISSPDELG ELFRDLKPASELSESPSAYVAQSPNDIAALWQNTNQPNNHSPRHNASPNELGALFQGI TRLAQTTDNSANTALQGTSNVMSRPPASSNIHEDQSLSLGSSNHLNGLPSKRQRRQK JR316_0010881 MTKQSRTSSLNDIIKIGQDCLTLDLPPSLQVEQRKLFRQTVGTD YVLKAYPDIFNHSLQNDQSERLDLVEQYIIERLEMARYNVRKRKGDFHAFGITKQEMI YAVSRWTPDYIHGFLHRFANFSQTKMTEMEIELLQAHFLHYF JR316_0010882 MSESTELQSIHNLSTFQEAIKILDQKIRKSLVDGDVAKVMDSHL ETAKAVATTCGRFKEEKEKPQYNFLFAFLSAYGHAFRKREHRSHEFLEYCQNLQREID DPHDEPVQKPTLEGKDDQHSEVHVRQNVDGEGSRIRQDSEGPRRTTRKSRHQVANKRS RSSSAEKTKTVAETIVNDSANHSALIREPSPPLKKRRVQQARQASPELPPPKTLVSIE NSSDNNNEDGYVPSDIPSNINPPDTRVIAPAPSSDTSSGKRITRAMAAVAKGPTSTEN FGRKLTPEPAPHSNVKTNIKVQGRSETKQDRTPPVPTNYVHLQPCAQCADRNINCRVT YKGYSCFYCGSHRVRCDNRGDTIVRRLPEANEDITGPVEEARKHGKPLHRQKRPKRET GSPEPDENCGHHDPQHHSPALRDPKHSNGKQPISEQTADLNSSQTNQDKHPMGKVHYQ FVYTEAKIKTENVLKSWEAIPRYTAPPKASSSSLPPEKSARAAKDIEEFARNWEAWVA EDMARSEARAPAGPLPTRPTYIRNWEDNKADADNEAYPNEDRLARLEKNITKMNKVMK RIDKQLANHDHGFDYIAAKMAFSMAAIDMLSNKFEVLHSYHSDVLHKLVGVEITMENM HDDTYHRGEEGPCPFRRGSTKDQGIQTDSQTKILDSKGLFSKSSGKVGDETGIGENGE ESENGEEGENGEENENGEEGENGEEGENGEENENGEEGENGEENENGEENENGEENEN GEENENGEEGEKGGQDEQGEKFGDKDSDSSAEIDADKMPYIPVHSREKLEASQHQWYG GTSDQPIDIDFYTELAKDQTDVATNLRTSDQEGTSHAESNVAEVFGEEDVSSNLEARH GEEPTDGKMKDENITATLSTNNLSLVKFMHSNFREPHPHTTPSTYTNDDLTSTGRR JR316_0010883 MTEEQIVIIFKAVGQVVGFRLAFDRDTEKPKGYGFCEFADHETA LLAVRNLNNTDCGGRSLRVDLADLDPLLEGKTTVRGRIMDRGYTGSSEYRSRMHLDAN DGGKGQWNDNDTFLANIPPGITIPSGVSALDHIKWIVAELPESKVREALAQMKAFVIT YPEKARTLLIRYPQLAYALCHSLVLNRFVDPIMIERMLASSRRPAAAGSSLTQSPIDQ GPTGSDSQYPLYTPYSPLHGLHYSSSQLMPTTREQSSIAVKPHAHAQYLLELHRICHD PSLSPCTSPLTLVEPPLIKQQQQLAQIATAFVEMDPEQQRAISIVLGMTQEHIDNIPE PAKSQIVQVSLLITGGESDVSV JR316_0010884 MSHTQEISDIMTIWQSKKLRKEDITFTKAAISLNTNDPLVVARP GLNNSKWIVCDTVTGKVAVLVHAGIWKWTTDLETGNFVPYGEEAPEGVPDGQIQDEPS FKCKFSYAFDTSRDTSIWDNIKIFEDHVCRQPGFNKGNRPRRPWQNGRSSTNRDKYII NTRVFANRSAFNTKEGGEYKVPYEVHPWLKEGITRFPEAHQIPNPDRPKYFEFTENRI SSLADSNEPTFKQGDIIWMTFKLGFVVTGGYWWPEIIPIEFVRVGKLPEQIHSKADHS LFPSVDESFNLLCAGDIVEFTDDHSPRPVKRIRLHDSYNQEEDSYRRKGHVEKEEDIN MNDEPVLDDDYVHVDAPETNSKNRLRSGRGGKNTNRS JR316_0010885 MQVCPHVSDLLPMKPFADLDAFTNDYLVNIHTNSGSVTFVISDE LQTILRIIGFTHTSVIDLQTEIGYAIIFLPFQRTHITTHTVRMLLYYTLDLPSSNGIG LRHANWPNKASVRTAERMEFVFETVLK JR316_0010886 MEGAAAFTARLFSRLCLPGTGRVLELSAAAFCSFKSTLEDGGTV DKARDPSREALMLYHLIDSATLHDGRIRQAKHVTTSSLLVARMKLRVMRIP JR316_0010887 MLIQYKRQLSRSLPSHRLRYEGNHGRENPPLGETDADADASRIV YANTKTEDKKSEKRILILLGFYFNRVGVPVPPRAGGTPLLVCRGGWRCDGGVYVTVVA AAATRRSGMEGVCAMRSM JR316_0010888 QRNIQVLGRVGDPDEILVSVLVQDGKIVPGTYDAMPSYLICTVD GVLQFILVLVGCLREVLVQSWAATADVDQAYSVG JR316_0010889 MYSHPAPAQAQAAQPKAPENELFSFDFHAPSLVQNTAVMEQPKK DVKQNILSFTLISVYCRLYRLSHAWIRVCTSMGILVSLCFSIPLHWRTRVTLGEDGMY EDVLRFWMDKDKEGNTPDALQEVIEHLMYQGKACPHLYSLVLRFLTSTPELLNRHQTG LKDILEHIDDVQLILLGSLTSFESKWSGECRFNEAMVDQDDQGK JR316_0010890 MAKITHGLTGIRMDDCVDEWELLRPKEKFMNIWTRSSVYPFSER LLVFIFIQGIFGISLSRLLQWFSGKDYLPTMVSTYTRIFNDRECHVDFVSLLFYHLKR RPLTSFVNEFVGTIVKIEKKFGSDLLDLSEIDIPLDDLNRYVECKADALLVSLGYKQL YGTCNKGIDDLIPIVPGELKAGFFLEEMALAYIPPTMEDAILDGQFGNHLLDLS JR316_0010891 MDIKFVGSGDAAKAFLYYVTDYITKASLPVHAGMAALSYATPNN STLDDVSNTNEQENEESVELNMGDRDITASNQRLDYCLRPANDKFIDLCLYDFVAWGI KQRYTKEMLHIETAVRPGSFLNDEHPEYFTHYMTIRRKSCIPIILGPSIPNPLKSDQL KDDWARDMLLLFKPWRDISDLKTPSETWTDAFHNYEISMKLEHTRIIQNMQALTECSE ARDAHRQRRRGKTSEDVVSDEVQDIILTDTEGNTDTLNPNDVYSPDPFQCIENPNEDF TTNLHDSIDNIGEETSRFLDMCLPLDTTETAVDTEYQKSVPVNQQTLTSHEVDDLLAS HRAIMKSKRKRAMLHEPDTDDITTPPKRYRNGNYAPIAKQAILQDLYDLSHNYNSITD TDTMNNIAEEMGLLNNPEQLKAFRIIGNHIITDNKEQLLIHIAGVGGTGMSYY JR316_0010892 MHLSLSFTTILTSVLFVMFAQVAESAPVTKRAPTPPKFVTLPLK RAERTRDVHGQIYLQQHINRGLRRMARMRGHEAPSARDLHTLLERRVRDVEGVEGLSR RFNRFGLPAPAAHSSAHEAVSLAEAPKQNDALIDDGVTVANTPTAPNSLGLDIEAVDV GYSAVVQIGTPPRDFLVTMDSGSSDFWVGSEDCTSNGIGCGNHVFLGPQSSTSFNDTG KPFKITYGSGSVSGNIITDDVTIAGLALPAHTFGVASIESVEFSAQSPDDGLMGTAKS VRIFVV JR316_0010893 MLHHQRPPVHNDTSTTSEDDDDDDDNDVFEDTLQLSDSDSSNPS SPTGRAGPSIKLDEPLPDDITKDLEALQQLRQSVKKNLRLRPIRSRTDLRKLDLDLDS IISRSASFTAAASPAAPPLTALSPTSSIASSYFTPSSDTPQSALFSAIQAPRPSPMSP PVSLAAQTLASRLIQPKRPLLIDTRPLAAHQSYHLRHSINIAIPSLILKRCRRPGGGL QSLDALRQFTTTELGKIQWDALMCPGGPWDGDVVVYDDEMDPKDKDNLGITAWAIIPV ISPLLTYGSVAYLEGGLSIAGHHPELQALVTTADELDSISDMHNNSIPPPLSTTSSRG GMKRSAGLLQLDTQAATRLKKLPEIELASTTSSKPPSPLPISPLPIMSSMMTSSSSSS SSQSISTADAQPMDVVDASPSPPPSSIGFRRPAPPRRPNLRRIDTKSAERLGPPKLSV RTKQMRSATLAVPPTLSLSIQAPPQSPSHLNLLYSTHSPPPSARYPMTPSTDPANYLT PYYTPPHTPGTPKPVLPPSPITARPDLDPPTTEDAFPVFTISTILPNFLFLGPELTAP EHVAELQALGVKRILNIAAECDDDHGLRLREVFDKYYKIPMRDTVEEDNISRGVREVC DILDDARLHSAATYVHCKAGKSRSVTAVMAYLIHANHWTLSSAYAFVLERRKGISPNI GFVSELMNFEEQELGGKSVGVQPTLSNPSHHGHGHGANGAGTGGEGGGGGGGIGLPES YVLASGASRRSGAHVRESLPPMDTHSGQLNGLGGGVGGAGGGGPMSAGGIMDRVLGDS GQEMEIKDSYGRYRHARRAPVDETTLQPMRRVSKAGLESASWS JR316_0010894 MSVDAAARSRTLHSKRSFGKYPRPVDSPAYDASEEDNDPLTDDA SAASHPIPISRHQNPQPNTRLRPSPLTRRHHHLHDDDSSVDSPTYDGDIESSTTAGPD PLPHKPTHHHSSSSVSTLNTPFSPSSPGSTPIAEPLNPLSPTAMRPTNPNSHLPVFIS PPVNTAAAPLLVTEEPAVPLASKEAFNPAALTPADIQAFVNKAIQGEVHRPYKINPPP TDRPVRVYADGVYDLFHFGHALQLRQAKLSFPSVYLLVGVNSDEQVWSHKARTVMSHA ERLEAVRHCRWVDEVVAEAPWVIDEAFIKKYEIDYVAHDEELYASAGHNDVYEYAKSQ GKFIPTRRTPGVSTSELLERIVSGYRNREFDDKLTKMGHAELRAEGSDYDGQSRRASR SASRSASPGPGAGAVGGESGSGSPKLLGARAS JR316_0010895 MSHKPEGEISCPCGEVDVQTREHILQACPRYTEARRELLQASRC IFLPEILGTTQGIKTLASFLAASGAYTQSGTQPLPPKPPSFDDEPVPDSEDDESDLGL JR316_0010896 MPSFLVAQNSNASYTPSYVPVMVITGATSGIGQAITQTLARHLH GRVHIIIIARNSSAASRIISTLPTHPAAIYEFIACDVSLMQNVHALAQDLSARLPKLN FLVHCAGVFGLDGRRETEEGIDVKLASRYYARFTLTYDLLPLLRKATERGEPASVLTV LGAGSKGTSEVDLDDLGLKKRYTGWKAMMASIDYNDLMVAEFSKREPNIAFTHIFPGF VSTAILHKSSNPISKLFLFFLSPLLWLITRTQETCAEYMLYALIQAERGMYRRNENGD DIGLKGFPRFANDAQRILWEHSLQATSTSTS JR316_0010897 MVSKPDAQTPSSKPDNRASRTRVTQTQGWIAAVIALGFSALVLF SSTGDASYTICSKAKNIYTVDERNPRVECISVRGTEIVKVGEYGQSWSQTYLAGVTSL LPSWLAKYSRVSGRVIQLDDSSVLVPGLADAHAHIIENGYMRQLPLMGSQSVQEVIER IKAYILAHPEVMNDKSRWIEGMGWDQTKWPGSQFPTASDLDKDPLLKGRLISLTRVDG HARWVSLSVLDLMPNLPEKVGGGLIVRDEHGKPTGIFVDHAMSLIPTPPWSDAQLTDF FDMTIKEALSYGLTSIHDAGSSPHQIEFFKKRAEAGTLPNRLYVMGKVDSEEYWGNQI PRLHDYGMHGRLNIQGIKIVADGALGSWGAALLEPYSDKPDMRGLLLSSPETLRKLVH QFWKDGWQTNIHCIGDRANHEVLNIFEDILEKRGGNVTEWRPRIEHAQIFTPGDLKRM KQLGVIASVQPTHATSDMWYAETRVGPDRIKGAYAYQTLLKASPNGVLPLGSDFPIEG VNPLLGFYAAVTRLSVDGTSPHGSGGWFTDELLTREQALKGMTLDAAYAAFAEETLGS LTPGKKADFVVFDKNIMQVPVGDILKAKVLATVVDGEVMYGTL JR316_0010898 MAKNTISKLFKCKPSKGDVVAEVKGTPPDVVSSAKNASKEKKKK GKARRVLLNILKKTVPCASGKSHDVGAIIDDDESFYDQKYKPEFTPTGECIFEARYPA PSKGTPIPAVAVSENGDRHGDIAQPRLHAPTAKIEGKKPIRPTPNIKEIKERLDKVAP PTMYGPDNVGAEYEHFHGRVLKPSYHTTSASLRSRGPTVINGMGHPEQVPGAAAPISA ITLEHRKRQGQIVHPPVYVRDFQSAGVTKIRELVKPVADNHADAVEVGEVNTTRVNQK KRRHARFVLPEDDVSANKHVTVAQAEDSIVPAVEECVVVEGAELVIEETVIVQGAAVA KEPAAVDGLVTGEFAIVEEPVVVEVVIKDIKEERSSSCNDVDLSAAVDDVVAEVSTSC VVLDHADSLSVGTKFIIRAFGSIFLDANADCDIAPLEDDTYLLGVYSVDSNFKVDAFG SVPLLDDDIIEAFINCQYLTRVALDSPTTDSPVISEDGMEESSDNGLSPLVESAVSEY SSSPSTPVEVQETCPEAVSDDEMTKEFASSVPELEDSSPIADVSTCTPVDSRISVLST AAQRRRFDDLHPPQSAPRSGLRPLSLPLYYNRPESYYYTMPEL JR316_0010899 MTSCSNLPPFNASDYLFSTTSSILIDAPIDKVWSILMDFPSYQK WSVQFVKTARIMILKDRSRNTFTRSMVLVDYNTRRPLADQKLEVGKYFDMLVNMNPGD VPEDPNKRDRGDAFAMISMLEPENYRISWTAAMFPYFILRSLRTQALSVDKETGMTKY ENFEAFGGLLAYLIRYMSSEQLKKGFAGAANSLKKQAEKNPAKLRVYLSADTKHKLA JR316_0010900 MSNLPPLTGNDHVFVVSSSSLIDAPIEKVWDILMDFPEYKNWNT FARSMVLVDYNTRQPLADQTLELGKYIDIRAHMNPGDVPEEPGYLDKGNAFVFISTLE PDIHRVAWTTSMFPSWILQSVRTQALSVDEASGKTKYENREVFSGFLSYIVKFFVGGK LNKGFAAAASSLKKHAEKK JR316_0010901 MGAIISTIQPILQRFKTVLNEYEAASKRISLPPGIPQLNSSIPF WTIPLSPIAHHGRDTELPQYADIVIIGSGITGASIAKALLECSNTRSTPLSVVMVEAR DACSGATGRNGGHASPIIYNEYFRLKKAHGATVALQILRFRLAHITALIEVAKAEGLS ADSQARLVDNFDAFLQPEFFEKATDELKAFLKEVPSDIGERFGIVEDRDAIEELQLAT SIVGLIIKPGASIHPYRLVTGILSKLLDNFSNFQLHTRTPCTGIMTENGTYVIATPKG DIKARHVVHATNAWSSHLLPGLREKIVPWKAHMSSQRPGKGLSSQCNLDSKLTTPQNS EAPAPTNVPTCTSSRSNWTGTRAYVFYPGHEEGVYDYLTQLLPTPIESVPSKALDSSE TLAPKSSLPTAGELMFGGGAMLGGMSESALLNVVGVTDDSHPDFAVEAYLSGSLPMYF GRHWGEEGSEADDIEAGKTEDVQWGKGRVKAVWSGLVGLSADANPWVGRVPPSVSGRR EPAPQPSRPPYDKPSAVDGEHLAPAGEWVCAGYSGEGMVHAWLSGRALARMILGNAGN GSGAEHDLELPEPFLITENRIKRTKIRDITQIGNN JR316_0010902 MADLVQTQSISPIIPVTDERIECLPTAPKDYRLKVQQYNDLLED IFQRELELSNIKSTLIDMREMLAQEARILDGTTPLDAATKSDASDSDEILSDSGSSSD KNGKPADPSRVKAVRKPKAKARGNRRSKVPDVQLGGEWDYNLEFSERKGRRASDASLE DVDEAMV JR316_0010903 MSSNILLPSHFGAPFVTALPEVRYDSKGRQLGFVESDVQRLERI AFINASKSRSSSPTQSPRSSGASSPSRMKLISEPYGDPIELMANRLAEEFMLSSDEFS SSDEEPFVLYSTSPSSSRKVPAVSSSRPSSSRKAPSPSAFQPGHRRKRSSLSSIPEED JR316_0010904 MMKTLIPLIVAFLINHVVIANGQLPPVNSTMERRTTDTCADPTL TNVFVQSYSPSKKFHLIQMRSEFADSLTKGNADFAFQANIFRAWNTAQPFTFPLHRLF NPTVNDYIFVLSTDGTVPVVAGYQENFIPAYIYSTQICNSIPLYAAALASATDHYYTT IQSERDDMVNRWGWTDAGIIGYVLPLHQGMQTRF JR316_0010905 MLKLVASLLLLLFVNHITVASGHVAASFSNSTVEAAPIRCADPR LTNVYVQSYSPSGKFHLIQMSTEFVDSLTVGNGDFGFQGNIFRAWNTPQPSTFPLYRL VTPPPLDYLFVLSTDGNAPAVPGYHSDFIPAYIYSTQICNSIPLYSATRADATDHYYT TVLSERDDLINRWGWTDSGIIGYVLPLTPVAELKQELTGGNDYTETNSE JR316_0010906 MAFKKESRLSDPKATILSQCFAAYGVEARRNNVRATRTSTSSES GSRPSISPTSSTYSADLALLDDLMDSPYDRSFLPLTPTEETLPLLSEPDPGYYPTSMG SVVTGGHPLPAADVQGFRLPIDQNLNIVVSGYTGYGDSAFANPENASGNMQGFPYDYY PSGNQLYLTQGPNLTIDQGIASGWEPDANYIWNSSTINQDSIFDQAVAALNTTHQYSE FRFPQLANDNHYMPDIYQSNTLTQHEYYSGP JR316_0010907 MFGQPWYRGYPSNISYNPYLHSSYQTPRAPYPYAFDEDRARAIA DQRARRAQYLPDEVDDDEDDYWSPAQFGPRERAYLEARKRQEMLERMKQEEEEAAQQR ALEEQRWQKALEERQREDQEKRQKLLEERRRQMRLKREEEERRIAAERDKVYTDSLLP DAADSNKNSQQRQQQQMHARRDRSRSNASQRQPARDTQRQRSQSPAQQDTSSMPIPIH VHNQSTSSPAPSSSSKSSIPVPETDATSRPSSPRTPPARTPSPISRHSIEEQNEAASR IQRQYRIHASLRSLDDLASQFETLKKNFVYPRSIDFQNPGSEGGHISVGAYRPPSDFD NEDEVQPMDVDGPEGKLDYTSTNYALHSYVDSMDKLLMKLDGVESWGQKEIRQKRRDI VKSIEKEASKIERYWKQTWIDYLVKQASKSKPSESQQSEEHHATIPITSPSSEDSAEP MEDSEED JR316_0010908 MPPTHRVPQIDLAAVLAQSHPSIDLKVQTFEDSSRNFLKALTSY KNRAITTISERRKHQAAEKKKVLERIQAVEKETNLCKLKEIDLVAQLEREKEERKDAE LQVASFKRQLAAVREKSTSVDADIEQYRVLTQTLRRGCHALDKDKERSTLSSYSSHVF PELRACEEKLACSIEGVETDQLLIRFHRVDPSDPERVAGFVIDISTQIYKIITSSPNL PSMPILVNDLNETRNIYDFIREVRAAYANLLDTRMS JR316_0010909 MPNTTPGSSFGQSLQFITEIKLQELEKQRLAYQAHAKVLEQAKA LGDSGKLLEKVEILAKAVKSWKGSGEVKQHSPIVGGKLQLKNLEYWIQQAKYDPNFNP KIVEGWAETLEDHIRHTVMRFDSAKLFGSLFNEWLASGDSATVSYQGLSENQSEFVEV GRKEMYDQKEKLMSIVFDDYPTPVTELSAYLEQLFSSEEAKKVLAGLRRDLKDFGATL QRKTITPQDVRNAISGLLATGLMDEEKRTTLRAFEENSTVLNEVTSVLNMRMASLDTW AWPKEGILVEFRRHFNGKYRAFTDPEIMDALLLHHLGVSWQVKLKGCLTRLFSSKAWI RPASPKQDEKKRRADQLRDSNGGSQEPRSIDVERENNQHTMFFVTQLQETSNQPKPYD DTGDSGQQRTEPSRMSGAQIKQKLLHIITTETYLNTALHGTHAILCSDLEWFGPSLPH TSILTVLEFMGLPKTWLSFLQAFLSAPIRFHGEDEPRTRKRGTPIGYAFSVFCGEAVI FIMDFAVNQCADGLFLHRMHDDLWLWDASAEKVARGWAEMNKYARLVGLKFNDTKTGS AYVGPPEDASGLPKGDIRWGFLVFDPKESRFVLNQEEINKNIVEMRRQLTSTKSVFGW VNTYNKYMSYILRNLGGIPANCFGQAHIGDVIGVLIRIQRELFSDGSGSAVGYLRKTI QERFGVADLPEGYFYFPIGSGGLELRNPLLELLSLQRHGKPLVSHGDQDEFDARGRHR NPDDDDAAAASPEVFFEHTAIADQKFKKRIEHDRKLYAHLKNVWEKDREYRKGKKGVS QEFMSFEEYISLRESWLTEWGNSYRDMLELPKRCSVELVPKVKEALVQTSKSWVFMEW HEQWVVSMYGDEVVKRFGGLDVVDPDLIPVGMVQLFRTSRIKLDQ JR316_0010910 MSSLATQLAKNVSLNASLLVDRSRRKASASYLFTGKDADEHDLE AIHALAVNALIQLSSICPSLGNYEDSLFSERAKETDRTLLTLEAIEELDKAIEDFLWL LSPYLMEPSTGKIIEWLVRRFRINEFNIEATLSLFLPYHESPHFAKMLTILQLKPNST WSFLIPYKSAAQNLPRVSLVTEMLKNSDLARFVVSLLPAAVKKGLGHRVLYAFNAATL HDFLKRSKTMSEGTMAYLLPALIEPLQQKGKKSVKDGILGSYILLATLSSKCELSPTA LKAIAGIMASCAHVVQADQFLSSLVAVCEGQPELDEFTDGTLSAMLRISGFKEALATS SSWVGSEKVILPLVRMLCKKLDGSNVSDILESVILTEMTPSSILETLASSLVEIAAKS EGETQISLCSRRLLSLIQQRHPDILGSAVDKFSENNPSLGDTIEQLIISLSTIGQLSN ATLREGNDMLLASADSDAKVRIIAVKELVKSIEGKELSSIDNMETTRGIMIARLQDSN ASVLEALYANPLAVTPIFVSDSKAFLSSLCVAMDSDSKPKRNLLRLHLTYLASSFWKA VDASVQTDIFHRIFFPFLLFSKPRQKTAELVWDIIQDHLSEDKALDWLTGCAQVVKAE SNTEGDAVEHMNLINLNVAERMAGNIMKSDRFTDNLSTLLSKLRDPHPHTRLMGYLVA TSLVKQLSEDLQVDAAHQILATMNLDELSGIDDSSQEHLALISTEDKSLGKYIITKPS SKTTQNWIQISLVATIARIPRPADIVLDWVAELSSEDTDLGSRYVRLIRSIYQLANAS TSIPVLSSSLLQILFLNIKGDALAFLAGIWSSGRVDEYKDSKAISLLHAAAFLEAHLL EDDGLDFQTIIPSLLVILQTSDTNICQGALECVSRIRILAERKLSSVYLFDAIYGHND RTLQYLDQDDLKKYLAALVEHRDHFANDSTYLKTFHDQHLGRVKTDKKRDADYKHRIV CYLLSHINALSSESVQNALLKSIATITNKAKIEILIPTIQAVVDDVSSVEAAAIFSKH SEEFLTRLLSCYDSSAATYLNDTPSAWANFSQVLQTFFRTGTPIQPQEALAHAIETGL FASLNQQRKFSLCETLLDVGSQDSSSQSLSRRVLSTVLVDVPLITHLLGLYTPVGPTS SPRVKRVKTMETPEDVLPRLSLLVEILGTKSLPGSLDLISHLLDTLSRVVQVLPPAQA DVSYIEQLLMSAVESAASKITEVPNLSPSVIRLDILVEVIRVSGNPQTFHQALLLIAN LARLAPESVLYNVMPVFTFMGSNVFHRDDSYSFKVVQQTIDGIVPVMVSSLKEAHTQP LDLYLASKEFLRVFSDAANHIPRHRRNKFFSHLVDVLGAREFMAPICMLLLEKMANRI IRQPSEEVQNSLSLPIAVFQHCDYALQLHTATEILQESRRIVAHIADPQSKQPIFLEG VTDGDHSISSSTILRRRAQALIVFVGYAFKPKPTTPAATDSGVSISSVISQLIILAVL PEGVSTETKIGDVSEAARATLGRLLSGMSVVDFSEAVQLMLDSGDVKVQAGALELLSK RLPDVSAKMRPTLTSYIVKILGSIKSLLTIHKEGPLVAHAYEAITSIASTISSGEESP LTDLLPFAISASKEKALALPALGALAAMSVKLGPRIIPFFRSIISLSITVLRGDDGAL FQNSFQILSGLLSTIPTFWGSGEVNQVVFLYMDEASSTSKISPAALSSLTKSLAKRIP AKVLIPSLLDMWQSLQVSGKLARISAYFYVFSRALQHADRPIVLEHLRASFKLFLEAL DIVKVDPEVESRVIAAFKELVVKLNETAFKPLFRRLFDWAFVENTNDVARKVTFGHLY ISLLDFFKTLMVPYMSFLLQPYCDILASFTASTSSDFSLWSSVLQTLTRALNFDDGVF WRDDKLRQIATPLTGQIEVCIRLGFGAEDGKTQLQDCLAALVENATDDTLLKTINLNI LMHTRSEDHRVRLFALTCAEAVWRANGGKLLGFVGETATFISECGEDENDVVVKECIK LKDAVESVAGAIDGL JR316_0010911 MAPFKLYSKPPPLPPKDPIYQLRNTQNASRASLVPDSPLPASPS VQYAIRRANSPLLESMSVMNQSSSNVSNINGSTPDLLSPQDGASQSRNTTPAPTKKAP LAFLKFTKKNSPKSPPPQAPDTPILVIAPADEPDPPPPQEDDGISMPWNFQHHIHVDE GFVGLPPSWSTSLAAAGFTEEEIAAIQARRAAGVRSPANLQYLYNERPQSPAAAAFPS HPQASSSSSSTTPNATVPIIANPTPRTTSLPRLIPLVASASAPPSSSSAASGSAAGPS SSTASTASAATTTVAGLPSSASTPSLSAPSSSSAAGPTQAKRVPPPKRKPPTAYEDLS SGVGGGSGHKPNQLSSSTIGNSSDSHASSSNYNAANQTANTTFGNMSTSAAYAVNPPS PYPAASSSSSRHPYGAAAPGTPAYVSLSYSNPALNAQVSGGDREEAQRSRSGSAGASV LTSVNGVGGGHTNGSSISTTNTHTTASSNPMSLAGGGTARFRVVNGDRDTSRGRGRSG SAAGSVSTGVGEDKAPAKPSIWGTKISKAKEKEKDTASATTSETGHSSASASASASAS TSYLASSSQQNLASTSTSTPPTQTPSKLSTAAASASSSLALAGSLVAKQSSNLVDAVR GRMKKGQTSGGSGSGAGTGTAAGSREASRERAGLNLGAGLSGLAAGIRARSKSRERER AGEEERKGKEVDSDEEFVSLPAVSPDAYSGPSGRGGGGTVTPGTMGSGAGDAPRTPVW EIYARPRAGTDGSTNAPGGPSGAGSGLGARGQHSSQSSLRQGRGHTPSSSISRKPSLR SAASQGSIRSRLGRFAGSSSNNNNNSSNNTAALQNLANRSVGSLQSTRNGSVTSLESI PEGVSPSASARPPLPDDAREVVRSASMNRGGNKSGRGGKGGAGQGPTYAWSPPGATAT TTSASASGSGSGSGSTSGHAYTEMSYNPSLSFSASASSSASPSITSSPQPQTKRTPAL PPRLSLHHSKDPSEDLSAWSEALLSGISFGDDGKDGFDLGKGLGLGLGLGTDFGKDKK EKEEKERERERDRMDSPTTVTTATAAATARPWERQAQVQPSFSSSSAAASSSSSSSQQ TSQKAPTPATAPAPLPPSRIQTPPQPPTTTTTTTTSTPPQSHAPTPPPVTRKLPTSKP PPSIPIPPIRARSNSRSGSGPTMPLPLPPVGVGASSSNGPLPLIPEVSSASTTSSGQM NAIRSPPPPPGPATANGLLSPPPSAWPATAMTTNSAGEPVFSPGAGSSAGPDSAQLWN EIEQMMDPGMISTIPGLHLSVALPAGAQGVLRSAGLGTGTGAGGPTQSLSVSGKEWDK EAKAKKEDGEGVVGGVKTVGDVVGRSPVAAEGERRADDDDDKSVYDDDDEAAEGQEVV LGPRRVQGQTAYEGDVEEDEDGEVYGGYMEDTTPDIELRIAEDRNESARADNAIAAEV DARLLDAPHHVFADRDSNRDSSRSSSSTLTVTALAAATIVRNVSVARRAGAYVVDNNS TSDAAQRARDRHMEARRQAALPALPGPPASPMGSHFGARTGGAGSEESTGSSSSSSRD RSSQEMHPTPTTDGGIASPLLYYLDGAHTPSPTPDKVSFAPGQQQVPSSLPPPPSSSW NRDAAHAYDDEDDEVEFAGFPDEETMEAEALAAAAAASAIAPSPGAASRPTIVISDEP ISSHGTTMPVSSAGITPETATPLSPFQQYRGWLSDVVAPLEEFIDEAVDPRDHYLDLT EIAEGESGSVFAALLNPATAHKLRLPPLIKAQDAEAVRAGQPVMVAIKSVAIVPSGSP KLVDLQRELSLMRGLGHENVLGMDGVYVDLVEDSLWVRMELMERSLADIIALVGDGLQ LQERTIARFASDVLCALEFLQKHHIAHRDVRSDNLLLNKNGVLKLADFSNAVQVAPGK SMLSDPAGVVFWQAPEVRRPPYDALKVDVWSLGATVWEMAQQDPPFADTKQLADRWPP LRQPELYSPAFHEFLRRCSEPAAARPSPSELLKSSFIVHKACGRHVIVLLLSQCMAIE KLLQEGNAPAS JR316_0010912 MSVLTSVDTCSAPSQAASSRHTYRVLLYGQGMDPQTCLNPISDS QKEVLEDGYLDAWGEITLVVAQEEEKEDAESEDL JR316_0010913 MPGRRTFLIGGGCTAFIKPRATRSTEDMGLEAATKALLDAGINY DTIQNAFVGYCYGDSTSGQRALYNLGLTNIPIINVNNNCSTGSSALYQANNAVKYGEV ECSMALGFERMKPGSLGTNFPDRPSPMQLFNQRSFDLEAELGENHGPGAPRMFDNGAQ EYFDKYGGGVEHLAKIASKNHKHSLNNPYSQFRDGWSVEKVLAAPKITRNLTKFMCSP TSDGAACCIVASEDFVHAHGLENQAIEIVAQALTTDGHSTFEGRSAMDVVGYEMSKIC ADKVFKEAGFAEGQGRDQVGVVELHDCFAANELITYQALGLCAPGEAHKMVERGDNTY GGKYVVNPSGGLEAKGHPLGATGLGMHFYIMRAGPMQAPGLFNVPDKRGKYGLVHNVG LGGAVVVSLLRRPEFFKPGGKDGRTRLGYNHAHECRPITMADVDKVKSKTASPYVLLH AKL JR316_0010914 MSVAKAISKKRKRDSNPEAHFELSKPAPAKVGPILVSYPALQAP PATPFQCYSRKKSKRGGEDEEEFIVAGETDTVEFATNEDESRRASESGCRYLLAVHNT KTGTVSILPTPKTPHILSHTVKALKSIEPSAAPSKTAYLEAKTTLGETFGTKKQKAAI RANERNRIDVSAMEGVMGYVMDSIEKGAEGLMTAEESKEFADKNRLIPPFSMTAADPS DIYALHDIIPEAEWKALSITSLEAAGNYPERLAMLPYRRSQWVNHHLRVLTESTGKAQ KKKLKILLYISAMLAFRQTTFQKTIDKEKLYERLSAVPGIVVDGLLSRFTEQARGSTS YHSTSATKTTLLTYTFALCLKVDDFASNTTVIAHDLSMTVTEVNQLFKSLGCKIVKLG DRERAKLGLSDSSADEKRAVLTAPVEFPKPRLRKKT JR316_0010915 MTDLYPMLKREGSQSPTSKPSLSHLNGHDLSGSLRTKNESLAAT PLSSPAISAPTTMEVNVKSEHDLTHDVASPSQSPTLVADPTPQNFKASRSPSPLPSTT DAASPKSVSPPLTAPPKSGKKAPAAPIQLIGDLPIAREEAVRTFNEIFDNNYQYKTLG RSREALESMICDCTYEHDVPVPLHLRFQRKEYANIEIVLTEKKGYGLRAEEKLPKDTF IYEYVGDVVNPVSFKKRMREYAEEGIRHFYFMMLQKDEFIDATKSGGIGRFANHSCNP NCYVAKWTVGEHVRMGIFAKRDIERHEELTFNYNVDRYGHQAQECFCGEPMCVGYIGG KTQTDSDIVTMDDLYLDALGITDEQDLIELKGTKKKKGKKIDDPDFMPTLKPVTEKEV PKVVQAIRQTTSRKVLSKLLSRIKACFSMTEDQAALRQIMRLRGYSLMTNVLEDHSED MELITLVCSPALECMKTWPLVNRNKVQDSKVHVPVEACAALENEVVKTLAQAPSIRYD LLIPAKRTPAPDLSPSNVEKKEKKKTDYVIYKKLLEPVVEPKPAPVRKRFDAPDWRLA PPEKKPRLPTAEDLALEEAIKQRQIERNKQYILELKAKNDSIKAIIASAAEEKAAAEA ALVAAAAEEKAKAEAAAEKAARRKERAERKVSMTAEDKEAMKEKRLMKLVGAVVVKCM SKHSKSFDRDSFKKHAKDLTQIISEKEKKSSSYKENKLDALSDEKVVKIKKFAKEYIH KIIRKLEKQNKPHSSSTSTAHDTPSTSTHTPNSHDGDRHSFAVPVSVEEAMEMDIDSE DDEDMEVAEGARSREHSGPMTPPGPPPTWADEEDDDDSHHPLAGRRGPMTPPGRPSYL DEEGERDESLSARISSRRKAVSPPGRSASSWALDEDVSMADARYPGSITMDPRRHLPP PTTTSRS JR316_0010916 MNGQGPPKTAVILATPITNVEASRAQRLQRQQSRFRDRGGIFVP SSRNILADILLGKASPLKKPQRRSVSASPLCNIRRKSGAGKEASQDVELTALNASLRR SPEKRPRKSVSVSVHGAGAKGDGNGSTATSAAAAGKGVKGTTAPRKSLGAKPVATKPV PSTEASKSTSSQVPTKATASKKASGSSSTRKSEQQKLADEDNYHVTTSNAKSKAKLKA KAAAASSGAAEQASAATKKPAKNATKQPPTAMRGDTTTTTVASTTGPSTSNSSKSNIS NTIPSQIIPSKSTQSIAYKPTAPPPPPPSRSTTTTTPSISTAAATTSAAPPGPRPRKS SDLYSGGSEDEYVPPVSKARKNTAKAKAKTKAKAKPPPAAKPKASTSTSASTSVSSSK SATSTKAKPKSKAKAAPPPPTKHVSTRPINAQLPDIPEEDEGEEAEPAVPPTKARTAV PANTTTTSKVVSDKGKKRVQQNDNEGESEEEGEGSPEPPKKRVKKSDSQKDADKASKG KGAASSAIAVTEADKKMKKHASFEFSVGEKTKGKEKEKEKERKKVPEPSSKSAITTTK GKSKAPSTKSTKASTRNETDADSFESEEELTGPVSPVKKGFTMSNSKGSTWPDEAPLK QAKSKVKEKGNEQKKPAGSKKRPRTEGPNDDGADANESAERKAKRTKVLSEVKEGKGK GSTTKKPAANTKSKSKPKSSNKTAKSKANPAAPPQPMAPVRRGPPKSVLQRIQNLNSQ PVEVDNEPDPIDFLS JR316_0010917 MSRFFKSLHNKLSDSRSQAKLSDAPPEWAPAPGETHYRGKVHEA PGREYDDAESFCEQIPLDPPRLVPSGAVDRINEVGCAAWGIDTPTSSRFVGRIVNISD SKNASKTVTVETDRKCQDVSLFSNLPIIAGLYGIQGKTGVYYEICINKMDGIIAIGTA CKPYPEWRLPGWNRMSAGFHLDDFCKFFEDADGGRDYTDSITQIRPGDTVGCGYIFQT GTMFFTYNGMRLPDAFTGIYLPRHKQDVFAAIGVEGRCEFQVNFGAELFRWKEGNEWA WRVEGHVGRLTGGPSSADDELPSYQQSGGL JR316_0010918 MACASFLHRLGASSICYTAFNALIRQYGQRPCQKLCTRHEWRTL SDGQKAEYIGAVRCLQTKPAIAPVFPEAVTRFDEFQAYHLQQGDFIHHVGQFLPWHRY WLQLYEKALRDECGYQGRNPYWDWTIDSDSSTSIRGSPVFDPYTGFGGDGVPGTYTLP PCIPPDQLVFPDKWRGCIADGPFANYTVRLGPGKLHTTHCVVRQIDDAYAVYLDSPAI GRLMASPTFEVFRYELEGMPETTDHRVHDGGHLSVGGEMSNQYSSGSDPLFYLHHANL DRIWWNWQQHDPSNRLYQIGGHTSFGAPPFTNVSLDFPLEMSSSIGPTISIWQTMNIH SEHNCYTYV JR316_0010919 MAPLTYQRDPNGWFLPRHPNSMAQDLPNTPQHPPGLAIPPRPAP NMSEDLLSATAPLSEHSQIDDFWKGRLAPPPGYRSRPLLTPRREPPSTQLKDSPDKTR KLALPPELPPPASLLAKSPNMKPPTSPSVSPKSLKNDIKADKNPDALRLPVKPKTYIP EKYAEVFVPQYLLNIQNQIHPLKPLPPLPVFPSLKYLQSFLIPRLIDESLRTDRLALL HAPPIPTDAKPSPLTPTSYHAHWVEILRWELDAIARKKESIVLWQLNPKVEIWKDAEF VLSVPGIRENHPYLEIGDLVHLREVLEAEKRGSNRALEGRVVALRKREGLVHISSVPL KEHAQAFVTLTEDNIKTENGYAVFGPDDHFPFYFNVSFMTNSRPLCAMEAAVSAVAKI LDADSGLARQWLFPEPEDLLHAPLPPPCQDEIAESQWLDHGLNEEQKMAVCAVALNQS PIPHLISGPPGTGKTRTVVETVLQIFHRQPEACVLLCAPSNPATDTLALRLHKHLKQD EMLRLNDPNRTFAEVPDVIKPYCYVENDKFSLPPWKTLMRYRVVVCSCLDAGILAGAQ CTNVKLMEMEEEVTASLHPFRTAKGKGRYVVQPHWTHLIIDEAAQGSEPELLIPISVV LPRPWDAGAGAGAGYTGEQVFIPQLALCGDINQLGPIVASEEARAAEFEVSLLERLFE RPLYAQYERNACAFNDHGVKRRVPPYTTLVKNYRSHPVILMPPSAIFYNDSLQPFASI GTLAWARLRTPRLPLKVIGTDAAELSTDEKASWYNPGQIRIVVDVVLELLGLGEQSSS LGKSNGEVKVKVKGGGKSVGKSIPPLRAHEIGVMAPWRNQVWALRRELRNKGLSAVDV GTVEDYQGRENRVIIISCVRSSARFLEDDHRKGMGLIFERKRMNVAITRAKDLLVVIG NGSLLQRDPYWKSFLQFALRHGLYEGPELSLEMDGNYISRLESKLLHSAMDASEMDPE EQGLLLAGGVAREILRE JR316_0010920 MSLRTASQTVTIADVCVAVVCISLPLSLYIYKSKSKSTSSAGGQ TLDANTDAFQVGTGSTGPHDVGVQGYILHNQVTHARLLPKEAAIAFTYPTISLLVSLN ALEKRALDLGGGWVFGYGGVWGRVVGLRPKMYLRREREGQVQGARKRGTRMPTTIKKK LLDHLLYTGHLDGGERILQDAWMMTMPSFCGFEGINPLTVYFCYNPQGNLWAVVLEIH NTFGESHVHVLEVGFKEDENVARGYDHQWTFPREFHVSPFNDRSGFYRVSVKRPTHSP MATDTTSDPPRPCVRVHLYTASEDDPSKPGVLKLTALLRPSHSTPLTTPSLLLSLAKA PLELLLTTPRILFIAWKLHYKKRLDVFLRPEPHPATWTPYSEPSGGVRWLDEGLLEKY ARGRVESFLRARVRDIGVEVTIVAPDPNVPPLEFAPDRGAASDGARQKLVVSYLSPRM FLLLCLCPSAEHALLLGCETEKVFRVSSKEVFVRVFEPARARLGAAGLLQRLRCLGIP VSLGIVVPPRHFIEENSGTLDRVKDGMMILTSRFLDWVEKWVFTLARARIVKGQEPWK QWDRAAMIHLNGHAAARSSVTFGSVLSR JR316_0010921 MSSIQEGNNSLTNQSLPQVEQNQSNSNRSDSRAESSSTQEENTP PQVENQSNTGSDSDHPDPPSKLWGIQDPFKYAPPKPEGDPWALLLDPLIKKDKAQCDA WKDEVQNLLIFAGLFSAVVTAFILESYKNLQADPNDTIVSLLSQIALQTDRSLNATAV KLEPANPFVPTPSSIRVNVFWFLSLILSLATVVIGIVSLQWLREHQSYDSDLSPRSKY ALFNMRADGLKAWHVDKFFTGLPLLLQSALVLFLGGVIDFLHAIGYWAVTIPVMIAIS FILMFLIATTVIPCLQVILLSFTLPYDTKKTSNPIVPPRQCPYKSPQSQAIQWIFTFL HQTNALNYLQKISLNVKAFSKHLLAGYPGRFRFVDFGEAKDITSCTNFTLCLLKAFKA QRWAQFDLAWLCIRDAYMRRSFNNDVCSLGFEDNYTFPIYDAVARLLLQRYQKSTAHS AYHCFDEISLMNDVYRDNVPERYLDRLLTNSLGLAEDTSLLDFLDMPSELSFDASDMP DDLTILRQQSLIYFVGAFPTHHQPVIAYRQHRLELYVRLLGYFPKNYSYVDVCANKKI PWCLTVQKNFYDLRINDVVSAEASEIMWHLGAIALSALQDLEPIGRTDPVVFIDRYDL AETMHWVSSAALMHANYSVKGRERELLNRRDFRDLLSSIVLFLEEKMANHVQALKIWA KPDFQHHPCLLFYLAAICCYQFSQATEGHSFHTFCATVQEYKELTIDDGRCDRKIEAG LRSGCLTANSDLFSKRWWDNMYISDLPSNDSTRP JR316_0010922 MTSRTKLKRKEVDDVLGGDAMWAHADQTQASCPKCNHDSAYFYQ LQIRSADEPMTTLPRNGSVCLKRALIAPISTRAAARPSHQRTNPITSSTPHNSNTNNL ILGNKSLHRPSSSICAGPSYRHASTSAQTRPAAVADDASASIPAFTKEEQDDIFFTHA NEFAVESIRPTYSVTRQSAAEGEWLQDNDDVPAVPKKGAYKPEVLFDWRKRAPSRLAA EKNKVYKRRKRATLGDLRPGDPYYDETQEYSTKFLKLLSLEEAEDEAELRHRLSTWSL ERLQKEGYCITGLKAYWLSQNQFGRPVACFNFGPGLELPENKFENGTQVLMSRLDPLK EHPVIGSVLSRGTSHIHVCFQSLMDLNEGFWRLDVGRPNIMYERMRAAISHMDNDVTE IEGKEPDEKTQYVLQGTHLRDVLLRSLSESSSSSPDAKDDNGEDGETVCKVDSRTSLA DFKNGGAFKYDQRIHSWARRYSQPNPIVIDGDPPLPNVNASQLRAMAAMIGDRVSLIQ GPPGTGKTKTIIETVKLLKVEFEVPHPLLVCTYTNVAVDNLVDGLARAGLKPLRVGYS GNVRESLIEHTLDAKLSAHPLHPKLTQLVDESAQTAKEIEDLWRRSRTLELKIKETAA PRKNVMTRASNMRKALFAMQTKQMRRKTKIYAMQQQMLQDVVRDADVICTTCITTACN ALNVVDFPVVFLDEASMSTEPASLIPIMKGSRHLALIGDHKQLPPVIISPQAKEGGLG VSLFERLTEEGHVPTVMLDMQYRMHPAISHFPSKEFYDLALLDGTVDAGGNALPGLEP PSSMHLLPDNKRTFKPSVIFLDHTGNESIKSKSRVNITEAHIVMSVVEDLLLSNPHLR GEDIGIIAPYAAQIKLLTRFLNVDAEYKKRFESVLGTHRAMQLGQIEIKTVDGFEGRE KEVIVFSTVRNNSAGHIGFLADRKRLNVGLTRAKRGLFVVGSIATLRAGQSEADHDMS VGAGVDAVDALADADVLEAEFVDGKVKSKAKAKGKGKGKGSDSWRRYAEYLVNGNLVV SLTGEELERALYGHVKAVEAQDLAVRWELQQQQQQQQA JR316_0010923 MPSPALSYSPSVPHMPANTIHLENLVFSYEHVRARLQKLPPIGR GSLDPSPTSSITSSSSSASASSTQEDAFFSSRSRVVRLHNLPAMAEAFLSAVFLPHNA MEQRTASGIPVPVSMWELREDAASGRRDSVWAVFRTHEEACAALALSGRAMSVATALE ADLEPFHKLHRFVLRDPLLSQASNALATASYNNNSTSHIAPSSSSSSSSPSAVLDLTD LPQLRVSSSLSDLKAPVSLSPMSATVNGKVSSGEYTLSTNPPNPKTSFRLGDWMSGDA EPHHPLDVSFRLVSSEILFWIDFDSGRSCNSPNCAAHNFGSNNNNSNNGAAGNPSGAN MNGNISGMPQHQHQHLLQQHQTQTQSMTTRAAPSPRFNAMANGGNVYYSSGPLPPHTT FHHQQQQQQPQQQQQHQHQQQQGAAQRELHHQHSHPHLNLHPTIGQQSRAQNPPPSPF NVTAASTSSSNATSSSSAAAAAAAAAAAASAAAATAHVPKSTHPLLTPSGRAFAIGGK VQNISSDPLSPCIMYWPDNEPFPEQGQIRPNGLIGVAQPPILNTGNRGPISHQPGDWI CQKCNYLNWRRRKVCQTCLPYAEGNGDSISAAVQAERIALLTSVLSQTQINGGNLNIP SAHHGQHAPAPRSHSLTPPQARRPFIDVSPPHQPQQPQPQQQQQQQMPQHHSLNRPVH RSQSHFALGQQYAAHAHLQQQQQQQQAPVSPPFTASSPIYQTSGIRQPSPLPPQAPLY STGPGVAHLHRNTIAGTTPASVSNPLGSRALMDHHRTPIGAVGMSSTSTTTTTTTMTS SASTTVRSSPISVHAPAPAPLLPSFLQDIVQSPALSPTSTTTTSSADLSSVEEYEDQF QLPSSVSGAGAGAGVVGDGITMRPSVFAPRARGDSGSSAASSGSSVGVDSAAIANIWR LDGEESKSLSAFPLPNHQELVGARKSSGEKLRV JR316_0010924 MSDDEQHNQTFEQTGSGASLTFPMQCSALRKNGHVVIKGRPCKI VDMSTSKTGKHGHAKVHLVAIDIFTGKKLEDISPSTHNMDVPNVRRDEYQLVNIDEGF LNLMTNDGVTKDDVKVPEGDIGKEIQGAFDEGKDLLVTIVSAMGEEQAISYKEAPATK JR316_0010925 MCNKRLDSMTLLEHDQQSFGTRDLRHANLPYAQPPADDAAGSGT PSSPTSPNFRNNFTPLRPLNTGVGGAPRATSPLPRLRPNRSLATSPISSSFPRGPNAS VQQAFQASATANSPTAGSTNAATSLNLHSNSTSDPKTTDQDTNDNDSAPPTTDDTEEA EVHHSLVGPDSVPVNAETAETEEVDDVDSLPGVTAAATPYPSNTGRPGIGTIPRTVPL YLNGYNSSIGAGAQRTPVKQHHPSQSLSSIPSSSSPTATSPSASSPSNLSKEAEDLPS RYNAGSMSPRGFSNMMPLAPTATGTRYGIALGGGPGPGPGGVGVQMTGTTTGTPRKWG AGTPQCARCAKSVYFAEQVKAAGKTYHKHCLRCVECNTTLDSSRLRDHDGEPFCVRCY GKLHGPQGSGYALLGKAGG JR316_0010926 MSSTNVLRIELSPKPGFCIKSAALNPALLPPTASSPSPNILEPA PQPIPVPKGQKIFVNLAWDPNVPPPPDASEEEIKRAMQGEDAVDDANPSGWYVPVIVS NARQDKDKSGNPSLVFDCIFNSTVKSRTLRDPEFKIFLVELSLQRIEAQTGLELSRNI GTPNIASKGKLLPRSVHIPSHMVPALVGAPSPSPSPSTAGVSATPASKSNPLIQELPS SSPTNDRTLISPSSTSTSSAPATKPTTTSGSGPGSTLPGLKGILKKPSSAASSASATP GSASTRLDWSWTKEAPSGRLRIDVRVPGLTPTLITTSTLDIEPNRLVLSIPGHPTLDI DTSLSDAEIVARVAGSYSSSSSSSKSTGKANGRPKASASATALKSPTPTASKEQEDDA DANENDDTAETEKDKETMRILTLKRQRDFALDDADAVWEVGSARVIIRLRLNVPVRLA TVYPRALLELGTLVFYEV JR316_0010927 MYNVSPIPDFDDDAKVQQYMQDRRVIGYDPLVQPALLRHEITST TNAKKTIASARYSAARILAGQDDRVLVIVGPCSIHSVDQALEYARLLKAKIPEWPNLL IIMRAYFEVIDIRALLYFYHRAHTKPRTTVGWKGLINDPDIDGSFKINKGLHMARQLL CDLTDLGVPVGSELLDTISPQYIADLISWGAIGARTTESQLHRELASGVSFPIGFKNG TDGSVTVAIDAMHSASNPHAFMGVTEQGLAAIVKTRGNKDVHVILRGGTKGPNYASEY VRKAAEDIEKKAKKGVDGERPFGSIMVDCSHGNSSKNHRNQPLVIDNICAQLSAGERN ITGVMIESHINEGRQDVPPEGPGALRYGVSITDACVDWACTVEMLGKLDQAVKKRREV LIAAGLETPAAFERAQRV JR316_0010928 MNSTLLSKAEKSYIQAGLQSTPPSRGDGRGLADYRAIALETGVA PLANGSARVSIGRSPHDGAGGTEVLAASKLEVESVEPGSAGVEGGRVSCSVTCSPAAY PHLTSSALDDLQHDLTALLHESLSHPSLHPRNLGILRGTKHAKAWVLHLDAVVLVDSG NVCDALFMAARAALCDTRVPRTRSVEYKARRNAGAAAAGGGGGVVGASAAAKGQGDMD VDEEAVSGFDTRQIQSATDFELPDYWDEGEPLDGRDRWPICVTLNVVSNVHFLDASTQ EESATPLRLLLLFAFESTQSVKLQGMRTLGSSELTSSQLADLLKAGEKYAREIWQSLN AKLTEESLAKIKERNKF JR316_0010929 MESGAHKLLESAVQRTLHAHAFSRSSSQASSVLTDLLSRYMALL TSTCAKYAQHAGRTGLSVRDAMGALNELGVSVEELSDYCATEGKELNRYALYTARRVE DLHEFRSQLADGLRQDRDDAIPLEYAWCPSHLLEESEGEDEDEDEDADASGEEEGSEA DALPQHNMPNANSDMDVDSSSILTGVLSRKRPPSRQNTPPLPLSPISNPSSPQRKRVR ASNWEPPEHIPSFLPPFPDLSGDRPSSPSFDHAPAPHIQPKMPPPIQIPESTSTVPEK PSMTLSQSLTTAAASDILVQVPYSQSSLSSVPEWHLPSGPPPPPPPVRQNRPSIPQIE PSLLTAYHHILTHPPPPELPPLNPSRHKVAMALIHLSQTNPRWNPSDTLFGSVGPCAP RVATIGPSYPIAIGDTPGASDSKGKGDGHDKDLKLPTTISRPVSGVERIAPFISQQTS RIPDLARHILPPTILARTSRLAHPPVLHRGNRPLVYGPGIPAPWNANAVSTGPDPVPA TPMAAKPKDAAPAANGNTKDSPTKPVLPDARLFATWDYEQKDFKVPLAPVVRSRNRVG SVQASGSAGLISLPVSSRSKGVK JR316_0010930 MCGRFSLRVDRGDIQRLPGLDIDVDEWVDEEEFVPRYNIAPRTQ APVIRRRDRSPSSSSSSSSQNNDSLIMQTMKWGLVPHWSKVEDKSLNTTNARSENLIA GGGMWASIKGKQRYYEWLTKGKDKLPHFTKRKDGKLLLMAGLYDSVVLEGKTLWTFTI VTTDANKEFSWLHDRQPVFLTTREALYQWLDTSSQTWTPKLTEMVQPYSGSVPLACYA VPKEVGKVGTESPTYIEPITDRKDGIEAMFSKQKQKASPTKTAPTKRKAESITPSPDT KGSQPVKLEDDHPDDKKIKLDHGDDSNQPLEEKKTCMSREPISLPDQKPVPRQLKPSP KKSSAKSKAPPGNSKISSFFAKG JR316_0010931 MESEQLAVSSSSSTGPERTLKKPRKIHPGEAAGIIPKRKPTIHT QVFSTQKNSTYPHTYYAVRPHPKEDTIRYRRGDLDADSIIAFISHMRAAGDMPTEILR LRGRLRSAFPGCGVNKLQIQDAYAKAGWDVNEICWLTKKEVHFVERPRFRVVNLFGNS QPRAFQLPVFDTFYSGTAKRGGAASSRIMIKSSTEQFTQSWNVEIAALREEKSYYCKT VVNVRLIHDIFPLEHIFNLKRGTMDRAKCNYRILVLLAPSYLDPHHTTPMTIEMSKKL VLVTGISGFIGGHVAEELLRRGFQVRGVLRSARYHTFTNTIKVPGLEFVEVDDIVNGD FTEALKGVYGAIHIACPLPGRNTQEELESIAVQGTLNLVRQAQQAGVRKIVVTSTFGS LLSLWGESEDTELEKNKEDKFYTYFDAKLKAEKALWAFAAEHPELEVATILPGFALGP YAKTFPLPSNMNNMATNIFVRLILNKYQPPFSPGWLVNVRDIAKAHVRALEALPLPNG DPKRFIANGATYAWADVAAYIKKSRPELADRVLPLEGITPLPGVLSTLDTTRAREVLG IEFIPVEKTIDEAVDAVLALDNHWSKAKN JR316_0010932 MPVNGTSYLDPKDALEHLKTYARSDGLAVTDLMDSQVHGGLTYN DFLLLPGKIDFPASEVVTESRITRNVVLKTPFMSSPMDTVTESEMAISMALLGGIGVI HHNQSAESQAAMVRAVKRHENGFISDPVVLSPSHLVEDVLDIKARLGFCGIPITDSGV VGGKLVGIVTARDIQFRDPSTPLSEVMTTDLVTAQQGITLSEANDILRDSKKGKLPIV NAAGEFISLLARSDLLKNQSYPLASKNPKSKQLYAAAAVGTRPSDRERLALLVDAGLD IVILDSSQGNSIFQIDMIQWIKATYPKLEVVAGNVVTREQAASLIAAGADGLRVGMGS GSICITQEVMAVGRPQATAVYAVAEFASKFGVPVIADGGIGNIGHIVKALALGAGAVM MGGLLAGTEEAPGEYFYHEGKRVKAYRGMGSLEAMEQGQAAKGGAKGKPAKYPAPKKS STVENAATSRYFSESSAVKVAQGVSGDVQDKGSVKAFLPYLYVGVQHSLQDIGVRSVS KLQEGVKEGKVRFELRTASAQVEGGVHGLNSYTKRLFA JR316_0010933 MYSAFLAAIIAIVVSFIVIASSRRSRDSRSGTNKRPRAQSESPE PIPYPTTTSRALPSPPSPSTPMALATSGRFDEARRAELKRIAEETIAAIKEGRYAFRG VDQDLSVKTRDAIKNTIFFEPDSAVSLWASTKPDAVPPPQSPTHIAVLNISTLDAARL LEHAYMTNPLEEHSTRTGVLNFASATKPGGGFKNGAEAQEESIARASTLYFSLNKSEE AAKFYKLHRAESAQNAAAYYSHAIIYSPKVTVFRDDDGEWTYPFDVDVLSCAAVNAGE LKKSLNGPISSGLDVFVEKEMGERMGRILYVFEQHNIRNIVLGTFGTGVFRNSVATVA RIWAQLLILPDARFKYSFDRIIFAITGGETFADFQSAFDAWGQHRAPGLRGA JR316_0010934 MKDHPQQSSSSAGGAGSSSSAAVGRAVSPMDIDDLDDFDMYESD GFEVIVPEDLPPLNPSRDVPDAQPPEYTPFSTTPGLSPSMPTPTSTSVPSPELVLRLS ETTSHLQHPLVPASLPSPLSGGLVRSKPYAQVVGSGSKNSSSSGVYTPKLCVICKIKN AYYDGTRSFPTCGNKCAAMLEAAKARGSSESSSGSTGGIMRGEMSNPQGASSSSGSIS LGLPSIVTSLWSSASGPGESRTTRQNQNPIKMCEVCHVRPKHQRGGKIYPTCGLTCAA KFSAPATEMCDFCQKRPKVVINGKMYPQCGKTCRDSAKAAMSAAINSATCTSCIICWK ATKMGDMSDFCSDICEVAAESRAPYLIELPRGHVAFKKVAEHYTEAWKVTPNCTPRRI KRIYMIKMKKSSLQNYEQYRSNIHSRPGFRSTIKRQGNEQRGFLGLTRECGFGGTGNM EPCFSNACLLCCIVRHTNLAPEKFQTGIMTTGLVARATDMATGAKKRCSNVILIGKIV LGKVAELDIVPPHPGPANADSVHIVGHTRTGSTIDWQEYVVYDVHALQPQYLISFE JR316_0010935 MEKLLTSLSLEEDEDDGAYESMVDIDGDQGLDDEFLGDDDDDLD VEDDYGTSNTDVELTLPTEKPPPYYPGITTCIVCQDKPAYNKNGKSYPTCGLKCAAIL QEALGSIGSTASTSGNAVAGPSTPRASAIRTPQRAVSAFPASVASIGTSSSPTTANSA ARVKLPQHYPSLPHMRSPQTSVTTDPNIKLLSQHLGGQPLSPRRGRGNMSNHYFPVTR ANTTTASSIGSSSGTMTVVQDPFTPPRAPVVKCVICLVKPCRDSKYVTCGLTCAEKLC RNGSNPNNCDYCHRRPKVPGHNQCGDNCRNSAKVACLLCKSRPKYKSYHLCGKTCKQI AVKVTPLILEAPPGHATFDMVEKKFKSSWKAQGSPMPTVKKIYKIIEDKNFLLPYDRY KKTIGNEVFRYHGTTKKCTLGDAGNTKLLANPSGAFGAGVYSSSASNKAFSYTSNGTG AILLSKVVLGNVRTVNQWNEVMSCPPGFNSVVFDRQNGTLNETIVYTDDAIRPVFLLI F JR316_0010936 MGVTGLWELLRPAAKTRSLTELAITEGFKANPDGKRGFRIGIDA SIWFFHAEYGREGENPVLRTLFFRCATLMHTTFLPIFVFDGPKRPDVKRGKKINKTGH KLIPGMKNIIEAFGFEWRMAPGEAEAELAYLNRIGVIDAVLSDDVDNFLFGATTVIRN SSNTLSGNKANPALNLAGKDDKNHTRVFRSEDLKDHPEVRLTRGGMILIGLLAGGDYH TAGVPRCGKITAHGLAKCGFGDSLYDAAVNLDREDLDEFIVNWRRDLIQEIRTNSMGQ IGKKQPSLASSFPADFPDIDILLSYVKPITSESMGRESNNLSITWSREPDLGKLAATC EMYFEWGYKEAIIKRFKTIMWHPIVLRILRRAVLDRHDNRPSEFPSTPRKSGTAIPCG TPSKMIAHKFSSMAINTERTYISGSESEDEEEEGRLIVKVHGKRKHTSTDGLLEYRLE IAPKQLVQLAESGVKGLRSPEGPDEWASEPEGDDDDEDGAAVPKKRGKGPTVHPHTHW RVWMPACMVQIVEPGLVKEFEDIENGKAQKKAEKEARKAAKLNAPPKSATVSKSPKKA KKKSAANSGGECDEDVIALPKKPTNHRKVVKKSTTSCGDQDGDDEAALSIPNVPTKAA KKNTSKPSVEDFEDIFSSPLSKKSQTDNTGKGKPPSLQFNLYDDIPLPSSDDEDELPT YLMAGKKPSLKGKEPAMDSSDDDEIEIVESKVKPHVDSTRRRISDKANTDRSLKSFFP VGKSTGAKNSKSAASFSGSSSRPSSSTSATTYSSNFTDPCKENIPSVATNSTIIDDVL IKSKDGKKSRGGNKKASADKASKTRRPFSVDTDDSSCGEYDTAAWGKGRAVDVPNRAP SSPSKRKSSKSWSSPSESDSQDRVKKSPRKQLAHTSPMPAPRPVSPSPGRVRPLVQPL WKISDEVIDICTDAESDHDLPSRIRPRTVQTTLKFPLAPKAKFKPSTESLKTTSLSWK GSRTTHQKVHTIISDVIDLT JR316_0010937 MLLRVHLRLASRNVDGTPFTIPLGHPGDAPTPILRTISSLLSRD HSRALNPLLSTILIHVAEHLTDEDTARLPFLMTDQNDLSPISPDWLVHWKQLLSNETL VSPDRPQTRRAIMDTLHSVYEDVKDMTSYRRPLGDLVWEFCGGLVGQPGGQSDDADIM WKLIGEEIVLRSDEQDEDDTEGAEAITKFLQLLVSVASERTQDDDDSLDTASNYTADT HSPATITATGQLSNTPSATRTHSELPSVMSLLSSLAAGGSTSRSQSVQPPTQSQEEAK DDSQAPSPLVPASNLIPRDVSAISALVEIFSQLVFTPFSLQHKNLLLAIRVYHMLLSV IIDGQSVRARLTALQFLMHIRADRDHSLYFVSTNYDPNGLVASLGALINRTGSELRSG AERGPDDAAAGLEASDIRRARARFPQERAGRQISRGRGAGIPSRSEPSRSRSRNAPPP PPPVKPLESLWSIPETMPFTVYGPDSPSEVLVSYDPEGPDRILVLPISQYLLMINGIL EKESSWEILSYVLCHLPVQLANKHLFCGPKSRAAISKMLSIICTGILNGDLGSYIDQW PTGLKSRDAHGLAYQTLSVLVSYRRCFDLQQRHLLVEVFQAGLNGQLATIKCCLHALS LSAFELQPSMTRCLSRILEKLSQIMSNPNMAVHILGFLSIIGSLPSLYANFTEMDFKM VFGVALQYLQHYNRLNSSPTMSWALSQHVRVLSYSAVYIWFLALKLPDRPRHIRYITR QLLLANEGNIEVDEPTEVCFDWLARYTYASADPRPANSVFSDIVLNPSKTTSEMDGTE KTWLMGNSLVTIRALPRSGWVEVLSRRPSGFSRFVCRVENIPMVGPGDVEPDLLSIPA GLIMERDPSHIMSEGDSPDTDEPSREQIRVAALSETGQSTANVVPQPDPITGYVWSGT APSQRRKQIGIDPSFLILQLSPFPDGKTEPFAKRVTDSTAIAKFVSTLDRIPVIDTHK VGIMYVAPGQSDETEILRNIHGSPAYTRFLEGIGRLIDLRGQKDVYAGGLDPDEDGDY AYAWWDDIGQILYHTATMMPTNEHDPKCNNKKRHIGNDYVRIVWNDSALPYRFDTLKT QFQFVNIVIEPHSLGSIAAFAKDSHEIEYFKVTMQLAPGMTEFAPVGHFKLISADNLP LLVRQLSLLADWFATIFSQTQMDTVRTETKTNWHARLDAIRRFKNQQPPPQEEETLAD SVDGVMGQESLRDFTTSF JR316_0010938 MSRQEPEPPARALRPRANTTSAFPSFNPFRKRAEPALSPTPPPA VQQPLSLNELIHELSPPAVPSLIHARSLAGILSNCSPLPRHDALNPLLSSLCNDAKSV PNLQAAGYDILSAYWENHEALSLGVAERLSYFSLFLGGSTSWRMDLWEPKFKALRALT RYGSEIMGIEHVLIQLLHDWIEGAFDGLLNLPTSHDRTEMKERERSVELLVKFLNDIL TRPENISRIPDEEMAGVLGFYASLVDRAILIPSDVIREPRPPTSPTSNASSSTSNPIL ASAGHRRNLSSLSSSSIPSLGSNPSSQPTFKHPAELAITLYLNHLTAHIKTLPPTQLN LILPLLFRALSFSADPLPRLSLSILQTRKKDNDNLEGKITDMLSSLFSGPYGTMCMLV LKAYLYPPTNLGAEHLPPRRTKGGASHDQQSQLLMFRTSLMTRLGAHRTFRNYVRRAL FTRIARAFISRDTSLGYNPSGAPGHMDLHVDFMEKAWPKDDFTAATMGVSGNGWDAAR LGMVLADSVKAWVDYRVEDLVAKSETEKKQIWQRGSEGKDEVLEEAAGVIKDILSELD LRDDENGSLDEEEATIVGETLRRLSDYVLPLK JR316_0010939 MRFATLASAAAVAVSGVAASPVTIFGFDLTGVIGFDLTQTNHYG SPLPPWHPQGKPGWYFGNSPHLYPEIPCLSGIICKILDYFPYAIHCPHPPPPPPPPPA NDGYTQTFSNITGATQADDFQTFGLVDTVAGEQTERPECKAMCNSVAGCNFVNSYHDV NGKGGSTQLTCSLFTKCHDASTADNRGGQSQPDGSIDFIINSDGWCKNGVPISDPN JR316_0010940 MTPDFLKPSKWALNQERPSKFGPNSRWSNYDMDPVIPEERTWTT WDFVAYWVSDATNAAVWQLASSMLAIGLSWRQATPAIAVGNIMIAIVMVLNGTIGARL HIAFPVLNRSSFGFWLSYFSVVSRVILAMFWFGVQTFTGSECVYQMLKAIWPSIARVP NHLPESAHITTVGMMCYFLYWLIQFPLMFISPQKIRHFFTIKGIIVPIAWLSILIWSF VKVPARQSLEPLHSSLSGSALSWAWLSALNSALGIYSTLAVNIPDFTRYAKNERAQYV QLFIIPVAFTLIGFTGIAVTSAGEVLYGEVLWDPLRLIDKWDNRAAAFFASFSFILAT LGTNISANSLSAANDMMVLFPNYINIKRGQIICAIIGAWALCPWEILVSAPGFLSFMS GYTVFLGPFAGIMVADYWLLHAGKVDVPAMYDPHGRYRYWNGINWRAAVALLVSITPT LPGLINNINPKIPVGNASFLFNIAWIYGFFCGGGVYLVLSKAFPAHETFMDHAILGEE VDATTASLESASQHEVDVKEKEKDSSA JR316_0010941 METPLAEAATQETASLSDSLHDNPASSSASTQAQELQPPTESVY SEPKGPRVHTPQVRLPPAFNKFILYENRLRFFIIASNASDSRHRIIKIDRTTQDEELN IIEDEVEYTGKQMTAMLKMLDDGNRASGGLGKAKMFFGIAGFIRFTAGWYMILITKRS VVALLGGHYLYHCENSDIVPVPFNHKIEKPAEEQRLMNIFKQVDMSKNFYFSYTYDLT STLQHNLTGEVRSGENDWPINDRFAWNFHMLTAPFSKQATPPLNHYWLLPLVHGHVDQ AKLTVLGRVIFVTLIARRSRHFAGARYLKRGANDEGNVANEVETEQIVCEALTTPFYY PDRGKGDAHRHRRPSPNYTSYVQYRGSIPIYWTQETTSMSPKPPIEISVVDPFYTAAS RHFDDLFKRYGAPITILNLIKRREPVPRESKLLDEYTQCVRYLNQFLPRGKKMVYRAW DMSRAYKEKTQDVISYLEDIAEESIQMTKFFHSGPEPYSHYLNSEGEEAKASWRGTIS LQNGICRTNCVDCLDRTNAAQFVFGKRALGHQLYALGVVDSPNLAFDSDAVNMLTEMY HDHGDTIALQYTGSALVNRVETYRRMPHWNSHSRDIIENIRRFYTNSLLDADKQTAIN LFLGVQNERAITHPPVRSGYRKWFHEEYLGPSRDVNDFQESLRRFVQQRGDYWVEYYR PLLFTSLGKHFAYSMNSTLKLPGKTAKDMNVSPFQPHGYRPAQGDPSSRVVQGVRRWI GSHHPSREILRAGKPIVRQEAKRPPPKPQVQDNKSTEALALASLDPAVPEKEEKEYTK YIVQIEDTPGMIPYNGLSDLKHYVEVVQIARGQLDYYPDDETCDHYSKYVERNSTRYP GGKGREAFHVSFNYGRWLDGWQEM JR316_0010942 MSSSSLVSSLMALQLLSRGATFLLNQALFRLASPAAFGAASIQL ELLLGTILFLSREGVRGALLRRGNASNKEKIKRTDPSTTMNLAFLPLIAGIPLALFTT LLYTTYTASQEIRAQPHFKTAVALYAGAAVVELLSEPFYNVAMTELKTGVRLRAEGLG ITAKSITTFLVLFYDATTGSGSLALPAFAFGQLAYALVLLGVYAVYFGPGRLIPKTQT SSESRSRSTLFNYIDTETLRLSYTMTLQSLVKHVLTEGDKLVLSYFSPLQDQGGYAIA VNYGSLIARIVFQPIEETVRVFFSKNFGSSSPFPSSTLRSASKTLHTLLSLQLTLSSI FLVFASTYAPLLLPLLLPPAYHATSAPRVLAAWVWYIPVLAVNGGLEAFMASVASAQE LNSQSRWMVAFSFLYILSAITLYRTGFGDTALVYANILNLSARIVYTLRFASRFFDAQ ESASIAASKSTPTSKYDTPSVTPSPSSQTQAQLFNWRTTLPPLSLLTVLAISAVVIRL SARRLGLDISVSSRSNSLPGGKLALLLSPPVLIHIALGGALGLLCLFTWWRSTGRDLV ASFTDARKVKSKAA JR316_0010943 MKVHGSPPRKSRYFKTIIALLQSANVKQNQPNTGSYSDLPGNKL WDVQDPFKYSPPKPEGDLWTLLLDPLIKKDKAQCEAWKDEAGLFSAVVTAFIVESYKN LQADPSDTIVALLSQIALQTSRAPNATAVKLEPADSFVPTQSSIRVNVFWFLSLVLSL ATVVVGIVSLQWLREHQSYESDLSPQEKYALFNMRADGLKAWHVDKIFTSLPLLLQSA LVLFLGGIVDFLHAIGYWAVTVPVMVAISFVLMFLIATTLLPCLQVIYLSTCIPFITR QTSKRIDPPSQCPYKSPQSQAIRRIFTFLHRTNTLYHLRKFFLIAKRLLKHILAGYPG RFKLGYGYNNTVTECLRMAFQTQWWTHFDVAWLSIRDAYMRRSFERELYFLRPEDQEV LPIHDAVAGLLLQRDQKSTAHLAYHCFDKISLMSVPRFCYYQETYLHSLLTNALGLAG DTSLSDFLCLPDTSSPPDPIYLSILRQQNLLLFIGGHTSPEGVPSYAAHKFELYIRLV KYFHEENKHHRAEIGDQKISWCLTIRQDFRYIVSRNMDPEAFIDIVWQLGNISLLTLQ QLESNIRMYSAPAVFIQHYDLAQLMYWVAWVTMWVKLPKDEVETMRTNRCTPTNHQQI FASIMLYLEDQMTSNIPVLTISAGSPNSENWRSSSSCFFFYLAVLYCDGLKSLNPYYY QKLLTLVLKYKKLTIDRGLSDPDIEAALRSCRSTVTDPALFSKKWWDNFTNRASIVVV AENN JR316_0010944 MDHSPSQVKSQSTAAGSDSQPDLPPGKLWDIQDPFRYAPPKPEG DLWALLLDPLIKKDRAQCEAWKDEVQNLLIFAGLFSAVVTTFIAKSYKNLQADPNDTI IALLSQIALQAERSLNSTAVRLEPENPFVPTQSSIRVNVFWFLSLVLSLATVVIGIVS LQWLRGHQSYEPDLSPRTKYALFNMRADGLKAWHVDKIFTSLPLLLQFALVLFLGGII DFLHAIGYWSVTIPVGIAISFILMFLIATTVLPCLQSEAMRRIFTFLHRTDVLDHLPE FLPTVKRLLRNLFGGDSHSFNPLNGPDYFNPFTIYLRKAFQAQWWLHFDLAWLSIHDA YMRHSFDQQIYSLGHEDNLTFPIHDAVAGLLSQRDQKPNAHLAYHCFDEIALMTIPNW RYHQEIQFTKFLNPPTQDMINAVRTKGYAPASYKELFLSIMVYLEDQMSTHIQALTTG MSAESSDSEIRPCLLFYLAALHCRYCSRWNESCKNLVNVVFEYKALTLDRGLSNPDIE AALRSIADSTRRDNSVLFSNLWWHELKPFDLCAVSHS JR316_0010945 MAPTAYTGALQPKKKSELQEIAVALRLSDQGTKDELQARIKKHL DSNQDALEDDPTFAGLFGRRKRSLQPQPIPQSGRFAPSSSTPDTIEKPRSSLGRASRV LPLDPIKEATPPKDLRDVSTFLKHPFSPAETTPAKSPRQIDLTTPSSLPPLPPSPAKS IIERLPKASEVKATLETKQEEALQNGYEMITSLRLFLSNSRNIWSLTAVFELLYIIST IIPWKSISVPVVPVAGTGPTLTVFYPPLSVFQTSAFWLVLLHWALPTLIVPTLAGYII SFNPTTSPSPSRSSSPSHLSLSPNNKPTPPALPLDPLTAGIIRVALQTAYPYPTIAAR AGVVGLDVLGKQWRLVSASVGLAFAFSEAIAGAPKVALDGAQRQQQRLAIEQRQREAT PVRGVLMDREEEENEVD JR316_0010946 MLNIPDSAVKTACAATCTTANTTLLACDDDISCLCSNATVNAFV GCESCMLNYLIAVNKPAPVPLAGSNPLVTAYAAQCKSDGNIILAANQSALSLPDTWDG PFVAVLPTGGAAVTVIVGAVLGLSALLILSNLE JR316_0010947 MSQYKSAIRSQPQSDSAPTSKYREQARQLQEAFPRWTNEDLQLL LAEVNGDIQLAATKLTDGTVEQWDEVSRKKDKKASATSAHPSKSAAGGTARGDARGGR GGRGGRGGAAGRGGAATRGRGGPPRGAPQANGHAAHHAPAPTNGVASTSAAPAPAHDD SAKHEAPAAHDQQQQNGAAWPEPAAPQTESTWAAPATASKDLNGSAHTPTPTTTSTTA ASKLAAKSPATSKLSWAQIARPQEKPAVVAPPRPPQQQPTQAPPAPPSIPPPEPAAQE PEAPSESHEHGWEEPTTVEAPTWDDDPQASQPVAAEPWPPSSTETAAEPAKVEASPAP AAPAVIQPEVKPEPEEASVPEPAVPEQQPKVETAKTISPPVAAAVAVSPAVVAPATPA LSAQPTATPSPKLTTRPAVQSHRSSARYKVTDQPVTMPISFGSGLEKVGMQFGSLSLA GDGSVTVLPEPEAPAAPSEPASPPVAQVKAQEPTPAPAAPPATAPAASTSLTSVFQQQ QQQPQQQTLAPPQTSVTHHTIPTSISQPTPPTQNATAHTAAVNSPLQPFAQQQNSTTT SQQSLSSNNNNTAPASTTHQTPQQHQQPPNLHQQPQHQPQQQQHIQQHLPQQLQQNHT AHQQQQQHSYAQHGLPTHLDPATQQQQVQAPVQQQQQQQPLQAAAHSSYYRGAEGAAS SPYGFHAPTPPAAQAQANSYGSFGQLGAQAQHQQGSHLGGFASSDYGYPENSRAFYET YAQQTGFGGRNPLVHDDVKGIPGSQQPTDLSIPPTTGQAPQQHASQPTNQPQSATGQG PQQSYPPPVPYYYTHAYPQNQYYGTPYSSGYVPQPFVKYPTMFQPGPPGPGSAANPSA KQPAGNVGVGVQPQTNPYNPGLYQQGYDDYQTHAHHSQHQHSHSLGLSQGGVGVGVGS GEYGKQLYGGANQGGMQAFMGLGGQSGAGGAGGPAANAGPRSAASPEAAYKPYASKDV ASGPNRGAPGVQQGGQGGQGQPQGQGQGPAQGGGGGGPQGQGFYGGNRFGSGVGVGGA GSGVGGVGGPQQGGHHQQQGGPHLGYPQAGNEPNFYGYQPRQQGYWQ JR316_0010948 MPPSVTVRRSIRWLPDEASEPTHTVVITGHSGIFVDVRFKKGTG EVDWGFAGYRYQLSEDTVQFKHHIDSRTLDPLSVVDIGKNSTLPNGQTLECGEMVNPD TGKMTRYEEIWEDLALPVDSDFADSELPEGAESEVEVEVVRKSEQEDGPGCWWYAQVG GWSVGLGRGLDGEFWAFQARLVVVGDEDEGGLVKKWEKVYATSNCPETGPWLE JR316_0010949 MTGLGLTVTEEVKRRVGQHFVLGFHGYDVSDDIKTLIQKYYIGN VILMKRNVQSAAQTKRLVDALQTLAREAGQEQPMMIGIDQENGLVSAFSSPTAGTTFP GAMALGATGSVELAESIAKASADELSMVGINWVYGPVADVNTDSRNPVIGVRSFGDDP EKVAKYVVATARGHAAGGVASCGKHFPGHGDTHVDSHLALPVIRKTHAELAAQELVPF RALVDARVPSIMTGHMALPLVTGDESPASLAGEVTRGLLRGEMGYEGVVVTDCLEMDA VADVKQGGCGIEEGAVRALEAGADVVMICHTFARQVGAVKEVYEALWQGRITLQALEE SEVRVRKMKEVFGKQQSDEGDWGMKFMEMKMQNEDVSRKAYLQSTTVVWNGAGVIPLK VDTVVLFTPEPESVNRAVDSGDGVLKTSDGVVRNTAAPYYLSLAKSLEKSVNVRHVVY AAGQEPAAVGNDEGVIFVMRNADLRAWQIEYLDKLVENIQVPLVLLSSCGPYDLGHGA GERFADWTGYVATYEFTAEALDAAAGVILGTDHCHGKLPVVVG JR316_0010950 MKKLFGHKPKTGKSALGSRDFSEDGLRPPIPTPLYNKHATHGNP MAGPLNQPFAGHHVTDEELWEVVQPTPSSTADSPRIPGVQPPPPPSIKAPPSRSGSFT SLPQSHGTGGISASAAPPRSASPMSTVTNTSNKLVKNHPSVAAAGGGSRKKSQTSAPV AVGILRALEPPRMVPNDPQMMIPRASSEERRSVSDNGEREKKKGFWGSKDKERERERL KEMEREHQMREMAVARERERERETRPQEYERGREQRRDEDSQAELTRMIGKLPTSDVS H JR316_0010951 MTQIDIVKLWAIMLRNSNDLFIAQSTSRKFLDTIEDLLSSARTS PVVRERLLEVVAAAAYASGNKKGSDREGFKGLWRRVKPFDKPDEGVPFDTDDAMFNPP TATTVGGRVSGFDVPTVLYQEASPLPEDSAPNTPVPNPHLNANPNGKRRKSPTRNNRI IPLDEDMRRLFQECKIAQGNASLLAQALALAKPEELKKQDIIKEFYAKCRASQELIFA QIPWASSLAEKSIAMRDKDRDRDKHGRSRKVSSNDSHTNNTAAVNGKATDPPPPLDAT IEEQLLAALLAANAELMEALKVYDDLKRVALERRIEDQSRRDARSARRCTLVLATGCR ARDQLPAEPLGSDAAFGSRERERSRSRSRTPSPESRSNSPYPSTLTQPNPHPHPQIHA HAPPAHTHAHVQPRSLPVPMSLPDPEQNLAPPPAAPHGPRLPAHGASSQHSVRSVHSR TPSPGSPARDKFGNGNTKYYADSVSSHTAVVNGNVQGDGEEEEEEEYFYPTKPSAKAL GKRKLVEPEPDPTFPASGNGASFDPDDIYYGIGGGAGGGGGRDHPPFGVGAGHDDDDD EDDDSRGREYTLFRPKVNFVYDAVAEREMMRMRMLQEQQQQQLVATNGVH JR316_0010952 MQATSDPTKSVSLKANPPIVNGFVTCPKCQVPIKADTFGLSNYY CHQEITTYRGNQCRQEKIEKKQKADKQQAKEQAVFSSFFKPKALLVLPTIFAPALVKS SAARPVSIASIIGKSDKTSKCL JR316_0010953 MASTSVVFEPAIPAPTTLRIIEINSRGSISSRTSALAGPWRNPD TRMVPYPAPATIRSLASRIGIKPTFQALQIMESITTSSLQIPSDVSFAERLKTPPVNS ETIDDHMVVNGKITSLEIEKLNNELFGPESKQWGDIPNFDDQRERSPDVPIEERVDYE EDNEDLYDGYYDDHFIDRQIPTETTPSRDDNDLTVDRPEEEEFPIAKKKELKNPKR JR316_0010954 MTKPAAKDFEDLLQCSIPVFEGLLPPAQDKILQDTLKLCPLYAT KKLPKETAARARRAANNAKKGKPATASSGSLNAKVAKMLNLFTYKLHALRDYVKTIWM FGPTEGYSTQRGELEHHCVKHFFVRTNKGSKFEWQISRHERREHLLYSIAARVSKLEN NNLKKAKSKAYKSQSSTPSSQKCPRASKTKSYVKPPYIISPTKSESLPPISPSEHYQM STSRHHKLYLYQFMDKYSDDAAVIETTSSLAFLGILMEDKIMFIQMRTVPRFMDRDMF NLQPGYFGLYAANLDEELADNEANPNPPITAKQAAAMDNSESEDDDESEQEDQDKDNE GFVGEDGEEPWDVNDMEAVGFAEF JR316_0010955 MKAYNGLTATAATITHLKQELMHAIWLILLDEEFIEWIFKHGKD VKSTCVKDILQDILAVPTQNAFSTRLSQFGFNLHSMFVPDFLHKFELGVWKSFFMHMI JR316_0010956 MQVDCELVCKPVDLNWDTRSAKHAKLDAKASKENQPDNEAESED IPATYEEADIIDPPCPTSPKPAPFVPPPMRLGRTCKYPKKHIDFLLNSSMCVPHMPPL VPCVCPPPRRALPRKPTPEPAALLFEPTVVQTLPNEFGMYREYLTFPKKKDNDFEELN TLCNVPGLAISLPKELGS JR316_0010957 MSFALQAALANNMKKREKIGVLEPFMHHATWHIRNGTIYTNWEH IIFTGLKANKEKFGNLTPEEFAAKHKKALATYEELRDAIPTFDKNMKTIGQDPDYLNR LCKMMITAAGTARSNNISSLKKNALTYAALCLPEGRLEPPINPNDSKKTTRGFKHPQF GALLVPANCFKQYQNDPEYHQKLMLNKVTIKAKAMPHLIYLFDKYDPKHILEGMFMAL ALVAVFQHIFMSPSSALKTPGANCTGIGKAWKHHMKLVTIPNIAYTCTHYQYAISRCM DWRQNNQHFNYEEFYIEVVKMLEWARDNDPKWWSDFITWWNVQVFPIDKDSVTESSLS DKEATTSTFKKIKAQVKASHTEKADFVDPALSYPNSDWR JR316_0010958 MQCPRCSGLHKNEEHCAVAKCCKGNPNVDPPILPTPEGKECPHT PRCPNCGKLHAALNKFCTLLAPLV JR316_0010959 MPVITANLAPPPMPVIPSNLAPPPMPVIWKDLALPPMPVIPANL ALPPMPVIRNDTAPPPMPVIWNDTAPPPMPVIWNDLAPPPMPIIPDNLAPPPMSVIPP TLAPPPMPVIPDTLDPPPMPVIRDDVAPPSMAAHVSYMFVVNKIIMDSRPAIFSERKQ GHYSPPPEACHLLLQNPYYQIYSRFDPMDGYPGSPPTQSNIEFEDVTDQTNYTEQQLR ATYDQIIDSSVGDNSYDGKVTTFKNLHLHQLQSEILTYV JR316_0010960 MAASSSTTATFAQRLADWEKKFTECYCNGESTFNAELEQLYKDL VPLCQEHVRDAACFRLINYVASPVVYLYKTSQDKDGKQVARFEVDWATLHHQVANFKA YQEGQEAQRKRREEEEQEKRREKKEQEEEERQRVEVRRKREVRRKREEKKKREKEEER QREEEEEGGEEEEGGREAEDGGEEQG JR316_0010961 MPGHPAYREKLERLAKSKQGKFRSKAIIGSHTDKDADADVDKDN KGDNKGAPSTTPTVTPHPEDAHAFRKEGHQRRCPPHSGRRGQDPRKCTACIKFKVPCY VKGKTGTEPLVPVKHQSCESCKSRKIHCSFYPGHNTFVGQFLTTPLGSYGEALKLEEG EDVPAKGKAGEGLFPEDVEELLVQLFERQGRIMKRLDGLSASMTVINAWIATFAETNL AVENRMKSIEDGIQEVKAKWTMAKE JR316_0010962 MFNNITKRINHVRDMVDNLLEQDKQRNPAPKQAAGPSKTEVEQE LGPSAILARFNSGSAFAPLAGRPLLLLVPPIFSLFPPPPLPAPRPQSLTSKAAPLSKG APLSKAAPLSKAGPSSKAKPLSKAKLSSKAGPSGNRYSSELSDPSDSNEVEIVEENVE IVASMLPASNIATKTRARQKQKAETTLAEASRLPKKSKAQKK JR316_0010963 MEPLYPFNLFDGLEAHPILPPPAAITKRRLRQKAIQLQTQSSSD SESSNLSEFDNGQGSPASAMPCTTARFEVTPETDETPTDATSRSSKPPSLPSRSSPTI VNPSSSPTPEVEEAPPKPARKIMPRKRTVPYVGTAEMDRPDEESSVPPQLSQNQLEGF NLGKKQSPTQIHLTQWKKMESK JR316_0010964 MGFKKIPDRGLDEEFSNVWEASWSSGAKKQKRGRPRIHKLPAES SSTNLPQTPTYSFQNHEHNILEEEIPHYPRDLTDQQVLDNLRQLDDNRTGEKNDQDDF TYPVSK JR316_0010965 MECLYNYASTYILGVGIIDGEILELLWSVLNDTFRSTCSATTAH QAEVLDDHMGDSNWKKTINMAATIAAKFKRAREQSRITDQFYRGITDQQDSGLINTWE DEISKAEADQEQGVANAVGKVMARKVKTAAGRQEIELHLSNMELTSNGATGKAAWISS GLKLEQAQLELRET JR316_0010966 MTFMGKDVLEDIQGDIAPILDYEVSDNDNPDLGNVNITRADPEQ QPLPFPSAVKPDFFDSLDAGTNLILKGLRKLELQIRHGHADDCLEAVRSALIQLSWQY KYQVQTADSVYMGTCAWDGVKLLNASWKLHRRLYNTNRQKMIYLSTGVQDKDNIRKQY PILQVHDCKHSNAVSDPNICRGSSDRLIFRLNWLRARAQRNRWQEELALTKKEMEWTV RFYVYMAKTWCARHDFIPDRLTSSLNILVVSHLVEFMHLLQLFKPPVKDEHHLLTYDE RRALAKVHVHICGTRIRAGYRLFIANGDSVSSSIHAVLNRTPHHRAILFESLNQSWSA WEDDEILNQTKSWGYWWRDGFAEGNEWQVAFVTVESQAREFWNKVVLPEYQQEVLWLN NQRKEAKEGANSSTSGPPKNQENNPKGKGKAAEQTSVPISGKHGSAFMGKHGSAFSPP TGKPNPPTLVANTRDETSPIAISDHRPPYCPRCGQPILTGVMRELAYLRRNVADKIKN AHKAVTTSLATLGRYSVLEKMWIDSKEHLFSNGKGLSAKYKFQHPVHPSPDSWGAIMA QARSFKVSKLKVTLFYINNIFYSFIAVKELPFHPLWYHLPPSNTANIHLPTDTLPTPG SVSPPSNAHTSNTFLFNARSRPVFPVEEDDNDSTSDTTESSTPATFLEHLAQDFKEEA DEESSASNTTEESDASGNSEELSKDVSETPWDEES JR316_0010967 MIHKAVPLATSWRINDSYFRYEPGWLQSGNLNFFPTWFQQGHER SNPLEVSLDLCNPIGQEFIRDMMTSSALLEAILSIIHSKQYRAGMKFLQRLAAELELV HKAEILKQILTIWSSPFGVMTTISNQDTPYHRDNGSCYSWYDFLMPLGKGEHGRLELP GLGLWYKYDPMILVAITGRLLQHGAVCKGNRAVIVYYMRRTVFEELGVQEAGWSTTYN LFCKFTGHQYF JR316_0010968 MADPPSSYDGFMTMSLEDRFTLLFRAQQVRFDADKKVDDRLSAI EFKLERLTASLPKPPAAPTPSARAPRARRTSRKERPAAPTSTPTTGPPHSQSIRSTTT KAALEKIVATLSIADEQAGHVIGRAGTGLRQIHNILHAKILVSPVVTSGLRAVTIRGT AREVGDALSAIGKRIARRCIRNPRSKKPKQPPAPTAAPPTLVIEPPSPTPTSSSTLTT RTSHSGTASPHLPTPMAVDTRSSPSSSLTPGSPMEVDALRAPQQHSDGYSCPGPVQPR EGIQTARRGGGPPHVFGANRPR JR316_0010969 MTNETATHDVDEAVARPAKRRRVETRMPVLADGFVVHDTPTPTT AKVVHKPAVPRFISGFSAGDGVNEDGTIQADVGRAPGKGRDKMKGKAMVHRPPVLVAG LGASSSTSKLNNDDSVVPPEKSREKRRVGSVPHLVLNAPGTAKSAGIGNGTASHLRPA HAPPAVVLPTKTSLRQINPPTIVLPPKAPSKALQPIQPPNLPLHPAKKKELYALSTSG LGRLSDLSSQNFAESLAGILLRDQRPDLTLLRLQEEKEGKDDSDLLRGLQMSPEKAGK GKWKGKGKGPAFVRGGLAAQAASFFERSSTAHILWKKDIERTAAAIHASLPAEFSARV VKVLHRRAVDTSHAPCIALCQIRAQNGGPHPKYQASTLYRVVFATPTSKSTATSDKSA PSFAEGQTISIFTPYRELSTSPNASNNAPEPPRRQLAPLPLLLFTPPVPPTPDPLTAP TIDCKVVYPIAPKASMHSDAFDVIPYDVSLLPAREKGRCRSTPHLDLSSSRTRADVLR FSVCNWDIKGDWQKLGSGSFGNVYKGNYLGIEVAIKEVLPSTEYDVAKYFEREWRLMK ECRHPNICLFIGLSRAPPPDNRIFIVSEYIDNGNVRLYIHDTARPFPWKLRLSFATDV ARALAYLHARSCIHRDLKGENLLVTSNGRLKITDFGFARIAARNSEESKRLTFCGTDA YMSPEILRGDAFALPTDVFSLGIILCEIGARRLADEHHFKRAAPSFGIDPAEVKRLMS PGCPDDFYQLCLDCLHTDPTARPTTRVILARLGAIEAEVLRRPGEGDDGMHLGSVRFM TGGKRPTPAPRIPSFGMGVGKDIRSGGASSSEDDSDDEELMEAVAGLSSVGIKSDWSD KSLSKQPLLNRTTESHGSEYSTTVIKPHNTSSLPPSLSSILTIRPSPDPSPADPPSPP QGASTLNGRAPLQALEDPLGTSSILSIATMDSYHTASAASVSLSSSTVSSAYATEGGS TIRGVDVDGGGYAPPLVHRFTLLKPGAKQKRHSGSGPGSPPLGGAANGNGNGNGGGGG GGGGAAAPETSGWNPLEILFSSGLLVGKCDLCNKRLGWKPVLECDDCGLRTHVKCGEL APQNCGIRLAGAGGVSGPVPTQEQLQNPFYAMSPLSKVRQQAQHAGEQMKTRSAGPLA RR JR316_0010970 MRSRSLWSETLLNATTKPQLKEAKDETKDDVPLAPRYMHESYSE LVLPFGSSSELLEQYTNASGGIRTGKLMEHLDSLAGSIAYKHMLGPTVVNIQNSGFYI VTASVDRLDMLSPLDPTRDLRLSGQVIYTGRSSMEVAVKMESTGKGLPDETVLIGRFS MVCRNSTTHRARQVNPLALTTPEERSLHLLGEHMKQRRQSSALKSLSRVPPSSEEAEE LHAVYLKHGQGKERVEGGGDLDPGVDHVWMGDTVLEKCLLMFPQERNIHQKIFGGYLM RLAYELGFANASMFTRGHVRFLSLDGISFARPVPIGSILRLRSQILYTTEAAEAGYAI LVHVGVKANVVDVKTGQEQTTNDFRFTWCQDKEIASPDLRKVVPKTYREAMLWLEGKR AIELGAEIRGLRTK JR316_0010971 MLSFAFRRAKKPRLPTHPRLIHSTPPPENAKSDAHKAVAEENKD VSSMDTLLRAGKDRTLRITGPFLDFLELTDYGTAG JR316_0010972 MHFSTYFSRTGIKAKAASAYARITLTRGTAAFFVFSFIYCFIQG IVQSFLFSTDVAYGSLVSGIVSGSGLVTRQETTFLEGTRGHLILQMCTDIPHGQKVYP CFTIFNASALASDGVSAPGPVRTLGDQWENGMQMTAGIDEQTNQPAVVLQSSNANITL SQQCVRNLAYTSQILANLRREDIAWICLQFWLLAISFLAILNDSVPHILSVLMTRFIS TAWSSYSVWRGQAFSANFQELIGDPGTPCSLDIFQSFWGSRFGLQIADLLLSATGLIF FFCLSWKLLRIYSDQSFKCVGAPEHVMRIHKFFMALLASLQLEAFVLVTSGGLWIDVL MNTAIVEISAHTKLYQALFISTTILLVPWIAMGWYSIRREMKRVMIIFLGIGICIMAG WATMFYSIVYRWSFMQWPFLGCFTVASFILLLSSIILGIVCRINFGKGLAQYLHAEET LQSLNFAPDAFTVRTRTNSIKDLESRAGSGGNSGGGANFYHPGDEKFHDSEDDHDHYK SSKDFTQMYFVQTLPSRAVGGGGGGFQTESTAPSFYEYSTSRSTSDRSREERSFFTE JR316_0010973 MVSLAARQLWKRTLSILRVKLLYSRITLTRFTTFYFFLAFASCI VLSALQGVAFTDNSMAVAALAPVVKNVPSDQVLVLEKGVLQTCTSIPDQRGTKCTVHL VFGSRKSKRHWDDDGDILDIEEAGNGDGDTDGLRNGSPVKSASSVLTNDSGLSDNCIL SMAWLDETLEDSKREDIVTFLFQIWLFSLALVTILNESIPHLGAAFFGHVLGTGWAGY RVSSSQALTSFYRRHIVPEACGGKDLLGGWWDIRIQHAVPIVIVNSVVLLALLYVSAR LYKVYATESFSRVGASPVIHGVYKTVLLFSVGLQLSGFFSLASSAMWIDKVCHGMMKM MALHSKLYLAAFVIILVFQFPMLYLGWVCVRKECRIRFGIFCAISIFLLSISTLMFFS PLYRYIFMTWPFFATVTVTAYVLTVFTSVLAIVCRLNFGKGLAHYREFPSFPMRNVLI DGPAGVMFTVLLLDGVVQVTDALEGVDFTPVSFWKGHGYGGDTEKMDLYGKAKQGGQG DASGTRLGDITLQLPAMAHRHQHQQDESHMYTHTQPRARARAHARAESNGRPRVQDRG SSIYSDEGAGTPVMLSFSPPLISEMAPAPTRLAKVAVSRARRSGSLGGGYGHGFGFGH GHGKSQSLSQSLGGSVLGDVPYPPPGLDMREGVGVRSGWDDEGSEEEGVRGGYGRNEA SRVQARGDTSPSSTSNPTSPSSQSKGTQGAEAAAAPVGQGDILTRVPKRSSSIQSRAS ARSRSRSGGGAGKLVKQGLPANPRARAGAI JR316_0010974 MGRAALLLAISLYLQHFLSCSRFAYAATTAPPSPKPPATTKHHQ VLILGGGVTGVIAARTLHERGIDDFVIVEARNELGGRMQTATFANRTIEQGPNWIQGT QEGNGPANPIFTLAKKHGVKTQFNDWFGSVSTFDATGAVDFLDVFDQSGDDFDNLTVV AGARVDQNLVDLSARTGYGLLKANAKNAHASASEYYQFDWEYAQTPEQSSLIASSWGN NFTYDTDQGGFSDDNQMSIDQRGFKTLIQQEANEFLKPQQMLLNSTVKSISYSKSGVT VTLVNGQTLTGDYALCTFSLGVLQHDDVSFKPALPDFKQEAIQSMVMATYTKIFLQFP KKFWFDTEMAIFADSERGRYPVWQSLDHKNFLPGSGILFVTVTGDYSVRIEALPDKQV KEEVMGVVRSMFPNVTVPEPLDFFFPRWHSNPLFRGSYSNWPPAFASQHLDNLRANVG RLYFAGEATSRKYFGFLHGAYFEGLDIATIMANCIKEGSCADMEHFANINNILPFENN JR316_0010975 MPFSPPFPPHDPTDKNGYETVIKRWPIILTGVVDTVHNACHRLT VQLSEIGDEDAEKKKVLQEKTTEGTAIIEKLSKLKYEMARDRVLVEIPQDGEASADLY NTELEALKQDNRNTWFTAPWLFAEYRLLRSFFVQTQHWKTYDPFEDQKLKTFKHSGKA IFQIAKTIHELGSDVEGVKSDPEKLKILFNEMIQMCLWGNATDLSLLTQMTEADIQNL QTVGKDARIARQQFILKDDEEAVWSYIETLKDAQVDFVLDNSGFELFTDLVFADFLVS YTPYVSKVVFHPKLIPWFVSDVTPPDFKATLSILSDVTFFPEEVVNSPDVNTDYLKEM VGRWKKYVDEGVFALSVPLDTPLGGDAGSEVGEFWTTPRPYWDMKTEAPVTFSQLAES GLVIFKGDLNYRKLTGDIKWPAWTPFEEAIGPLAGSFPILSLRTNKADVVVGVEREVA DRLDARGEKWRVDGRYALVSFLPKA JR316_0010976 MDTRKQEYPELYKAAFTQPAIDNHAHPLLRVDARYKVPFEGLVS EADGDALVEDAPNTLACMRATKQLAELYGLDKSLDWEQIKEHRDRLDYSDLCNLCFKN AGIHTILIDDGLGGVAEMAEGYAWHDQFTTAPTKRIVRVEIVAEGILRTLFAAGKESD LEGFEKTLQESLKTSAEQQDVVGFKSIVCYRTGMNVSVYGSDSEKREALAQVYATYKE SGKIRLQHKPLNDQVVRIALTVAGRYKLPVQFHTGLGDSDITLTLSSPAHLQPIIKQF PDTPFVLLHSSYPYTRDAGYLAAVYRNVYLDFGEVFPFVSGDGQRSIIRQVLELAPTN KIMWSSDGHWWPESYYLGIYQARQAIFEVLSDLVERGEITEAQGVQIIEMSLFKNAKQ LYQLA JR316_0010977 MSTPEIPSISQRDVARYERIQGYIHTSALSVLLYDFTLTFGAEV HFIWRRPKTLSSYLYFLQRLLVVLAFIPTIDLLLNADIRPQNGFERFAMPTFTVDYAD LNVSRRCPSDVVENIATVVLVANEFFINFYLSLRICALYKQSRYVIAFATVSAVIAIL IPCMLIHYQLSFLKQSSHFSAGAYADTMLPSISIIMMTRLVLNLHETADGDIGSYPSK ISSLHFQASNFDDN JR316_0010978 MAPTLNIPDSLLRLAPEIILAIGNELGLPDIKRFRLSCKAVAAI LHHEVFRTVHLEVCKENMVKGVRKIEALAAGKHPACAAARVLSIGMLAPAASRAVLAS TIWSPSPYPEETSEAADARKRLAAHLFDAITSLRGVNSVIWEEHEHDCYPASRIAMNA IKELPSLRSVRFRFESWPQIPLELDCLNDLTEISIKTGVAFPPYAELIFDNVAKAIAQ NPNLRSIDICNTGIDHLHAYNSLHNLFRLYPRTAPPLGLVNLKLKSVNLCLDDAVVMR HLISLKSISLEDIHPHPSHTSLFYTSLPSDVWRVLDSNGIHLEEITLDEAPPSFIDYL SSYSGLKRLRFIDNPCKPADGTADLFFEKALLGHAQSLECLEIVPPYPEGRWCFSGSN SGRFSIFSKLKHLAVNFQLSDLQDFDHVGEKRSSSPLDPFVSKINTLNHFTRSDSTP JR316_0010979 MTRLARHPTRTQSRHLFSTLSVAFFALVALVCLSPVAVNAEESH PEYGTVIGIDLGTTYSCVGVQRGGVVEIIANDQGHRITPSWVSFTDEERLVGDSAKNA FHSNPENTVFDAKRLIGRKMDDPDIIRDIKHWPFRVQEKNGKPAITVKHKGEDRHFTP EEISAMVLGKMKETAEAYLGHKVTHAVVTVPAYFNDAQRQATKDAGTIAGLQVLRIIN EPTAAAIAYGLNKKGGESQIIVYDLGGGTFDVSLLSIDEGVFEVLATAGDTHLGGEDF DNRVIEYLTKSYKKKTGTDVSKNLRALGKLKREVEKAKRTLSSQQSTRIEIESFEDGN DFSETLTRAKFEELNMDLFRKTMKPVEQVLKDANVKKEDIDEVVLVGGSTRIPKVQQL LKEYFGGKEPSKGINPDEAVAYGAAVQGGILSGAEGTADVVLVDVCPLTLGIETTGGV FTKLIPRNTVIPTRKSQIFSTAADNQPTVLIQVFEGERSLTKDNNHLGKFELTGIPPA PRGVPQIEVTFEIDANGIMKVAAADKGTGKSESITITNEKGRLSQEEIDRMVADAEKF AAEDEAQRKRIESLNSLSSFVYGLKTQLGDQEGLGGKLSDEDKKTILATVKDTTDWID ENGSSASTEDLEEKLAEVQGVVNPITSKLYSGGAGAPGGDDDDDILRDHDEL JR316_0010980 MSDSVQDVGRTLTGGIQDVAGLLPLLGTEQCEHHVGSALAGGYL YAAGAPLSIFGSLGIVKAGISTLVSSISIPKFSISLPMLRVKFSPELWLGSRMLNNAG FKPVGDVANLIAMDGGRYQAETRIIDILQEKQLKNAEKLMVAWKSQIWNIWLLLFSFC AAILGVTPYIALIVRDSQPSLIRTPWIFPLLRTIGSSVAAVCCQFLMQARVISLMKNR IIFIAMHRVLVNELKMNHSYAEQILAKDENLMWDLTLPAEQSLWRLELFLNNPLNCPS ESSDEGKRTNLDESCPDPVEPKLKCPEETASCSLGLEEPPKSENPNDGLYCDSTLFPQ DMYYEPKGQPHPDGLAFVSSPINEIPDQSANIPMEPSKHVSIGMQSPSFSNLGHLKEH LTALRNKHFPTAALDRIFFLISWIVLCISLPATVVGYVGCFTLVSNSQDNGPLIWLGL EAALSVIRILVWAWNPTWDEDTGVMVNLDLTEGHPMVTTENSVEVIESQGIKKTLPLM PERQFLEWILPYTGPVERFNSLANLSLYFTLTAASRPIQQQRLYMTAFDSNKRIAITL LAKDGVINYIDVTVAYSDIANEMEATTDANAEHYKSHAWRSNDPLLFDMLEEYYKSLV HALNQTGTNQTATLLWNWTLLPGGVELAKGSSNQIMYPLSERDQLYLDRGYEHSLKSE LIDNLGHSIVKNMKQLHMEAWDYFPHHQWKLDSEKITWEGTEWDLQRAWECLKRELAV LKASVKLEEVLRGKMVAFCAQQEQEHLREWALTQFTIGRRKRLERERDQARERMNREM NSAEGLAEEYGRPWNQQGIFNPQGAWSHGMILMEGEWRDALQEDGQKPSGIADLKAYL ERASDGWPFLFSDSHQTRFRFVKEVRQRLKQLNCDSHRNNERLVIDEKIERIRHTVYS SDLQNVYQYPIAYNSTCGRFADLHGTTSLELAKLYASTVYGVQGCNNEIILEVIKNKT ARSVTGVPLELVDSIPENEHLLYISGPDGCKIEQPAFIQRNRDRWWDLQTGNSFMFFH TPEGPWQASLRDFTISETLAQIFVYITTASSIKIRLLHCGELLANASEVYVRHNGEKL ISIPLDDLTEELVSESFELGRFEPGRYQMELVAKSHGSYVLQNLFIDFIDEEVPVLDE SGSVS JR316_0010981 MASSPILYRQYQGESDLPHIMALVQSELSEPYAYPADSVDAAPV GVIVCKQSIHNDLANRGYIAMLSVDKKWRKRGIASSLVQSSIQAMKQDGVDEVVLETE YDNFAALSLYESLGFIREKRLYRFYLNGKDAFRLVLAVMPQPIDDGGENTPPAESSLS KRYANRRREASYRAICVSPYSDDEDEVSSR JR316_0010982 MADGFVSGTTKRMSRKEEIRQKDEALLATLGYKQEFKRAFKPLE VFGIAFSIIGLLPSIASVLFYAIPNGGGPAMVWGWLVASIFILFVGISMAELASAAPT SGGLYFWTYSLSSPRWRNILCWSVGYANTIGSIASVASIDWGCAVQVMAAATIGSGGT FTPTSAQLFGVYAAIVLSHAVICCLGTAVLARLQTVYVVLNVLLCLAIIVALPASTPH EFKNSAKFALGDFFNSNGWPDGYAFILSFLAPLWTICSFDSSVHISEEASNAATAVPW AIVNAIGIAGVLGWAINMSLAFCMGSDLESLIDSDQPMAQIFFNSFGEKPTLAIWSLV VIVQYMMGSSMLLAASRQTFAFSRDSALPFSGWLYRMNSFTKTPVNTVWYDAILSLAL GLLVFAGDQAINAVFALSVVGLYYAYAVPIAARFIFENNFKPGPFYCGVLSLPIAIIA VVFMTFMSVVFLFPTTPTTSVEDMNYTVAVFGGVMVLSLVYYYFPVVGGVHWFTGPVA NIEVPSSDSASGSFSGDNFEKKGNARVDTVNA JR316_0010983 MPSLAVVNGSASGLKDDTTQRDEALLASLGYKQELRRTFKPFEL FGLAFSIIGLLPSMASVLFFAIPNGGGPAMVWGWMVASLFVVLIGIAIAELASAAPTS GGLYFWTHSLSSPRWKNVLCWTVGYSNTLGNISGVAGINWGCSVQIMAAASIGSNGTF TATPAQLFGLYAAITVSHAVICCFGTTLLARLQNLYIVLNILLCLAIIIGLPAATPTE FRNPAKTALWDFSNLHGYPNGFAFILSFMAPLWTIGGFDSAVHISEEASNAAIAVPWA IVSAIAISGILGWAINMSLAFCMGRDLLSLVNSDQPMAAIFFNSFGHKTTLVIWSFVV IVQYMMGTSMLLSASRQTFAFSRDSALPFSKWLYRVNGYTKAPVNTVLFAAGSAIALG LLAFAGSQATNALFSLGVIALYYAFTVPICARFLGKNNFKPGPFYCGILSVPISVTAI IFMTFMIIVFLFPTTPQTNVGEMNYAVAFFGGVMVLCLIYYYFPVFGGINWFTGPRAN VGSLPDSGSTGSFDEEKKGGTMQTVEVINS JR316_0010984 MTDTPSTPSTPQNIRRPKELPLDSDVEDPLLTPLELPSARGGLL DFPSEEFADLTPAQLYEMNQQLLNDSVPTRPLIDSISPMAALRSEYENGSLSFVKQID WLSDHGFDRVRRTKALGFAYIESLITSSEREFSVASSLSILSGTRETLDSAGIEKLVY EDFYDDFTSLIESIIKPNSDGLTLDSDGLLKSFQTPEVSNSVVIYLRFLTSAQIRLNR EDYEGFLVHPDTKDLMDVDSFCANVVQAMGKEADNVEIQALCRALQLNVDLAYLNGVR EDGVDFIKFRYDSSQDAPPLVLLYRPGHYDILVKKTDQ JR316_0010985 MPFMLSDRSLIVIQRTEANIEPTSTPLRPPYRSLRSAVEDALSF KDTLENHFGVPPDQIRTLLNSSASRSGILNAFRSLASDSRIQKGDPILIFYAGHGSEI PPNTKNHNAKIQVLVPQDYCSDAGKEVPAIPDRTIGALLSNIADSKGDNITVVFDCCH AASGTRSSQSEDPQVRSVMLTPTTKDQLADHEIWGGRSITTPKQFQHMGLRSHVLIAA CASTETCREIGGHGLFSSAFLRLLRTCSPDKLRYSDILKHMDVIPGQNPQCEGVNQNR TLFNSMVLAPQRLCFDVTIGDSGKIILAGGAVHGIMQDAEFAIFKNAESIPHTPLGVC EVDTLSPFSATLKPSSFDGISFDGTGFALQIKAGSKEDMRLYIPPEEDMAICADAWIS LMQRRRDFQNILLADSPEDAHVELRLENGKIVFIYRDPKITQHGLDRVLFGVEPEIHD LSRALESMAHFLWKLNLTNNNPEVMSSIDFEFYRLHEPDFDNDENQEMSPISPNLYQN GVVDFVVDDEPYGIKLVNNSEYDFYPYLFYFDNSDLSIAPYYESPSSGLYFLDVPLKA NGGSLTIGYGTGGAAPFSYYLRYPQKIDVGYLKLFLCTQPVDLSNISQFSPFENSRGP VDTLKTTVKTWGTILVPVTQRRHPLQPETHCVQCGSISAKSLDLKQTTMIHNLEYKAE ILAKEIDILHKSAAAEKEFYERELSELKIKLQGRVEGETNRPDPGPKESGHQPQEQAS FVAKNHPISQPKKRPLSSEKRQRWSSFVKRFIHIQLKRVA JR316_0010986 MTEEAGAVPVAQRGSWTSFLKSIASFSGDLSSLTAPPFILSPTS LTEFPAYWCERPEYFAAIADAKPGQDRAIAVLRWFISTLKDQYTTRNETMGSEKKPLN PVLGEVFYGVWPDKNGRGRTELLVEQVSHHPPITAYVIENKSKGLRLVGHNAQKTSFS SGAIIVKQIGHAVLTVNETESYLITFPRLRIDGLWYGSPYIELAETSYIVGGGHITTI EYKGKGYFSGKSHTFKSTTTPIPGQGGAGPREVVVEGTWHETSKFTKGGAGTFYEASG KQEETTPLEWTSELGEYETRRLWFLVAKGIREGDFELASREKSRIENEQRQRRKDEAA EGTTWQLRHFDHQDSDPIYEQLGRVAKLVPPTEDMYIFKGNWPSGFAAA JR316_0010987 MLTNEAATRIAIIDALKSLKADKRINPGDPILIVYAGHGTQIEA PSGWETGGEHLIQALVPFDCCSTTGLEIPCIPDRTIGALLESIAETKGNNITVVLDCC HAASGTRAGTGGLRIRSVALKPSPIHTQDLDLNIWDAGFTNQHPVPHFRYRGLRSHIL LAACRSFERATETKGHGKFSRALLKLLRATPPDELRYSQILFKLDVITDQNPQLEGVE QERFLFGAPIQAPTPSIYPIFYDEQSCLHFTPVGTAQGVTQGSTFDLIPETNVRDLEA VTNGSFIVLRAMSFISTLTPQSNSQTITGPLTIRRSTEGQKYPIRLFISQDRYPATRS YLDGLLRDQTHGLRDCIIVDDPSEAHFQISINRGKAIFNLLDEAARKYGFRYRYPRID AHHDNVSSFLKAAQKYYTELYQTSDDGSSFELIDDLEVSCFQLHEKASTLDDVSQLDM VTIGQDLCEYEKIDLVVKADSYYGFKIVNHGNRDLYPTLSYFDFRDLGTITSWYKAPS SGPYQLEAPLKKYGGSLTIGYGNGGALPVMFSLQEDQEIAVGFLKISVSTQPVHMFDP LYHLSNYQQNLQSFLSENIRHTWGSKLIPIIQRRRPKETPTDGFHFSYWPHTDPVTHV IGAHAMSRRTTSMPIRFVGAGRA JR316_0010988 METLPQYAECQLPQSPFDALITRICGRLAHTVPEARVILESFVE GQRAPGYNVSENDNETPKPIERFPQDPTIGEATNSNNFFQLVAVKKAIVLEFFDAIAR EDNETVSLLIQHNIVTANTTSKTGKTPLLEAISANNLTVVKQLLDLGADPNSFGTIHE PNQRNATRTPLMLAASNGSLPLVKLLFEPPYSADDSLIAPDGQIALRLAAKNGHRTIV DYLPSRRGGHYLRFKEKNSKNIARIKKALNGIYQFVKFFVWELPKFFLWSVPKHVVVL PIAKTYKYCWSNRKAFGTWCKRQAAEVPKRIWKSMKKVPRAIGVAGKGAWRFVTVTVP LWLKTSSKWLWALFTQRIPKAVFSSLKWIWQIIKKIPKATVDATITLAKWLKSLATWV WNLITKRIPRAIVIALKWMWTGVKASGQAVWDVILKFLSFLHAIFSAVVSFLRDVTFT DILNAFHVFLSAVFISLPRTVWSWVERLGTVSYKVMETLFGSLGKLVWWIGAGIFWLI VYVPKQCWAILRSLGNSFAEGIHEIRIWINPKA JR316_0010989 METLPRYAEGQLPQSAFDAMLIRIAGRLSSTVPEAHAVLASFVE GQRAPSYRPENDIEPPEAIERFPQDQAIPNEVYSSNKDFDSPQLVSVKQAIVFEFFDA IFREDNETISLLIQHNIVTANTTSKSGKTPLLEAISANHLTVVKQLLEMGADPNAFGE IQETCELNIIRTPLMLAASSGSLPLVKLLFEAPHSANDSLIAPDGQIALRLAADNGHR AIVEYLPSRRGGNYLRFKVKNAENIERAKKALESIYYFVEFFVWELPIFFLWSIPKYL VVIPVVDTCKYCWSKRKVFGSWCKRQAVEVPKRIWRTVKKVPKAIEIVGKSAWKAVKM VPKAIELAGKRIWKFATVTLPEQLKYLLKWLWILVTQRFPKAIFDFIKWICEVIKKIP TVIADALVTLEKWLKALATWTWDLIVTRIPNATAIALKWMWTGIKASAQAVWDAILKF LSFLHTIVSAIASFLRKVTLMDIVHAFCDLLSAVFIKIPKTVWSWVKELGLVSRSIME ALFKSFGLLIWNICAFILTQLMRIPKSLLHILQSFANAVAVGGHEIRVWINPKAL JR316_0010990 MSAESTPSAIDIYRTCVDEINNERPPLRSSRLVSTPRRRIGRGS SQDPEVGKLSRPHPYHASTRRLIDRPRGSQSTVTERPRISYLEGSKGANVSGGNFVAA DGDFIGEIVYNAGVTINNYSSSVAPSAAPHAEEAEVTIGGDPETPLRIQRTCDVYNRH LACKGRGFPLWIPEPNNNLSMEYRRRGIDIGDVGVITKMGNFDFLFNICLPDDHPINR DGVPAGFSPCKPTRSGDIQRYTEFEAGAFLTSTSIDHSRFDGEYRYNTLIFESSAMEG AILTMPDGAETLDLGNVLQFERYMAKNVASWYRYVLVERGRHVENGDVRLVVGRDNSR TWGMATFEKATTSGDALQLKFGPLDDNTLGTRRYAWELSGAASARTGPSRTQIEELSV DGSADVANQTLFVRTLNARLKSKTWEKLTTAISEELTQLYEDQECYDNSSDNIMSSPP SSEGTSSSTTSASGNPGAARETMIRYKGIANIDSNPGAEQIAHPANIINELALQKTSE ESDEFEMIITSDKDWMSVLTEHDPALPSGKELFDRIMKHRTIQFDIEDGIYVPLSRLL SHY JR316_0010991 MGRPTTPRPWLNDTDTTMPSTPTSSRVMSVPPAPKRSLPKQLGN FFQSSVGDTILHTSRPEVVHEVFSAFAMGALDDRTSMSSVSLPTTPQRPTGQYKNLAS YHQEELEGQSVDWPIQPPNNVSVQSPVDFVAPESDSMDAGYSDRVVNVVQRRYNDVRP SRALSILDNALTPSASSTLSTPSTIVATPDAKAHPVTGFSNSIRSTAFQPRDPKETVV PSPGLPHHTPSSMLESVEKYTHNPAQVDSKEDEEEMRAHPRTKAWASGE JR316_0010992 MPGPSNNRKKTKAKSKNLKKQTTSQKKCPDISERVDDLPLDTEP SNQSSAPSSPSPPVLRTPSPPLLDPISEKGCSVPHNYVPRTADQIEQILFQEPFIHDP GNGPRVRDARAFMASFFAQPPALNDPLCAEFAQDEVLQMLCTVLPEEAALLLWYNKSR SESRVCPACQRLYRIGDTLPELMPVEEDQVPVDRTPSPKLLREQRISGLCSPVCFIMA SFNFPGAIKSAWGRMADEMDDEAWNLLNGPGENSTQNDVSHSLGMIVKMTRLYDLGLA QLCFDTDEAALLQAAAELDLGVNVIGA JR316_0010993 MAQTIEINQTAKRDSIRELEKKYQNRWRSEKLFEVNAPSQADIA GLSPVEIKELYPKWFGNFPFPYMNGSLHLGHAFTISKIEFAAGYQRLLGKRVLFPHGF HVTGMPIKASADKIIREMEMFGPNFENFEAVTAEMARLEAEKEKEEEANVAATPADKT KGKKGKLNAKSTGLTYQFQIMESIKVPREEIKKFADPLHWLTYFPPIAIEDHNDFGSR IDWRRTFLTTDANPYYDSFVRWQMNKLYKLNKIKFGERYTIYSPKDGQPCMDHDRQDG EGFGPTEYTGIKMEVAEWSPAAKEAIGDKVGGRKVFLVAATLRPETMYGQTNTFVGTS IKYGIFAANDKEAYLCTLRAARNMAFQGIITPRGHIEQLVEIPGSKIVGTRINAPYAI NPQVYVLPMDNVLATKGTGVVTSVPSDSPDDFQTLVDLRKKPEFYGIQPSWAAIDPVP VISTPTYGEMTAPAVVKQLKIQSQKDTKQLAEAKEIAYKEGFYNGTMLVGEFKGQSVQ EAKPKVRDAMIKDGLAFAYAEPEGLVISRSADECVVALMDQWYIDYGEASWRKEAEAM LAKMNTYTNETRHSFEKTLDWLNKWACARTYGLGSVLPWDPQFLVESLSDSTIYMSYY TVAQLLHDSSLDGSKPGPLGITPEQMTDEIWEYIFAGGAFPDPSPLPREKADALKHEY EYFYPFDVRSSAKDLVPNHLTFCLYNHAALFPEEKWPLAMRTNGHLMLNGKKMSKSTG NSLTMREAIEKFGADATRLSLADAGDGLEDANFEEKTANANILRIHTLLGWCEEMVKD QANLRHGEKNYHDKVFEQEINDLINTTKSHYEATNYKDALKFGFYDFQSARDWYREVT SDVGMHADLVLYWIRTSAVIVSPVAPHFTEHIWSAILQEPKSIQLALWPTPSTPVDPT LIEAGQYMRGTIKTIRDAEGALVKSLAKAKGKKGASTEGMFDPKKPKAVRIYVATTFP EWQDKCVQVVQDAYSKEEDKVDDAKVKQLLTEQGLIKDKRAMPFIQVFKKRMAQYGAE TAFRRALPFSEGAVLRELLPYLKKTLSLADASVLSVEEALQKEQEPGYTRSIIDSSEP GSPGFEYYNV JR316_0010994 METIHRLRETLFKALSPHETFQDEQELFDELMVQKPRLNKLFDV GPRNAQEQRDIESGKPTINGKATAINAEFARQAIFLSQQLDCSEKYIASILHHVTSQN PNIGAVNCMELTIANYHQRRRELVDSLRFLLEATEAAELPDAPVTYKRIASYVRDLLP GQKSGTTQVSMGMKIFKEIELLDGIIEKADLARKNAGSNTVAPNGQQNPSLGFDILNA RYESLKYERRYLAIVLWSIARIGFISPSEVEKIVDWLSSNPNHPMTYYFLNVVLTILD PVDPAAPPSPYPSMQNVLIKHTTFIASMTKKFDATTQWKNNGLKAAILLKWTLFLTEA RHNDATLEQKNGFKGEELETQVWNAVQGDAFKYLALCVVHFQAKNGIAPSVSLLDNAT VDPQDQREVPPADFKTMVLFSFEILLRSLITHASSELRKIKQRQEDLVLATARTDRNR ASYRFANTVSPEAEKPGPPPRHDIAMLYCFIGLLYSALPKERALQFWGSSPQAGAFRL AYQESVESTSGRLPSFLQWAIWSTPTQDLTMLAALYDMLSGLANGQQCSELAYNFLAR GGGDVIAGSALSVSTSATPSVSWTAIFDLLESWVMNASSPRTQPQIQSLASTHSFSTS FQNLAAVEKTPHPPFVLGPQDVLLARAFLRVMSTVVTHSIPVRTTIASHAHFRAIPTL VSLVPLGIPLELKGAIFETLAAFCEPGAGHPGVEICEAVWTLMERYEIINVRVGTGGL GQSMATGKGVEVELEQVEAPHRQYPSTIPFLKLLCALLHTPKQLPMLSLSQGVETTDT FPSNLGQPYRLPGVGPFTSFVVDMVFANIANRGYSRPSDRWQINDLCMEYVEKSLAGF NLESLVAGMDDSMSKNQALPLLVHPGFDIMRRLLTNTPLHNSIMAYIAEGLEGFENGT ANEMPFFRNTIIRVLRIVLRVLEIQDIFLDVFLPLLSGIDTSEIVGHQMHSRSFYTRF DQALSFGPQYVPALATYMCYPNHSELVLLSIKILSRLSLASSPSTLVSLIQRSRDSER ILAGFMQVLTSSAMDDVEESEAFAENTTGAGAPNIYPASESLEQAIRLAALDLLIQDT EVNRPYPNVAHFLLFGGKNGDQTIQDPHALGARKTSAHVLLDLVNAGVPRLKGKQQQE RLPAVQVSPLFSTLPALAERCYRVIYQLCVHHKTSDFTTRYLRTREDFFARQVAKVPS FAPEHIQPPSVVPPIQVIYNDGSRVTTTVPSFSSFLRLRSYIFDLVALELHILTNKGH HKAVSDLLEILFGTDFDYEEGHSFATFHELGQSPMRVIDFLQSLMFDWADSLTVRSID MQYLQTLNLQSCIRKDTSGCEIVDRTALTNLLLLASTTLRAKGSIANIAQNEQLNQES TYILESCAVENHRRKVSHARVGNFEAWRRLLDLALTKSFDRLPHNRRENMLFDLLHVL PAAIRSSNIEESTAVLLSETILSSITKLREDRQHQLILQSLGSDSESGTLPAERLYNI LRNILQGILDNNHVELVRGNLYAALINFMHLVRSSQSSPITQTLAELESNPFSATLTA STMRESVSRGRSPSLAPSSSDRQSSTSSTLESGCLAVLKPVIERLVTTISRDAIDGTE VWKTVAFMLLDAIVQLSSLEKQHVVLASLNRHGILSNFVRSIKESDSRLQSVLKPDPD DLNSLYVYESKMSLFIRMSQTRAGAERLLEAQLLPILAQCDYLDTRPEADQSFIDQDS FLPSAIQRYHQLFMPALQVVDGILTTLGDKHATATHQALEFLSAHGSTIAILLKNDSE AVTLPSMEEIHLIITLCTSILPTVPKTEVLAPNSGFGAIHLAILGLSTRCLARGKVFP RIVPQTEADIALAQTFAFGYGNRSKFDLKVRRKERSLRKSVVAYIGACSEFTEPDITL VLSPVTITPRNEERATHFAATVPTVGDTLVALDDLCNDLAETLKQISDIGAELANKDQ MGTSSAQEILREIDPALLRELEIEQKRSLLCEELDRIRTVARQDAMVVLDTLEMLLLL VWRHLEYYAEPRNMNMPPAKATITNAMRLLATSEPEVFRADVSVKIQPALQRLETLEL DESSLGRDWRDNQGYIQIMCRRLRDSAGLHQESSMGGEGD JR316_0010995 MPPTSSEQNFRANLSQFRWARGNTDDSQPAAQASQSGNPFSRFY NAIAGDYIPLRSNEQSNEDEAWFALSRWERLLGFGGCLIGAGICFFVAFLTLPVLPLR RVLTLLSAYHLLNVISRPSKFALAFSLGSLLVMFGFSVLIGPVNHIKHLMSKERLPFS LVYFSSLGLTLYFSLGPRSWLGCVLTGAVQVFALIAYVLAYFPGGTQTLRMGGSLALR GAGSLLPR JR316_0010996 MKLALSSVPPTDSTVKEFMASVEADVSALRIIDGDLLDTATFLK QTLNITVSIIGKLSKAHPLLNVSWTVIAELYEVVKRTHEEDESIQDLAKVLHELLATA NELQDLPVIASTTNVIEDIARQSLRVAALIHDYASRTLAGRILRTPLGEMKSRIEKCQ KDCTFLRQRLYGRVHIDNRRTLDVLKEHILADKIYKWLWPSERPIDISQNYNEAHGKR QSGTCLWFYEDERFSRWLERPGFIWVHGQAGSGKTILISSIIDRLPEPNLSTGVGYFL FDARDGQMDSQQHMKFIRSLLYQLSDARHGGIPQDVVNLYHKCGAAQPLDDQLEDLLR RILARFERVFVVIDALDECADRHRTRDWIKSVLKISGGRIHLVITSRPELDIKDVFEE LCDYSVDVGTAENNTDIATYIDEQMRLSFTNLDKESRDAIASSLKNKAEGSFRYIALQ LAELEACSSRDELEKALINLPEGLDEIYDRILRKCERKHVFELKTFLQFLAFSTRPMS VDELAETITIDFSSPNSPTFNPKKRYLDPNTVLKRCGGLVTILVRYSSTETCAPTVIL SHFSVKEYLISNRIRDEFRTTRIQSHNHLTTVLTVYLLEMEAVEAASEHPTALAYYAA RNWEEYIKFAVIKKSSVLFEMVLKLLRRRDGMLLKYFNTWSKTFELDPLYVAALHGLH PVVESLLEAGEDPNATCGTLGNALQAACIKIDRDMGGVISDDEDTIAQESDYEAVVSL ILKNGADVNAAGGEYDTALQAACYAGNQRLVEMLLESGADVNAQGGESRYGNALQAAA YSGHDGIVALLLEHGANIHAEGGKYGNALQAACYKGHQYVVQMLLEHGADTNIQEGEF GNALQAAAYCGHQRIVTLLLEHGADINAVGGEYGNALQAACFRGNQCLVEMLLKHGAK TTVQGGEYGNAIQAAAFGCHDRIVTLLLEHGADINATGGQYGSTLQAACCRGSQRLVE ILLENRADVNAQGGHFGNALQAAAQGGYNGIVTLLLEYGADINAAGGEYGNALQAACY QKSQPLVEMLLKNGADVNAQGGDFGNALQAAAYGGNNGTVCLLLEHGADVNAEGGKFG TALQAACEAGNQSVVEILLDKGADTVIQGGLYGNALQAAAYSGHQGIVILLLQNGADV NAAGGILTYGNALQTACFQGNECVVEVLLKNGADANAQEGSYDNALQAAAYNGHDRIV ALLLEHGADIHAVAGKHGSALEAACSGGSQRSVEMIMDHILYVSRQGRDFGNALQAAA FGGHNRIVTLLLEHGADINAVGGEYGSVLQAACNRGNLGLVKMLLDRGAEINTEGGEF GNAIHAAALGGHDGVVTLLLKQGADVNAIGGQCGSALQAACCRGNLRLVEMLLEHGAD VNVQCGKYGNALQAAACSGHNRIVALLLEHGANIHATGGQYGSALQAACCGGSQHLVE MLLKTGADTNTQGGHFGNPLQAAAYNGRNGIVACLLEHGANVNAKGGEYGSALQAACL RGHKYVVKMLLQNGADTVIQGGVYGNALQAAAYGAHDGIVILLLQNGAVINATGGKYG NALQAVCENKYHWSPTRREKVIEVLLEYGAEVTSLEYATNISNPVLQQKLLDGFEDYQ RNSMAMFCPSGIACA JR316_0010997 MAPVRRHRGATRRAREDGTADAPFRVESTPPASDDDDDDYDDYS VSAPTPMVASKFKTRTKTNTSRSRRRPSRPTVAVTASQSPSPTVYSDSSLTVSTVAVA DCDTSYGAKAKGTTLPEPIIVCGVELQPTVAFDTFWRFAAERKAIDDRRRAGQSPPFT EDKILRDYFFCNTYRVLDKLSQYLIKEVIEKGSQDPEEVVFRVLLFNSFTRIETWELL DRELGPLTWATYDRDAYMVVLAAASESGAKLYTGAFIKPAPHFGYAHNYANHLCLLEA FMINQLHARLRAAPYLANVYEYIIAFPSMGAFSTYQLILSLSYTKVLNFHPGDLVVSG PGSESGLNKLFGARAMARGRAQMGDEFEAEVIRYLAASQAYHFQRLGLEFSGLGPKRL PMTVVDIEHTLCEVDKYCRVAHPQLKGKRTNLHRTFRPSSVGAEGADAGRSWALPKAV LPKAWADPRRRTSRIREDRTLHVEKRYEIESLRDHREAPNGQERQFLVYWVGYPPSEA TWEFESSLAHDAPLVVAQYRQAHGLPKRVDAPDA JR316_0010998 MAHTPLLDPRRAACPDYSSEAYAACRNTLIQGGMEEAAAVEFLV KMWETQNVADIAIWDAREAERQAATAENDRIRREAEAAAEAMRVQEIEAADKEERKKN RAKFLPIGDGRVPDTMPIIVPAAITAKLRAGHRVDLWHYTNAGLLHASADLDAESNRA GSFVAAPDGTVEFRPTLAAASSRAVIQDRDLSMEDFMVATYRLLAAMKEAGWPKDRVR MFADFWNNINNHPRRVSRDPRDVRALLLYQDEQRTQWHNITASATGATYDLSVINEVR LDEAFRTICTAGYDREIR JR316_0010999 MASHAAKRVLVIAGAGNPVGTGAATARMFAKNGYTVALIGREGS DGASALVEEINQGGGQAKSFGISSYSHDEMASVWSSIHAHFPKSRYAVRAAVYNVGSG VFKPFLDVSPQDLHSCMQSNVFGAFAFSRNAILTFKGNDIDEPSGKRGALIFTGATAS MRGNVMTSAFAAGKWGTRALSQSLAKEFGKENIHVAHVIIDGVIALDPKADPEAVKDT KIKPDSIASSYLYLANQDRSAWTWELDLRSAHEKW JR316_0011000 MSSENEVSMQQPAMNEAESRRPRLAVASGGAPIYGAPGPNNAEP VSDVDYAELGSYLPLPHQSHTKRPRLLLGTSAFTRYLKSLGAKKNAAVSGEQPVYSYH HGQGIQTKGVLVQTIQGKSGASLSARPFHIRLEIDNSDHPVATYNNNPRTSSPDEYRR SRSSSTRQILNRVPEEDMETSPSDTDLQEGNGVQVRNNGDTGLHRYYGSVGQGAAPPG TLGDGSRPDGDDEENSELSEEEEEWLLDEELAKEGLYRGSYKNLVALYTLVPLSTLFA FVFLALLPNIAFRSSTPSLFPYPPYFSFPIPELLVSMSLWALSYLLRDFLCATSLTGA NLVPASSHRFPTIIPILTSIFSSFLQSASSLFFRQLAIPILLIPYYSTEHLERLHKRH FPTWHDDAFRRVWWVALGWAAAEAVVGIKQGYESIALYKDVLVTAKKNSAVSNMESAA LRSDVAVTPTRSPAQVEEDSSVTPTQRDRQNPSAPRAIKRTHSDSLSSVASDSHSHYG DHRLVDVVYGEQEPLLKVHDHAQFLTHQPTNGASRLSVEDEVERDLDQLLACKSREEL EEVYGIPVIRIPVFISCLHRINSILSSLGICMLLTAAYMRSTFAYTHPSTADSLVDLP TADQYPASNRPLAYTIPPVLFVQALMSMMHTPWVLPRIGIHSFVYINSMISLGLFFGG LGVWEVLT JR316_0011001 MLPPRAYVFIGLNGIRILSIIALLLVFSSNIVTLSNDIKAVNRY MAAGKQIADGTLNSTLTSTEMNMDYILGSTVPNQPAGVFWAVLNRLFIIFQAVVLILS ELGFPAKFFNRYFPILGKDFGLGALGLIQMLIGAAVLSHHVDTFTLVSAFFLFAIGCL NVFAGLIFRESAKVKRSITSWREQAKSAIPTHVGPVKIAPLASSVLSSPPSFVSNLYT GSSDASTQKPTSEKDSRFAGFGFGRQGEKQAALKGYLISKPVESLPRYMPKPSGGSL JR316_0011002 MTEKNLPQLPYEIYGLILYFCDKPNLKKASLVNRSFYEISHLYL FRSMLVGERITVRDSVKDASEFLKPTKILSLIEAHPNIAKYVRSLTIDCSREYHNECW ITDDTTMQDLLPRFEELEEITIYAQTMTLWESLPSNFQHALKTVFRKSQLHTFHIHGI LGFPLEVVSASRIRHLSYNVGAPRSDGTSTLPPHPLESLILGRLYYFDLPQFQTIFSL DHLVELHLTIQCSADIGKFDPILGLSAASLEVLTIRALLNFDPVEIAYEHIGRVLNAH QNVPCINLGQLQKLRRLIFCADLHITCSREAMLYICRIPWMIGCLETLSTSSVGSLSE VTFNVRPVGLGLERDSRYFYFNYPWQDLADTLLSSNLATVKKVNLTINNAAIQSHREQ FMDILRRDLHLARLRDAGILSIDAVQDWEY JR316_0011003 MVPKVNESPKNSTTNDARDREHSSRLSALSNFSIGDMFRDIGSS KSVKFPEKMLKILEQKLQDIAMGKLAAYSDQLVRRTMAKFWGQFKVESFKRQMKENRK IEELILMFATHATSVLKKEPTLAGDGWKPELNNQIAHFIKLLRECLRSVNHVSPELLS RLDAYTAKLAPTLQQGYSQSDSGYDSASTRGDREPIPSLSASVGDMHLVKVVTDLFKL PESAVQEEVDKIRGSIVSEKAAMTDLKTCLKNIAAGAPFPGRREDFDSDAAWHHWRTL ETTHLQQLMVIMVQFNPELAKSTPSDILPAIQTTSTGRPGSLYSQIESPTATRHPSIS SRRSMFSADFNLSSNGGGSIHEDSIPEDDDLPIGHHFTFIPPNPKKFYKRLVEFCLIA DLEVMLSPEVDDNDEVSLGILSPAHIELINECALRWRIGHSYRAACFLDLVKQFYERN DVPMECIPEALQNVTRVIHETELELWPVQDIDYLANTYASLFNVFLSSLYHSMDAIPN LKVSELEPYLAVLNHIRESSLLSRFDIDIEARMQDVKQRIRQVSAEWFDIVIHEKQSA PGVNRALPLLLMTDEIEKGAKGLDKKFPEPLLGKIDIVSLFVEMVVPKLIGELQASQK RLFESSMNGPTPDVPIQDIFALYRRTTMLMGMYRAFVPEGPFDFQIAVFFEPYVRTWL TNTDNKTKQWVEAAISHDKFEAESVEGHSSSIVDLFDSLRSPINFLQELEWADEYQEG RFFTSLSKTISKAIDQYCRSIETLFLNEMFPRPSEYIQPQKSSAWLEKAKQLAVTGEK RVEPFNFRPESCVKLNNVEAARKLLDNMYNQMKADEIAEMLRTAAPPVPDKKERDTFL FSVKIVIAEGLVPLDSSPSSKLDTFVTLSDEAGTRLAKTRTIYESLNPRWDETFDLSV EKPLWLMVSVRDRALVGKHDIVGRAYLCLDPRRFSDFLAHELWMDLDTQGRILVRVSM EGEKDDIQFYFGRAFRSLKRAEADMLRIFIDKMSPFIQQCLSRNVLKTLLKSRDAGLD YNKALGNVTALYRSALGATSSEPQIPLPSAEKPRVRPEELTDVEIEQAILPLFDYFDA NLQTLNTYLSDNAKEMVMTRVWKEILTVIEGLLIPPLSDIASEMKPLSDKEVDIVFRW LKFLRDYFYANGEGPVSQEVLNSQKHRDVLSIRLYYDWHTDALMEECVRMMQQSLRSA PSIKKRAKSVYSQRNLGTIKERKKEKKQETETASEQIIMRILRMRPRTQEFIAQQLQI MQTMQAEQEQRAKEAEARKAKRQHNREQIPAVPPLPVP JR316_0011004 MRLLTLWSLVSLSLLTYLPQYSLASVLAIDYGNDFIKASLMKPG VPFDVILNKDSKRKISSVVAWKKGDRLFGQDAFNLASRFPSDTFSSLKLLQASPFDAP VVSYYGKVSTSDVVESDRKTVSLVQSDGTEWAVEELVAMEFAYIKHLAELVANEKVSD VIVTVPPYFSQFERDAIADAIEISGLRTLALINDGTAVAVNYAMTRTFSTPEYHIIYD AGASGIRATVASFTTASDPKTGASGTHIAVAGVGYDRDLGGIELDRRMREILIEAFNT KHRRNIREDKRGMAKLWKEAQRVKAILSANTEVISNIESLAWDIDFKTKVTRAQFEAA CEDLKGEFAKPIESALKNAGLTLDNITSVILMGGSTRTPMIQAALKAAVGEDKIATNV NTDEAAVLGAALHGASLSRQFKTKNIKVSDIGVHDVQVSYFAAPTSSNTRPRSITTLI FPAGSKVGTKKVLTFKRKEDFSLFLDYKNPVAPGFPTRMLEVDLGNVTEAIANLTERG ATDPVVKATLTLSESGFVSVTDAIAFGEIKDESLTGKLKGLFGGGSSSSDEATQSAEN VPPRDSDTASPSSSAAAETPSSSAAAEKDKKPAVPVENTIPLTISVRFTTIPPMTVDE KKKARGRLRAIDLEESAKSRREEARNTFESYLYRLRDLLNDESADTPFKKCSQSSERQ AISEKLDESFAWLFDRGDLAETSQFLDKRIALETLEKPIIHRYQEIEAFPQALNNSQM WNWSTRLFLQEARANLTAELAADLPSKWTKDELDGLEKTLREHEAWLSEWVEKQKSVK SNEDPVIETTEMKARAKVLETHLQKLWKRKVPKVIKKKPKPTATTSAETPVETDGAAG EEENGEEAPFNEGAGEEDQVPLQEDDRPHDEL JR316_0011005 MATSKLPILGLPHPLILLPASRFTMPVSKEIGETLLSLIEESDA LPIIAAIPITSPSTAPSTDPPLAEWGTAARVLRLVKPPARNPRQPYLVSLHGLTRVRL INMSQKKLTGDDLLRMPTHDVEYPPTEKIPTPEAVEKFKQSALRLLDRLAKDSVQQSR KEGYNKIASMLDDIADAKTPWMADVLIGSVNGEYSDKLAILGTPDAEARLALATEIFL KQASISEVTKKIATAVDESLSKQQKEFFLRQQLAAIQRELHVLQRSNGSSTGPSPPNT PASSDAGAGGSSELDDDEQHEADDMADLKRKIEAMEPGSEERKMGVREWRRLKRIPAG SVENGVIRSYLEWLTAVPWPNSSVTPSNDTNSSSSPESQVQPQLKDKSFLTLARKQLD ADHFGLEKIKKRLIEYLAVVRLKELNAEKEAAEEQKLVQATISQEEEAKTKSETSKDV VVYDKDAAQQKQEKPPVVATKPKANKKSVKGPILLFVGPPGTGKTSLGQSVAKALNRP FQRISLGGVRDEAEIRGHRRTYVASGPGLIVQALRKAGRSDPVVLLDEIDKIGQSNFH GDPGAALLEVLDPEQNHTFNDHYINVPIDLSQVLFICTANSLETISAPLLDRCEIIQL SGYTYDEKIHIARRFLLPKQLKANGLDESHITITEPALLHIATRYTREAGVRSLERAI GSVVRYKAVEWAEYLDSLPQKEEEPQLASADGAVVVASPPKVYDKVVEEHQLEKILGI ARWDGEEREREERRGVVYGLVVMGQGEGGILPVETIALPGTGRLKLTGSLGDVIKESG ELALSWVKTYSYDLRITNTRSEDPLKVPEPIDIHLHLPAGATKKDGPSAGVAMTCAFV SLLTGACVPTNIAMTGEITLRGRVTPVGGIKEKVLGAHRAQITKVILPWANRKDVEHD VAPEIRNEMEFVFVRTVREALEAAFGKGVLGWRRDTVLLESRL JR316_0011006 MAMKQHCQLPYELFGMILQFCDTPNLKIASLVNRVFFELSLPHL FRCVLLRSSDFVPDADDTSEYLTAQTLHSIIESRPIITEYVRSLTIDISKDWLQFHVN SWVMEDTILQGLLPRLQQLKEILIYGQPTINWGDLPQQLRNSLQTAVQSPLLHTFGIG GIFGFPLRSILCSPSIKHFSHSSSMLMLDAREGGTHTPSTSRSRRPLDLQSLSLLETL TIDCHVLRAAFVLNHLVEFNFSLKKFEGMSQYALIINACAQSLEILGIQVDRLAVTPI TTPLFGPDLTFEFSYDQDFPVMDLSHLPKLRKLTFSTYIEGDFHQSPAISIDATPILL SDISLPANFCFAYPWKDLVNAFFAPNLAEIKSFNLNFNTVTTRPYRENFMTALQNDAL LRYTEVAP JR316_0011007 MPELPQELLECVIDGVAENSEREGCWLGADLFQCSRASRSLRPR CLVYIFSSIYIRGGGQEEWENRLIALLELIEDRPAIAKWIQELVLSTSDVEVENPMYP FIRQNRYPTTFGHPLFKRLMQVASPIRKVSIKRRHAAGQPSAVALEPLLTLPPVRSLE SITSLSFEGLQQLPLSMIIWCHNLTSLELLDVQVQKLDEDKMFEESGVPVLLVSLKHL TIAYTTRSRSHLRPFFNSKYVNLSGLKSLTVYMEGIVLNLNEWTEFIKQEIPLKLCSR SLEELTLLSRNGQRGPGWIPMEHPMKELKSLRRLRAFYFETLYSKNMDHSSIILKYIR SNSLKKLTIEVSLLVSLDNGLEELDKLLNFDLDSFSMEILRIMASGSTFELRLACRVQ AAAPLNDGVLYESRLRAKCYQLLAIIRRYHLKMLDNHPFVNLRMDKVRVVFLHSM JR316_0011008 MPQLPQELIDQIIDCLAANSKIRGSWLGEDLLHCSHTNRSFRHR CLFYLFSSICISGKDLEAKISKIKTLLEIIEEKPIIATYIDELNLASEDMGGPNLAYG PKFYSTLLREPIFATMMEKISPIRHLVVKNNNGKVYSPSKERIDPIPVLDRLLLPVST YITSLYLQALDNVPLKVLASFPNLNSLDLLNVGLTRINDPMPQSPPTIRHFGYQHCRF SQIGICKIFGLSHMNISQLKSLTIYAIPIINEHENEVDSLFTYGLSTIEELRLFTMDG ELMAKGYPSITSYENWFNLRFLHCDIPFKSYLDLDLPLNMLSSIHSGSLDHLEIVVKL QSLRGRQDQCSPEIILNCDWSLLCSQVLRIMDCAGSKFDLQLSFYAVLPITPQEVARH QALFRKRCHSTLSILSRREHFQALVDSPHIKCRMTEAQTIVSCAYAPFPL JR316_0011009 MDSGGRQKHAKRERTICGVIHEAAEAAQERRGNRTKPQPWIVRK LVVGFTVGIMGYAAYVYIRQLCLPMIWRREGAPASRGTGIALLAVFCVLYFWMVWAYF MVVITGPGYARDHVQKSERPLIPSLAPFRESFQSTELSHPDVIAPPSPPLQDVELGNR QSSQRNRSFSAATSRSQARSLSSAVQKRENGLAGPSYEDLLKRDAAQESNAGILDSIS PPKPALLSNSKSLSSTSPVLNSFATVTSHQPEEGSSNSLNPPIKPEPLRSSTRALNST PSAQRKKEMEEIERLEALNVTRKPPTTPVLQPVHRYCIRDGIVKPYRSHHCRICGTCI LRYDHHCPWIGQCVGARNYKFFLNFCQAAAVFTSYTFGTLIAYTVVASKSSISNIDAQ QIIIIALSGLFFIFTAALVISHTHLILSGQTTVESLHIRHMKDREDRALAKAFNWWQI SAKQRTKKKWDQEWGRLDKEGNIWWRGNARDEWVDVMGSSWIGWILPTLRNGGSNGLE YPVNPRFDFEGRWRKRSEWPAALR JR316_0011010 MYELELLASKAVLTRLRRDNMSYSIATRTYQTNTNAFFRPVEKT VWNYANGGTWTPDSAQQTTLTMGGSGTSGILRFASDSGESHAVVLGVHNYVRWCDIIT DIPTSQTATTLHPLYYAGGNAQSAQREKQLASCSATAKNGRTVNVVYTVATGNNLSAN IVIG JR316_0011011 MSYSIATRTYQTNTNAFFRPVEKTVWNYANGGTWTPDSAQQTTL TMGGSGTSGILRFASDSGESHAVVLGVHNYVRWCDIITDIPTSQTATTLHPLYYAGGN AQSAQREKQLASCSATAKNGRTVNVVYTVATGNNLSANIVIG JR316_0011012 MHPHPHLHGQGDSLGLGRAPELKFNITDGRNGAKTGAGAGAGAG EGAGAGAGGCGGGVGAAIAIQSTSTQSTQSQDPESIASASANTSARTGTRGVIAQSPG SGPGIVQSAGAGAQGTRVAPAPAPAPGPGPARVHRARPRTRAGTRIQPNTTSNTSKIP NSNTSNTPNPDNINPDTSNSPNTSNTSNTSNIPNSNTPNSDNQTKRKQTQTQTQTKRK PTFTREVTQHLRAWVIAHADYPYPSEREKRELGAQTGLSGVQVSNWLVNARRRMPIVQ RQRQKSGSGSSAPNISTSTGVGNFQSDVERERERAAILSHQQQSSSSSDPNSNSNSNI NMNTNTNSGFGSGTLQRESRFNEESLAYPYPYPVTVRLENDSMSANTYSGRDASGSGK GLGVYSDRDARIRMPSISSQVNTSMSMSMYTTDPPSTLTPTSPSPSPSEPQHENPQRA QLQHELPRRSCSYTAQSQRYDPILYGGRGGAGLDGRRVHSLGMEMGKESGLVDPDTV JR316_0011013 MLSTFASFLPAALHNHINQHQQPELPRPNINPDTDDDDDPDGDG DAEGEGEGEGEGEGDSRSVVAHDYANDSAARPHKGKEKEKLANETFIFVRPPPSKSNH PLNLQVQLVPPHGKPPSGVVPRSSTSGNESTNTTPRSAASFGSSAGGASLARTPSNRS DTSTSYAHSYTTNQSTSSFTSSLSTSSTSTSTAARRAIIPLYNLQAHNVLTNVIVDAG TDAKIAKFQKRGIELIDLALLEPVEVWGEKDGEREARRESMRISVDEMGAVLTGFGVG GANLGGSGRGNGSLLSAKGAFFHAGGGGGGGSRPVTPSAASSAASLHSQAQSYSNLRT ASPSPLHYRNTNTNTNANAMSTEQSDRTIVPPPPPPPIPLPHPSSNSNPNSHPNSHPH PHPNSNSHSLPPHPNSLSHPLPQTMPQGMPIPIPMQVQVQQAPAPVPAHVPPQAQAQA PQKRNLFNKLFNKRSNISSSATSTSGSTPYASANANANANPASSSSSSTYPATSTTYP APHPASSSTSSTTPAPSTTTSNTTAAPNDKKRPPALQEPLLSPTSPLSAQATPTPTPM LFGALTPRQGDIGGVGGMGSAREKDRVEGEEEEEEGWERERERERDQEREEDSGREKD RDRDRDREKTHAHARTQSQSQSQSQFPVQTLASALTSPFRATLRSNRARLSAVLGGSG SSGSGAGAGGSTGNGTGGNGNNTGNGSAGGNTGISGSVGGGAGNANANASTGNGSARS SRHSLVERERSRDRERDTERSWDGSWERERENDRERERERERERVRSSSPPVSLSFDG NGYGHPQHHQQYQQTQPPFQQQQQHQHQHQHQHPQYQNLYPHPQIQLPPHQPQREDFS NINVNLSTKQQQLQLRPPILGIQPTFVSASNSNSASGYGSGSGYGYPQQQYPHQHHQQ QQYASSAALAASMTMSPRNSESHDSSSFSGSNSNSNSNNPLQNQRALMYVWLVRKWLK RRPSPSMSMALSGVGANLNLNLNLNLGGMNLGGRNLGGRNPFGETGVFGVLRGAGKER EREREGESGGGGGGGGGGDAGSSSNPDPDPTSSAKPGSGSTTTSTTTTTTTSLKSKPS KKDKSKLSKQSSKQSEKEREREREREREREREREREVGGPAPLLYGGVEVRFEWKRAK GKSKSKSKSKSKAKGRERERGKEASYAERERGESDGEVEQRSGSGNGVGNGNSTRRSE STSKRRHRMSTGSFSTTAASDEGAGGGDGGGGGEESDPEDSETPWVCTLKIRRPAHAV PVPVPSQSNAYANANANAYPNAPSSQPTTTDSRITTDATGTQTLRIKVGTLSPTPHHP KVVAMLKVPFPLPDVEVERMGVLRRSGFGFGLGGGQTQGSSGAGAGAGAGGERREGGA GTGTGGEEREPYTGLTLTAEEIKDMVCSTGLWLVVREGFGGVGRVSRKGDGWRIRA JR316_0011014 MIVNLLRLYANGTLLENDIHFPAPPNNFNPAPSVLRMNVLWFLS MTLALSVVLVGTICLQWIREFQRDPRVNSQRAFAIRQMRRDGLYKWKVPSIISSLPIL LQLSLFLFFWGLFEFLWSLNSKVTLINSAVVAVVIFCVMATLFLPALQVIFASSDKIE KLCQCPYKSPLAWTFAKALFWIALKVIDCIRWSIRSDFQGKKKKLLTELCSKDCEDWD SFDNQWQHCRSHSYQPRSDIHASNESCDIGHGFAWMGQKYMHTQDTTYTLYHMIRNVH RSTSTTALKRLFDNNASSSFLDLALSVTSPQDEDLLHDLYSALLLSHVATSSKDPHQQ EALIFQRTELFIRIANFGAASTTRRIQSFPGDTPREFVERCIGDKELGINPFVGNPEY LTKLPMVLMVQIIIAFRTYLTEGLELHDVLWDLSKQIVLHLLSTPDRPSFNISMEDEL DFFNVNLSHHLSRIKLGSIHFSGANGQRDQHDRQRIREYVRA JR316_0011015 MPRSLDEDPLTLAIAPPPDETYEQRVIREAAEAEAKRISDEIDE QIRKEREAEKKKKKPVKLLLLGQSESGKTATLKNFQLTYARKEWYEERAAWRAVIFLN LVRNVNVIMGHLSSEMDDLPYFPDDSSEDITMVPRPPRALARLKFTEKHKRFRVRLER LISVQHVLESRLGAASLELKSASVNSAAPFEPPAPSNRRALQEFSINSSNGWKSALDR FRTLRHTPSRQENGSGSGNGNGNGNGTGSSNGNSSPVSTTSKHNGKPKNEEEDIVDII ASCRDDIKALWDDDIVRETLSRRKVRLEDAPGFFITDAERIANRDYQPTDDDVIRARL RTLGVQEYRFIFDHVSPFDEKLAEDRRVNRLEDSYLLWRILHAYPLNPPASFAFFSHE PYVRSRRRAVLNKCDLLQAKLQRGVRIRDSVPSFGDRKNDLQTATRYFQQHFKEISRN HSPVQRPFYVHLTSVIDTRSTAVTLGAVEESILREHLRRADLM JR316_0011016 MSIKIPSVTYAAVAAAATTPPAGAPEEEEYYSSWSLFLVCMLLI LSLLTSYYLQIKRIRAVHETLVSIFAGMVVGAIIRLAPGTMIREMLTFKHTLFFNLLL PPIILNSGYELKQDNFFRNFGSILTFAFLGTFISAVGVGILVFIYSYLGIDTDKLPLI ECLIFGSTLSATDPVTILAIFNQYKVDPKLYTVIFGESLLNDAVSIVMYETLSRFTGT EIFVGSIFHGIGIFLLSFSVSMALGVAFGLSTSLMLKHSYLHLYPSIESCLVALCAYT CYFFSNGLQCSGIVSLLFCGITLKHYAYHTMSRRTQRATKYIFSTLARLSENFIFIYL GMSLFTSAPVSEPVFSYVRPVFIIITTIAVVFTRYAAVFPLSEGINLFHKHVRGQRQE ELPHSHQMMLFWAGLRGAVGVALAAGFVGPHAQMMRTTVLIVVVLTVVIFGGTTARML EVLGIRTGVEDDVGASSDEDEAGLPPGRNAFVGRGGNGVGGRWSRYAEEDGSALNMPS GSRGAGRIGTHYASRFNHQYQNSQQHQGPDNGEQSPVLQGNTIFSSASSDSYDSDGGE VLPLVPSADNHNNNANDTTHPPPRQNSLMGIGEDGKWFQALDERYLLPIFSNATASRT FHARRARRNASGLAAGGNMATPGESEDEVDLGQDVHLGGRQGGVGAGGAGQSNSRTMS VDDSRMERGLPSPVLRNNNSNNFSEGRFS JR316_0011017 MAFAASVGLGIRSGTLRTCSKSLKSSSVRLTRSDARLFSSLLQA SGSSCRSLNNIQTPSSRNLSLWGSSSKSTPPPSAAPEAPPATEETAAAVAESSSTPAT SAATTPLPTDAATDATTSLPTEVVTEAAASADVPTTLLTDVTEAIATHAPAALQYGDL AAMGLAGWSPAGLVRWSLELINVSTGMPWFWTIIAGSAFWRLVCVPFAIKGLQASARM QPHQTKMLALQQEIKRTAEKKDPVAMKLATVKMQEFYRANNINPLGGVIALVQMPITL GIFFGVQKLCKLPLEQLHYSGVSFLPDLTVADPTYIMPLALCALINVQIMVGARDLNT KERPDMAHIMNLFRVMTIPGIAFMAAFPSGLLLSLMTTAILTTAQTLIMRMPVVRNKL QIPIVPPSAYGKLPTIRETFTRGKNWFKGDLSARMEKARKEALARQNAARRPPMGF JR316_0011018 MDEPRPRRRTYRGHRRNSFQASDVNELVEIRARQRTFHGAYSRT ALGALGYSLTILRLFERAFHRIGLLFAILGGLLFVLAFFRSRHSYHDFADRHKEAHKV DQCIKTKGQEGTRIFGRPFVTAGWIVLQVTFIVAAVEIALLVLILRHHINT JR316_0011019 MDAQEAIVANAGYHTRLLNTIAELEYVPVAKKNQSSYIKDLENQ VSASQQRIIRLAEKTKKERKEHEALRDATTKRFAHKLLGKREKFEARESKEEREYVEA LEREMTERDNLVNIQQLLDSAKSVMSDLQQKQMLYESAQAELQALYARVFDGPSEAFP EDDRLEYDLETVKRQYGEIQANLNAESRAAELLARAAKAMDLCQKNMQEALGYSRYDM WGGGTMADMMERNALRNAQSNAFQVEMLVDQAVRESPAVQPVGRVNIAQGSIVSDVFF DNIFTDMAFHNKIKQSAAQVLLANQRLKIEVDAARRRADAAGARLMRVAETLDARRRE LDSFRRATFESYAAQNPPPPSYDVVTNNPSTLRFPEPGHHDGATLSHAPVTSDPPPFP TAHREPEREPAPIRSSSPGELDRMPEARPLEWGSRNPYALAMAEQTRRLSTD JR316_0011020 MTSRAEATAKESFPKPRLVTVSPPVFMNGPHSLHTVPQEVLEHI AFFAGTDSLLGPPSSLVPLLLCNRKIHASLSIEANHHLYAQIFCSKFDTAAPLARLGS VRLTSWALAHELRRRCVTLQRLRARLDSTTQARRAEDEDAESTLKMSVYDVLFTAYIM MLENEEMNKRQLVEYGRMKEWIREFWFDSHGASLAIYYIRIGQWPVNRPETALGMWMF WFLLNTGALWRYDVLVESPLNVLKAMALGAHLYDLTTTPWVEFEPKARRPFEDTILYA KPMDMIPPPLAAPAILSFLALVNKRRSIPLPPSDSATQSFHEWDSEWGRCFSKSKRDI TDCFEPGSIEGVWEGFFTYTEFTAYAAMLAGASPTVIQKGVVGRHQQTWKLREHHLLA FEHSDSDSGIDMDVDSVTPLRAGDPLRSYFPIGTQIREHREGLTVQGSGSSQVRRYVR ASASDSRSNLDEPGPRVQDIIITGEGHSAWGQFNLVGRIRPCDGFISLSKDYVDGDRG KWLYRGYLIGNTNGNLAGRWRDTLSPADVPGYEGCFVMSRRR JR316_0011021 MSCNIFRAPPEVFHKIVFFATLASPLGPPKELLNCMLVCRIFWE RLLPKNASQLYFLIFAQKFDARGPIYRLTKDVIHEHAPLEMRRRFEAIHIFKNRMFDH PGLTEALWIAYLMVEDSDTSQKNIKQLLRVGTLTFLDSYLRSRLKDDAGDNLGWPIVD ENVSLAIALAWTLASPRVMGSEHPDARTEMVTMLKPITFASFRYSISCLSPDSFEFAD SSHPLTSFLNMTHPSYPISAYPPQQIDYFGAVKRKARPPLAAIFATLLYFVRVDAEFV TEIPAHVTCETRAEAIQQGLEGPCAEDFRHFFNHCRTRFADFPGIDVGIQSSSIATNP DTILCQPSFYRLGSLTGQWQGMFIMHGIYSDVSAIHTPAVFDHPSVLETRRPFYLTIE EHYCCDTRYTVPVNRKQNAIQEAWLPEDIQARQTPGGIEFTDEGGTFLTTYTTHTEDR YRVAWGGYRKIIGRVRLHDGLLALVQSDPDPQLGKMVLNGYVTSSQNLVGRMRHYRGT SETRFEGAFSLSKNHTGDATFSFNKF JR316_0011022 MSANLSKLEAHLATRSYVEGYTPSQADVTVFKAISAAPDAAANP HVSRWYKHIQSYAAEHASLPGSSTAGEAFVGGAEAAPAAAADAEDDEEIDLFGSDEEE DAEAEKLKAERVAAYNAKKANKPKAVAKSVVTMDVKPWDDETDMAALEAAVRSIEQDG LLWGASKLVPIGYGIKKLQITLVVEDEKVSTDELQEKIAEFEDYVQSTDISAMQITEP SNRTTGIRI JR316_0011023 MASYLETIPLDILQHIAVLSGSSSALEPPGNLYRLLQTSSTIYQ SLNAHLSPHIYSRLFSSKFDTRAHCRRYRGRLPDSAFAAELLCRSKLLRRSHRAELST DGLIQDLWTALWMFLENDSLNEQQLLAAGFPHFLLSLARMKLSDTDTDGRTDNYTIKM LIIWLLSLTLSRQIIMDMSKAHRDELYGLIYPYIFMDKQEIPPKLRTSGDSGHTMPVY VYLCRQMPLVPEPAIAAIHLTLVLLESNTLQIPPHLPETRAIAIATLRSGPTIEDYRF MASYETTLSKATHRSPDPACAALPISTEPDPSTNNDMFFGAILRSLGEAQSTETFAYT PGTMSGLWEGVFRILNMPITNIDSSADHDSLFSSGLIFPMQCAMVEFVCSDFGIQSNP LDPDILVDSDICPPHLISTNDTELAFNGRRYKKHTIHSGTAPANDDIETKIPAQEARS CSDVMLLGQTLDDYDQAWGGYKFSGRVTNNGRVLLKREQKYTDGQQRGVWIFEGYLRS DSLLLGRWRVSGNPSAVDKGVFSMGKARGR JR316_0011024 MLKTITIVSVLATAALAQSSSASASSSSASPSSTANPLIPSGIS QTCSSFLSSLNSDSSLTSCLSSLSSATSAFAPGSSTSPSSAAVTTALGNLCTDSISSA CSESLVRSKIAAFYTACTSELTTSRSEDVVKIYDVLYTILPLRTSVCSKDDSGSWCVM ADTTSTREDSEDSGETSTLSLAKLMAMLYTKNSALQRRAPVSAIVPNITTYHDTNLPF IFFKPSLDATRLCTTCSRNVLTAYINFESNTPYAPGLSQSLLLSTQSDLYSAIQQKCP ANFLSGAVQAAGGLSGGTFSSGAVSTVGAASSGLFAIAMGVATLGFSVAF JR316_0011025 MNLPRYPDLTIKRIPTHSPVHPNTVAFLGGDACVLRPIYVVLKD GIQVWIEGPPLDPEATHLPSVEELDNTKLKQLNDEYRRYLLIIEDLTQSIDFGASQMA YLTKDTYGEYCIVHDYRRIMQVSCPMWGPKIYIDDVNITVWGTHFDRHRGIWNGREVD IFYAFQEYDRILLNRVMYVLRHLEGTDCDVTFEFYGHLLDKDETILGYVAEAAWGRNI GLGDEELVSSALKRLESLGCVYRAVSSNMFLVSDGKLRLLMPQNIERYNCPELLKKNA EFHHNWALDELFDEFRNYGSYGLLRLPPLRLIMDPMDVKYLRMLPTPERLFNHSWRGV FLTTCKLTCVEEEDQQNDRVTTSSRRSLRKRRYFLHVDPVDINAYQRGLTISPPSRIT NFHPYPRRKKVLQELSSTEGTESIVEGSTSDLDVVYGALKRIY JR316_0011026 MPLVYESKTTLQSIPPKSPVVPHGIAYIGGVVDAWKPQFVLLKN NREFTIQAPPLEPKVTKSSNESEDEFVAKYVEQFQRYLAVLECLLSIIDFDATDMAYM IEDSNEDYQIIPGRKALRNVSCPLWMHKIYVDEVNITVWGSDYDGRRGIWEGKEVDIS YALHKDLDRFVLNRAMQGLRALEGRNCDLLFEVYGHLVDRDETIVGLVTEAAKGRVVT PDDKDLIQASLKALEHAGCVYTAVASNHFLITDGKLRLLQLNAIIPYPDQEQCRKEAA YFHDWALDELIEELREYGKTGRGHGPPGRLVRDPYAITYLRFLPPPERPLRTNLNFTP LPMPVALLMISRYLRGEKYPMPLEDPDDLLARRKQSRKNRYLIYRDPEEDCEAADKQG LSTFKSIDGDISPYRPARSTRIWRLSGRTPYTRHLHAERGLPALSSTEGIASITEVVD DVVKGKSV JR316_0011027 MNSSNKPPATPLPDESAGAQASPPESSTHTTQETDATIVEEQES IPALSRWSSPAIPQSTWCASDFMVGVGMVIIQQKTEKIVLLYSSKWKYYFFPRGRKDV GETLQQAALREAYEESGYRVDFMPLAIPTRQPHSPETRNRRSHFNTESIYMTTTNWRA GRSGPTDHGGVYLTSWFVGQIPEDAVCESGTRMPDEDHFTTHLLSYEEVRNLIWGTEV KLFDYVWAVYQHTKTILQQEESQRAQQLRRFQITKNRPVRRP JR316_0011028 MDPPAASTSGNSQTGGPVNLGVRKRVDSNPELVVESNTSTNQTK RPRLSSSSLFDLSLDLSLDSDAFSVSSSRPRPRPSAMAIPSSYGRPGSIGFGADRIVS RSISRSSLSLFDTSFEDLSNTSIEYTPSLRLDRPLSRHSSFTGSRHGSPFASREFLPV SDSDDPTVRQMRLAANEIMLPDPLSRYPESSAKHWMRNTRTTIDYTPSAPNQPSARLL GCSVNNFVYFTRGNRVHYKNINVNANEEIGQLMKLKESHGSLRALEVNTTSQPDVIAI GTSKGCIQLWDVKAKKMTASWHSSKEISAMAWNGPILTIGSLKGVIRNYDTRLPHSKI KEQSRKFCRHEGEITTLHWSVDGKYLASGDAIGQVLCWENGLGPPMQVGESVHRRSKK IQQSGKISSVAWCPWQPKVLATGDIRGILRIWNVSENKNSNALPSKLDVKSVMTGIHF SPQCKEVLTTQGPPIGEPMPMDFNNLKPWFENCLAVHSFPSFSTVTTMRLSIDKPIGY SVLDGTGTRLVYQTPSDGKINVCERKEPPKLKKRGSMLSITSSDSAYSQIR JR316_0011029 MLKPQSSISSFTIQQYPSSPEGSLAHTFRCETRIANISYQIRSL SNFVSASKDLLDFATLASNFSIYQNQLARKTLESAGIVLFLWASCPAKLTDHKASYIE LLRMLRANQGHVDTESQRRVRVLLMPLTSHFSTSPSPPPSIPPRLLVTGRNPPSTDSS VSNSQEIESTLQSQDDSSSSMLPPNEIRQVEPPNRSPVAPRHRRLWDSRELSYASVGP RHRSRSRSPHRFQQQSADEKLEQENVAPETTIAFRQAPRTQRFGIFLTGRSSMLGNYN RTDRNSSLPAH JR316_0011030 MNSSTSRNAAKKQTQEEIKRQIALLQACLEPESESETTPMVRSP KRKSVDQPVTLAPATPSPKKKRKTDDRCLGKPIARPVFHSTSPNISRLVASSSKPPQQ FTKLAPSNIINKLASITQKGDGEDAPEAHPRSAAFTDRPVKPSPVDHEIHGHKRDERL ALIENIEPGPYQHTPPTDDPNFEKLEPHSGIAMVSRTIPHEEFNDHLAGRYYLSPSRL YSSIRLLPDKQGYDVSVPGDWITIAVVAERGPIKFTRAPVAIERESGDPDANKKHWKG KNRDNEPEKPGGKKFVNLKLVDFGARSGSTSSATGRTPTIRGDAFLTLLLFEADGFDL IPRDDGRKPEKIYKGGSRGAFEHLTNVKEGDVIALLNPRILKPFQRSNDSPHPVNNIL ALTPESASSIMVLGRARDLGMCTVRKQDGKICGSWCDKRLSEVCDYHVQNAVQRRRAA RPEFSVGTSGMSTSATHKSKNAYDPLRKWGLKPSDEVSGNGATYMVAGHVVSGSSADP RTMFVGETIGREGQARAKRIMDNKDSDRALKALLQRDKEGMKAVMKAREVNRQLTKSG KDNKSVGTTTKKRKKDQEGSDEEDQGGQSDTKIDNLRKTAYSAGIIKSLGFDPSLKPG QRRVETKGVQQKLETLEALLQGRKDIALGPKPGPRIRSGVTAPKRDKVAPEEPREQMC DLDSDSNDELPEKMTLAPKAIDKNEPETKMVDLDDF JR316_0011031 MAVTIRVHLPSFQRFIRIDASGFQNVEFMNNPARFSDVYRFRVT FECMAPLEDDLEWRLIFVSCPGDEELDQELDDCLVGPVPKGVNSFEFEGCAPDPSRIP TDDVLGVSALILTGSYKDQEFVRVGYYQNTEYDNEEMNITPPPQIMFNRLVRDISTKP RVTSFSIKWDVAQQPGTSVALGAATSAPVPSADDISNDDDKMEVETNGKS JR316_0011032 MSDTIQIDTTIPDVQILPDTNPSSTVQIPVIEAPESILAVDQLD GPSLASTLKLPETSPQYLSPSLPVAPTPHVFSLADLREENGELDLGPDVALSDISVAP DGSFIETSSGPTAHILKRRYDQLAGVGPSVRSPYAITAFVNQHGKSMYRIGHRGKNSA PAASAADADDLLAQPKNSSDASHSPRTQRRSRLSMHFLQPGMFAKTSIPPTSRPPIVS NTPSISKKLRKTRSIPDMSSSEPTITSAPTFAVTGRGHSQSVTAMDIPRLPMPFASYP PPRPIDAFAELLDWFNPLTSTADFSNQSLFTPDTSSPDAPKTRPTVPQPFGPNVVFKS PAIKVAPNPPPRHLREMQSFESGRTARQCDSQESLPGSDSPVPSSDDASGTAGERSRP ASAIRLSLLSEVSIDSDTHSDPPAEAEPDVAPMAEGNRLSTQYSTEVFNVLQTYRGLP MFEKLVPEIDNDNVIKLSLAADQSAAPRDDPRFVLWGETQVESEADDFNSKSRDSLTD VSSSNPSSSISKRRSSKVSKFKSPDASAASLPKQGQRVLLAATIERWIAQLTSDLNYD ELLNFFLTYRTYVPAVDLCHLFICRFHWALQTGASRQDETVRRIVRVRTFVAIRYWLL TFFTVDFIPNRELRLLIADWLNTLIEDPILKKHSDATDIVKRLIKVAKECKRAHIRTE KPKENKSSSDNEERPVDHLLGKSFAEAIRKLPQEDHDSILDLDFLPDEAKTEELSGFP IDSANAHLTAGAVGGTVLSPNRPSSLPLSSFNILQRTDHAPGPSADVDLPFVENTAPV LLGHHHSALSRAFVRTIGRLGRWKRVLNQKSAVRPANTLGACGVGDQAFDLDLNAARD LLTVNGGVENYLRMVEPPAPRPSSSEIIVAPLESHSRTPSLLVLPPLPSAPPTPSVPL STANIYPSSQLSSSPLSSHVNASPAPDTTATTTIKTESPTSISSPLPEPSESSPPPSF VESVQELNELSPDPVVSQDEACAGPIESAPETSEIHRLSPASFNYDTHGLREPDRPES FMSSSTDSFGAILTADGPLPPTFPGQHNQWSFDTSIDDLDLSDTSSLPGGSDLPAPPG LMRPARRLPNRRDFEFVRRSEVSSMGFSNEYMRDSVASSTHSQSSPSSSGLPQPISRW QMKTLQRTFESMSNDGEDGGDVEAALRRLEGQINPKIQQEKAEKVDGWVRSMQERLRN GDYDYESSIFSEDDVEGFIDEVYPATDDTDPESPPDLVVSSSETDDSNDNDDRDGMPR TPIPSQSTQQLPFPPGLEPIARNESQKPPPEPAVPEEILQSRLPPIARPAFDSISPPT ESIFSSKFSSESTHSIHRSFILNYSAEQLAEHFSMIDRELFMGVKFEELVTGEWIYCQ EINVYEWAQYLKDRARWKAEQQFPEKTTALAAVRARFNLMVSFVISEVVLTPPTERHI VVSKFLRIAWKCYSLSNFNTLTAIIMALKDEWVARAMKRQGWNRIGVFEARVFKDLKI FITVADHFKFMRQIVDSIVDAKPLEGSSHAASVISGGDSQSGKGRGTSDNRPMVPTAC IPFIGIYLSQLRVFTKLPGLIDATAPHLVVGIDPVHATFDPPAHPEVFSALVPLPKSM HLEPLINVHKQRRIADVIKALVAGQHLASRVQFSIDKRLFQKCLRLRGLQPDLLQRAL AMYPE JR316_0011033 MVEYSNQKTNVGFIGLSATSGWAATALAPALIQPSLRDKYDLVA VSTTTEASSLASADKYSKEVGHHIKSYFGPASRIASDEDVNLVAISVKAPHHKEVVLS AIEAKKDFFVEWPAGISTKETEEIASSAREHGVRSLVGLQGRHSVVVRKVKEILSSGV VGTVRSTNVIAHLPREAGTFPPFTFDYYEYTLDKNNGATMLTVPIGHQLDTLTHVLGD FVKVSAIATNVYPVLTVLDRTHKPTGKTVAYHQPDHYAISGVLKSGILANILWRTGYA STEGRRQYVWEIEGEEGTIRMESNNLLGAMASMIEPDLYLNGKKVDFETSGNAVESCV EAWMPFSNGPGGDYATIEDAVKHHRLLDAIEASVQEGKVVAL JR316_0011034 MSFKSLFTPLQIGSITLKNRITMSALTRNRAADTYPSDLMKEYY VQRAKGGAGLIVTEGILVTRQGTEWPHAPGLWDSKHVAGWKNIVDAVHEAGGHIYAQV LGRLSHPEAEQQKLAGVPVYGPSAISARGGKFRTIPGVPGYVTPTAIEDPWTIIKQFK HAAVNAKEAGFDGVELHGANGYIVQQFLDSTANLRTDQWGGSIENRSRFGLEVLKVMV EVFGENVSLKLSPCGGYNDVGMPLQETLDTYSYFISEADKLKLSYFNLVRYSPSFDVE FDGKSSNPHDVLESYGHLIKNGKKFLNAGVTAEEGEKLISAGKLDAISIGFNWITHPD LAKRVEHGKPLNNMPDIPHLQTSKTSGDWATGYTDYPTATY JR316_0011035 MESNTAHIKATKVSGQTVEEAQAETTAQKASTDCESEVNQFPPI PVPSLSKWSTPAIPENAWCSTDFMTGAGMVVIQQNTEKIIVLYSTMMDYWFFPRGRKD IGECIEQAALREAHEESGYRVHFLPVVNPTRQPHPTFTNGNNQTVLNTEPIFMTTTNK PPGESGPDDYGKVYLTLWFIGQIPEDAKFEAGTRTDEEFHFETHLLSYDEAVKKIWYS ERPVLKYAWHVYQKTLEFTRSVPRD JR316_0011036 MSKKNDDRKNIVIVGGGAGGSRVAHSLSTKLDTAKFNIVLIDAR PDFILLPATARAVVSNPNNLEKRILVPLKDVFSKNNGTFIQAEVTGIEKSENGGVVVL SNEERIPYDILVLSPGSRWTAPFAFPNNNIRDYFYASRANIEAAQNIVLVGAGAVAIE LAGEIADVYPKKSVTIVQAAGMVLNKVYPDKFRRALESRLIARNIELIFGEYVEDTQI KNGTIKTKSGKVIKADLVISTVGPQPNTEFIAKSLGSDALTENGYIKVAPTLRLLNHP NIYAVGDAIDYAEQKQYMKSINQANIVAANIIASIHNKPLSPYKGSREIIVITIGKNG GVAFFDVLWGIVLGDWFCRLVKSRSLMVNRLKSLIGL JR316_0011037 MSSNDSPDTPDTQANENDFQEAPPYWNLDDPLKHAPPRPEGDPW ETLLKPLLKNDKMRCDSWKDEVQNLLIFAGLFSAVVTAFIVESYKSLQPDPNDTILIL LSNIAIHIDGASRSNSTALPLVPLPFSPTPSAVRVNTFWFISLVLSLTTVLVGIIALQ WLREHQSYSTNLTSRQKYALFMMRADGLKTWHVPKIFTSLPLLLQSALILFFGGTIDF LHAIGHLDVTIPVTVIIGVVLIFLVATTILPWLQMFVLSLRLATHKDHYPSGSGDERA LYPEEIYNRPPSQCPYKSPQARAVRNLGITLHQLRHRIGRYLRRLHYRYAPGVFLPRF RKTTPKPEDFKPINNLVQYPIMRSFLYPKWTDFDLEWVALRDYYMRDVFKHSISQRGH DELETVPIHDIIHGLREQSQQDDLFSAAYYSFTEISQKMLKPSITRGMFQSIDDFCLQ SSHLHDLLGSRYGVLLPKWVVADQAPLNLHALVKRLSIPLHQQNVEAFLFYRQHGIAT SMKTELRIRLLTYLFHNKDVIVPKDLNRIPRCLRFRLIVDVWTGQLPYNLDEFSAYAT PIADFTRILLQKIQENSDPTSIVNNALVRREIIQPFLTTSAHCALDAFECGDALKSLS DTYQSIFVYIEEALRTEMVLWTPEHCRPSLFFYTSSVFAYAATKPDGFRFSQWASPKN HFRSMCDTICEYRRRTIDHRISDRTIEEQLGYEYRNPKRFSKAWWDLLDSRKWPNDAL ESSSRVSMEQLTPLPDTPAPSLVSSQGSKLDSLQ JR316_0011038 MPGDETLQKREEESESEEEDDEDEVMDEIPTVDVDVTTLTPLSP EVISKQATINLGTIGHVAHGKSTVVKAISGVMTVRFKNELVRNITIKLGYANAKIYKC ENEACPRPGCYRSYPSSKEDNPPCERPGCGHRMKLVRHVSFVDCPGHDILMATMLNGA AVMDAALLLVAGNETCPQPQTSEHLAAVEIMKLENIIILQNKVDLIKESQALEHQKSI AAFVKGTVAESSPIVPISAQLKYNIDAVNEYIVKRIPIPVRDFLSDPRLIVIRSFDVN KPGAEVDELKGGVAGGSILTGVLRLGLEVEIRPGVVTKDSAGRNKCKPIFSRIMSLHA ENNLLSFAVPGGLIGVGTRIDPTLCRADRLVGQVLGAVGKLPKIYTELEISLFLLRRL LGVKTEDKKQTKVSKLVKNELLLINIGSTSTGGRVVSVKADLAKIQLTSPACTEIGEK VALSRRIEKHWRLVGWGSVQRGTVIEVD JR316_0011039 MNADTFRYMGDAVKNARYVRLDLGIWIISQSLLLYDYLCTLKSE VEFVWPCPWSMGVLLFYLNRYLPLVNFVLVIEIYPYAGQVVRICGSRWLTTGSLLASQ IIIVLRTYAVWGRRRLIFYILILWILVFPGTMVVLGGIVTHDILKRASELPANLTQKM TCSTNFQNAYVGILIFYIMIFSGEFVIVVLTVIKAKQHMRIPSPSWVRGLYKNGILQC ACMMGFSLLNSVIMFKGTAGIKMSIVPIQCNLHSVFCNRVIFLLLKHRRSQMHGPAIE DRHRQSGTLYIFTTVHEDVDDTFHLQPEEEEFRWQARAEREWIR JR316_0011040 MSQYEFDAKFPASATPPRRITPETYVGNPFMMNAALDKLDHAFS EVISILEQESSSQDLETGDNVLLNRFKSWRDELEMIRLGEEDLSFPQRLASPPAQNEG GLFAD JR316_0011041 MSSSGNSESTQYRLPDLLRNWPWTRHLSPHYARAKKESSAWVAS FRPFKSKGQKAFDACDLNFVRAGCDLMNFYFVYDEYTDIADASVANELATIVIENMTE DSLDQDVPSSSSTHILGQMTRQFWKRASTLAKPGSPCFAHFIATSERYLRAVSLEAED RAMHRVRSFDDYFILRRDTCGARPTLALIEFGLDLPEEVTSDPVIQALTQDAVDLIIL VNDMHSYIREISCGLADHNVLTPIMRDYNLDLQSALNWMDTYTSRVIERFLSNQARMP SWGPDIDARVRMYIDGLGQWVRGNDDWSCEGKRYHGSDGLVIRDTRMVTICPRKANYI QEDESTDMGATTAPGSKSKKLGGTSSRTKLDRIRMEIQSWWYINLVQGLLFKNMARKF LAWKI JR316_0011042 MATPSDSDAAACVLQTPVTTHIQKRVQNSVTNLRSHKRRRISMA SVDIDENLDVNEVAGSSAHVCSTADRFISNRPKVLMPLQITPRTRRISKQFGLVDDRV LNFGDDTDIFTSAHKDDATISLLRRSASSLFNSVPASRPTSVVENLCKRRNCLMVLDS PGVPFDPEAFPITWSRRNNIAVACRKDVYYQNLDTRVISHLCSTSLPGDIGVVQWGGS KHESHLALGITGGTVQMWDAGTGGPGTAVHTWQTTDKGAVKCLSWNNDILAVGMEGGE IHLTDVRAPQKPSVMGKHRSRVMGIEWSPSGEYLASGDKEGAVHIWDRRNGKSLLDGG QSQPCAKFRHKGSTKALAWCPWKPDLLASGSIAPEGKIKIWSTSLTSLSSPTHSPEPI HTIPLNTSVLSLHWSPHCKELLSTHGSSFAPLLSTSRHRTQSGAASSNAPQSSSRSQT KLTYTKTALTNSITVHEYPSCKRLMTLTNAHTHAVTHSCLSPNGESIFTVCPKEESIK MWQVWSERPPPPRRESAFDRCTIR JR316_0011043 MSPVPGEPKESPKPQPIGNMPMIFLLTTCTLCALFLLWRRADAL RRVVSHRLKTFRQTEGPIRLSEDDGPPANEFLADDYDDDNAHLHDSDDEPLSEHIRRA TQAWREPNIAILERHSSSQEDSVKVLPSGPTQ JR316_0011044 MLLNVGVYDLYHLSFSRDATRVKALVYITFILETLQTLLSTKTI FDAFVYGFLAPNLSSLDHIGNLWFVVPILGGSVACISQTFYAYRITVIGRYFKGAKYA AGIIALLALAQMAGAIAIGVEMFQAKLFSRFVDSKVKLTVGFWNGGGALCDIIIAISM TYLLLSSSNNGIQDTRQIVQRLVRLTIETGSLTACIAILNIVLTMLPGEPTYYQTTAN VLSKMYANTMLVVLNSRMRFGQGYQGSQAEGFRYNESNSTQLIFSNTGKDMWHSVELS T JR316_0011045 MACNHGHGHGQQSYPQLNHSAGPKWIKNLTKDRLGTFNGGHYAD VNLASVLFTHRIDNAEHIKLKVWSAPGLTKPTFEEAMQQKFKTAKKTNHWWKVTVTIP SYWEQYERVQFEFDPGCEAMIFSTDGTPLQGITGGYGGDRRVEYIISPEARAQGTHEF VIESSCNGMFGVPWNGDTIQPPDMNRYFSLASADLVVPNMEAWGLLWDFQTLRELVDT LPGNTPLQNKALTAANEIMNVFNKADLSSITKARKLAEEVFGEGWETKGADIYNEGPK EVNVWGIGHCHIDTAWLWPYRVTQQKVARSWATQVDLMERYPEHRFACSSAQQYKWLE QLYPALYERVKEKVLDGRFHPIGGSWVENDSNMPSGEALVRQFLFGQRYFESRFGRRC DTAWLPDSFGLTGALPQLIRGAGMSYFFTQKLSCNVFPHSTFNWVGIDGTQVLCHMTP VDTYTAQATVGDVNKGITNHKNLESSDKALLVFGNGDGGGGPLAKMLENLRRMRAVTN THRELPPVNMGHSVDEFFQHIEKETNEGKILPNWHGELYLEFHRGTYTSHGSIKKGNR HSEILLRDVEQIATLASLYKHSKNNYVYPKDKVDESWEKVLLNQSLILIQYYSGMVYE DAEKLYAEVKKDGEALLEEAFSVLFPNSVPLTPSTRSKALAGSSKIVAYNTTFFPRWD IVKIPLAKAGPSLKAQILQASDDGREGYAIMHCANGAGAGELKHPSNALHAHLKPVSV YTNGSDHFVLRNASVQLTISKGRISSLVDVKLNRELIAEGQTGGLIIFQDRPNYWDAW DVEIHHLETAQPLEFSNVSVVSQGPLRAAVRAEVKYGQSTITVTISLDATTATIKENS RSLFNFDAIVDWRQRHEFLKFELPLNIHSDNATYETQFGYVQRPTHKNTTWDIAKFEV CGHKYADLSEFGYGVAILSESKYGFSCQGNVLRISLLRSSTAPDAEQDQGEHHFSWAV MPHEGHFLESDVPIAGYLYNSPLRVRCLPPGAPDIVSSLKSPFVVQGARNVFLETVKR GENDTFDNIVDFEAKDSDATTTVVLRLYEAFGGHAQVKLGISSHLPVVKAFTTNLLED NDEELYLLRAEEDKAKEENVGTCLSLSFRRFEVKTVKLVLGALPPSPLSHKRVSVLPL JR316_0011046 MVTFCVLVAPLPYTVKKNVFSFLSESKIVAKIAYGLKISFIFVA ILFADAVQRMFRVTAESDMAKHRQGVVQDIRTDTGIAARKFYAQRNVYLTGFCLFLSL VLTRTFYIILDLLHTQEEYIKLKASKAPATKDQASEIAALKKELAEARAKVRDYDILK KQAAQNHAEYDRLATELNELSGNKSDKRRD JR316_0011047 MSPIDVHIKHNGKTYDIKLDPDLPPAVFKDTIYSLTGVPVDRMK VMVKGGVLKDDSSWKKIGPKEGQTFMVIGAAGELPKAPPKPIVFLEDMDDSELAEALA KPVGLTNLGNTCYMNATVQALRAVPELQAALEAPSLQSDTPLPGALRDLYRNMAQTAD TVTPFKFLQVLRETNRQFAEMDRSEKRAGDLMMGRQVYAQQDAEECYGAIVHSLRNLP GLNAQGKTTATGAEASVNRSKFVEQYFMGKLRRELKCKEEGAENEPPTVSTENVLKIE CNISIKTNFMLSGIMSSLDTELEKNSPSLNRTAVYTQTSRLTRLPTYLTVHMVRFAWR ADISKKAKIMRQVKFPQEFDALDIVTKDLQEKLLPASRKMKEIEKDRRERRKVRARTK QLAAGSAPAPAPASGPSSSSSSATPAPASGDVEMADASAAAGAEEGKGKETAVRDGEL EDESVYRKREAEELAQLINEDIKNDIGASTTGLYELVAIVTHKGAAADAGHYMGYVKK SVFNAYTKKKKVEAAPAPSESAEGAAPAQPSEAELTAELDAQEGDEDWYKFDDDKVSV FPQEKLATLDGGGEDSSAYVLLYRSKNAA JR316_0011048 MSYLTSDLGPSKGFHPISKTTIHLPGLERESYDECTLHLHFALP PLVFVDTHELAQRSASYEFRHWGSRDLEKPVHALPDEGADLLLTVPLPFVDGDTDAGR EDGENGLDVYLEVPMHLRYGVPNSSSSSSGNEGTHGHERIHVDWPQGFLSCPRSISSW KGNTKSKEGNDGALSLPSRVASVLPPDNMLVAIPPHSDFSETASVLYLPVGNTQDLAF VEPVTALTILACCFWLFRVATKTASRLNANPAPRPKAKVS JR316_0011049 MAFTRLAGIIAIALASSHSAYAATTGAKPVTCSTGQVTANAACC ALFPVVSLMQSQLFDNGECGEGAHSALRLSFHDAIGFSIHGGKGGGADGSILQFGDVE TQFHANGGIDEIVDDQTPVWQQTNLTAGDFLHLAAAVGTANCPGAPRLQFMLGRPAPV APAPDLTIPEPTDSVTSILARFADAGFSPREAVALLTSHTIAAADVVDPAIPRTPFDS TSATFDSQIFLEVLLKGRLFPGPNNSSQPGEVLSPLAGEMRLQSDFVISQDSRTACFW QQMINNQQLMISQFKAAMAKLQLLGQNTAHLIDCSDVVPIPRSFTQAIKYPASFSQAD VQIACPQLPFPSLATVAGPAPTVAPV JR316_0011050 MAFARLSAIVAIALASQSVLAANINRRTTCATGQVTSNAACCAL FPVVDFIQENLFDGGECGEEAHSALRLSFHDAIGFSIHGGKGGGADGSILQFADVETA FHANGGIDDITDRQTPVFQALSQTPAFKPLNISAGDFVHLAAAIGTANCPGAPRLKFM FGRPPPVAPAPDLTIPEPTDSVTSILARFADAGFSPSEAVALLASHTIAAADVVDTNI PGTPFDSTAGTFDSQVFLEVLLKGRLFPGPNNSSQPGEVLSPLAGEMRLQSDFLISQD PRTACFWQAMINNQQLMMAEFKAAMAKLQVLGQNTAKLIDCSDIVPVPQPFTQAIKYP ASFSRQDVQVACPILPFPNLATVAGPAPTIPPV JR316_0011051 MGTIAPTKVQIYTYYPQNFWKFEYEKVAYTRFMDSALKEELFES VLLDVPGLVEHLFPDSLFPIPFSSLENAVLETHWDSRQKVWKVYGAVKPSIFVTSAKP GVAETDLATFFNTISETLIEVSNSTEKSFKLSIDRRWVSNSSKSMLWGHQVKRKPDIC LANKDKAATWHSTLVTTELKLKASDANDAFNQLANSACTIFSTQDDRRFHIGLSICDT SVRVYVFDRAGVIGSSHFHLSEDASLLIRLIAGLTLTDVGALGFDPSIKRNDDGSRTV SVAGVDYRILKTLFISDSIRGRGTVCWKASSGGSEYVIKNSWTDVSRLKTEADIMRMA DGIEGIAQLIAEEIVHDNSLDNTNVKDYKRMDWYDKLEVRVHRRLVTTPVAQPVEYFK SKKELLSVIIDGIEAHARLLEKHILHRDISMANIMIHRPSQGSSNDTNTSSAEVQKPS TSVVASKRSVVSAKGILIDLDYALITNDESGKETQREADATGHRTGTLPFMAIDILMN ATEGEHQAHHDIESFFYVLLWIMLQYAGPSGIERQDLDIKSVDTFKGWIHGRDIALIG ATKWAIMSTVLPQIFEATVTKFVTLYFKDLVPCIEELRQLIFVRRNQGFNPTHEAVIA ILRKHMLMLPDDDKWSRENDPAGYGPVGGKRKLETFQEEEEEAEEDPLEASPSAGRNK RAKTAPSRLIPTRRSVRI JR316_0011052 MAFGYLAALAAIALTASQSAYAATTSARRVTCATGQVTTNAACC ALFPVVDFIQENLFDGGECGEEAHSALRLSFHDAIGFSLHGGNRGGGADGSILAFSDI ETAFHANGGIDDITARQLPVFQALNLTAGDFVHLAAAIGTANCPGAPQLEFQFGRPPP LAPAPDLTIPEPTDSVTKILERFADAGFSPKEAVALLASHTIAAADVVDPTIPGTPFD STVGTFDSQVFLEVLLKGKLFPGNGSQPGEVLSPLAGEMRLQSDFVISQDSRTACFWQ EMINNQQFMMSQFKAAMAKLQVLGQDTRKLIDCSDVVPVPKPFTQAIKYPASFSKKDV QIACNSLPFPNLATVAGPAPTVAPV JR316_0011053 MASSDFLPSLSQFDIEHAAQLIQQAYSPSGPVVPEELKRLQQDL FELQKRPEAWGLVVPLLNHQDQNVQFYGAHTAQVKIARDWAMFPNEHIEALRDLIVQL TAHSIAIGRSKFILRKLFVAINSLAIRLVPGRHSQWPDWIMSCITAFSNQGASVEYLH DFLAITAEEMGNADLLKPQRDDILRVMSEAVPMIVQAITNTITQPLGSIPTQHYLSAL RCLQAWLGHINANHLTPLIPILIQLLDPKFPEIFIGASDALQEITTKSPLSDGSGSKT LTEPLMIWMDTVGRQIMEAILADEDILPVSHSLCKLVVALGDHSTSYIATNIASSSPV STGPTTPPTTKGQLTQSFLSLLLAFTALPGHYGVDEEESELTLGFWYLFQEALWSTDY YFEDGDDDRSPAPADTDVAESKQVLMAKAVFSEVVKVLRRKVAFPPSGSGWSRDEVEK FQVYRRDVGDTLINAYYVLREDMLGYYVNDVAERLAARKEGDGWQEIEATLHCIMSIQ EAVDMETVPHLDRLFSPDIFGRLPSTGRSRVRRTALGVIGSYSSWFATSGATSAQPNL LLTVLSYVVSALPDPTLSLPAATALRNLCEANRKELALHIAAFGELHANIGNIPDSEK SKVLQSIASVIQAIPPLESIPSIEAIVAPSVQRIFDALQIAPTNPEEAQLSITLHLET LAGIAKGLTRSSDGLVLEDDSDPDVQAQIESIKQAREDPRTIKIRESIFAAIRAVVEL WSAHSDISHGLSELCKSITALPADTTVISLPAGPLLELVCLAAQRQLTAAWLSLAHIL IAQLNPPIYSVILKNGPSTEAEMIIQRLLPILLETALTRLGAEGAMESNPDIVQGFFS CMEKTAAEFTSAFYALPRGLFDALIQCAIKALTLQERYSLVSACNFLSTLIHRSSLTD ELIANKTAFMAVHGRAIMQAILEGFAGVAPRSAVPNLIEMLGTLLSRANGPEGGVGGG APQWMKEILLSEHFVPSKAGPDAKTKFMKAVSGSRSLKRTREAAQQFTLIARGLEGSN FGYTTLTM JR316_0011054 MKTSDFFITITDKNNAAQEYLSLPVGLDPKALLKQVNPWDEKGE ILALKLAYTTKTHPKPELKISNATKRMIYYAQNRLRDGKFSFVIQVSANTSSCAEFPK LMLILIMSQWPGYADHKISFSRSETPENIIWKCADQLRQFVQGNTQHNGGDLAFSIPK YGILPIEVYFGGFVVNAGQICLAIYCPKIMEEKRKKIASM JR316_0011055 MALKEVILELPIIRVLSSSQQLNVLASVTSVGLILVSYVVYNSI TSSKPGSIRRLGGFPVFTAWTFFTKRYDFVRSNFSGSDPHFKFKVLHHDVVAFRGEEA RKTFFDTKSLDFIEGYKILMGAAPSLKDIQVEENTQGDVSWFNKQIAILLNKNRLTDA LPFLLNDVNNRMESWGKSARIDPFKHIYDLVFQMTVRMASCDELAKDVKTISELQSLY WTLEKSATPTALLLPWFPSPAKKRKEVATKELFMKLYGFVEARRHATVPSTDAIDVLL GQGMDTPNIVQFVLSVIFAGVINTGINSCWAIVYLASHPEWKAKVKAEINSLIEKHTN TVSTEPLHKRLAAIPISAWDDELPVLELVIRETLRLAISGTALRRNLIEELTIANGQV KKGDFVAYSLADVHLNPDIYEQPEKFDPSRFEAGREEDKKGTFSFLGWGAGRHPCSGM KIAKLEIKVIMAMMLAGFDFDIVDKNGKPLKELPQPDRNDIHQARPLGEPCFLQVERI AE JR316_0011056 MSTAIVLPTLTEWTEQHITSIFQAKTNADLTSALDGFLSDKAVI TFNGKQISRADYVGQLQAEKFREVSADVNFLGAVQAPTDPDQPFDAGSVGVFYNATIF ENIKIRDVSVSRQVTASVNVVIAQDPDVPKPPPSPFRGFFDGRRVMALNQVSTQGPAT SSNTA JR316_0011058 MSGNPLKKRKIAVLGSRSVGKSSLVKQFIENHFQDSYYPTIEST FAKSVVYKGVEYDCHIIDTAGQDEYSPINAQYAIGIHGYVLVYSITSRNSFNMIQIVY DKIVDFCGVSKVPCVIVGSKSDLSISRQVDSKDVETLAKQIDCAWIETSAKNNINVGK VFELCLQEIERMQAPHQSEPQAKSCVVM JR316_0011059 MASKSWAGEVISSKEKPAVNEEFKELEKDIELRREGIQRLIVAS GTYHHSLSKKKANASLDEVEKMLPIDILGITMIVHGEEFGDDSTFGSALVKLGRAHSK IATLQEAYALTFKDTFMGTLEKFKDQIKEYEAVKKKLENRKVIYDSATVKFEKAQSSR KDKDRREAEDELERARQRYDEAAEDLRAHMHAIQENEHNQYRELGTLLDLETTYIQSY LDVLKDVKADWPERVIYMSGSSSASKSSGSFNRDSQYPSRVNGQGRTYSSPSDSSEDD RPTTPGPISGRHSRAGSTASKPPSRPASRLSRKRASSSATTKGSSEDKDKEKEKDDNR PRRKSVTGWASSAVESVTGSKNKKSKDTDSFTTLDDDQHPGATENGTLHKSSSFRSLG RKSSKNKSKESLSGTTTATPKILKPPSAQGQKVVRALYDFSGSSDELSFKAGNDIIVV HEVLDDWWMGEVNGHRGLFPTSYTEVVGSNKSMFPPGKPPRVIHPGPGYDQDDSDFDG DYRHDHDHDHYMTSDADEEQIIRATPMAVNRSPIFYGGFDDKASFTGSMADEADDEDT KRIQPVSTRQRVAFPDDNGDDNWGPPKPPQQPQQPQTQQQQNSSTLPSFSTPSMLSQA RRNMLRSLDPAQQPLINRSMSEAPSPTSGGLGGGGGSASNVYGSGSVSTGTTTPTKKI PPPPPPRRAISQVPATGPPIPERKAPGAAASHTLNGSASTLTTPASSVSGHGYDRSPF ESAIELEGVGGAAQCEQFRQNPFKPKGMCSNCLEFHG JR316_0011060 MNENQDISTCVSRARRNHVIHIHSHQLRDVTQFGSFVTCFVTPF ISNLVADAKLEGRDEDKEVMEGPKSIKKRKLLDKSIPNVILQNPEFAQDSQMYQDLLN MERKLDWTITRKRMEVQDALARTPTTTRTLRIFMSHTVSGQQWQNMGEPQVAPNFETG EGIPAWSFKIEGRLLEVPNQRHKDKTPPRKFSTLIKRMVVELDRDTNVYPEGNIVEWP RAHGNHNPALDGFTIRRTGDSPTKIRVVMYLEHFPEQYKVLPELSNVLAVKEDSRMGV IQNLWNYIKVQGLQDKVDRRIIKADDKLRPIFGGETMPFHKIPDVVNRYLTAADPIIL HYTVNPTIQPPERTSAWDVEIKMEDTALKNKMAVTVSTSKESAQALLKIDEEIALLAQ SLHNSHMKRTFLESFANDPAQFIQTWLESQSRDLESILGSGPTEGMTLRQEELKRSEF FQLPWVEEAVAIQEGVRLAAKGMA JR316_0011061 MSGSEEEDRSLLVVYATETGNAQDAADYIARQCRRIAFQCRVVN IDSFSLPDLLSEPIVIFVVSTTGSGIEPRSMTPLWTSLLRGDLPSDAFEDLYFSVFGL GDTAYEKFCWAAKKLSRRLESIGGTEFYERGEGDEQHRLGIDGALQPWTEGLIKRLLE LVPLPPGLTIQPVQAVPLPRVKVKETSQTALEHSADPLKSDLQYHKAIVKKNERITDP EWYQDVRHFELDFRDNIQYSPGDVAVIHPVALESDVESFLITMGWQNVADEPFEIEQA LYDQSLPDHLPPITTLRILFTRFLDFNSVPKRSFFQYLRYFTSDEREQEKLDEFLSPE GSDELYDYCYRVRRTIHEVLTEFRNVKIPKDYIFDVFPPLRPREFSIASSIKVHPHQI HLCIAMVKYRTKLKIPRKGVCTSYLSILKPGDTLLVGVRKGLLRLPGKNDTPVIFVGP GTGIAPMRSAIEQRVANGCHANTLYFGCRSASKDQHYASEWQDYATKQLLTYRTAFSR DGAEGEPRVYVQDLIRRDSERIWDLVGRHKAWVLVSGSSNKMPAAVKDSIAYAMETHG GLSANEAKEYVRLMVKEGRLIEECWS JR316_0011062 MSAVIMYKRYGRRIRNSDWITPKQLTRKRWLRGVVTSVGDADNF RLFHTPPLGGYTWPLKFRSIPSLSKDLKDETIHIRIAGIDAPEAAHFGKPAQPYAAES LEWLRNNILGKSVYCQVLRKDQYTRIVAQVYLSPRILPGFLFHGKNVSAEMLKAGWAV TYQQAGAEYGHLGKDGFLRLENEAKAARRGIWKGGKSLETPSQYKRRHAAATTLEEAE AAKPRSTRLAPKKGWLRSLFTRS JR316_0011063 MVKPRPSHISSLPLEVLEKIIEDINGLDDRQVLVDFSLSSKLFL RLCRAHLFRNVKLFLEPGPREAQGHPSSVQFIAFLASDPDVASQIRSLHIVSSDSNHP HSSEGHRTAVIEHLFTITSKLSHLCNLVIQFTFFTNWSMIDSRLKNVINGLYSASTLR YLELNYLELTTTQLLSLLRIPEVTLIGVSSIEPVGSEEVLHHQGSQTTQSAVHNLKIV MRRPNMARFLANIVRDSSKSLKRLEWLSSPYHEMEKTQLECIILRDLPELLSITICIP LDESGIGDLVTLFGSAKGGTNLCRLESIHVVCDYKRLPDRRSIDDWNWRGVDSMLIDD IYESLRTMKVSVKRVIYPKHRQPSNEIYRNMLRELLDIFREKLFMTLNKGVRIEGA JR316_0011064 MVRASSVVRLYLACVAAAGSALAVGLRAGQIKNLVTFGDSYTDT VIVSNGGTQWPVYAAGFAHVDLFPFARSGATCSNNLTFRPFPSLFESQLPTYFNATGT APNTKPPKKGIVNPDQTLYTLWLGTNDVGSNALLTGSDQASLVDVTECMINWVKVLFS SGARNFLFQNMIPLETVPLYSPNSYPARYWTAQRNTTEWSVFMKELVLSGNALTKLML QALAPTLPGAHIGIFDSHSLFADMFAHPALYLNGTAPLNVTGAVQACVFELNESTSDT GDCTIAKGTDRDSFLWFDELHPSEQADRIVAREIAQVIEGKKNKWTTWLS JR316_0011065 MASPAPPSSASRRQRASHTVVSPTAHHNSHHHHQQPYSNQGHTS LLPVPHHSNNADSHTNSQPSAALTQNPQYPDVYAHPAAVAYSAAHPRRTIPKFGPYLL LQTLGEGEFGKVKLGLHSQWGEEVAVKLIRRGNVDSTVRMSKVEREIEVLKTLKHPNI VRLYDVIETDKYIGIILEYASGGELFDHILAHRYLREKDAARLFSQLISGVWYIHQKK IVHRDLKLENLLLDRNRNVIITDFGFANRFEHKSDDLMQTSCGSPCYAAPELVISEGL YVGSAVDIWSCGVILYAMLAGYLPFDDDPANPDGDNINLLYKYIVSTPLSFPDYISAE ARDLLSLMLVPDPTRRTSLEGVMRHPWLSAYWVDSSGGRKNNTDGGPPVAFGRTVEEL EKLAMDGHQQKRIQYQKQMRQAAAAAAAANSGAGGSSGSNAMAAGGSGTAVAPTPGRT QSHRPTEHRDRERDREREREAIYAQQQQQQQQQSSRQQQGGATPRSRSVQPEYLYDSS VDQSLSMSPGGPAQASASMPLVSAAALQQPATPTANAGNAKGKAPKVYGSPAAAGLSD DDPFAFTSGPPSNGAVSGLPPVSGGLPPVSGGLAPPGIPPAQASNGNANKSPGGDKFR HTIQVEYDAPKGRRGRSGSQSQGQRERQQQAQQQQQQTEKRSPPKPLPSPPPVAASSP AVSTTTATAPSAYRPPPATASSPPSAAAKAAAAANANGLSINVSSAPAPAPVSAAPTQ ASESTFTNAPTTPDVATGTTGSTSSIKPPSVSGQQQQGHKKGKSSVDRMGLGKIFGGL GSGAGPNAAAKDDGDVTPSASVGSVVLTPSATASVSASEEDKESKESNGTGGSSSRQP TKKASRRNTLTVMVEPFRSVRGKKDKESKEKDKDRRMASTPVAAAYPHRDVSGSKSAL PGVAGAGAGAGAMTLAVPTTAPVPGPVSAIEPSPGGGGAFSPSQEFGGVPTPGSGMQA STSKAKKVMQWFRTKSKGRESVGYGLNMGGPGGDEEATPTPTHPGQGHELQQQQQEGK YKRGYSASSSTVNQQEKEKELQQQQPATAAPQVVVTTPSTPRPSGAHTATSNAKGNAS KTPIQPLRTASAATDASASAASISASSFVTRFRNSVTAVGRERNTSTTEKHHHHHHHH QNQHAHPHGQAGQQAPHPYSQLRIHHGAVDQTTITTRPPPEVMAHVKKVLEGMGVEAQ MESEYKVRCVRVKKKKDTIVAGQEGEGQGQLAVVTMMGSAASNGVDKRGLPLPSPSAF SGTGGMLRGLLMRRQSSQVSTHGPASSSTSVSGAAGNNSLAFDDETSVVVGEPLNMNG QDTLGSPISPSPSPLTAVPAASSSSSKAGKGPAYGDPNQDAGDEVRFSVELTRLDRLN DTYSLDIRRLKGNLRSYKFLYDTLRERADLSAAH JR316_0011066 MWRSTLFRPQTCRMVMFTTEAKRVDCVFSQLPPAPPHSLPPFTT FPSTPPHSDKHWKADVSAHRKLYHCLRKLHQSVGYNRHRIVEWPVESSDTSRLPLGDY MQSLISPIAKLLNTLHSPELLQGNPMGCVKVELGNFIVGATFTTDEFKLYSIYPPYIL FVPDELFEPDGQTCSAGLKFAFSKISDSASSVIVTNFKDIAVFFMRKGGYITVGKDIF IKVETSHIPAALRTLAAACLLDALPPIGFMNGPDVYAGVWDENFILPMGPPQNPDQPL HSDEHLIATCNRNSDFDLATLLRDRNRALQFFRWNKHVLQRKSKLVTHAKDILHGTTN QRFNPAIQVPSPLYPFDPSEMPSDTKNHLQIIYRVSSLSQGGLDKALAQSKSFALQID DVLAEGARNGFCSVYRCRLTSIDGHPVSSSPLLCLKLFDDRFQDLHDLIDYEDPFPLW FGSVSIAEDCADIETIVYNKLRPVQGTVIPWFYGQHQFILPNGVAIQGLLMEYIDGYH LDSERANKLSSAQQIQVIQSCRHAVRVLDAGDITQMDWHDRQFVLYTHPTAQVECVVL LDFSMILQTCEPDFINFRTNCLRMLSVLLNSGPTDGGLDSDLIFQYYEDPDTWDPTMG GVGDKKGRRKTVEYKGTMFPFIKLEQPPPLELARISM JR316_0011067 MSTTTALKHQASSQSLSNTLVSQIRVHQGAIDRRTITTVPPSQA MDNMRALLLRMGVRVRDESAFRLRCVRPGAGAPNSDAKWDEAWDEVDEEEKDEFSSSS SGSESESSGSGSDTETEAHAARAPKRAPKRNVQVHRISQTQIQEPECALQPLRVSSSR SNLRRLKSKQSMSLQKCTLYGPSPASDPSHEVRFSVELTQLSGLPGTYSLDIRRLKGH LRSYKYIYDTLRELSLSFIFNS JR316_0011068 MNKVPLAQVHVAQFEEQIEQLLDNDSKSFPLKDRKGETVAQIDI SLFLCETPDDFISDFMEKVDHDVSNLKSIEGGSKRVFSVLGPVLQSMKALMDNFADAH PLLKTAWKVVSSVYDAMQETEIKGQEIQELAENLREMLATANEKLDLPKVPHAVDIIK EIGQYSLQVASIIHEYTKLSFAGRAVNLQGVSMQKRIDECQRRRVALNKRLYGHVKEE EIMEEVKKEVFKVKNEILAGSGKTILMCSVLDQLPEPNSSTAVGRFLFDARDGQTETQ LHMKFIRSLACQLCDSRHGGIPQEMVDLRNKCGAFQPLDDQLEETVLRILAGFDRVFI AIDALDECMDRQRTLDWVQKLLTKADTPTHVHLIVTSRREPDITDVFDNLNGDRIDVV NPANQDIGQYISEHMKSPKLPQIDEETRTEVEYKLRNRANGSPRFRYIALMLAELQQC ASLDDLKKALSQLPNGLDEIYARVLSKCKEENSFDLRIFLQFLAFSVSDIEVDELAET ITIETSSDGSTTFNAEKRYLNLSDVLELCGGLVVVTSDFRNRSEFCFPQKKISYRLMQ NIIRHHQVVSFFGEGVSYVQSSSRQVRLAEAASHIEISKTLLSYLLEIHATTNKSVFP LKNYAVKTWTEYVRYQGVDGDVAVFEITARLLRLGIPALVNSHIYGLDLFDPTEMVQR IPPRPLHWASLLGLVGVVKYLLGDVNNLNPKKDTDHQKGISSSRNSVDVSEVCLKHFI DVNAIGGKYGTALQAASYRGHKSIVELLLQHGADVNAMGGKYGTALQVASYKGHDFIV EALIEHGADVNAMDGQYGTALQAASYMGHESIVEALLQHGADVNAIGGQHDTALQAAS FVGDDFIVKTLLQNGADVNAIGGKYGTALQVASYCGHKTIVKTLLQNGADVNAMDGQY GTALQVASYRGYYSIVEALLQHSTDVNVMGGQYGTALQAASYCGHKSIVELLLQHGAD VNAMGGKYGTALQMASYKGHDSIVEALLEHGADVNVMDGQYGTALQAASYGGHESIVE ALLKHGADVNATGGQYGTALHVASCRAWYPIVEALLQHGADVNAIGGQYGTVLQAASY EGDDLIITALLQHGADVNAMDGQYGTPLQVVSDRGHCSTAVILLQHGADVNVIGGQCG TALQAASYEGNEFIVALLLQHGADVNALGGQYGTALQAASYGGDTSVVRDLLNEGANI NAIAGKYGTALVAASCTGNEYTVYFLLLCGANVNAVGGEYGGTALHAASYHGYYSIVD LLLHHKADVNASDGDHNDALQAACSRGHDDIVELLLNHNAKVASLDYLDCLTKPTVQE KLRIAYVAQEENVH JR316_0011069 MYFTAKLAAILLAAAASARSAAVARTELAALSVVFFDDVNFTGA AYSPDTLLQSVCSTLPGDWLDRPESVLIASGFSCTFFEFQGCEGPGTTLSGNIASLPD PSLYNNVESFSCNKAI JR316_0011070 MAPKAKAGGGGKSKSGASAAAAAQSTQPRPAQTRSMKAGLQFPV GRIHRYLKQRTQHNVRIGAKAAVYTTAILEYLTAEVLELAGNASKDLRVKRITPRHLQ LAIRGDEELDTLVRATIAGGGVLPFIHKSLTGNLKNAAKKPDGTPQ JR316_0011071 MFKKPLSNLKTSAPLRSSDRRKLKQRAITSYSLTPEEGDVLVPD GILSVKFSTHVDEPGVAYLSSDGDPLWFTIGKGTELDLIPTIYTLWKKQDLLPYLSTP SAVTPILIGGADLMIPGVIHCPPALPPQQLVAIRQFIRKDGQPFISPPVAVGWMALPS DQLRTAAKEKGKAVHIAHTWKDHLWDMGSKSDIPDDTTISTGPGEETAGDSSDGENED EGKSSATPPPHSDGPVSPSPEPSVTYSAAEVTELLDKSLLQAIKTSLPGSSFPIPATQ FYSNYILPSRPAFPTLIVSPAGYIPSSSPRSDAPTVITDITIKTSTHKSLTAFLKVAE KASLLTLKPPQKNQPDVLVTSVNGSHPSVVDHASFVTVKDIETTAVKKAAREEKERES QAHKDIEIRELYKPHQTSIELFEGMGASKSDLYTIPEIRSLVNNYITSHNLVNAREQA YINLDELLYSCVSVKQKAKSKSKESESETPQFMKRDELTKKIIAKMQSWYEIRPDGRD PVTKKGTLTPVQVVMKVRQGRKASTLITGFEPFFVDAEEMAEDLRKACAGSTSVSPIP GKPAGAGLEVLVQGKQSTAVVEYLTAKGIPKKWIEVADLSGKK JR316_0011072 MSPSSRSSSRRNSSGGFKPYTRGVSPIMLASVPDGCQDKPPNSM ERPGFPTYAQYKQVEATYLNSLTPRRQGKALISQALFDRIWDVLHQPDSQGETAQFRF WARKMFTLSKNHRVSLGVDENGEEAPQEVLLHDNLLVAIQEQLYDLLCYCHGSTGHGG RDKTCALIRKHYTWVPKDLVSNFIKACPTCIMKKCGNPDGAAAMAQMTDSAKLDDSPF PVFRDFFQQNMYHPDSVVAAAHDSPIGNEQALPWPMMGDVGAGTSAGPKELPMPPSML DLGDGTMESVYRNAMLRSRKNTVGANGTAPRGLQSYPMVREVSLYKGLPNGWQFRHSD YAAAHAEFMRTKDLPQVEEYTASGTRVQRPRVPSILPLWGPERFSNPEYDEHDLADQT QESILSMDRTMSSSQADDGHSSAMTMHYLLMSSDIPPETKQEKEEYIPHIDPLLMGFS EHAPSSLPTQDQQQPAIEHEELPSASDSQGPDAESPTLGSSTNFPSSSSIKRAAAPLR LNIDFPNERTIQALLAYRDSMGEGNVTPDSPLTAAWNAGNPSPASSDSSSSSSQLSAF PMSSTGTTPGSSALTTPVDECGGEDKKGESCGSDIVDKGKGKHVLSEVGEATLSQAIE AACGI JR316_0011073 MPDPDWWGQEILRRAGTAPLWIKCTQIERYAQQTVIQLLLTVLD TRWDRVERLYVRSAIIDDQGESMAHHLWECLLKRAPSLKQLGLYISGRENAPLSRPLL GDSAPLLKVLRINRLTFSVQAPWLSGLRSLTIGSTTPSDLLQVLEGISHLEALRVDDI CDDGEADVGDKPSLPSVLRLRHLEQFDISASQLTPCSTFLKQITTSSKCSSVSLRFLI PKKDSSRNILPGLLLPFSQFLDRYLAFFPESAMSMTHTPDAFTLSSSEPNNSNSFMLT ICFEGKYSFTAYLLAIILGTFTSGMPKVVSMKFGTFRTTQQVVETIISFLPRFTSLIT LEADERFILRLMEEKENHTGLLLPTLRTININAFNVSFFGSFGGIDEENRSPLVDYLV LRMVRGSPIGVLDLTQCPDLDVRALVPVLENSNLAGLNVLWARYSMSGVRQRFQHMDI STIDMSEYL JR316_0011074 MPVYHAESSVAVTVSGRIHRLPLEVLARMFKFYVGKDEQDNSFD PTYEVFPLGAVCKTWRYTAWTTPSLWTRLRFKFGSRTTESKVQLAMGWLMRSGVLPLD VYLSATESTETIILPLVDLVNRLLYRCRFLILSNLTYLAQSRFSPPSRSSILEILRII PLTITSPLYLGPNVAPKDLCILGYYVNLLDINWGNLTTVEGLEVCLIDVFHVINMAPQ LVSFRRVYVHMDSSLPPDGYKQITHSKLQNLMIESHEDSESEADVALDTFFRTVSLPS LIDCCTESQCYPFPSAAFCSFLSRSSCSIQELDIYTASIETDVLIEIAKASPNVRNFS LEFPPHTGVPEVHRRQSNLGPFYDALVQVSETTGSYVLFPHLQKFSICADAVFPWKNF PRICRRTNLKGICISVLKGYEDPDFFPSSWELFAELLELNEARNFDIKYGNRSLLEQA FHYLKSSGKEYSSDLVDRFAKTLTSFEDE JR316_0011075 MSKDQETSINYITRSDHIHRLPLEVLALMFELCVGKDEQDNHLV EIYEVFPLGAVCKTWRYTAWTTASLWTRLRFKFGSRTTESKVQLAMEWLMRSGELPLD VYLSDTESTLFAGAYGMEKFRVGYIPSPLLPRLLPRVKPLLSCLGRGIFPGTLGQVLP SIYPPFAVNPTLAQHFR JR316_0011076 MPKATSDKFSRAHQPAVKKKDAQSSSSMTNPIFNTARFGQHILK NPATAQKIVDAANLKPTDKVMEVGPGTGNLTVKILEKAKHVTAIEMDPRMAAEVIKRV QGTPEQRKLEVVIGDFVKVDMPYFEVCISNTPYQISSPLVFRLLSHRPLFRVAILMFQ REFAQRLVARPGTDMWSRLSANVQLYAKVDNIINVARNDFRPPPKVESSVVRLVPIDP PPPVKFEEFDGMNRIIFSRPNKTVRGNFQAKGVMKMLEQNRSTWLSLQEMPVDDDRPI NTIVDDVLAELGYTENRAAKMDIDDLLKMLAAFHEAGIHFS JR316_0011077 MSPSPQDDTAQPGSNPNYSQAFQVRSRIKPIPFQLVQFVLSLPQ FRATSAQQKGLRLHTRMCKHEIISMDATTPAKLWTVTMIVAKPVAPTSTKLPTIAVAL RLQSGFERFSDAFATYFFCQKLTFMPYLFAELKAKAAKASSSGVEKFQNVRDRNTSVP MKNTNWDPYSGNPAPPPPPPRSLVNRNTKPALPPLPPPPSRTSSTALSPVNRSESVSP APRLPPRGQSVSPAPPLPSRNKAAAPPPPPPRSSASVSYSKQTPVVLPPQTTGAGAGP PPIVRSTRPGSTQPPRVSPAAPAEEKIDWANLTPEDKQVFFAWLDEFFARFVPPTSSG AVKATDTGDITRQERELPSHAPPPPIRTASKPTSWVRPQAGTSPAFVLSHPPATTHGS SALDLAHYFAPTTHWDSAWYDSPSAPPIPPPVEGTTDHTYVSSWRSRNTSKTTNIGIF FSDLSIFWGSITYDTSNTDPNRGTTRHATYLPQPKPLGRDALIEAHEVYGETVALFAE SFVGTGEYCARGECWDLANEALKYFAAYDYIPKPVPSISRTHGHLIFAGRASNDGRQM AGKWRGGDDRVRRGDITEWRRVRIGMGRSSPGGFFTLGDPDHTAVVVCDAVPASTPKD GGDLSPADLGVIVVVEQSVGQPPKRAEYDLGCFQEGEMWIYRPISMQAYLSVSDITAV PPDGLQGLQQL JR316_0011078 MFWRHPQLVYFTYSVNTEYSQALTVVRDLLAITRIYRCGRFSEM TGGRGGLADLCSSFTIALWTTSYLWAKAPPSERDEFTPRKIRFGDVQAVTLLNGGKLG LLGYIKFSPRSPSKPLLKKFISSGMRDTYLVCSRTLHVWRGLWLFDIDGSDENLAKLK PSGLLTDIQNNAGITYMYRVSNCNDRPTTFTSPIASVTTSARPIRTFNKVGLEPRAIQ SDRRKWACSACCRTTGNRRLSEELFRSDNVRLPAGRIRLSSSVRMQINVRNNSRSFAR DRQYDLCSTVVGARASAYGGGW JR316_0011079 MKFTVFSVVLFALSAGAQVTTTVQPSDTATDTTTGASSTDTGSS SSGSSSASSMSSMSSQASSSASSASSSAASGASSALSSATSIFSSIASAASTAVSPSG TGANAASLNGNGAQGVAVGVLAGILAAVV JR316_0011080 MRFSITILSLFAIAAYAQTSTSPGSAAPTGSASSAAASAQSSSV SSVSASASSALASVSDAASNATSSVSASASSASASISGAAANQTSTDSAAAPSSTGAA LANGAHGVAALVVAGVLAALV JR316_0011081 MDTAFRKIDIDIYDEDVLQESELYEADPRDPAEVLEDAKQRAGH VRSLLAKNDIPGALTIILDNAPYGPNIDDAKIITLQTLLTILNTTKSADIPGVIKALS MDTQDTLMKYLYKGMAMPGWGDISGSVLLGWHEKLTEVAGVGCIVRTMTDRRIV JR316_0011082 MTTDKQLLLSAILSNIKVTGELFDKTRIRSFYWFKIYVDNCKVF KSNKRKPISQMLSWEYGSETKINFSASSVIRVKLHRGFGWRIDKVPLTKIQVTHFEGR IEQLLDNDSQSIALKNKKGGTIAQMNITLSLLPAGDEFISDFMKKVECDVANLKGTEG FSTRVMSVLGPILQNTRTLMDIVADANPILKISWTVISRVYDATQDTEIKDQSIRELA ETLREMLATANEKLDLPRIPDAVDIIKEIGLQSIQVASLVHEYTRSSFAVRTLKLGAG SMSERIEACQSRCLALKDRFYERVQLETNKTVKESQDAIKNIKTEVRKLKDDAIAEKF FKWLWPSDRPIDISKNYNEAHGKRHQQTCSWFLEDERFGRWLHKPGFIWVHGKAGSGK TILMSSIIDKLPKPNSSTGVAHFFFDARDGQTDSQLHMKFIRSLAYQLCDSRHGGIPQ QMVDLYTDCGSLQPLDDQLEDALQQILVGFERVFIVLDALDECSDRERTLDWVRKLLA HAQTQTNIHLLITSRREVDITDVFHNLAGDHVDVVNPANKDIEGYISERIKSSKLQRF NETIRGDVERRLRDRADGSFRYTALMVAELEQCSSLAKLEKALTELPDGLNEIYARIL FKCKSEDALDLRKFLQFLAFSMEAIRLDELAEIITIEFSSDDQTVFNPNKRYADLSDI LVLCGGLVVVAKDSWYSLKEYLISCRVQDTFRLVETAAHIDISKTLLSYLLEMYSISN DPFSPAFPLRNYAALTWVRHVQYDSEGVERDAVICKLVARLLSIGIPGLVSETILELT SEESPRWNPNTVVARALHWASILGIPAVIENLLGEVGNKNTGIYPDGERQQAASATKH HVAKDHSIKGSTGWDVNAVGGMYGTALQAASVSGNKSVVAALLKHGADINAVGGKFGT ALQAASYAGHQSVVRELLEYGADVNVVGGEYGSALHAASSAGHNSVVKALLQSGADVH AIDGKLGIVPEAAATGGLISSVEALLTYGAHFNGVCGGFGTALQVASSAGKELVVETL LLHGADVNAVGGKFGTALQAAAYGGHQSLVEALVKHGADVNVVSGYYGTALQAASFRG YNSMIETLLKHGAYVNAAIGGVYGTALQAAACTGHGSVIEALLKHGADVNAVGGKYGT ALQAASYIPTYIGSGSLHTMGDLYGANQSLVKKLLKYGADNNAVGENYVSARQAFLQS LDEARTQRSTEIWGVGGNSGTSIQIASSVGKDTVVELLLQHGADVNTMGGKFATALQA ASVSGCTSVIKTLLQHGADVNAVGGMYGTALQAASYRPKYHNMRSSDLMEDPCRDNQS SSKTLLQHGTDVNLVHGDFGKAALQAMSIGGSYPVVEALLKHGSDVNIVGGAFNTALQ AAAYGGHQSLVGALLKLGADINAVGGLFNTALHAASSEGHNSVVEALLQHGADVNIVC DKFGTALHAASSEGHNLVVEVLLQYGADVNVVCGKLGTPLQVASRWGRHSVVEALLQH GAEVNVVCGEFGTALHAASSKGHNSVVEALLQHGANVNAIYGELGTALHVASFVGHNS VVEVLLQYGADFNVIGKYGTALQMASVGNFRTAF JR316_0011083 MGINRHMQACQQKAPTMLAQNEFSNLAGTLYSQQNSAYNSLLQN TYSTTNAASVNMQQTNNPDKRALSAYNEQDDTLLVNSQSDAESEALEAPDLESIPRGS ILSMLDAMSSGYSSHTVDEVRIQYHPSANKSPKVVPTSQYDPHSTRHATSALPKPNHD KPWHPFATRLDFEIAELALDTHMNKNQKATLLNLIKECIANPHLFTIENASEMDKTWE FARSYRSSGTQQFVRKEFVVPYKKEEIQYEDQLPLGALPFCIVLYADKTRLSTFGTAK GYPVIARCANIPANDRNGDGLGGGRLVGWLPIVEEDSGESGKTLFINFKRIVWHKGFY EILVSIQQYATTGFHIKCADAILRWLFFFVLIISADYEEQCVIALTRGATSNYPCPIC LVPNDALSNLTACYPIRSAAGMERIHSEAQKLNAKDREEHLKRYGLRNVENVFWMMNG SDVYQALSWDRLHAYHGGLFSDHLWVEFKNVIEESTTTRKDAEMVDNQFDCIPRWSGL NHFSSVIKTGEFADGSKYEDMAKLIVFASHNVLEKSDRGYCLLRLMRSFLELDMYSSL TVQSATTLAGIERELGIFNLILKEYSELHPEKNWNFPKNHSHIHMVRDIMAKGVTRNA NTKPNEKAHGLLKLWYRFHTNFKNVAPQILKMNEDDLISMIIRMDINAFDENEENKAS ETDNNSLQDISEEASAVNSDQSVDDTEQSHVSIGSIMDPVSFESIEESHKEDHAFRRF RIKTGKCLAKIINQTIRLKPDNMLAPFQLARIHYQSIINWSFERDMLLFMYSVYVDDT PHHLALVLPFDEPIDRRTVLARKRDKDLRFLRVRARRRTNSIVIDLQRICVASLQVDE MHFLLSHPEEKYQQASTMSTVSASSSIVPSSVAGEESISGVQFRRATYDELQSVNSQR ETIQLLELKVKSLQEELSNAKLSLIKRKKVSASTVNVSAELALTKDLHRLGKYHATFY RIIVPKDFFGSPRPSIDPFDAKRRYLTAENSRLANIAELYECIPAKYHSIISGDNMDL AVSAFLKGISDLRSSVLNKLRGVAPTIFPTIPATVFLLCSSSRPSNLPVKHLSEHTEV QRLLAVSRIKDPTTNEWKLSYSNPHLEAFPPLLYAHADIQNALGLFKADELIRIGRTI IVGPSALKSDEVRRSRDDSPYAHMDNRQNRTTPGLIAWCATCAIYMLSDDIEFTSSGV GSRTGRRYLDHFDMYKRYLITQYKSLTSLFSFWDTSLFPHSQTAPSTLLAPQPTVIIS TGLVPAPDDPLQHVINNFNRQSTIDLEAPVQTQDDNLGDKTDSDIEYFGPPASQVSQV SHIGHIIHPEAELDNSDNSDSDGAHAPITNNPGTLPSYSTLPAAVELPSISTSFQDHS GPSNPSSNTAPVTANTPQQVAHVSIPQKPVRSRKKKAVTPLQQDATIVPTRARSTRAS SRSNAPK JR316_0011084 MVEVLLKHGADVNTVGGMFNTALQAASYQGHYHLVDLLLSKGAD VNASGGKSGNALQAACSQACEKVIELLLSHNAKVTSLNHLNRIKDPALKEKLRVAYLA NQENISIPVQPIQITQES JR316_0011085 MMKFVVSILLSFFIKVASGHTVATFANSTIEERAAATCADPTLT DIYVESYSPSSKFHLLQLRTEFVDSLTDGNGDFGFQGNIFRAWSTPQPFTFPLYRLVT PPPLDYLFVLSTDGNPPVVPGFQTDLITAYIYSTQVCNSIPLYSVARASATYHYYTTV ISERDDMINNRGWTDSGIVGYVLPLIPGDSSVFLINCCSNYAY JR316_0011086 MSVQVASEKPPSSMDEEKYDAKEKILTTLDDSTGAAEVTSSEIG EVFADGPRLIDLGEDGKERPIETDLDYSTRLLSLDDDPTLPIWTFRMWFLSIGLSCFG AVLGQIFYFRPQTLTVSQLFLQIISYIMGKVLEELLPGPGNSRFKTSDSRFWRFFNPG PFNIKEHVAITIMASTASSSALAISIFAAQDLYYNVKPNAAVGIFTLIGSQLIGYGTA GIMRSFLVYPTFALYPQLIPSVQLFDALHRGQAAVMQKKRLKFFWVIFIAIFVWEWFP EYIAPTLTGVSIFCLANQDSAWFTRIFGGAAGNEGLGVFSLSFDWAYIGPGGNSIGAL FTPLSTQLSLYGGCLVCIIAFCACYASNTWNTQNFPFLTQLLFYENGTKYDQLKILNS DFTLNEEKLAQEGLPWYAASQLLFKISRTMYIGAAITHFLVWHYPTVYQIVRNSRKND CDDPHYRRMKVYKEISNWWYLVVLIVTIAISLGTTYAAKSGLPWWAFFIALIFAWMFV PIIGTLYATVGYAPSIENMVQMLGGALVPGKPVANMYFTMYGFNPVTQALSLLRDLKL GQYAKLPPRVTFTVQTVGTIAGGLLNFVIAKTILESQRTILLAVQGSNVWSGQQVQSY NSDAVSWGALGKPLYAPGTRYGFVPYMLLVGLGFPLPFWFLHRKYPKVGFNLVFTPVL VAELGFLSVGINSAVFTRFGVTILSQYYLRKYRATWFRKYNFLLSAALDGGTSVMIFV FTFAVGGGSGKVTQFPTWALNPKGNPDYCKRLSD JR316_0011087 MNLVSLDEDVLVHIASFLNPVDILRLSETCRAMNKLIHLRIVWT NACSYHIIAHGYPFPTIPLDEIPTMELMLHTIHSHQLARRWHDGISKPRRIKYISGRL GTTPEVRFLPGKLLLIISKTVWSTLSVWDYGSAVSKRVCEWSPRGAILSGVAVNGDPR SEATVAVAMHLNEKRTVVVLSLKHDGSLYELLVLQEIQAEMKPITLEGDILALSDEVS QTVIWNLKTKTYGLLQHLALLPLQVNECIQVVFAYQSVLVVRAQTVHLFPFPELGSHV EISCEPLAEHSFGWVDGQSVNICPFLSSSRSTTSKMHTPLTIFVRGESDDPWASDIYN LELYTLEPNPDYTASNGSPYLFPPRLRDKVACLRGSLTCRRIVLGRLGTAAWIQPRDR FASGLLADIPTHLVPSTVAHESLIITAFPGSLSIKDDYGGTVIGKKIFENEVNAGWTS FDYDEVGGRVAVASSFGRVTVLEL JR316_0011089 MVERRAQVSRMKDGYSWHPYDPQAAKFKSNPKASMISSSVEPSH DTVTVKTEVPSFEETALACKAPRSEKFSQLISFFSPQGALKLFPVIDCQFQNCSARLT GLDAFAKHIFGPTSEGGHGLLSEISEPPFKVRKAGKMPAAL JR316_0011090 MTAGGTNTYPDTNLPVKHLSEHTEVQRLLAVSRIKDPTTNEWKL SYSNPHLEAFPPLLYAHADIQNALGLFKADELIRIGRTIIVGPSALKSDEVRRSRDDS PYAHMDNRQNRTTPGLIAWCATCAIYMLLDDIEFTSSGVGSRTGRRYLDHFDMYKRYL ITQYKSLTSLFSFWDTSLFPHSQTAPSTLLAPQPTVIISTGLVPAPDDPLQHVINNFN RQSTIDLEAPVQTQDDNLGDKTDSDIEYFGPPASQVSQVSHIGHIIHPEAELDNSDNS DSDGAHAPITNNPGTLPSYSTLPAAVELPSISTSFQDHSGPSNPSSNTAPVTANTPQQ VAHVSIPQKPVRSRKKKAVTPLQQDATIVPTRARSTRASSRSNAPK JR316_0011091 MADPPSPYDGFMTMSLEDRFALLFRAQQVRFDADKKVDDRLSAI ESKLERLTASLPKPPAAPTPSARAPRARRTSRKERPAAPTSTPTTGPLHSQSIRSTTT RAALEKIVATLSIADEQAGHVIGRAGTGLRQIHDISHAKISVSPVVTSGLRAVTIRGT AREVGDALSAIGKRIARRRIRNPRSKKPKQPPAPTAAPPTLVVEPPSPTPTSSSTPTT RTSRSGTASPHSPTPTAVDTRSSPSSSLAPGSPMEVDALRAPQQHSDGYSRPGPVQPR EGIQTARRGGGPPRVFGANRPR JR316_0011092 MGINRHMQACQQKAPTMLAQNEFSNLAGTLYSQQNSAYNSLLQN TYSTTNAASVNMQQTNNPDKRALSAYNEQDDTLLVNSQSDAESEALEAPDLESIPRGS ILSMLDAMSSGYSSHTVDEVRIQYHPSANKSPKVVPTSQYDPHSTRHATSALPKPNHD KPWHPFATRLDFEIAELALDTHMNKNQKATLLNLIKECIANPHLFTIENASEMDKTWE FARSYRSSGTQQFVRKEFVVPYKKEEIQYEDQLPLGALPFCIVLYADKTRLSTFGTAK GYPVIARCANIPANDRNGDGLGGGRLVGWLPIVEEDSGESGKTLFINFKRIVWHKGFY EILVSIQQYATTGFHIKCADAILRWLFFFVLIISADYEEQCVIALTRGATSNYPCPIC LVPNDALSNLTACYPIRSAAGMERIHSEAQKLNAKDREEHLKRYGLRNVENVFWMMNG SDVYQALSWDRLHAYHGGLFSDHLWVEFKNVIEESTTTRKDAEMVDNQFDCIPRWSGL NHFSSVIKTGEFADGSKYEDMAKLIVFASHNVLEKSDRGYCLLRLMWSFLELDMYSSL TVQSATTLAGIERELGIFNLILKEYSELHPEKNWNFPKNHSHIHMVRDIMAKGVTRNA NTKPNEKAHGLLKLWYRFHTNFKNVAPQILKMNEDDLISMIIRMDINAFDENEENKAS ETDNNSLQDISEEASAVNSDQSVDDTEQSHVSIGSIMDPVSFESIEESHKEDHAFRRF RIKTGKCLAKIINQTIRLKPDNMLAPFQLARIHYQSIINWSFERDMVRVSASFHHQPR NDYVLIKSDTNNYCFAQLLFMYSVYVDDTPHHLALVLPFDEPIDRRTVLARKRDKDLR FLRVRARRRTNSIVIDLQRICVASLQVDEMHFLLSHPEEKYQQASTMSTVSASSSIVP SSVAGEESISGVQFRRATYDELQSVNSQRETIQLLELKVKSLQEELSNAKLSLIKRKK VSASTVNVSAELALTKDLHRLGKYHATFYRIIVPKDFFGSPRPSIDPFDAKRRYLTAE NSRLANIAELYECIPAKYHSIISGDNMDLAVSAFLKGISDLRSSVLNKLRGVAPTIFP TIPATVFLLCSSSRPSNC JR316_0011093 MFGSTALLAAVLAGLALSSIYFIIILQFQNGTMRILDIYTTHNP AILPPGPAGESIALRHIYTNIWPLDALINRLTPFFWTLVTGVLPELSLFGVYMAGQLV ASETLLLLEGARRGNKGRVVSYSTLWGLAWQNLPWGFVQPVYNLVHVLGARYSEDPTP MTITGTTDAIGSIPGAVVVGYILPSVIMCIPSPQYLSHDTHQALVFAWQLFPLWIWAA HTFITQLTPRSTWSNPIKALRNTYTFALLVACLSHLSIVALVLALIYGPADVQKHLRD TLPPSFLPSNPALVFTLFKPFGKAQVSELAVGVLSLLQYDTVFAGFSALLWATHLLCS RRKNNDVLNVVLGGGILILLFGPCGAALATVWKRDEEVFAEAARARKGKKDY JR316_0011094 MRLLVLLSTLVSLSLYPFVGGSPVRRSTEPTVTLSYGGFQGKTS GNLVKFLGMPFAAPPVGNLRFAPPQPPIPFAGVKPATSFGAACFQQLPQGIFADLIAQ GVILNFTTTPTEGPAGLTINVVAPATLTPGQKVPVVFWMYGGGFQFGDASVNPGDTLV ARSIEVGEPIIFVSANYRINAFGFLGGKEVKEAGIGNAGLRDQRFAMQWIQENISLFG GDPTRVTIWGSSAGAISVGLHMVANNGDPQGLFHNAFMISGFPMALHDIEHQQPFFDQ LVKDTGCTGSTDPIACLRAVPYDTLANAVNQSPGFFSFSSVQLSWPPTVDGQFITQDP QTSIQQGRYAKIPIIAGDCDDEGTVFSLTTLNITNDSEFIDYMKTNYFEDIASAEQLK AISIAYPQDVTQGSPFNTGASNALTPQFKRLAAIQGDLEFQAPRRFFLETASKTQPTY SYLYKRGKSVLLFGAQHGSDVNDWFGIGTSTDFVGTDAVELTKLPVNFVNNGDPNTSN APKGSNITHINWPLWSSDKENPPMFTFLDSPQPGGSPTTTITPDTYRTVGIQQLIDIY LKIGTA JR316_0011095 MSSIVSFFSSFVSTTHADSEKEAPADAEVVVETPEEEPEEPEDV HPALREECKASAKCAALTKHFEHCQEKVQSGQGFKGEDCVEELMMHCVEECAAPKLFA KLR JR316_0011096 MQYSLSSGLLTSACSLSAMFTFILMPNTFIFIALEFLVTQLYVG SFVAMLNARERKLGNTSYAEDTYTQPSWKRPLRSHSTSSFWSPRPGSPLELEVQIPKS PSSATFKPETIGQAL JR316_0011097 MNVFNPKILDASIRDVASYLSDNQKVDLLLYAMKCLHFEGRSRT VIENAIQSCLQVVSLSPESIAKARILRAKARLAGGSPLGAQDDLQAALAVEPDNPEAK ALLHQRSVTVEKLLAPLPKHNLKERISAEIWREIALHLPRRDLKALLFVPHPLSRIAS QLLFWELDLHFTGTDGEEDQIDTYADPRTLLSQKDEDARHAQRSADILTRIIVDPSFA CAVRTLRIFASRRDKDGSVAFQTGMLTNALPKLINLRNVHISATSEGILPVLRILQTS SPRLRGLSLKSPDGPADLSLLEFRHLSHFAYTMNIVSANPSSIHGLLSQNSISLRTIA LQNPHPSPHWTFPAASLSIRNLTTIFFTGHFPAVTNAFAEILNNGRQLENLNITCCSL ECPNASSQFRSAQHVNALPFLRHFAFSVESIGRRTMDRDLFPAIAEFLRGRRHLRSLQ LIAREESIQHAVGFDAAIWGVLPSLGGLRGLKISYPSDLAPGLASWLIPRTVLALRLT LDYNSQSARDPIPFLNQLRHGIPPTLRFVGLSDVHVRNVLAVVEHGFPMVRVVRIGNN YWTVLRKQDVSKTMPPHGSVLEVEQWPKRRALYHATEWLEWFGCEDAMVRDPSSFSG JR316_0011098 MPDCETLPTFNALDAVHNRGIIIASDGAEYEKIAKFSPVDATTN PSLVFAAVSTAKYSYLIDDAVTYSLRSLSPKSPTVDEIVELALDHLLVRVGAEILSII PGRVSISVDPRLGFSYAGILSKSRALIKLVEELHIPRTRILIKIPATPEGIHAAHTLE SVDGIHTNLTLIFSLVQAIACAQAGVSVVSPFIGRVKDWWSARAIAEGNPSGLDDQPL QDHPGIALVRRIKETYTRCGYSTQIMAAGFRKPEEIVELSRYGKKGGADLVTLPPDLL EGLMKLDGNLDLRSDSTQPTPLTELPNPPPIYFSPNGPTHEGAILFERDSKQEAISLD KVPEGLAKFSIDAVKLETMVRDRVQQFAHARLPDVVSITSDVFQESRGRLPGDGAAVS RRRKSVSVN JR316_0011099 MAFARLAAIISIALAASQAVNAALTKRVTCATGQVTANAACCKL FPIVQKIQTDLFDGGECGEEAHSALRLSFHDAIGFSIHGGKGGGADGSILIFNSTELA FHANGGIDDITARQFPVFQETGLTAGDFVHLAAAIGTANCPGAPRLQFMFGRPPPLAP APDLTVPEPTDSVTSILARFADAGFSPAEAVALLSSHTIAAADVVDPTIPGTPFDSTV GTFDTQVFLEVLLKGRLFPGNGSQPGEVLSPLAGEMRLQSDFAISQDPRTACLWQGMV NNQNLMMNSFKAAMAKLQVLGQRNLIDCSDVVPIPKTFTGPIKFPASFSRADVQAACA ATPFPNNIATVAGPAPTVAPVPGS JR316_0011100 MFECFADSFTLQSEAISRAYGPSLCCKLKSWVDVEAMAWVVRAT FSTSTFIDAVLAVSMCYYLYKSRNSFSSTNNRIFSIIRYVLISGTLTCAASMASLFTY VAMPDNLIFLGVSFLVTKLYTISYIAMLNSRKSSQSGHDSSYSVGRIITGRSAAAHTG GGEEDKIGEDSLGLSSTSYRARLDQELVDQKIRPHTSSQPEVIGIKVHRTEEKKFDTA ESSWSHV JR316_0011101 MRASAFSNLFAVYMTMLFFASTASANYFIIDEPHLSAQWSNGAT NLITWSKALRDNIHGFDVEMARLNSNGLTLVARNVPASQGKLNIFLQDFPAGDDYFLI FINSTHGVMHTTSSRFTILDASSTPTDTSRPSPATGIPTVTLSGSPNPTLPFATTFPA IASGARLDVLNIPISQLIIVSLGVILGAAWTLVPLS JR316_0011102 MHQGHNIPWNIISSNFHFVSNDRRFTSPKTSLIVHKPDEKSLKH FIRKFGTAIRTFSKTERTKYPESFEPLLTGKVFSDELREKYPQYLDERNQNIEAWFAA GYSTSNANLAEAVKILLHENEMSTLLMLAQHPSIPLAQLNHLSWGHHFGFSRVKESAL RAYMFFNAAEATGILENGKYTTIQPEYSSLLSEIASSMDYPAQQVTHQEFLRECGVLQ GGTSWVPEETYVHSDYARLQRYLKKLFELMYRYDMLLAECGAEPEWDIELAYQFPLRG NIKVGWDESQQRNVLA JR316_0011104 MNDNRLLLRAHLASIGFQGDVFNESKQRAFYFAEVFVDNNQVKK LKKLKPKSDRLSWEWGQSNQIVDLYRSFKVKVPGMDSFVGSFEGRITELLENGEYIPP RTYAATDPSDPRLIVKDLTEKRWHLRPVGSIEEFMKKVDSDVSRLNGVDSAASRTFCL LAPVLSGIVSLMDNVSQAAQETDTQDQIIQDMASTLREMLGAANQVPNLPMLPGMVDV IERIGRTSLDVASLIDEYTHLSYAEGNGMLIQTGTYRAPGADSARGARSPGGLVLLRL FDEAKEIDNWLAPVHTSQNYNEAYGKREEGTCLWFIEEEEFGFWLRTPGSNPAYHFSG QIIKAIQQLTPSVALAYFFFDARDGQTAQQLHMNLIRSLISQLSHQSVSGISSDIRDL YQKVSPMHPLDHELQDTLGKILKEFCNVYIVLDALDECTDRDRTLGWLEALLGHLDNN ENLHLAITSRTEPDIQSVFGRLNHTIVNMSTAANRDIGQYIKTYIQAKEFTKFDGETR DHVLSGLMDRAEGSFRYVALQIENLKKCANKMELDKAINDLPWGLDEVYARILHKCED RYIEDVKTFLQFLAFSQEPLTLNALAETILFDFPLGFKGTLNLDKSYLDPEDILQRCG GLILISYLLELDTLEVQFGHFNYLKPYALHTWAKHAILSGLEKDQMFCLDWAVSEGLE TVVLYMLEAGVDLDINGRECQNTLLIASNHGFGLLVSCLLQYGVNVNTRYEDGTALQA ACFQGHEQIINILLKHGADVNVQGGYFGNALQAASSGGHEQIVNILLQHNADVNVQGG FYRNALQAASSGGHEQIVNILLKHGAYVNAQGGYYGNALQAASFQGHEQIFKILLQCG ADVNDQRGEYGNALQAASSGGYEQIVNILLKYNVDVNAQGGHYGSALQAASYHGHEQI VNILLANGADVDFQDDSYGSALQGASFQDHEQIVNILLKYGADINAQGGVYGNALQAA SSEGQEQIVNILLEHGANVNAQAGVYGNALQAASSGGQEQIVNILLKHGAYVNAQGGE HGNALQGALGTYWGPYMHVAEILLDNNADVTSLEYVDRVKNPELQQRLRIGFRLYQAK LSTSSAGS JR316_0011105 MLYRPTPNNQTDDSSQTNHTDNQTACQHGIQQQQQAVVDEPAKN GLRRSILLDVPPETLTSITSYLTPPALLALGCVSSYLAHHVKADNTWRRAYACYFLGV SPESDLELEKNRQSMSAPNSSLWKVFLLRRGDGKSWRDEFSWRYVMIGLDANIVPSTT SVPQALSSESQSTRHFYPSTRNGTAALLTASIQYGVVARSMPLSGRVLTGYVDARMGF GPGGNGGNLNGNPNAQFTPNVTACDVVVSSPTWGPSARVAWGTRAGDLVISTAPRAMD GSLRISGGSGVQVKRCRVGDEHEGVVRDVKFVQKQGRWVVSAGDDGRVKVWDVGVFAN NADRDAQTGEDVAIRCTWSSPPMLVDRFGSRVVGVGAGGGVVPDKCVRAEATFSTTDD GVGVVVGVGESGDVHVWVGRSSAFVDDKVRVKVKEVFVECPFKSVEGAARRVTALDID PNIDSTAASLAFLIAYEDDPLFYRIRIPSTGAVDQGDPLCHTVEITPFGDPNFGPTSV VVPYFGGQSSESFVLVGDHMGCVSLYHWGAAVSPLVDHVPPLRTFEAHRDGASVTALW WDGLVLVTGSARGTTHVWDGISFAHLRSFVSPVAKIRGRGRGQQVRLAGGALALAPHG AGGVVAPVMARTAGGREAVRHIVVNDEKDVLVVAVGDTIMAWHADVVRHGAQSGGKGG VRGRHAPGTGAHGKKKPGAGVAKYIGQYEMRQTIAESRDLLDAERNVSSTSSAALARR QVEHRAGLDSLGLSEAEAVEYVLMLSRDEAAAAAVERARRAELENEGMFDEDVVEDDE YEDDGMSVSGPSPSVSSSASSTSGVSSDGVSAAPSPSASVSPSPSISSSSLSSSSSAI RARSGQNTRLAMAGSRRHHRYHEPARTSSGQPILTPSRSNAKVQVSSPWRGGGIDGGE PLEAGEEYLAEEENIVGDDIDPSLVNAMLDGQGQEQHFPPITGSVGTSPGEGSSLHGK GKEKERKVGSPSPSLVGKGKGTKPSGGLKTPAASAPNVNTSGSLNPTPSPSSLKPKVS VWGASTGGLALGIARLSSSPPSPSFSSVAAAAASAGVGSSAGSPIRPAVVSWGRPGAS VASPNSSARSRVSSGGAIYGAREEEDLDDDLRFALELSLVEARSRGEDV JR316_0011106 MAVQVNDQLAATISNATDRFGAFASLAMHNATNAALELKRTPEY DVFWQMVSDLDVPVYFHPRTSIQTILNLSFSHAPFLIGPAQEFAVGLSTHILGLCTNG VFDRFPKLKIIVGHMGERIPSDLVRIDTQLKREIPFGLMMKQNVTTYFRKNIFETTSG NFAPDLLNFHIGQIGLDRIMYSIDYPFVNIPDGTAFINALSRTMIPEDHNSLARGLAI KVLRLDD JR316_0011107 MLKAFKIKEFDLEPIYAQWTDGPVFTGNPKKDMPVEEWLEKIKE GCIARGVPEEYWYKVAQHFMGPKAKARLDELKQVIVKVNGGKYRWTWKKFKIAVVNLG WSIDKDAKETIKVQQKGTSLWFFKKKDTTAVEAPSEAEEGPECPTRSTSSTSSNSTWF SKKTIVEEPEEIETPGVAAAAQPKLSRAATFTGFWPTRMNTKDEKELPARPPNTPNTK AKSDTMIVASKGGSKQDAVAPRSQTDGGEATTQVPVWLLNACNALEFITSEHPKAMSI ISAILITAGSIPTIPAIAAGAGGAVLASGAAHAIGAIAVGVGQALNAGVQHGQKKQEG QGAGSSH JR316_0011108 MSESSSVKKRLDSIYKGLSTNMCHVHVYDILCQDIKRWIPASEP CSVHRLDKPTTGCLLVALNSVSGKSLSRQFTQGTVQKSYLALVRGGEKSFSSKQGRIE APISYLDGRAQIDDSGKEAITEWEVLGASTKIPLSLLRLGLVTGNKHQLRIHLAKILQ TPIVGDSLYSSKPLAPAIAEVTDIPENRLFLHASEISFYKYTERGKRYRLKIRSPIPP DLKIVCEDADIDLPHIDGTVVIDEEVDGIDDRYLWDRRKYN JR316_0011109 MLECYRAQRSIPPMTHDAILSTAHFDATSGWQDNTLSYLRKSGF TDAALCATYTVGARSDSGIGRCSMFVVLVYVNAYKPEALHRGADIICNNFPKPLSCNP TIPLTSFPQNISHLAGRNHKMALYPVESTISDRHGTSTILPPEIRELILSNFSNSYDN AKTLSALALSSHALRTAANRVRFLSVWFVASDRQTVRREIDRIRALSEVLRQGKTLKT LQGVCDFIGEFVLRLAGDHWGTEDDEEENGDNGSGIILSCLYDGSVALILNSIFRNTS PLAIGPLFAPRRLVLEVRYASILGCTWDELEVSLSGALNSLVTTSQLTDLRLGCIRNV PLNLILTCSTLKQLHLGMSYFSPQALFSPRNLGPSNTTSAESSAVVGVELTSLSMQLD MTFESLINFRFADIRSPMFASLVSLKVTLTHFDSLKSLREILGATNRLEILEIAFLTV IGKFEVMSKKKRTRAYLLTGPQNDLVISLDALQSLHTLKVDFELEYGTGGTVSSLLTS SSLLKNSMPSSLEVLEIRIRIKDYPYRFSFPYLKNAMMVWDERLSGILSSRRNSDGSK DMKRVLVSTNIT JR316_0011110 MQIISYEEILRIEAEVLAPTFPDLIHPTTFPEAASLASQRQQYD LEMAQLVEQTQKMVLLTENRLILAILALFNKINWTTLDPRLLSLAKAKITEGDQWLKA RAEETLRDADSGSPEHILTQGMSIIANGQIHIRTVEDLIRECQDH JR316_0011111 MPLLADQAVSTLSEIWHPQDIPSVFLPPAKVGGSSFPPTHSRPT SKQISSDLQSIASHTHPIHPHNSHPSVSGHNPSPTLLLASGTKSDQILPLKSFVYKVL RHSRTSKNVLQIALCYLESIRPKVPQILQEENIGIRSYAQPKSSIQKATPEELAMDAE LTALENSGKINIINNFIDNSMQTFRVADSGSQDLAESCIYPQDSLSSVDVQVSTAPLS TTLSLPSPLLCPRRAFLASLILASKFSQEKCYSNRAWARLSGLPPREIGRCERALAQA LQWRLWVGKCAFGESAATAT JR316_0011112 MFSTKTSAQLDAWMRWDTNSLSDEERKACIFARGEALAILEWLC HRTKSIHYMIETDKNKDAQNIMATEKFHEMILCRYARMILAYDAEAKHNNIGGAPCCT DTSLLFVQLQGVCSGELNKVICRSIDQLPRSLVPKMLKLPVITLIDCDACADSSTQLR TPKEILELGTSVRDKLYLDGMKVKLESTNPIVCCSYRQTNMQILAGMKASGFTVTASN RSSSTSRSATPVSRDPSPEIGNFMEVDYIDNFLGASSEMEDDPPPKASKYNYPYMKED YNGAGRAFGTGLTFMDQLKQDQFEPQRAENLYYPFASKDEWELSLFLLRSDMSVGMLN DFLKLELIKKLNLSYKSAKDLRNRAEILPSGPQWKSQMIIPEIPSKNQLTLFYRDGLE CIKALLISPLLQDSMHFSPFKLFDKCNEMMWVYTEWFSGDIAHFMQDQLPKGATLVPP IISTDKTNISNMTGGRVAYPGLISIANIMMNVRNKSSNHLFLLFVLLPIPKFLHRSKA VNGMMAARLYHQCMDIALESVKQTARVGTTMADALGNNRFCFTPLAALIVDTPESALA ACVAGSTSSVTLAQYETFGDSFRHPSRTADHTINTIMAINNVKPPNHLKPYLKESKKH RLNGVHLPFWRDWPLSDPSAFLTPEPLHHWHKMFWDHDAKWCIAAVGGSELDFRFSIL QHRTGFRHFKEGISSLKQVTGREHRDVQRYIVALIADTVSTPFILAIRSLMDFRYLAQ SQTISEAMCLRIEQALQDFHANKQAILDAGARRGKKNNPIDNFYIPKLEFLQSVVHAI RLNGCAIQWSADTTEHAHIEVVKAPSSSSNNQRYEPQVSIREMRIDFRAIHSATITDE EEQEEGDEGEENGEVVMDTTSELLSTIMPMTTFQSAKSNRIVDYFYKASLYERGVLEG PVPYRTFSCSKNVVAHLSRDASSKRLHIDEVASIFKIPDLRPAIADYVRIQSTAYQYP HEILEAVTLNAYPPSNKHPFGYFDSAIINVDESEEWPRSGLQGHSVVDIRIIFRIVGE TPSTVSPDITGRFLTYVQRFEVLNQPQSLGSAIRGPYPEPITGMYKLKRSQRTDNTIM GGILPLNQIRSLVDLVPQMGEKARRSLTTHNSHSTSTEFRLNKYFDKELYLALSS JR316_0011113 MADPPSPYDGFMTMSLDDRFALLFRAQQVRFDADKKVDDRLSAI ESKLERLTASLPKPPAAPTPSARAPRARRTSRKERPAAPTSTPTTGPPHSQSIRSTTT KAALEKIVATLSIADEQAGHVIGRAGTGLRQIHDISHAKISVSPVVTSGLRAVTIRGT AREVGDALSAIGKRIARRRIRNPRSKKPKQPPAPTAAPPTLVVEPPSPTPTSSSTPTT RTPRSGTASPHSPTPTAVDTRSSPSSSLAPGSPMEVDALRAPQQHSDGYSRPGPVQPR EGIQTARRGGGPPRVFGANRPR JR316_0011114 MRAASTSSAEVTANSNLARRSTEPHAQLQTVSHHGEKTTCEGSD ADAEGDDDHEVDTTEMVSMGQRENGDNTVPTSNIQPPEDTSSVAGKDVSMGQQENGDN TVPTSDIQPPEDTSSVAGKDVPTDEQPGLPRSDNIGSGLLQTENTGPSTAGDCEMNVD PEQADVDHQMEDGPHTNGPENLGDGGARQGAEGSSDEANRISEVHEGEKQGQDGERPD EENRMSEDGEEERPDDSSSDNETEKQGQGDVPPVQEDRMSVDGEGVGPEQNNDSSDME RNTLEDSQGEKEGENAPPAPLILRIPPVSQINRSKNTTADSSQKGKGKGRQGLSKRPP KRKPTQATDTFDSDDDLCIDVDLYDSNTTLDVVSTPEKVYGTKVWSTYNGRGQLKSFC VVAHSQADVDRVERVLELVESDYVDGVPLHIARPEESCFAVFDRSTTKSMYLMESFSE RNVVLMSPSQEESISTCSDEFYSQVRRHMGDMTSTRSIQDMSTMSSNPIERIKRGSLS QVMEAARMKGKRGKILNALDIPLPHAGAHSFDLSTEAAALRATSGSWKYSTPVPFGDM SWGLVATEGAFSDIHIDANGFCSFIQPLHGLKLWIIMYPRRLDVDLSSDRRVFLGDKL DYGETHNQDWIYEAIVLDNQSELFMRPNTLHMAYSISSVVCRGGHFYSSTSFEETLTG IIHYFTAGYRATNTNHSTSRFFLQQTIHWFYKVLVEGDSDPEDFYEMYHVPFYSMQSG YSSLIALCVCMILANALDYETYRNLLGIDLSQERRMPKDQVDIEAGV JR316_0011115 MADPPSPYDGFMTMSLEDRFALLFRAQQVRFDADKKVDDRLSAI ESKLKRLTASLPKPPAAPTPSARAPRARRTSRKERPAAPTSTPTTGPPHPQSIRSTTT KAALEKIVATLSIADEQAGHVIGRAGTGLRQIHDISHAKISVSPVVTSGLRAVTFRGT AREVGDALSAIGKRIARRRIRNPRPKKPKQPPAPTAAPPTLVVEPPSPTPTSSSTPTT RTPRSGTASPHSPTPTAVDTRSSPSSSLAPGSPMEVDALRTPQQHSDGYSRPGPVQPR EGIQTARRGGGPPRVFGANRPR JR316_0011116 MKKRPKDNEKEGYYLMKKALKKRRTSGSLFAAYRLLDLVALWPA AFGPLLPVTVPLNEIQASTGTKEIFSRFKLVDDLKDWPAMLCSARKRILRADSKSHRN INTPSISDTPSSAFTQGGPSESTLLLCLARNHFKGVVGKIIGNVYCASLHYQILSGMR GMKDDEHVLPDIPTDTATLANL JR316_0011117 MSSYKCRRLDSLGNRTDINPFIDIEAAVSDDDESSEELDYKGGQ LLNDNDEYSEDEERVAHSRLYHAMQNTDNADEWSDLLPMLLPSRMKIRPDNDIEPSSS QELIQKYGNPQPGGLGDNNYMPSATDIMYEIGCKVGRKEAVAFKIMQMSTNPTFPIIL ARSVFAQSSIPGRIYVEAPSMQHAHTLACLVRELNPTHLVRLSSERCMEILSHPPPSR PEDQSWVKVAGKRKAWTTYANATGLVFTFQGQKSVVLIPRPPDNIKKSHLDRIFQDGF IITDFDAIDLKYLSNVLPTSSELEQFRECPFVTTETLAQASKAISMTQLKRYDQVKII GGEYLGLFGTVKSVSDAEVEVHIPSQGITQAVALHDLRAAFQIGDSVEVVEGDHKDLH GWVSDFDGRSVCIIAPEHEREVIVPIHTVIFYVPPAHATLRPRKRHSSKLGERDHNDV YIGLSVIVVGNNTFKGYYGIVKSTTPDGFADVELEARNQRVERIKISHLIIHNQEHIN SAQDPGPSGGATPMPSTVASFLSPAWNPYSAIPVYSAVEIAELPSTVAHWLDTKYDKL KGLRLKVVDKSKGDHQVAMELLSLTDDTAHLALLGCTLTLPKSVLFPIHPVKKDDFVT PLEGDSMGIIFRIRSIDKDICVVYKYPVTRMKRGDTFPTFPTTSLIQIFPPSRGVKVN QGIEAVKKALDQFQLTEQRCLEVQAELRCYMDDIMRAYKSNEDLLFETQLEIARLRPN MPPKGSKKKKAVVNATVEGQEVEQGPSSVAENEPPQAPEADPNGRPIRSTRGLGGVNA RQEKTSNIIFIDFEKAGKRKSRAANVDTSAMPENEMAPPLKKPRNGVTVVLHVGPPIQ MQPRPTPSVAPWDVQQVQPSPRQSQGAPPPVQIQRAAQRNQEHSELDHAVQRPTAPIQ RPAAPVQQPAHPVPRPVQHAAHPVPRPVQHAANPVQRYAAPAAENSVQYYDAPVQQPV HPVTQHAPVQQPVHPAAYNAHQEASISHQDEEEQQDYEGIEQEEDEEEEEGDSDGERR SEEASGDKCMQGIDEENIGDEEALQYVDGDEGYDDAGQDDEEPAAQLVDEVSDDEEER RARALLRQPSPHIVEVEDVLQEHRCRNRANKPPRPEALRKAAVSQGAVSQGLTRENNE ASDDDEVLGEAHIAHKKSSTSSAREVSKHSVASFTGYWKDVLKIARKLMCLYVVEEVP FPTRENHLLVADGCVKMAVTVFERMNTDKVLPDKKKTLLDRNTAVTAFVYASTFRGRL KTMIRPLVKNAYGLEVPSEVIAANPNMFENQMGEIEYIKDRVAYWLLNGKYHRGVAKT RYHDVPFGHPFVKKICLDFFYHPTKGVAVPIKGLETKTDFFKTLLPHKAFALVASCIH NCLEEWRDEIDPARGGPCSGIEFKGEEYSLRYDACMLVAAEAEKDTLNQGPCLARLCR EVAEEGCAIMRPTKNPPNPYRMTLHSIPQEELDYGPEG JR316_0011118 MTTTTTDTPSLFLRTLIPTLPTGLSRKIRTLCTASPANEHSLEN LLRFVLGAECQYENEILSTNGGNEKDGELKDEQNEQWKEKQGKAREVVAMLIPPAVPT VPNSLTPMDIKKRPRSDKEEEEEEETQKSKKQRLSGSSPVSTPLDPGAPIFALHALST TSPLRKKLDITIHTHGIVLTHPTTRVVETCIPFTTVKRAFVLPTRGKQKPHWTVVVMG CDAPVGKGKGKEKEKDSDQQLIFGLDALTANATAFSFSTYSSSSTPATTVLPKSTPTL PTLLQFLTHLPAHATLIRPSTDVFKSACPGMGHAASTSSVTADASINDDDSKTGRGAR TKATDGGAAGVPGIEAHRSVKPGTLWFAREGILWGESRPCEFWAVEDLCPMGGPGEGV KIVGAGRTCSVILSRWSTSGEEEEVDETEFSMVDAKEREGISAWVRTHRHLFGGSKDN TTGADVSPNGQVKKIKSKSVPTGPITIRTMDEDSDSDDESFAESGASDLDGSESDSDS NSSSSDEDKGEDEQGEEEEESDNENKGSGASRREEEEEDSEIEELDPVHHPLLRPGAM PKRISKAALDMVVGIVEGEFTGQGEEEDELDEDGEEEDELNE JR316_0011119 MQFTTKFTSFLVAIASTAAVARSSVIARNDLAALSVVFFDDTNL RGSAYSPDGLVQSVCSTLPGDWLDRAESVEIASGFTCTFFEFQGCEGPGTTLSGTIMS LPDPSLYNNVESFSCNKAV JR316_0011120 MQFTTKLSTLLVAIASAVIATHGSVIARNELAALSIIFFDDVGL TGSAYAPDGLLQSVCTTLPPDWLDRAESVDIATGFSCTFFQFQGCDGTGITLSGIVET LPDPELYDNIESFSCNKAI JR316_0011121 MSEHMSTSHIQRPNTGGPVLLLRDPTPSKSISTSSPASTQKLLS RTDKYETAFTNAGYTPHSLGVLRTASAPQESVQRLISIVRAGPRGYRTDDAREVEGAA EDGYGGVIVTSRRSCEAWTDAVAVLRAERENERGRSAPAPTNAGWARVPFYVVGEATA DALREVFRDYADVLGDASIHPSSSSGSSTPALIPDIRGASSGSAAVLAPFILADTPHR PRGKLLYLTGDKNRDTLVRILEAGDAESEGKGKVRIEEVQVYRTEGADGFANALEGVL REWNAGVANIDTDTWWVVYFAPSAAAHATPILQQHFFFDASSSSSSSSSSAILNPKQD EASPSVHQLIKPKLKAKVAAIGPTTHDFLRDTLGLRVHVMARKPTADEVVHAVSEYDS RYGTRVDTSGGQ JR316_0011122 MAPQKKEKPSHALFAGATAGAIEAFVTYPTEFVKTRSQFGGKRE SPIAIIKETIRTKGVTGLYSGCTALVVGNSVKAGVRFVSYDHFKGMLADSEGKVSAPR SLVAGLGAGMMEAIFAVTPSETIKTKLIDDAKRPNPQYRGLVHGTVSIVRQEGISGIY RGLFPVMMRQGANSAVRFTTYSTLKQFVQGTVPARPGQPLPSAITFGIGGIAGLVTVY TTMPLDVVKTRMQSLEARSQYRNSFHCAYRIFTEEGLLRFWTGTTPRLTRLVLSGGIV FTVYENIIKIIGGREP JR316_0011123 MQFTTKFTSFLVAIASTAAVARSSVIARNDLAALSVVFFDDTNL RGSAYSPDGLVQSVCSTLPGDWLDRAESVEIASGFTCTFFEFQGCEGPGTTLSGTIMS LPDPSLYNNVESFSCNKAV JR316_0011124 MSSISRLLVSLLACLALVPTILGALQYGFPYGEEKIRGVNLGGW LVLESFTTPSLFDRTGDARVVDEYTFGQYMPKLRAEELLKEHWDTFITEKDFEEIAAA GLNHVRIPIGHWMFERGPDDPYYQGQLPYLLKAVEWARKYNIHIIVALYGAPSSQNGF INSGHFRDAAYWHKNQTNVDRTVNVMKTLTAMFEDQTDVVSIIQVMNEAAGFRKAILN PELLEVLKKYYYDSYDAIRNPLGGKKKSNLVVMIHDAFQHLSYWNNFMPNNTYEGVIM DTHIYQMFNDHDAHMTYDEHIQRACTNATIMSKSPMMTIIGEWTATNNDCGPHLLGRF VGQRYDGTLPGTNRVGSCIGRTGKASTFSEDYKEFMRRYWEAQTQSYEKGGEGWVMWT WKMENADEWSYKAGLENGWIPQDPTDYKYPNICG JR316_0011125 MFHGNSQGSPQLRKLCLSVFDVEVGPEEEFSIATASTGAKACPS DIQISNIHFRWLSLDWKNLDRVTFYRNTIYLDDCVVLLREAPALRNLVLVDVSDPEDT EDWREDAWPQYPIIHHGLERFRFQGYKGHELGRFFNSFAFPGLKNLELYNITDPSLTT YLISLFKRSPMPHFTNLKVLPPANDDYTTLVELLKAVPFLEHFDIPNSLSIENVMKIL EVLAHSAVIVDNDDDEIEPFDSFLPNLQSISYSESMFGESILDHPLFWLIISHINGPP EEIIHWTPNATGRRPLKHISISCFRSSLTEDSAICTDVFIVKHLIDLIAAGVRFEIED GTVDVIKASMSFHGLAS JR316_0011126 MAAPTDGNDMQYPGTPVVLKPLPTPIEPGTSQEQMSMIEQQQQQ QYFSWGTEQSSSGWSIGEESEQHTYPTSEYRSDVISISTSFPPNTDPAPNTIFASNDN VYFYTIYQNILKALPDAFRPCLIAPLSDPYYRTTVIVLNLPSGELNIILHALYKTSPA DNAPSFEMLVRAIDRMPSYGLSAEHLITPHTPLYQLLLAHAPLYPLDSYALAAHHHLA PLASTVSSHLLSHDMSTVSDEMAERIGPVYLKRLLLLHSGRFTALKDILLHAPEPHPE TDTCSFEEQRKVTRAWALVSAYLVWDAKPDISTHILGNALNPLLEHLTCTQCTKLLED KIKDVMVRWTAVKVSKLLRTLYSDLTLRNQRTI JR316_0011127 MELHPKARENGIHKNRTRKLSPLVRFANFVTEETSFFRAHLAAF TFIPLIFSGIFYASNGRRFFTTHCEYVAAKEKAKPRSIRTMRSKSSFMRSISAPTNYY KQRQPVQGQENRTEAPVPSNGPHIHFQGPTPGNTLGNIPEQVVQSPIDIYNEPNHIST MTDAPMVSSSPKSHATFLSPVSDRAPRSPPVVGFAESTGFQARTGHYTRGLLDSSSSF NDTLTVSNSVEGKTIPRKRSTVLARKPSNIPGVPPEKQNASKYQGLGGFPGPIHLANQ ALKLVIPQTYHKMERTMTMTTVTTLQSTATPWLNFNGLVVGRNSDFRTDSLTDSELED LGGAEYRALKLLSWLVPTYFVGCQLLAVLLFLPWLSATKSYDAVFENQPRLVSKPWFA FFQVMGAYTGGGLSLVDAGMVPFSNAYLMIIALVFVILAGNHALPIFLRLILWTSSNL LDEDSPAQDALSFLLEHPRRCFLYLFPSHQTWFLVICLVLFSATEWVSFEVLNLGLPA YEAIPKGPRILAGLFQGLAARASGFSIAPLASLAPALLFLYVVMMYIAIYPVALSIRS TNVYEERSLGIFEAPPDDENDEPADLNQIPEGTERVGRYLGWHMRRQLSIDIWWLVWA VFLVAIIERGNIMDESKKWFDLFRVLFELVSAFGGIGLSLGFPDDNFSFVGAMSPLSK LVVIVIMVRGRHRGLPVAVDRAVLLPREMQVNQDPKGTKVEIGAADHEKGILDDGSPI PPPESSELFGVR JR316_0011128 MPTDASIESASTSNNLSYRKSHIMVPEHIVQEVMDDPRFSTDLA FSTNAFNHARHAVRNPPLGTHSSVNKIGADYLFPNSPRADKWRRERHFLLHIVAELGD VLLAYEYLRLGLDPDIKDLHGRTPIWFALQAAVKVHTTPDNVMAPQEKVNRLARYTRV ARLLIEQHIDVNQSHDGVTPLILACKARDWETISLLLEHGAIKNFATKTYFSTDKHRK KFMELVSTKSLTEGQERPARVCPCWSGKVLPECHGIQGRHPYPLSFLCVCGSKKSHES CCARKTPVFEYWEGTHLVPYSLTTADKPASYQKLGRAMQSLYFGKFKYRPDGTLRDLD SFPDVPFAALDNVTLEMFRQEMIKLNLVDPAFAYAMSKYRFPLPSNRNAGVRNWKTGQ QVNWNRTVDEYIASGVDSRPVFEIERAAKIGQWGGPLVRECEGPECGKLEFRDVQKML KCSRCHITPYCSKECQTADWKNHKSLCGTENQITPFLPSQDNINNYMIKELSKEPEYK EYMSNWE JR316_0011129 MPRPTNTDSIFKKNEQVVGRPATTDHDINDEYFRKPPSNHTEGN ALDIKGRQYLSRDDMKREERESKSSTTAPTRITKTDELAESVSEDDALHRRTTFQDVE EI JR316_0011130 MPIQVQRRPSEPLPLKPAPGEPGDQLATAKAHQQQQQQHREALR LALGSILTPSSSSNSPVESVTTSTHNSGHPSPHAGAGALAPHTILSPVPDVEPLPPSM MAEPQVGNNTQTEGATSNIVEVKPVRPSITPLHPSKEGNAATGADGAGSTDSMNGHIM QTEPGSPSGRGTPRAKFLQTLQSKSAWDALIHGSFS JR316_0011131 MEAFETYQTPLSSRYASKEMANLFSPAKRFYTWRTLWLNLAIAE KQLGLPISDEAIEQMKANLDLTPEQFEIAAAEEKKRRHDVMAHVHTFGQVAPAAAGII HLGATSCYVTDNADLIFLREGLGYLIRGLAVLISRLSAFAAQYRDLPTLGFTHFQPAQ LTTVGKRATLWIQELLWDLRNLKRVRDDIGFRGVKGTTGTQASFLALFDGDHQKVEEL DELVTKLSGFEYAYPVTSQTYSRKIDADVLAPLASLGATAHKIATDIRLLANLKEIEE PFESTQIGSSAMAYKRNPMRSERVCSLSRHLMVLHQNALMTSSVQWFERTLDDSANRR ITLPEAFLTADIVLSTLQNVSEGLVVYPKVIARRISQELPFMATENVIMALVKKGGDR QEAHEKIRVLSHEAGHQVKQLGLENDLIERIRADPYFDPIKDDLDSLLDPSSFIGRAP QQVDSFLEKWVKPALEDPEIKAAISNSKKVDLNV JR316_0011132 MAKFAFKKDSMSTKVRARCSGNATALFSKVSEMLPVQFYLGPSP KAWGMPVDMQASEPDDVLHNPDPVRDLKVDRGGRILTARGLENLGCLFILCAGMLTLF LQGKDLNARIGYPIIMHFKGTIQTTQGGFNLGGTNASGQVPIMSGNYQLIDRDTPKDA YTRKSFDSGEEWALVFSDEFNTDGRTFYPGDDPWWEAVDLHYWGTVSILTTQNGALRI KIDRVDPINNHNLTYRSGMLQSWNKFCFTGGLIETAVTLPGSNSVSGLWPAVWTMGNL GRAGYGASLDGMWPYSYDSCDVGTLPNQTYPGTRTPAAAVQNGDPTQNGVLSFLPGQR LSACTCPGESHPGPMRTDGSYVGRSAPEIDILEATVDAEGGKVSLSAQWAPYNAAYNW KNTSDNLQIYDPTKTVLNSYKGGVYQQTTSGLALANQDCYELQTQCFSVYGFEYKPGF DHSYITWINDGKPAWTLRAAGMSADAQTEINARPVPQEPMYIIANLGFSTNFAHLDLD LLAFPATMSIDYVRVYQPKDSLNIGCDPPDFPTAQYISTYTDVYANWNLSTWSSAGQP WPKNRQMKGGSC JR316_0011133 MTALALAQGSSSSSAATQSPSVSIQSHITPSGVPKTASTTSSAP ASSSTPVSGSGSSSTPASTSGGKSSSAPGSASGTGSGSAPASTPTAPSGSGNSTAPAS TSGSNSTAAPSAPASGASSSFNVAGAALVAVPLVFLGML JR316_0011134 MNPHTPKKKSRLLEALDRTLMESDGVPSSFQRNLLQTPKFEEGS SKAAALDALRNAQKEQKVTKTNADNHTSFLASALSSPARAGASRSTFHLNYDQETPIR PIDVGGPDQQQKIAEFVTKAIENTSNVTVKDAMKKLGLKDKRDLIPGLEVRLLGHQAI GVAWMLEQERGTNKGGILADDMGLGKWKTVQMIATMTINMPAYNEDVKTTLIVVPAAL LQQWKDEIDSKTNGIFEVHVHHGKDKLKTLAQLKSKSVVVTSYQTLCGDFNIPKGTPP EEEEEWLQQKGGLLSKVKFYRVIADEAQFIRNRATRSSISLAHVRAKYRWLLTGTPVT NTLADIYGLLRFGRFRPWNDWNDFNEHVARVQYTDALLAGSRAQAILKPILLRRTKDS KLEGQPLLQLPNKHIEIVRLQFSLDERQVYNAFESRTKVQVNKFIERGTLMKKWSCVR VGSYSPITPNMLPSASRAGVYLCVSIGDLNDITCVQSLSDEFEDPTVMVGTQAEKELS RAKKIMGVAWVNEIKKKFLLRAISAAKLEVEDEGEEGEAICPNCSDMLLGDSGRVLTC GHEICFDCTLDLSNSAIGHNGIFGQGDEKENIAAEKEYEAAVAKGYRPCPTCRKMVDL TSADKVFKSAAFEPTEDDIAEHKRQEKDKRMQKKKKREPLTRYNIPDVLSDSDSDDLP EVGDILKSNWKKGKAKKEPESDDDIEMLDRKPSTSSLKRKSDDSDIDVKEEGSSPGKR HKNRFGDCTKSSPAPENSNKKGKGKARASNTGGKNDIPSDAVVATWRRGDDDLEPSAK MLKMIEYLKEWEHSGDKTICYSQWTSMLDLIETLFARHGIRSLRFDGRMDRASRDNVL AKFKQAGGPKVMLISTKCGSVGLNLVSANRIINMDLSWNYASEAQAYDRCHRIGQEKE VFVKRLVVEDTIEERMLRLQEVKTGLAEAALGEGSGIKLHALSVKDIKYVRLNAASQH EFRHGHLKPILSSCLA JR316_0011135 MQFTTKLSTLLVAVASAVIATHGSVIARNELAALSIIFFDDVGL TGSAYAPDGLLQSVCTTLPPDWLDRAESVDIATGFSCTFFQFQGCDGTGITLSGIVDT LPDPELYNNVESFSCNKAI JR316_0011136 MSSKIVSSLILALSLSLPSQALLKGNAIAAFSGPNCDGNMGAIV NCDSSCHQFTGRQSTLTGSPGTHCIRYFKGDGCTSYIAATLSGPNECRKVAVGLGAKS FSTTEFWSIVDPSSFKL JR316_0011137 MLSSLRTLRLSTPPFSSNSSDTSYSADSNENLKSVTDEVRSLSQ CIDIFENGPRPVSESLALLNDEEVIMLAQCGKIAAYSLEKVLGMNELERAVRIRRALI SRASSTQTLEASDIPLSNYDYSKVLGACCENVVGYIPLPLGIAGPLYIDGTLYPIPMA TAEGTLVASASRGCKALNAGGGVTTVLTQDGMTRGPAIDFPSIVDAADAKIWIDSQEG HATLKKAFESTSRFAKLKSLKTAMAGRTLYVRFATSTGDAMGMNMISKGTEKALEVMQ ERYPTMVVLALSGNYCTDKKPAAINWIEGRGKSVVAEAVIPGKVVTSVLKTTVSALVN LNTKKNLIGSAMAGSIGGFNAHAANILTAIFLATGQDPAQNVESSMCMTLMEAVNDGE DLLMTVSMPCIEVGTVGGGTVLGPQKSVLEMLGLKGAHPTSPGQNAQGLARLIAAAVM AGELSLLGALAAGHLIRAHMAHNRPQATVEPTPVPSSEKGPLAKAHLGRKKDDSEKSL HHSSSTSSLPPYSK JR316_0011138 MPSAFLTALVPLLSIALARGFTPLVSKRVPWDQIPYKVDTDVGL IRGDQLGYNICNSTTEGPTSLCQTAYINSLDDFCIWAPPNPGKTVGEIEGEMIAWCTK PGHGTRVMPEGTLTGVQLTKTPDYIQVVGFMDQTKINMVAGDEGGEMDPHGADQRGNP MGGLVYTNAFTGTYTQVIEWHNFNGGNYFCFKACDPRGKDDAKFCQHIYDTQGCGFNA PSNAKDKVFESCAGDSQAFPGVGPPVVPSSFSCSQYQSTDIYLKGTQVPVPGASTISF SSTPTPSLPSTKSSQSPTSGATTGSKTNSGSSAPSQTSNAGAIAVPAIMSMAGLLLPL LLLN JR316_0011139 MQVMSSPILASEQIGYRRDEVHGTTLVNTPGGQVPPERIHLVPN GACVHQADGFIHIIAQNGTKLHTASVSGNSSTARHGSVSPHVRRSFGSGYVAYTWWNN PSSSPIAHFTTTWTVPDSPQNIDGQLLYLFNSMEPDSFNAILQPVLQYGVSPAGGGDY WSVASWWIVGSEVYHSSLTPVTPGQSLQGVMTLITPSDGSNSYNYSSAFTGIPDSEIS ISSLQELTYAWEALEIYTASGATDLPRGRTAMGSINLFTQDGQTPLLFWDSSDDGNDG FKVEVVADGAVNGQVDLVYPLQ JR316_0011140 MTPAGLVSKSRAHFVPAGARVEHAEDAIHIISSNGTIIHSAPSS KRSRRDSAEIFSRAYTDGYAAYSYWGPNPNTSAIANFSTSWVVPEVPARADGQLLYVF NALVPTSLDTILQPVLQFGVSSAGGGNYWAVASWYVNGPEVYYSSLYPVSVGQTVSGV MTLQGNKTSSTGKVQYSYNSVFSGISSTSMTINSNELLTYTYEALEIYTTSGATDLPT GKTTLKSINIVDQNGQHPTVNWTPVSNTAEGFGITVVTNGGNNGEIDLVYPSSGSVGG GATSVPASSTTVRTTTVAPSTTVSAPSSTSNTPTSSPTSVGAVSLYGQCGGIGWSGGT SCAQGTCKVMNPYYSQCLN JR316_0011141 MASALSPRFQQVFPPPMDDVYMDQMDLKTLYRFSWTCKELNDRV LGYMRRAFRPKNLFAPIFKPNEHLLFCLLQFKTGLVISGSTVLHFTCFSGPTQSVA JR316_0011142 MPHPKYPDNTTAEKILKMEKIVLQKHPLHRHYNTTGVVVNLWCQ ECILGYHSTGVMNFVTFEKVYSLYPNATFGHQVSLLQPSADLKRAQKFLAKYHSRGLK FVLSIPSQTLKMDRHIQSRIQSIRDNVNVGYSSSRGHVLVVLDYNPYPELFSPGIRRV GDRHCWVYSLPLLPKANQTSFVEANSWALLLNEFDCLHFGVRRISGITLDFHYTVANV YQLHKRVKKAIKSWERGIRQKDDRVHATVLYLLSRKADIFWLHCPQPQSLLWNGYL JR316_0011143 MSNFDVDIGAMDEVIFRLQGIVSDKMLPPMAKPASCDRTVKQQP YLRTAIAIMGLGDIVFNKVMEKLEEVFLHFANNFPADSVSGYDPVLHKDTGFNVFHAH SQYFTKVSAYQDKSDNIGFHPLVDPDNVLASMVGDSFIHAIDNKVQFLRREILPDGTA RYYSYNPASIQIGDIVKISVAFVAFPAQGNKYKFVVALRGILVLDQEAREKADILRMR SRYTPVKRQVAVLCRTKRQLYKGQIDIEDTQQRMARMRLNEDTVHNSNTMSQD JR316_0011144 MSIDLSLDRLKSLLPFLPYTRPTLHIAGTNGKGSVSALLTSILL TSSSPLRIGRFNSPHLVSVLDSITIDDVAIDIKVYTETRTDVEAHDREQGTRLTSFEL LAMTALRIFETAHVDVVVLEVGMGGLRDATNIVPTACIRASALAAVDLDHQAFLGDTV ALIAREKAGIARNGRPFILGRQQHGEVQGVVEEVVREAGGTLLRSVDVSTVDSGTWSP FNDASKTGPPPRIVKFQLQAFPEAIEAQFPLQGAHQLDNLGTALGVIDALLTFNYPDT DVLRLRERITPASISAGIAKVKWRGRLSFHSISVPGPSPLDLLVLADGAHNPASAKTL GEYITSLLENTTTTPIHITYILSLSHSPPKTPLQTLSPILPPFPGTSSLVPHISVALL PFSPPEGMPWVKPVALSTMRETVQALVPELGEGDVWLSSENEGLKRALEWAAEKQAKR GGEGLVVLAGSLYLVADFYRLLEHGSSLLTPAYIEGPCDAVRKHMLSSTNQIVTLCVC TLQVTRALASLTSKSAFQRADDNDTVVYTPSGPLSKSKTHQVTPGAHIEHLNSTITVV SADGITVNHLVPFNQATSNRNGLLNRLLTDDNEGISDGYAAYFFWSNTMPDATPLALF TTTWTVPPSPAMTNTSHLQTIFLFNALQPAVAGVSRILQPVLQYGTSAAGGGAFWSVA SWYVANGTSFFTPLVRVESGQVLEGILTLDRVNSPSSAGDKVYSYTSLFAGIPSSALS ISSPDPLSSAWEVLEIYNVTRAVELPQGRTQMHGIQVIDNNGRRPDLHWVANVGEGGF GAQVVVDGASNGEVDIVYPLQ JR316_0011145 MVFILQKLAFSASSNTNVKSKHGSTMDKLVAPTPNHRQAFPPQG LDEYTVQGSAMLTDHPVNLFPLYQNSDISSYTEDVASGFILPPDYTREHTITSYLNSV CDMDIATKAPCEPPNRHTPIDPDSYSLIMTLADTYMVHSARTGSLDDIDAAIAHYQRV LGMLTPEHESKDVVLDSLSIAFQKRYDLSGEYRDVHRAVMCLREAMRLCPNGHPERGR RERRLKNALPVRYEKMHTMLR JR316_0011146 MARAFLLLSTVMFALSTTHVGLGVRELLDGFVYQSQSTPGGPPA FFRENVFPKRKSIYIFNTLLGDALLVWRVYTIYGQNWLVAFPSAITLLGTTITGLKTV AADVMSEHSSVFDPSILNWVTATFALTISTQVISTALIAGRIYTASRPYTFTHSLPAH PPQRASSERTAAAKTLAVGNSRSPVPPGDGLPFSYTAAGEQDNQRAKYLSLVFIVAES GAVYTSAALIQLITYLLNMNAGVILELMLAQLSAVVPMVIVVRVGLGLAYEGPYNGNG MHRGRSGYAKRWSDKEDVHHLSTFHAAEGNASQTRNDDDVGEMATEKSGDYTSIGSKT QVGTVKGENSEA JR316_0011147 MNHLDNAISLYEAAIKHIDVGHAELPSLLFSLGNAYLSKFERSE QDADIQSCIGAYRRGAASNGFPSIRLACAKEAALLADSHDHSHCLDDFSLAIGLLSEV AGLEQTIHRRHDYIQPHSAFINTAISTALSFGEVDIALEWLEQGRCLVWNQITDLRNP LIRLKDKFPSHATRFTNLALQLESYSTRVDSSAPTSYETLPKDLHAQDQTLAHTRLAS EYKRLLDEIRSLPNFQDFLRPQKCNIILSSLPHEGSVIIFNVHKTRCDALALSAGMEH PLHIPLQNFSASDAESLRKILQTDILGQRSNGEERASRRRKNNPEPMSFILREIWHKL VLPILDALAYPELVIGAERSRLWWCPTGSLSFLPLHAAGIYGENYRYGLCISDFVVSS YTPTVRSVVEKSAVALESSPSKSRNLLLVGQASTPGLSSLPSTRTEISSVQSITKHIH TLLLDDADATVEKVQKEMLSHSWAHFACYGVQDRANPLESGICLQNGRLTLLEIMKHR IIDPELAFLSACQTSQGDSLLSDEVVHLAAGMLAVGYRGVIGTMWNITDSYGPKFATE FYQYLMRESNNGGLDSTRAAFALDYATKMVRDSLKDTERALVTWVPYIHLGY JR316_0011148 MKAEDFTNHGVFALKRFYHTSSLKDIEEAIFDFEQALEHTPHGN PRKATRLSNLASALNSRFERLGELDDIHRSIASLTEAISITPEKQPEFPHLLSNLGNS YGLRYKRTAVLEDLDRSILNHLQAVNCTPPPLATWLTNLGTAYLYRFLRSGDLTDVNQ AISCHRRSIISTSGSSEDLPGFYNNIGYSYFCRYEHTADLDDLDVSILNHEKSIALTP AGHADLFTWFGNLGKSYSRRGARSGKVVDINRTIDNHQKALDLIPRRHAQLPMVLNNL GCMAVRLHI JR316_0011149 MSSTSSSNQPDPFGFNSECNSGSDTQLMTDTFSNIINSTYTFRC CLDGFGCIDQSGSPFHACGENIVVDSHNLGNYPTLTLCIGGTFEQHACAADGCQAISR SAVISKPCPPQLVDYMKVQPMGSQFACCDSSSCVLGNDYSCASSRSLQICIGDGIGTE CINPADLAICSGNFSSASTAGAGGASTSGSNPQIPPPSSPMNSSPAASSPTSSHSGLG PSDIATIVGSTLGVFTSVIGAWLGYREIKKRKLLRRSGLEDEKKDGTPVPAREESSA JR316_0011150 MTSHIIPSVFWAQKSSETTDQENLIRLSVNIPDIATSCLKCTTA VLDKTLSFRAYPRESLNEAKYAFDLVLYSKVDSEAMVVRQDLPRKCLVITLKKVDKSS RDWPRLQNDEEIPPFVKQDPTPWTNYEEVQSFMNVSHEPQLLIVITDQTQPEYLEQCG IFFLRKFERFGDIQDLKQSILNFEESVKRTPDEHEEMADRLNGLACALQSLFDLTGDL ADITKAISTLEDAVRLAHEGDPNLPNIFSNLGILHRCRFKQTKDLGDIDHAVEYHTKA LNSTSDDHPRWLNNLVISYEIRFNLSHNLDDLDFVISHFRKMEESCPPSNLPNILTKL GNLYADRFNCTQDINDIDLVVSYHRKAVDNANSNKDILWRSFINLAIAYRTRSEQFHD VHDINLAISFGHQALDCSPHEGPTRLMSLEKLASSYHSRFKNSEQLQDADVSIDYWKQ VLDLTSADDPSYPSRLHKLGNAYVSRFELTGSLEDIEAAISYHQKTIDFAPSGHPDLP SWNHGLGFSYTRRFERSGNLLDLDLAIACNKKAADSTHPGHEDLSGFYNGLGHSYGRR FERTGDLLDIDSAISYHKMALECDSSTNNASLCANLGTSYLLRFNRTGDFENINSAIS YHEKAVKLTPSNAQNLAVYLSNLGRSYLSRFERTGDLEDNNRALMYNQKAVQSTPPGH SELTRRIHNLGDAHLSRFMVTGDLQDIDYAISYHQKGVDSMPSDHPDLSILLCGLGYS YRVRFEHSKIDQDINHSISYHHKAVDFTPPDHAEYLRYLNLLANAYDTRFRHSHNVED IDTALILHHKVIDLAPAGHAVLPNWLNNLGTSYELKFDHTQDLCDIDNSIAFIRKALD CAPKDHTARGAWLGNLGNAHGLRFKKTCFIDNIDLSISYFQQAIDLTPATYSILTTML YSLGISYACRFELCDSQPDIQAAIQAYRKGANAPGTPSARLDAATKAAFISFDYDREG CLSDFSLAIDLLSEVAALSQTISRRHYNLQGHSNLIRAAAGAALDFGRLDLALEWLEQ GRCLVWSQINQLRTPIDDLKEKHPNLADRFLNLAKALEHHGTRKVPATVESGINLADD ISLQSQALDHTLFAAQYKSLLSEIRSQKNFERFLLPAKAAHILASLPAEGPVIIFNIS RTSCDALALVKDSSAPLHVPLTEFDIKQAEALQKILQVDVLDKRDVDNQERGTRRRHE RPEPMRYILKELWQKIVWPIIKALGYTASNEPLNRRRIWWCPVGPLAFLPLHAAGIYG SEYCTGSNVRDYVVSSYTPSVRFLLEKMASKPVQSTSTDILLISQPDTPGLNPIPSVR KETHDIQTLFLGTGIETRLLEDTSATKENVMKEMVSHNWVHFACHGVQDHGDALSSGL CLYDGRLGLLDIMQQNIPNKDLAFLSACQTSKGDDRLSEEAVHTAAGMLSAGYRGVVS TMWNISDAYGPRFAIKFYEYIVNNKQSNGNLDCGDAAFALDYATKQVQQCLVDCDSSF SQWVPYVHFGY JR316_0011151 MSLNIGIPAPSPTEILFGKGLLDAFLRTITKTIEAAPVPLATAP KWKATAAILKSAIRDDISKLILIRPHMKVRGHQIDHVFELQYFVSAFLFTVGLYIMTT GDVTFKPDVTDISLAVTIINSESNVCALVNRKHKFKREFFRASETKEFYGDEDTITAN LDTLLEYMCTYSSRFSAYLTELSKTILAKPDIHTPFKTMFIANLLLTPWCQGMMWKPI FDNARLHQVPFSKTVTMKNYMSQPWMNDNLVYEKISVAIGGEPTEDEYIKKGAMVYTY DKEQQEIGIIETEPFTEEELRKRGLWDDDSWLEEPLPI JR316_0011152 MADQYIPPQVTDSIRFEGVLEKFLSHTTVSLKKVGVIPVAWRTM AGYLKAVIRDDCFKLKLLRQEFKQKDHQLDHLFEVQYFVATFLHTVAQFICTENISFK PDITDISFAVMLINSKANLYPMKIFEHKVKTAFFRAAQIKKLNGEPQYLDANLSALYN YLMEKGIIYCDYLTKQVKISVNDQSHTNPMFKKMFVDNLAASPFCQVSEWQDIFKKLR DFSTPFSTTISSKNYIPQPWIDDNVVYDSIGDHLASQPLAHSEKDFHEAIQPYNPDYV YVSIGIELAPMSPEKLKSMGLVKEDGWLD JR316_0011153 MADYVAPSIAQSLRSESDLEGFLRHANKVLLDNAQVALAFRATA GVLKAAIRDDCSKHMVLRKELKKKGSQLDHVFEVQYFAATFLHTLAEHICANPNFKPD VTDISFAVLLVNSQANLCPLGIFEHKFKTAFFRAAQTKKFKDPENLEDNLSNLLGYLK SYGAIFQKFLEDIKQDIVNYPHYSFKKLFIDNLLETPWCRPDDWDAILTDARLHQTPF SKNMTMKNYIPQSWWDDNTYFKGVAKQIVGGETEDEYITSAQQVECYNPNGLNENVTI YKDVIPEQYLALLGLVKENTWLE JR316_0011154 MENIYIPPTIIESIRKETDLRDYLNRAVEHAKTNGATPPAFRTI GGILKSAIRDDCSRHMLTKQDLKKVDHQLDHVFEVQYFVATFLYATAKYILESDHTFQ PDVSDILFAVLLINSRPNLQPLHITKHKFKTAFFRGAQTKKFVGSHSTVKANLDTLKE YLIIHGAKYNEFLCSILGTVVTPKKYTNANFKNLFIVQLLVSPFCQKWLWDDIFEQAL TAKKPFSRNMTIMDYVPHPWLDDNVFYDSIQKHVLAQPTEKESIQAAEEVETYNAKYI YEDIGGEELGQMTKEQLAATGMTAEDDWMY JR316_0011155 MPKPALTGSSYDAFTAAAAAIVNGAHRPPPLPPGVTSSPVKRAP TPPSSSRPRPFLPTLMSLSSTPPVSPPPAPLSLLKTPPKASTVVLPATRGHCAHAGST SASTSSSLNAADTIDSDMTKRIQAMREKARTKQVVFGGAGAGAGAGAERDEVERGLRY WGVGGSSSLAGSGVGVRDRDRDRDRDRERDRDRDRERERERDREKDRDRDRGYAGRGG GGGGGRRGGGPPAGGDRWRPRVAGLQDCRFIPSIKGESRVRYKVISPIPKYTKYEEMV PDA JR316_0011156 MSNFDVDIGAMDEVIFRLQGIVSDKMLPPMAKPASRNRTVKQQP YLRTAIAITGLGDIVFNKVMEKLEEVFLRFANNFPTDSVSGYDPVLHKDTGFNVFHAH SQYFTKFLRREILPDGTARYYSYNPASIRIGDIVEISVAFVAFPAQGNKYKFVVALRG ILVLDQEGREVSNVTLNSLIAIHNFQQKADILRMRSRYTPAKRQVAVLCRTKRQLYKG QIDIEDTQQRMARMRLNEDTVHNSNTISQD JR316_0011157 MNFVTFEKVYSLYPNATFGHQVSLLQPSADLKHAQKFLAKYHSR GLKFVLSIPLQTLKMDRHIQSRIQSIRDNVNVGVVLSWD JR316_0011158 MTGATSSATLNSILTTDSPLPLPSSKPSKAALGTATTTTTPLVS TAGLPTSSTLLTTSTITTTTTTTATPTLSAATSIPNGPSRPTTATVPAKRKQGDVEGG FVDAERGPGQVYGLGLGYGRASASSSLARYEAAGHGGHISHIGINSHSSNKGKGKASP HPTSASVLAHRPSTPSSSSYQQSHQLHPNTHTPTNMYSHPQHLLPPPPMAPPSPTPPP VSTSARGRGGTHVPSQSPGSPALHHASASTAAPPRSTSSPFPAPVQPLPQATSISTSI PQSQPPSKSHFQPQPPSQPLPQLSSQAPDISTEPIDCICTITYDDGFSIACDRCGRWC HYFCFGIPAEGPVPETFFCAFCVPRGSKGREGEEKERARRRMEGRLRALGVGLDNGGN GRGWEPGGDYFSIMGSAEHDVEMTPYSPWSADDPHQQQAHHGGKQRRKVSPGVDRRRR PSAASAATPDGAHLSVTSAAPGGGKKKRRGSLAQPNTPSPLVGAHSPGAGMGVRFLTP NGHGQGSEEEYQRSNPHYVQGGFEPSPFASHTPGYGVGISANNSSTGFYQSSSSSAQG PYPPSLPPPQPLTQPPSSTSTAHAHANIVTTPHTPADEPWKETYVHVTHDVVPSDETR RRLRRQARGWRGVSAVGEDGLGHEPAPASEASKTTLHLLPSTSTSASSSSTSPYPPAL LALSPAHPGVLPPLYCVLASKGIQAGEFVAPFHAEIKSSAEYVKDVLNGYAGAGMPRP GVHFVSSGDKGTAKGKGKEKENAGADKGKGKGHLDLALDARMVGGEARFVRSGCRPNA VLRPRVCADSQRKAKAKEDLKKAENDKERERAKRKAKRKRKRKNDAPSSDTESDASSS SSSVHTARARNLHATVTSSVSRSSSRSSSRSSSPSSSRSSASGSDTDDDDSTSQPETL TFGVYALRELQKGEEVVLGWEWDDGHAVHMLPAVLKAPWVFSTPCTPASSINNTSTST SIPPHSQNREPYIDLRAPSLASFPNPDLTDTPSPEALTSLHARLSLTLASLASLFTTC ACGSRARDCALTQMAAFVERVERVGVEGVRRGVGAGAGVAGWDVPGGTGVGEGGYGYG YGYGYGVGWDGNVPDLGPLVGAKRGFKTRERIRGSGGMGGMEIVGGSDDDDDGRGRAR AKEKEKEHRKRKDKHKERERVKSKDKRDDNEAKVNGVNGHGHVGLELSPTDEGVLRRR GKGKERMRDLRDGVDDTNKDVDVEMGDAERDPRSTSKNNAESTKTRSPARIHKDPVSR MHPTAETEPRPPDPPDGFDPGISITIHPAPSPESQRTTQVEEARMPPKMRKRWMHREA QVLALVAASASEGASAPGNNTEADSVKPLDKEQERRELAEKVEVEKIAREKVEKVAKD IENVTDRVGLGIRIERTHENEDAGNTDTRDALMPPPPAVLPASAMMPSSGPAPPPVSS PATSSTSTANPPFSPSPFTTARLPTSPSPSVSVSPQLPPPNAETQQLLTPIQTPVVPV PVPVPTPSSAISTTSTHAEPVQSSAHLERAAASPLMQLAHLSLLSPALLAEMGVGQRE SPKMPWSASAPGEAVVGDQRGKSSEVGGVGDAIGGPREDGSEKEKEKEWTPIRRAGSV ILDHVQEREEEVARYGDEGSGERQAEKMDVDEEDEDGDGESGARGRRSRTKSPTPTPM PVHTAVSVSSHEKVARAGSRTPTPVPILRTSVESATSVSTPVADLERDREQHEEEHDA ESIVQVRMDIDVVDEAKSAPSPLPLEDVQVSDHAGVDKHLHRDDEGVESEEEGEEREE AGPPPVIGTTVRGAEWEVATPPPLTGMSTMGVESGEIGTGEESGVGRGAGAGEGEGGD VVMEDVNVEREVGKPVEDVMKEEEEGEGMGREDTPKIDIAPPSPVHTVDELSASTSSS EQTPAPGTTQPMPEDRKDDTPAQPDEQTQAHDPAPAQPAPQRAPSPPAAPQKVKLSLR DFVLRKKQQKQQREMEERERSASAQASPLSVASNLAPEDGDVGGSAAAVGTEGGEGGG GGGGGGGANVDAAPAPAVVQGLDAGKAISTSTDDHAQAKTQPEAGRSHDVDMGSPVSI PKPALTGSSYDAFSAAAAAIVNGAHRPPPLPPGVTSSPVKRAPTPPRSSPPRPFSPTL MSLSPTPPPVSPPPAPRSVLKTPPKASAVVLPATRDVTALNANDAPAPVQTLKAKQEM LDVDLSRVPEGNVLMETLQKGGPVSNAKWGAVAGIANANPTSDANANANGNGNGNGNG WNVNRRSYSPGANPTSSNETSRPMHSHSLPQRSGSSRFNHAHPHPYANAQSQRLGGGG GGGGGGGGGSGTGFTARSVSPISSDLSFGNGAQRSFNSLSPYAARSPRGVYQAQAQAQ SPVQAQSQFKEREREREREIGEVSPPPLRSAGWGSVGNASNQSQNQHHHQQHQHQHQH QQAQQNQIHNQPLIRRLGHQIQHPPTAGNSTAKASSPPSSAATAPSSPSIPFPSAASS FGFSHSHLHGSTPAPTSAPTPTPTPTASSPSHIGRPPPSAPRAPRAMGLGLGMGMGMG MGMGMGMGMGMGMGGPGAHRQPPQAPRALRQQSANTGAGAGAGAGASGGSSVMGVGTG RSTSWGGGGGGGSDAGNGNGNGNGNGNGNGNGAVGVAGSATGSGSASTVPAPAAPPAA AAAAPTLRSRIGPPLGSSNANANSALGASRANAANNNSNNVSSTPTTTTTTAAAAAAL QGNAPAPESVAKSKSLAGKESPSPLHLPAVHYPNRPAPYFPKVDGSVTLPSSSTGTGT GTSTGTGMGMGISASASTATATATATATASTTPDEQRERPNKNTASTANVKSKPKSKA KSGKESPLHLPAVHYPTMPTPYFPKVERALERGLLSGSGEFVAGAGQSGGKGAGQSGG KGWGVSWTARTPPPPTAATGTVSSSSSISTANDNVSASASAGASVNASVNTNATPTST STSTTTSSSSNAPDTIDSDMPKRVQAVREKARTKQVVVFGAAGAGVGAGAGGERDEVE RGPRYWGGASSSGGGGGGSGSLAGSGVGVRDRERERDRDRERDRDRDRDRDRDRDREK DRDRDRGYAGRGGGGGGGRRGGGPPAGGDRWRPR JR316_0011159 MPVVSDVITFTRILIADILLAIQSVLPSSGDLVNVMNRVNDYLQ ELMYFATGIAPTIVVARVAIAQMKTEETGGVSYIGTHGVSSNIPANSLLNGAGIQAEE KPKSTNLHSE JR316_0011160 KLSQQIEKFENTLLQSHSVHFRNTRAILGYHDVGGAEMAYPSIG PCYEIATNLFVAYHLVDCVARHPSIVGLSVPPVPLNILRPTTWTAETLQHFKGWDVVR EWPNTLQQARKIITSSPHLDKPSSLSPQSIQPSIILKLLLSLSSTHHAKKYYTICGNF EAAALHLSVLRDANWTFSSNTGIEGMDEQFLTKDLGESIDDQRLAKFIKNNATNMRVP LQLALMMSPIILLSTSTLYKKRPSQIDLWRLCTSIGNDKPDLLKNVELAIWKALWNIS GHGDTEKELHQLVLSPEWKQWENATDINDGVFYFNPTSMSPFQTAFITELPDSPMNED LTSPFKSLVQSSQSRLLIMAPPRQGETTTTENANGIENMDVDPAGGRSNDTQDDIAGG ENEMYKTIGKKNNMDKVTSRSKKDKECMGKDVDMTVDTTDGCANNSVQLAGISPYNKA KMPSQLGLQSVPKRKGHTQSKPSASTVNNSESDNDDIIYMGMERRVFFTPREITLDLE QQVKIHWSTKKPVVFSATGKGYSIDLIAHNEETLATLDQIADMIKGKPKFLTDPKNSM IRILDREVYNSLGANDLLFILRDQHIVVKETDVTCPLRNGEPKEFRAALRGLGSLTAP RAVHDLSIVPENGDLNLRIRTGTLDQLYQASFKGINKILNVLDIPMEMKGMRDLEVST DYIALFNTMGDWKSSRAFVVGHSRWALAATKGAFHGWHMASNGLGTYIETKCGAKLWL LARPKDPKYRSMHDTSFFLSDSYQFDSACSSVLDVEAIVLVPGTELIMRPNTLHMVYT PFDTVCHGGHFYSAGNMIDTLSAIIHAFVAGKTLTNANHVHTRLHLQQMISMYHKMYV DNAFIRTDLCMDHFPDFDDWFGIMNVFVLCIYAFLMNVLDHNTYFPTFQAAAKQIPMT PTQRTFWQKDDIYAMSYEERKACMHARGQALEIIAWFQDHFDVGHRDTIEKMETFFRK LLGHYCLEILSYKTAAAVLEHEGAPGCTVDDVRVQLMGVGSGPMNSYIQGMLQGKQEA CGNLAPFLELDMHLVAKPQERSRGDAHDKLWSLGKSERDKIYLAVMKKMKQKPDDIGP VHKKYKTTAM JR316_0011161 MKFPLAVIVAVASVFSSAYATAVQRAPAVADKWISVETGITMPG ESIPGNTTLEKRYGTEVLTCYNMGTKVDRAPSTSVIDDWCNNRAIGQVVNNGQTIWAR YNYGTFTILVSGEAINGCSFKVDGNCNRLLRLPLDGCNTSGENGKQGGYETDLCGQWR YDPGSNGSDY JR316_0011162 MPKLAPLCNICRQINLANTNNRKPMEYSLGTWQDVKKQAMKHPR CPFCVLIQFFIDNSPVGYLYETGPASIEWLDRGGFFFDTYGSNLVFLNEDTATSPYGS GRLVKDTVNPALVRKWISLCEEHHGNKCTPKKDVIKTVENPRGVKILRLIDTLDQCIV EARPGDQYLALSYVWGPVMPLIRLQQDTIGMLTQKGEFKDNRDKIPVTIRDAMDLVQM IGQRYLWVDSLCLIQDNNDDMMDGISHMDLVYQCSLCTIIADYGSDSNAGLPGIHPNS RNVYQEVVEVLPGIRMTVTNGVYNAMTGTHSDCAWTMQEFVLSHRTLIFTEDRVYFLC QSNCWTEDTLYDCYPAAINKVLSSGGDIHFADDNDKHPLSSYTSHLFRILCWDNFPHT IKRPVRQENFPSWSWAGLKGIKDGYARSSGRDPDSTNSWLKTKTYIVWFKRCPNSANL ELIWDLASQEAHGVPGEHTIAYRPTPNDPYGCIKPSFLDGLQTQPTLDDPRREEIIKS ELDKRNYHLLHFFAFTVMVETLKKLRAGSQQELTMVYSLKGYRGKECGGVKLDNPRMM QKVKGPHELILLSSMDKYEGYFNDKIKHKRPFFWAMLISWIGDDKVVAERRGIGFIYN DCLDDVILPPGRVWKEIVLA JR316_0011163 MSSTVNSETQTYIVVLKDGISTQTFLASLHAHSESFKTFSADAV HTYEDVLNGFCGTFNQNQAEAFEAHPDVKYIEKDAVGEIFAQQNNAPWGLGRLSRISP FPISTKPLPNKFFYFYDYPAGKGVDIYIVDTGIDTSHPSFEKRALWGKTFAGTPNKDL NGHGTHVAGTAISKLYGVAKTATAIAVKIGDDGFNNSTLIRALDWIISSVRNTKRPSV VNLSIGAAQSRAIDDSVEKLFRAKIPVVAAAGNSNKDAKTISPARSPFAITVGASNIQ DKRYTLSNYGSVVNVFAPGEEIDSTWLNGRNSVLSGTSMAAPHISGLLACLISPESNI PLNFWAYVHAGKLSNIPANTPNLLAYNCFYNLGGFIHVQKTVEGEADDNSTCTEDAPD NTKHDVIGEA JR316_0011164 MKFSIFAAFLSLAGFASASSIASRQNCPEATRFGVVIVNPTTVK PGDTINISVDFTCGVKTFGIIPSFLDFTIEVSSDANNGFEQPIVLARRTIPAGALSDS FTTTIPHGFYVANAPYNIVLTNIYNIDGTDGSPVLVEGGVLHFIDIDV JR316_0011165 MEQGWDVVREWPNTLQQARKIITSSPHLDKPSSLSPQSIQPSII LKLLLSLSSTHHAKKYYTICGNFEAAALHLSVLRDANWTFSSNTGIEGMDEQFLTKDL GESIDDQRLAKFIKNNATNMRVPLQLALMMSPIILLSTSTLYKKRPSQIDLWRLCTSI GNDKPDLLKNVELAIWKALWNISGHGDTEKELHQLVLSPEWKQWENATDINDGVFYFN PFITELPDSPMNEDLTSPFKSLVQSSQSRLLIMAPPRQGETTTTENANGIENMDVDPA GGRSNDTQDDIAGGENEMYKTIGKKNNMDKVTSRSKKDKECMGKDVDMTVDTTDGCAN NSVQLAGISPYNKAKMPSQLGLQSVPKRKGHTQSKPSASTVNNSESDNDDIIYMGMER RVFFTPREITLDLEQQVKIHWSTKKPVVFSATGKGYSIDLIAHNEETLATLDQIADMI KGKPKFLTDPKNSMIRILDREVYNSLGANDLLFILRDQHIVVKETDVTCPLRNGEPKE FRAALRGLGSLTAPRAVHDLSIVPENGDLNLRIRTGTLDQLYQASFKGINKILNVLDI PMEMKGMRDLEVSTDYIALFNTMGDWKSSRAFVVGHSRWALAATKGAFHGWHMASNGL GTYIETKCGAKLWLLARPKDPKYRSMHDTSFFLSDSYQFDSACSSVLDVEAIVLVPGT EL JR316_0011166 MRTRTDDGDWYRQCTDNHTHTHTHTHNHQKQPAPSAAHALYLPA WRSGVRVVVPSVNAGSEGGVGALVAGLGQGHGRGEGRGKGRGSSAVLALSSISASASL LASAHGSKSTLSPTSMSISTSTSTSTSTSVSTSKSTFNYARTYLSI JR316_0011167 MNVFLRRIQNVAGGTYLDLVNGWCAPGTQVHGWVGYATESQDWF LRRMSRTDGDLIDILAKDTHNASNFQGFRQDSLTLEFCGE JR316_0011168 MKFPLAVIVAVASVFSSAYATAVQRAPAVADKWISVETGITMPG ESIPGNTTLEKRYGTEVLTCYNMGTKVDRAPSTSVIDDWCNNRAIGQVVNNGQTIWAR YNYGTFTILVSGEAINGCSFKVDGNCNRLLRLPLDGCNTSGENGKQGGYETDLCGQWR YDPGSNGSDY JR316_0011169 MEYSLGTWQDVKKQAMKHPRCPFCVLIQFFIDNSPVGYLYETGP ASIEWLDRGGFFFDTYGSNLVFLNEDTATSPYGSGRLVKDTVNPALVRKWISLCEEHH GNKCTPKKDVIKTVENPRGVKILRLIDTLDQCIVEARPGDQYLALSYVWGPVMPLIRL QQDTIGMLTQKGEFKDNRDKIPVTIRDAMDLVQMIGQRYLWVDSLCLIQDNNDDMMDG ISHMDLVYQCSLCTIIADYGSDSNAGLPGIHPNSRNVYQEVVEVLPGIRMTAKSGILE GLLTSSFDISILCWDNFPHTIKRPVRQENFPSWSWAGLKGIKDGYARSSGRDPDSTNS WLKTKTYIVWFKRCPNSANLELIWDLASQEAHGVPGEHTIAYRPTPNDPYGCIKPSFL DGLQTQPTLDDPRREEIIKSELDKRNYHLLHFFAFTVMVETLKKLRAGSQQELTMVYS LKGYRGKECGGVKLDNPRMMQKVKGPHELILLSSMDKYEGYFNDKIKHKRPFFWAMLI SWIGDDKVVAERRGIGFIYNDCLDDVILPPGRVWKEIVLA JR316_0011170 MSSTVNSETQTYIVVLKDGISTQTFLASLHAHSESFKTFSADAV HTYEDVLNGFCGTFNQNQAEAFEAHPDVKYIEKDAVGEIFAQQNNAPWGLGRLSRISP FPISTKPLPNKFFYFYDYPAGKGVDIYIVDTGIDTSHPSFEKRALWGKTFAGTPNKDL NGHGTHVAGTAISKLYGVAKTATAIAVKIGDDGIRALDWIISSVRNTKRPSVVNLSIG AAQSRAIDDSVEKLFRAKIPVVAAAGNSNKDAKTISPARSPFAITVGASNIQDKRYTL SNYGSVVNVFAPGSTTYIWPPRVFDFTGIKYTFKLLGQKTVEGEADDNSTCTEDAPDN TKHDVIGEA JR316_0011171 MKFSIFAAFLSLAGFASASSIASRQNCPEATRFGVVIVNPTTVK PGDTINISVDFTCGVKTFGIIPSFLDFTIEVSSDANNGFEQPIVLARRTIPAGALSDS FTTTIPHGFYVANAPYNIVLTNIYNIDGTDGSPVLVEGGVLHFIDIDV JR316_0011172 MDPLSSDFPYKIEENVIGITQLNTIMLQSLLTGVYTVVYGATAY LYLSKRPQTVAHRAVLAAVTVLYVFSFFNLVVQWIGIDHNIITSGETQNSIFQASLTI PQWTRVGLGLISNISIVVADMLLVWRCYGMWGNSWKVITVVVALLVIESALSITDLVF LWMTPEINTAQGQARINYIAGATLLSSLCTTLYATILIGYKIYSSTRDISRSRNRYMR ILTIVIETSAIYSIILIVVALCSVVPQLSDVTSPLIALETYATVAENVLGIVPTAMVL RLVLASHRANMSSHASLNQINDAASG JR316_0011173 MLFVGSILITVSLLLLGAYFLSPIALRRLVRDKDGNTIPPGPPT RYAYLRKYSERALDSWAKQYGDLFSIWMGNQLFVVISDPQVAKDLLVTNGAIFSSRKR YFLKSQVILRGRAITGSPYGEKWRQHRRLASQALSPKSMEDHADIMDYEAHMLIKALY EQSERGTTPLSPAQASVRYALNNMLMLSFGMRTTSIEDPLIAKAMKLNMEFMELSGPW ANAIDFFTILQYIPTSKKKRGHQLYADIIDTYGSMILQFKDKMLAGEDVPDCLIKTLL ENQETEKLDWEDICMLSAVFTLGGVHSVSVMIQWFIATMISHPDICVKAQQELDRVIG RDRWPTIEDEFNLPYVRAIIKELERVHAPFWNATPHFTTEDFAYKGNYIPKDTVVILN CYTIHHNETRYPDPFSFNPERYLNDGLSSNESSKLSDPMARDHWTFGAGRRICPGIQT AERELWLVCSRLLWSFNFNSIQNEPISLDEYEGFSGRTPKAFRVELTPRFEGVANILD SAEDVPVYL JR316_0011174 MNQFANASNLLITGGSFSQTQNNYGVQKDALSNLEKYIAEDASF DSAARYPPATCHPGTREKIIETILKWIKDPNPEKQALWLNGPAGSGKSAIGHSVASKL KDELESQKYGSTFFFAKGAPGRGDGNKLFPTIAYELATIFPEYRAVLNAVLQENPTLP SKSIQIQLHNLLIRPLRKVSQWPRHHPAVIIDGLDECSGGIKMQVAILSTIANAIIQH RIPLRFFIISRPEYWIADIFEIGCFSPIVTRLCLRDDNEADAGITVYLRDEFKNIYDR NIDVMRSIPQPWPEQHIIDRFVWSASGQYIYASTVIKFVGESDHCIPSEQLRLLTKAG PHEASAFSDLDRLYAAILSSYPRWDPLKRVISAILLNCSISEAVMEHIFDVPQTELRQ ILRSMRSLISKTQFNSPPLLQKLEPIFGSPAYKKNWLWFHHLSFREFIENDSRSGRFV VDKLSTGFTVWCVFIRHLRGLLQGDSAVQQILKHIGVSQYRQCLDTMGHILLPKYFNR PTKYGTKILIQEFETLLGGLDIVSQTNPKVPYANYAVLILEKLKNFLKKCMGLPMRRR KLFKTLGNSVDLVLVTLAQQVLETTAMDEKLFSKLVTDTWIDRGLQFRIATLCKYSYT TEESIVPDLQKMNGVVTLYFDSDSDWGIEIVLHFAEHQTHTDLETDLLLEWEEEILSI LGTMFSDNFDTDDPDIGHMVYLTCFILNPQISDIRNRDRLENEDMMTILRVLASPNFP SERSSHHAQYIFMSLRWTIRQLSGSNRSVIEQTNCTLIGEMEAVIKAKFHVLVDLYAA PATEVMSDNVFENFPILPPSPDGKYVFKYSIHADGFRYSGLTYFLEFASENLHVLPPD IYPHIPSFLLKAIVIALYNIAYSNVLASWEDEQLDEVTVTAPQLFQLLLQWMSYALPT EENLNTIRLMSCIWHEIIEGNYDQPIFPPPLCNLIMEFLTKYSPSMLPGSELGHLNSW LVRLRDTDHPMENDSTTDEDKSDEDENSASDEESSGDE JR316_0011175 MLLKQIQFKLDDTHGDSAHLPLVHRLRSGVPLAIPHAAVDDCQY REYTIPKGAPILMNIWGIFHDPDLFERPDEFWPERYLLTPDGTNPGHKKSYTIRLTLP FGSGKRICPGIHLANTNVNVAVMRLIWAFGFSRVDRPATRTTEWNISDEYDDGISLTP KPFK JR316_0011176 MGLPTSTTTTTSTTTSDDPLSTLLLPPPNETPLERTARLEAEAA ARRVSEMIDEELKVERMERRRRERGVVRVLLLGQSESGKSTTLKNFRMKYARADWDAE RASWRAVIQLNVIRSIITIVEALQAEMDGEPEGEGDLQHPVSPAGSSSAGGGGEASGS GVVGGGTGREGGKALSTLLTGKHQVLKMRLGPLRRVETDLKRRLGAGSDEDMGLPLPS PAPAAAAAAAATGDGAATNVLGGATQREFGVTRLQEALQRGQRLARVGSGRATPVGED GEGEGEMVDDATEILASCLDDMKALWTDDVVRAVLKKRRIRIEDTAGFFLDDLDHIAQ RDYSPSDDDVVRARLRTLGVQEYRIRLDDGPTSIFAGGIGGDAGKEWILYDVGGSRTV RHAWLPYFDNVQAIIFLAPVSCFDERLTEDARVNRLEDSFLLWRTVCSSKLLASTTMI LFLNKCDLLKRKLKAGVQVRKYLPSYGERANDVNTVVKYLREKFKEQLKEHSPTQRAS YFYATSVVDTKATATTIKAVKDSILRDYLKNADFDAVPSCDPGAI JR316_0011177 MSQQTHIDWAQGSAFVQKGTIYVSPNCKRVVIIPPAEVDVTNPF EQKATRAEPRRRDLDLEGVKQPVRWHDQYGWIAFIPLAPSFVSLPFNFLCWSPKILRN KPHKSPATYEMEWHSVKEWRQLDENITLLCEKIRLWCRVPGTPPPSPKSFGYDLRYSS EADAQRSFEATRNAFILWMGYLSYLVAQSRREIYSKHIKHDPKSPVPAWHERLRAEHP DISEVWLDGLYHSNVFSFNARTPRVGMVYELSSTHATRPPIEWLLEHHVPVYYPWRMR EEEIFLTHKILNLELRPPRDLLAATLTDLFKSMDVSLAAAFARKFFNKSHSTEGLTMK SLGDEYSTTLVYSILSNDFAHNSEALDQHMSQPYEELEKKLQKRDEEQRQLAIDSANL PTLRMIELANENHKLLTSVHDDWDAYWAARVEERRRILAKETPEARQARLNRENNPSV VRSKVFVWKTLVSTEGASVYMREHIATSRNSIEEAKLRPHRKVYNGVTDEWDLCRDLE PPAELAGASAMLDQCIQAQTRPARGQHRRGRGVRPAKQPRQHPKPAPAEEEWEGVPWY DTIEPDPDAPVASTSALPPARNPSPPPLPPNNPPVIEVPTPSSSRDRPRRAPTTPPRP TLGRRLRSRSPTPPEVATRSRPRARRSPESESRVQQSPPAVVEDFEMEEPGPSVEDEN ADVEMTMDAPATDVVQPQPITPDNDMVRCLRQSYGYLPGTTTTPCAAADWDELVETFG FTELLTPEVTLDARQHIKQFYLACIEPTSTEMPAALSDLNTHSLTSLSRLLDLSQIHR PHENLFVFSEPRSEEHEWMLGVETAEIALYVCRLRLEHSWAPIDSLTKMLLERGVPCR TLMGIEMSGRCSTVQEPYTPRSVRPSAYKFGVDDFEAYRLQCENIIKHQQHGRAALLR GGLVGRIASEFLSVDDGLAGPSKEIIQNRQGFIVPAGDTTWCYCNDQLTENELSIICG TYTLYTATKGQITVKSWFPPPNLWQVPPSMNGSQWVEWTPANEAWYRERVEDIRTRQA QPLTRVQWKSILRGTPPSRKLLAAASQRAQAFVNGHVPVVPTYRVRPGLTARPRCIKD KTAKWQRQEASRGSAAAATGGDGGTTGGDGGMTGGEGRRQWQRRPGVAAQQHAAECVS WWAASGRDGGTTRGDVVTTGGDGGTTGGDGGTTGGDGGTTGVDSGMTGGEAGGSGSGG PVWRRNNTQQSVCRGGRRLDATAGRRAVTS JR316_0011178 MEDPSEPAKRRRRETEDDGGREGRARRKDNQRSVTVGDTVVPRN ADMKFPCTHCRKEYSTPYSLQRHFADSHAEPSLEVPQQTSDNERSLSPPSPEPMFPSQ LPRPSWGAAPPTRAAPPASQLHPPSTRLFLIPHAPSRQVLPRPLGSRAGSPPTMIPAP VTPAASRPSTRTFLGPRAISSQGSPRPLVSSPGSPPTMIPGPVSPAPLRNVSAQRMTP TPPGMHQPPPIRATPTTAMEVDVSLYDIVPDDHTVIYDNAHNLPALGIVINTFLKIVI RIECGEAIEPASVCAHVKQHNAHYTPGPTLLEDLRRKYGIVSLAEIAYSAGPIRPIFG IPIEADQLHFCSKCHRGYNSLLSLRGHQSNGQRCQVPITQRACYTMYGQILTKGPSKR YFPVDTSCLSLRQDIPFAYSTVFGTTMPPPPDYTQLPVQDIEDPQNLSSFLFREGWLD AVKGLTPVDIQEVTRLPDAKTEPWGKQLQLAAHRALASVQLLVNEHHTFGMTHNIAQF NQSSNMSSNNFNHIQPKTQQRYGNIIVRLIFNVLRTMDPAWTSPVRYPSLDASQKVIL LELRAKLDGADKKLIDEAYQKACYSLFAHERRQYPISSKLRKFFSPVILFVVFYSLRA NGSFRLASEITGICAAVEYCIRTTMLFEIERISEESNVSSFDALKKVERYISTGQETP MAFIYNVHRVLASVRSDEVTASQFRFTDKNGREVSCNGHLISLSMIKKMHDEEHVRYE NMVQDRLFFGEDIPSDLFPELDLESIVDSANNTSAGYCFLDDPRNNFSAYRDSYGRWL LSDPVRAQRFVYMHEGELVWKPSAALDLLRRMERVREVLAPGVSYSTLLQVRGSEFAR ALLRNTPAALRNLRFEMHLLAHVALQDKTSHLHLKDRHIPHVITREWAESLIRNLAVF RPFEEVLVAKFLNPEALHRYRVQLWPGIKSTMTSEAFSARCGDMTMRYLLQPFKPLRW RSLITAFARFLPDSRSYESQKEFFVDTAMMHSTAMSNNRYGRHSDQALQSDFRTTIGC IQAALDMQKHVGIGQARPFTLTVPSEVPETSTGGAQGIVHDVSSLERIARSAMLEITG SLEDRVKETILDSMAVVMAQILPKTPRPLNENNLRPVADIDPHPSRLRSLRQFLKRPA ANFSCPEQALLLELMCRGQQSVLGILGTGKGKTMLVFLYAYLFGSCGITVVILPLSSL RIEYSRRAQELGISSSIWTPTAKHNLDVQLLCVSIEHVNFHDFESDLASLEHQKRLNC IIFDEVHKVVTDITYRPSFEKFWVLNKVKAPIYGLSGSLPPSTMAEFNQLTGTTWKVV RTTSNRPELAYRIIHVTGDMLKRLVEDIATYIANYGPRDRLMVFCRTKEDVTALSDAL RVPGFTSHTAETNDDTLRKWRSGENIVIVATSILGCGLDYPSVRHVLHWGIAHSMVDQ HQQESRAGRDGQRAEAITYVASPSRRYSKSATAHGLSELEKWSSSTDMCLRAIPSSYL DGVPITCSLLPNCELCAYCLEQMHQAPPLRVASISHLISDPVSVPAKPQTTPIRPPFR VFVPPKTPITPVIQSGESTPASAVDLTSSSAFCQVSSPSTLVAGASDDSGTFGTTAGS PVSPTFHSAKRVLPEDDNTASKRLRLSDPTSALRAKPATVPAQPRATNRVLPEDNNTT SKRSRLSNPPSAFQAKASTVPVQPRATVGLVARIDHAAVQEAAKRFTLESGLGDPPP JR316_0011179 MGLPTSTTSSTSTSDKPLTTLLLPPPNETPSERSTRLEAEAAAR RVSEMINEELKVERAERRRRERGVVRVLLLGQSESDWDAERASWRAVIQLNVIRSIIT IVEALQAEMDGEPEGEGDLQHPVSPGGSSSAGGGGEASGSGVGGGTGREGSKQLSTLL TGKHQVLKMRLGPLRRVETDLKRRLGAGSDEDMGLPLPSPAPAAAAATGDGAATNVLG RASLGPLSLETDSQGLARPLGAASAQREFGVTRLQEALQRGQRLVRKGSAQSVRRQAR VGSGRATPVGEDGEGEGEMVDDATEILASCLDDMKALWTDDVVRAVLRKRRIRIEDTA GFFLDDLDRIAQRDYSPSDDDVVRARLRTLGVQEYRIRLDDGPTSIFAGGIGGDAGKE WILYDVGGSRTVSQRHAWLPYFDNVQAIIFLAPVSCFDERLTEDARVNRLEDSFLLWR TVCSSKLLASTTMILFLNKCDLLKRKLKAGVQVRKYLPSYGERANDVNTVVKYLREKF KEQLKEHSPPQRASYFYATSVVDTKATATTIKAVRPPRCSIQVRQDDQDRWSTIESRL STLDSRLSTLDSGRANHVQVNLSPLV JR316_0011180 MDCVFYGLVFPSWCYNDKKTNQDALSHSRIRVQPDQRVRLLADL RDKLADGDDDEDEDVHGGRLDEHEEDAHVPPAVVGCGYVSGGGVDSVPD JR316_0011181 MSKQSHVDWAQGSAFVQKGTIYVSPNCKRVVIIPPAEVDVTNPF EQKATRAEPRRRDLDLEGVKQPVRWHDQYGWIAFIPLAPSFVSLPFNFLCWSPKILRN KPHKSPATYEMEWHSVKEWRQLDENITLLCEKIRLWCRVPGTPPPSPKSFGYDLRYSS EADAQRSFEATRNAFILWMGYLSYLVAQSRREIYSKHIKHDPKSPVPAWHERLRAEHP DISEVWLDGLYHSNVFSFNARTPRVGMVYELSSTHATRPPIEWLLEHHVPVYYPWRMR EEEIFLTHKILNLELRPPRDLLAATLTDLFKSMDVSLAAAFARKFFNKSHSTEGLTMK SLGDEYSTTLVYSILSNDFAHNSEALDQHMSQPYEELEKKLQKRDEEQRQLAIDSANL PTLRMIELANENHKLLTSVHDDWDAYWAARVEERRRILAKETPEARQARLNRENNPSV VRSKVFVWKTLVSTEGASVYMREHIATSRNSIEEAKLRPHRKVYNGVTDEWDLCRDLE PPAELAGASAMLDQRNQAQTRPARGQHRRGRGVRPAKQPRQHPKPAPAEEEWEGVPWY DTIEPDPDAPVASTSALPPARNPSPPPLPPNNPPVIEVPTPSSSRDRPRRAPTTPPRP TLGRRLRSRSPTPPEVATRSRPRARRSPESESRVQQSPPAVVEDFEMEEAGPSVEDEN ADVEMTTDAPATDVVQPQPITPDNDMVRCLRQSYGYLPGTTTTPCAAADWDELVETFG FTELLTPEVTLDARQHIKQFYLACIEPTSTEMPAALSDLNTHSLTSLSRLLDLSQIHR PHENLFVFSEPRSEEHEWMLGVETAEIALYVCRLRLEHSWARIDSLTKMLLERGVPCR TLMGIEMSGRCSTVQEPYTPRSVRPSAYKFGVDDFEAYRLQCENIIKHQQHGRAALLR GGLVGRIASEFLSVDDGLAGPSKEIIQNRQGFIVPAGDTTWCYCDDQLTENELSIICG TYTLYTATKGQITVKSWFPPPNLWQVPPSMNGSQWVEWTPANEAWYRERVEDIRTRQA QPLTRVQWKSILRGTPPSRKLLAAASQRAEVFVNGHIPVVPTYRVRPGL JR316_0011182 MEDPSEPAKRRRRETEDDGGREGRARRKDNQRSVTVGDTVVPRN ADMKFQCTHCRKAYSTPYSLQRHFADSHAEPSLEVPQQTSDNERSLSPPSPAPMFSSQ LPRPSWGAAPPTRAAPPASQLHPPSTRLFLIPHAPSRQVSPRPLGSRAGSPPTMIPAP VTPAASRPSTRTFLGLRAISSQGSPRPLVSSPGSPPTMIPGPVSPAPLRNVSAQRMTP TPPGMHQPPPIRATPTTAMEVDVSLYDIVPDDHTVIYDDAHNLPALGIVINTFLKIVI CIECGEAIEPASVCAHVKQHNAHYTPGPTLLEDLRRKYGIVSLAEIAYSAGPIRPIFG IPIEADQLHFCSKCHRGYNSLLSLRGHQSNGQRCQVPITQRACYTMYGQILTKGPSKR YFPVDTSCLSLRQDIPFAYSTVFGTTMPPPPDYTQLPVQDIEDPQNLSSFLFREGWLD AVKGLTPVDIQEVTRLPDAKTEPWGKQLQLAAHHALASVQLLVNEHHTFGMTHNIAQF NQSSNMSSNNFNHIQPETQQRYGNIIVRLIFNVLRTMDSAWTSPVRYPALDESQKVIL LELRAKLDGGDKKLIDEAYQKACYSLFAHERRQYPISSKLRKFFSPVILFVVFYSLRA NGSFRLASEITGICAAVEYCIRATMLFEIERISEESNVSSFDALKKVERYISTGQETP MAFIYNVHRVLASVRSDEVTASQFRFTDKNGREVSCNGHLISLSMIKKMHDEEHVRYE NMVQDRLFFGEDIPSDLFPELDLESIVDSANNTSAGYCFLDDPRNNFSAYRDSYGRWL LSDPVRAQRFVYMHEGELVWKPSAALDLLRRMERVREVLAPGVSYSTLLQVRGSEFAR ALLRNTPAALRNLRFEMHLLAHVALQDKTSHLHLKDRHIPHVITREWAESLIRNLAVF RPFEEVLVAKFLNPEALHRYRVQLWPGIKSTMTSEAFSAQCGDMTMRYLLQPFKPLRW RSLITAFARFLPDSRSYESQKEFFVDTAMMHSSAMSNNRYGRHSDQASQSDFRTTIGC IQAALDMQKHVGIGQARPFTLTVPSEVPETFTGGAQGIVHDVSSLERIARSAMLEITG SLEDRVKETILDSMAVVMAQILPKTPRPLNENNLRPVADIDPHPSRLRSLRQFLKRPA ANFSCPEQALLLELMCRGQQSVLGILGTGKGKTMLVFLYAYLFGSCGITVVILPLSSL RIEYSRRAQELDISSSIWTPTAKHNLDVQLLCVSIEHVNFHDFESDLASLEHQKRLNC IIFDEVHKVVTDITYRPSFEKFWVLNKVKAPIYGLSGSLPPSTMAEFNQLTGTTWKVV RTTSNRPELAYRIIHVTGDMLKRLVEDIAMYIANYGPRDRLMVFCRTKEDVTALSDAL RVPGFTSHTTETNDDTLRKWRSGENIVIVATSILGCGLDYPSVRHVLHWGIAHSMVDQ HQQESRAGRDGQRAEAITYVASPSRRYSKSATAHGLSELEKWSSSTDMCLRAIPSSYL DGVPITCSLLPNCELCAYCLEQMNVAPPLRVASISHLISDPVSVPAKPQTTPIRPPFR VFVPPKTPITPVIQSGESTPASAVDLTSSSAFCQVSSPSTLVAGASDDSGTFGTTAGS PVSPTFHSAKRVLPEDDNTASKRF JR316_0011183 MELGLSMGRRYVQRTIASIPEEALTEMRKHAFSPKRDKIHKNLG LAVSERDWILRNELNLLEDERNYEELEFEVAQEVMKSKMIQMQTLARTVEDEHLFLFE LGLSRFFLSNVPEDGSSFKYPQFHGIPKIHKKPTGFRPIIPCHSVVFNPAAKFVSKEL KPIIKSTPSIIHGTKDLFTRLSQLRIDSKRQWYFVTGDVVAFYPNIPLDLCIEIVCSM YEEWLLNASEENTALAHINPNSLENNLVKLGIFKRAIEIGNTQLITQHGSRYFRQKNG LAMGVADSPDLANLFGAHFEIKSKILDHPNVAFYGRYIDDCFAIVYAESEALALNHIK ETIMFDGCVIEWAVSSSGCQFLDAFIFKESGKLHWKPFVKTGNNRERIPWVSHHPLDV KRGVYIGELSRLAVLCSTKEIYIGAIRDLNALYLMRGYPENLVMSWCKKNIQERWEKR FALRVAEHDESILVLKTRFDQVWNWFSAAELGKTITEYWSAWYEHAEKDLYSADSSRP LIKPDPNYVHDLDDVRPELFTQILVDGETEFVPDLRKIGLLGSRWIVSRKRNTNLFDL ANVWKKTVFRKLDEDIAEKGGVVPETQNVNETYHSALVEAAEQISIESDNEIILHRRS ISQEREHPEFGRISKSYNR JR316_0011184 MSSQYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSVDQVIDCVFFDYRAALREFLLNLASWCDKRETVKASLERLESA VSAGNTPNRLKVKAPEFQLTKEFADAGSAEALRVSTTFSTARDAFQKAINDGAVQAKK DELAFWDDKCALNSCYEAAALIVKATYDDRKSSYKLPVFSTDNKGVRRIAEWVVSPQK KAECSALQTILPAIFSHIKQIVNMRHRALAIKIEKKRMTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQARKAGPSKPKSSSTPSQRKPQTKASN KVDNKKKGKGRAPVKNNDPKGKGKARA JR316_0011185 MNKPFDPDYGVSSKKKEKPPVLPQYMELGLSMGRRYVQRTIASI PEEALTEMRKHAFSPKRDKIHKNLGLAVSERDWILRNELNLLEDERNYEELEFEVAQE VMKSKMIQMQTLARTVEDEHLFLFELGLSRFFLSNVPEDGSSFKYPQFHGIPKIHKKP TGFRPIIPCHSVVFNPAAKFVSKELKPIIKSTPSIIHGTKDLFTRLSQLRIDPKRQWY FVTGDVVAFYPNIPLDLCIEIVCSMYEEWLLNASEENTALAHINPNSLENNLVKLGIF KRAIEIGNTQLITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKILDHPNVAF YGRYIDDCFAIVYAESEALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFIFKESGKL HWKPFVKTGNNRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAIRDLNALY LMRGYPENLVMSWCKKNIQERWEKRFALRIAEHDESILVLKTRFDQVWNWFSAAELGK TVTEYWSAWYEHAEKGLYSVDSSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVP DLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQNVNETY HSALVEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0011186 MSSTYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSIDQIVDCVFFDYRAALREFLLNLASWCDKRETVKASLERLELA VSAGNTPNRLRVKAPEFQLTKEFADAGSAEALRVSSTFSTARDVFQKAINDGAIQAKK DELAFWDDKCALNNCYEAAALIVKTTYDDRKSSYKLPVFSTDNKGVRRITDWVVSPQK KAECSALQTILPAIFSHIKQIVNLRHRALAIKIEKKRSTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQAKKAGPSKFKPSSTPSQKKPQTKASN KVDNKKKGKGRAPVKNDPKGKGKARA JR316_0011187 MELGLSMGRRYVQRTIASIPEEALTEMRKHAFSPKRDKIHKNLG LAVSERDWILRNELNLLEDERNYEELEFEVAQEVMKSKMIQMQTLARTVEDEHLFLFE LGLSRFFLSNVPEDGSSFKYPQFHGIPKIHKKPTGFRPIIPCHSVVFNPAAKFVSKEL KPIIKSTPSIIHGTKDLFTRLSQLRIDSKRQWYFVTGDVVAFYPNIPLDLCIEIVCSM YEEWLLNTSEENTALAHINPNSLENNLVKLGIFKRAIEIGNTQLITQHGSRYFRQKNG LAMGVADSPDLANLFGAHFEIKSKILDHPNVAFYGRYIDDCFAIVYAESEALALNLIK ETIKFDGCVIEWAVSSSGCQFLDAFIFKESGKLHWKPFVKTGNNRERVPWVSHHPLDV KRGVYIGELSRLAVLCSTKEIYIGAIRDLNALYLMRGYPENLVISWCKKNIQERWEKR FALRVAEHDESILVLKTRFDQVWNWFSAAELGKTVTEYWSAWYEHAEKGLYSADSSRP LIKPDPNYVHDLDDVRPELFTQILVDGETEFVPDLRKIGLLGSRWIVSRKRNTNLFDL ANVWKKTVFRKLDEDIAEKGGVVPETQNVNETYHSALVEAAEQISIESDNEIILHRRS ISQEREHPEFGRISKSYNR JR316_0011188 MSKNKSVGSTFDRLPCATSTRDTLFIRDLSNLTINIDRFVIVAT EESIKTKGLVVGIPQQPSGYFPFNSQFKLVARTSLRSEFADAGSAEALRVSTTFSTAR DAFQKAINDGAIQAKKDELTFWDDKCALNSCYEAAALIVKATYDDRKSSYKLPVFSTD NKGVRRIAEWVVSPQKKAECSALQTILPAIFSHIKQIVNMRHRALAIKIEKKRTTAAT ADVEMADATKPGPSIQSLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQAKKAGPSKPK SSSTPSQRKPQTKASNKVDNKKKGKGRAPVKNDPKGKGKARA JR316_0011189 MNKPFDPDYGVSSKKKEKPPVLPQYMELGLSMGRRYVQRTIASI PEEALTEMRKHAFSPKRDKIHKNLGLAVSERDWILRNELNLLEDERNYEELEFEVAQE VMKSKMIQMQTLARTVEDEHLFLFELGLSRFFLSNVPEDGSSFKYPQFHGIPKIHKKP TGFRPIIPCHSVVFNPAAKFVSKELKPIIKSTPSIIHGTKDLFTRLSQLRIDSKRQWY FVTGDVVAFYPNIPLDLCIEIVCSMYEEWLLNASEENTALAHINPNSLENNLVKLGIF KRAIEIGNTQLITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKILDHPNVAF YGRYIDDCFAIVYAESEALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFIFKESGKL HWKPFVKTGNNRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAIRDLNALY LMRGYPENLVISWCKKNIQERWEKRFALRVAEHDESILVLKTRFDQVWNWFSAAELGK TVTEYWSAWYEHAEKGLYSADSSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVP DLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQNVNETY HSALVEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0011190 MNKPFDPDYGVSSKKKEKPPVLPQYMELGLSMGRRYVQRTIASI PEEALTEMRKHAFSPKRDKIHKNLGLAVSERDWILRNELNLLEDERNYEELEFEVAQE VMKSKMIQMQTLARTVEDEHLFLFELGLSRFFLSNVPEDGSSFKYPQFHGIPKIHKKP TGFRPIIPCHSVVFNPAAKFVSKELKPIIKSTPSIIHGTKDLFTRLSQLRIDSKRQWY FVTGDVVAFYPNIPLDLCIEIVCSMYEEWLLNASEENTALAHINPNSLENNLVKLGIF KRAIEIGNTQLITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKILDHPNVAF YGRYIDDCFAIVYAESEALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFIFKESGKL HWKPFVKTGNNRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAIRDLNALY LMRGYPENLVISWCKKNIQERWEKRFALRVAEHDESILVLKTRFDQVWNWFSAAELGK TVTEYWSAWYEHAEKGLYSADSSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVP DLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQNVNETY HSALVEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0011191 MSPTLDALTQAVVNNTVFGVNDEAGRHNALIDELATVVIKKIAE CRSVDQVIDCVFFDYRAALREFLLNLASWCDKRETVKASLERLESAVSAGNTPNRLKV KAPEFQLTKEFADAGSAEALRVSTTFSTARDAFQKAINDGAIQAKKDELTFWDDKCAL NSCYEAAALIVKATYDDRKSSYKLPVFSTDNKGVRRIAEWVVSPQKKAECSALQTILP AIFSHIKQIVNMRHRALAIKIEKKRTTAATADVEMADATKPGPSIQSLIDKGLNARLK KLNLGSTLSGQNSSKAPQPQAKKAGPSKPKSSSTPSQRKPQTKASNKVDNKKKGKGRA PVKNDPKGKGKARA JR316_0011192 MNKPFDPDYGVSSKKKEKPPVLPQYMELGLSMGRRYVQRTIASI PEEALTEMRKHAFSPKRDKIHKNLGLAVSERDWILRNELNLLEDERNYEELEFEVAQE VMKSKMIQMQTLARTVEDEHLFLFELGLSRFFLSNVPEDGSSFKYPQFHGIPKIHKKP TGFRPIIPCHSVVFNPAAKFVSKELKPIIKSTPSIIHGTKDLFTRLSQLRIDPKRQWY FVTGDVVAFYPNIPLDLCIEIVCSMYEEWLLNASEENTALAHINPNSLENNLVKLGIF KRAIEIGNTQLITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKILDHPNVAF YGRYIDDCFAIVYAESEALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFIFKESGKL HWKPFVKTGNNRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAIRDLNALY LMRGYPENLVMSWCKKNIQERWEKRFALRIAEHDESILVLKTRFDQVWNWFSAAELGK TVTEYWSAWYEHAEKGLYSVDSSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVP DLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQNVNETY HSALVEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0011193 MSSTYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSIDQIVDCVFFDYRAALREFLLNLASWCDKRETVKASLERLELA VSAGNTPNRLRVKAPEFQLTKEFADAGSAEALRVSSTFSTARDVFQKAINDGAIQAKK DELAFWDDKCALNNCYEAAALIVKTTYDDRKSSYKLPVFSTDNKGVRRITDWVVSPQK KAECSALQTILPAIFSHIKQIVNLRHRALAIKIEKKRSTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSVGKKASSRNPSPIILDTNYYSNYPDFVWPEFVQGSSTSGQ ESWPFEIQTLVDAFAEEAPNQGFQQGRQQEEREGESSRQERSKGKGKGKSLDARSNVV PFTSEGMNKPFDPDYGVSSKKKEKPPVLPQYMELGLSMGRRYVQRTIASIPEEALTEM RKHAFSPKRDKIRKFLIDNNYVITMTDKNLGLAVSERDWILRNELNLLEDERNYEELE FEVAQEVMKSKMIQMQTLARTVEDEHLFLFELGLSRFFLSNVPEDGSSFKYPQFHGIP KIHKKPTGFRPIIPCHSVVFNPAAKFVSKELKPIIKSTPSIIHGTKDLFTRLSQLRID PKRQWYFVTGDVVAFYPNIPLDLCIEIVCSMYEEWLLNASEENTALAHINPNSLENNL VKLGIFKRAIEIGNTQLITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKILD HPNVAFYGRYIDDCFAIVYAESEALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFIF KESGKLHWKPFVKTGNNRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAIR DLNALYLMRGYPENLVMSWCKKNIQERWEKRFALRIAEHDESILVLKTRFDQVWNWFS AAELGKTVTEYWSAWYEHAEKGLYSVDSSRPLIKPDPNYVHDLDDVRPELFTQILVDG ETEFVPDLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQ NVNETYHSALVEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0011194 MELGLSMGRRYVQRTIASIPEEALTEMRKHAFSPKRDKIHKNLG LAVSERDWILRNELNLLEDERNYEELEFEVAQEVMKSKMIQMQTLARTVEDEHLFLFE LGLSRFFLSNVPEDGSSFKYPQFHGIPKIHKKPTGFRPIIPCHSVVFNPAAKFVSKEL KPIIKSTPSIIHGTKDLFTRLSQLRIDSKRQWYFVTGDVVAFYPNIPLDLCIEIVCSM YEEWLLNASEENTALAHINPNSLENNLVKLGIFKRAIEIGNTQLITQHGSRYFRQKNG LAMGVADSPDLANLFGAHFEIKSKILDHPNVAFYGRYIDDCFAIVYAESEALALNLIK ETIMFDGCVIEWAVSSSGCQFLDAFIFKESGKLHWKPFVKTGNNRERIPWVSHHPLDV KRGVYIGELSRLAVLCSTKEIYIGAIRDLNALYLMRGYPENLVMSWCKKNIQERWEKR FALRVAEHDESILVLKTRFDQVWNWFSAAELGKTITEYWSAWYEHAEKGLYSADSSRP LIKPDPNYVHDLDDVRPELFTQILVDGETEFVPDLRKIGLLGSRWIVSRKRNTNLFDL ANVWKKTVFRKLDEDIAEKGGVVPETQNVNETYHSALVEAAEQISIESDNEIILHRRS ISQEREHPEFGRISKSYNR JR316_0011195 MAAVKQGGIGVGLGITTGAGPATSTSTNTGTSTSTSTATGVSKE REIQVLQDLDRAVSKTMEVVLASSGSGSGSGLGTGSGSWTKGEERMSFERTAGVGGHL MVRHGLLSPHPKLLPGASRETTPMAPDGRPGSIMSVTSNGLKPVFGAA JR316_0011196 MHAVARGHQGRDLKEFGKTTRTYHTSHTTPHNTTQHNTFQYHVT HPNPRTTPATTPPTELQSDPSIPTHLPELYEALLKGNIKRVVEPYSIVKIGYYAQ JR316_0011197 MVTAVASHFDFEPPPWGRFDLRFGRPVNIEQRGLVTESPECSEA MYRLPASGPSGLLKTEYSDTTLSTPTQRIDFPRAADLPNLYIECLNTPAQRIDFPRAV HLLFYSSDAMYQFPANRHLPNLYIKICTAASSIYGPPYLQSQTDMQSCILHAKGKDYS TVSSSFFEAFKNLSAAGMKDSSAAGGKVQDKEEKARAKEVGGDTKNEQG JR316_0011198 MTILRSNKAARQVKGIVSNATIAFRGNELTGNMSSQEDLFADFV RILEEIEIEWNQTDETFYQSAEEDSDEIEPKTITNTMTKDLPAAGERGAPKFIDTEPE ELLRFLEAVDELYKKCEITNDNDKKKAVGKYVSAQTEAQWKAFKTYKTGTWEEFKTEV TASYPEVVLLERGSITALDKLCRKYSRANRIEASDITGLAALIRCFRAEAGKLTEDPP LVSNRDLVDRFLDCLTPEFATRIEDKLDTIMDARASITDNAGTNARWEDRYTLQEVIN MAQGIAERSRRGYGIKPAENKGNRRGYGDEELVPNQKGLETYVKLEEQMAQLIDTITN AEKRRMADYKVLQESQNRQLREMQQFLSTRQTTPGLLPNNSYAPSRYRDNPNTGCHYC KEDSHRIIECPYVRKHLEAKWIIKNAENHIRLPNGAQIYPDGNKSRKEVVENMNKSKG MAATMLQEERESPAGEVRYKLHRQYELAKSLQALTEDFGEDALEAVLEERQAFKEMEE EEPRLENFQ JR316_0011199 MAPVLPEPKVATVSQIVADAAKVIDIKDPVWDTTQLYMAYGQLI SAVHQMGPWIALGGPGLLPFQDAVNLFFRIRTLFHKVINSGVSNAPITPPECGTVRHI CRDIQHARQSPQTGPVAGLITASDSADTNKPNKPKRGRASKQPKSKPYIDSEASGLLA MSIDDTGPPLLVVSDNGNPSSSTSPAGPPAVPGPAEPPTPHVSSPDQPLPFALTPSTS MQDAFPTSVSAQTAPPNPSDTNKPREVALLSYEEVGVSPNDNQYRKAQHFLKRPRVEL PPDPHPEKPFSVSVAESNLRKLRAEEASLQFEIAHARQVLDVCQREIARQSQQLARPQ LPAPHH JR316_0011200 MYSFSSYDFLNSGLSADSSRISPEREPSLCFASDSPQGSSSTGS QQIQFLSRHLDLSVEPTFVEPSSYPALDLGDVNIFAEWDAGFDSYPPFSNSSTQVSSI SGYQQASTSSSTFEPSLQPTTESLPNYPPQSVEDINQYMPTSNPRNIFPESTVESATA AFGLSSTSMNAPSNIHTPPSARLFAPATHPLAIHQALSSRCQSRPLENFGKYPSPDVA DGNPSYLSTASTSVAPKNVHGSRYIDILHGGIWLIDSDPRAQVENTLTRVVTEDEKRK QQLLPTLESVEKQVLYYNPGSRAILPGSSTDSFHSYIRGKETTNQEGSMWAKLNCEES SGGNPVEPLDKFRHGNGGMVSHHSTTQNGDRQVATASRYTRKRRLSAAKSNEGKSPSA AKRPRTASPLVRGSGTSTSAQTEVLQDQEGSVSRVAATLTAAPRPLLQPAQPRCLAEG FSQGRLVIEKRERHRKKDGGLTFQVMRPT JR316_0011201 MALRRTPPSLSLTCPPLPPWLYPLLGPRHSTVLLHLIVVVNNVE YHPLNDVLFDFELPNPIPILVWRRLVAENNCVVDEEHEHERDRER JR316_0011202 MFAFTSLVALVSTTITLVHSSPTVYPDVIPGPGLPSLEFLNLTS KDLYTMTPPTFDLSLNSRSANFDAEWYDDNSTPFQTTNRTSCSFTFTTGDVDNTIACF NYLVSIGNNACVVSADNVEFCRAGDASIGGSNLHQTSGAASSACRDVATAVQWIFTNC NVGGRVGGADAAYGNGDLVVGVYNVDWI JR316_0011203 MAEAHIAIARTFNVNVVHVHNSQTVPISTPKSPQHTRDSSGAGA TESGVASQATLSLNYTPGPWTDTLLLVGPTPRDLLEIYYAKFSSQTIDIGNTTSIIDS TRTSGSVAKGSESIVDRLVVDSLASGTVDPAVLIRTSPHLREKRSQGVMRSQAASDRH HPYNVVNRPLMSRGRLRARKRFEHKSILPRYAVSHVQSPTFRKAPALAISPTQAYRRA HRMFKKQYSPPILPFYWPRLAYCTVESAIGILAPFPTTLIGH JR316_0011204 MSNVTDKDDQSTSSRVVYSSSQMLNETRTMLAPAEIHPYISLAV PLHCNSLSVYSYSPALTGCNRQA JR316_0011207 MGPLGWLLDLIFAIAKGRPWEWSLVTYQGMNRGLPPSRQGRRKT VGSLMGPRDNALDLGRAKDGTHGQLEVMYQPFLYVHARLRLDDVSQTDTLNV JR316_0011208 MLVPESEPLIYSAFNEADAGHIPIIDSYPPSSNTTTQFSPILHA QQASTSTSTLDPSTSSTGFAPTNGQNDRYIDIVHGGIWFADTDPSAQVANTLTKPDRE DEERKRQWIPTRSSVEQRVSYFHRGSHYSTQASFNNRFHSHIGEKSTSNREGSSGGNP VEPFARLMHRDGGMTNYHSTAQNGDRQVASASRNSRKQRLSTGDNDGYVQHTFYYSSQ YLNPISSLCTLFQQQIRARRKMPTKEVTTGPSSGPKGW JR316_0011209 MFAFTSLFAFVATTITIVHSSPTVYPDVIPGPGFPSLESLNLTS KDLYTMKPPTFDLSLTSRSAEYDANCFTFTTADVDNTIACFNYLVSIGNNACTVPADN IAFCRAGDAAIGGSNLHQTSGAASSACKDVATAVQWIFTNCNVGGRVGGADAAYGNGD LVVGVYNTNWI JR316_0011210 MQNANVVSFSPINSEHFAIPTLSTSISWDSAWSNLNFYSEGRYT GVPLDTVQTASNIQMFPSVASSYASEEASQDTSASTHHAPLGSESLSYPGFVPQGTQE LFDNHHLSEEDNVSINGQTNNTTLDVSSPELLPTNNIYPDTHVSVLVGHEGSEDTTYP TNTPNELTLASDTVSQSDPIDSLLSYVLSTGTIDPALLAKSMPSSHSKREQDIPQSQV GDTLFSRNENNRGTCSGVYKPVRSAVDDQDMRANSFRHGYGETETSKNDTFQHQEPHL EYRSRATPVEQIPTMESEPHDARREPIRLAQSRDTEESRVQCHESGHRETRPARREKR TRSRSPTEETQDRLPKRRKNLESVQENNSRGRERMNSNPGCEAPTKKKGIQGHRSDYD DTFRSRQEITSSSSIRGR JR316_0011211 MLTMVCSSRKDNVTMPDLFIPPPKFYFSICSSFLLPNPVYSNDS KDPKVGLTMGSTEPERDCNFELIHGTGDRAGQYAIRGTYSGKVLLSKKGTHALVEHTA GDGVHDENWFTFEVGTEHLSSGFRVVCPSISAVWSSAVSLLTSVPEGNPKDVTQYFSF TFEHTEIDRVVYHVDQGKIVQSTPVVLATQELKNDTSIVQKLEASVDTSTEETSSFQF SQGFSLTVGLEIKTGVPLVSEGSIKVDATSTSNFTWGSTTKKSKAYKATFPVTAPPHS TVVATSSVTQSTLDIPFTIYSKSKSGVVVETEGMYYGVTTWNLRHIITQK JR316_0011212 MPKHIPIEAYIHNPYEACDHGFIHRDVVLHDHSSDTPRYLLPVV FIAEKGTDVYLKYPGSGVVHFIHGEAKYEDLKNPRSHVTVTAGSVVHIEEGITLRWHC NNPAGLKGFGVFNVPVSVKGFEDFIVVPA JR316_0011213 MGLEAAELARWTRFAAKGGIGKCIALCDCVAESPDDLMFLKDDE ITVLYQIPELEGTYLGYCEGVVGRFSGSNVHFLTRLKRPVMTKRASVASVSAASSAGG KSPTPGGGAAGGASGSGRMSASPAPPPGQGQGPSPSPGSSQQRRSSSSASNPYSHSLE RRGSTSAYGSTPRVMSPLSMSMSTSASGPGSSLGGAGEKDIERERGIETREGNQHQQQ PRKASAGSVSSSSMVDSPSTNAPGVNIAYPDFSAPPPPARVGVRNLAVTGMSPPTSPR AGIASFGSMGAFSSSPPHASSSDPTPTPASAPSTSVPISSSSASISTSASSSTSSTPT STSTSPTPSPASALSLTATSAWPLPPSATRHGGGLQSVGMSMNLEHAMGREAGQVSDS DWRTQGQQQHPPSTQRYTAHAPSPLNLHPNSHSVEPGGTSPLRILKRSPTIGGSFSPS PGLSPGLSPGVSMSPALASSYGSGTGGGVGAGVGGVAPLSPTSQNANANPPPSAASLT SFISASSASVYSRSSGPEGVDEGSVYSQDDGDEGDDGERTQLGHGRVSDTVHGQGGGI RGGLGGLDALAALDTKELGTGNGAGATGGGQGGVGDIGMSLLDGLNAFSDSDSDGDSE TSSVASLRRKTRNRGAVGGGDVEEDDDRSVYGNGSEEGTVEGLGYALSLDGHGQSMSS NTTSTTAIPATVHESRNEAAEEDDDGEGQEILAPLPAHTGTLPLSPRSPKSPRSPAFS VRSLHSLQGSGRPPPPNAPSASGVVPPPTIPTRERTSSHASLAPSVHSSMSALSGASS VRSGKADSQRSSSDWDGAADIYDDYRYSRYSRYSRYSRYSVGTVGSGSGGMGSEEMPA VPRERSDSSGMGVGGGSGIPGSGLRHEERMDDEDEAVVEDDKIADAHDDTPRKRNTLS QTRDTAHMRTASVDSDASVYTQNSRLSAASVVMAMEAALGVGAAEEKNGKPAHARPAP LTLVKSNSYDNAGLDTATATAKDQNTAGNGEAVRSPLLNTTWGSPLSSPDYASVTSPK SSAALYTPVPGSVNVHRVDEGEGMGDDDERERAGVRSPAAMVSPTLGGFASQMRMRLE EERRSPLPKADDAEESTVQLERDTDRSGLGHRIVVEDEDELPSRILNSTMSSSEEHDH DQEQDGDGSLLASSSAGKHLAPLGVANRTPSPSIGEGNSDMDEGDVSTLESRLKSLES EDKEQEKSKGTDTPPPATHLRPSLRELREGGMVIDPGTNQRRSLFMPHPNAPKAPVGV EPQGPMYIAQGPPQMRGVPPPGHPQHPQQQWNGPHPPPHLGYGPPPPQPRPHLFAVIR MALSLPPAPPRGSQQQPQQQQRPGPTMPLRGPTIYGRTEVDLSAAMGPVPIVWSVEPP GAGGASKPPPGSQQQQMQMRSKSMGPGGPPPPARGGVVSPPPSTPASPVGGAPPGGVI PRANFFPKAPGLRPRSRSFSGFNYSKGGSTLEAVVPVMTEGEGRRRDTTSATPASDIL SANAIKRSLVKTTSLSALRSAAGGTSSSSASSSPLAGPGSGAGPVRPASSQPTSTSTS SPSSPSSSPSSKPSPLHPSSTPNSPPSSTSSLSPALKPTIASSAPLRPSPLSLSSIKA ASPTPPPSASSPSIANSNASAKVPPSPLGSKPPLSPLGSKPPLSPGLNPGTAGVAGQK PPSSPLAQSVFTFSGAGGDRDSVIDKDGGKGEDGLNSRAASPSAQQQQQQGQGQSFRA QQNQGQSGQQGQGQGQAVRSMSLGASGSANALSSSTSSSTTSTSNSTSTLGSTSPPLQ NATISPPFANAPGRTASLQALPVSRSFSADRRERERDSDVMSVTSARSALPAFGAAGG AGAGTSSSNSNASAPGTGGGGVVRQTSLRSKLSLPNLRRKPQTATSPPFSSNASNANA NSSNYNNNNNNAYTYAHARADDDAEETLQMLQVQDMEFELVRPTNFGFGFGRRDGEAA RGSEDSGVLGMSGLGAGGGGGGGQRDNEKERERGFSIDDAGSSGGGNGGGFLRAASPA FSISSGAGKRSKSPVGELFASSSSSSPQHGSWPPAPSSASSMSISRKQAEESMSVADM DAHRQREARWMGILASSATPSFEALPPSGSGASPLSSSTSSSVLGSATSFNPPSLIAS SSSSSSSSGTLSATGGSSSNANASADAALRRSKKVRRLLLDGVPSSVRYLVWSFLTDG KGRCVPGVYRQLCARGWGGGGGGGGSSSSRAGERGRGRVREEMERDVRDVVRVLVGGG EGGDSDRGNGEDGDGEGEDGDGGGERRRVEKERREREREALCLLQAYLNMVPDVVYER GLTEIVAHLLLLAPEEDAFWIFVSVMDAHLRPYFATGRVVLAPVSSSLGSASLGSLAS NHTAALGAAVTETTTTQMEVDAGLLVKALEANDPVLAKKLFGSGNGSGEGGLGVRPAR VCGPWFSSLFVSALPPAHLARIWDIFLFDGVPFLIRTALALLVCARGALLGCTSERAV LEVLGVLPGHLRVQQQQQQQQQQQQQHQHQNQQQHPHQQQHQQVRVPLSMAPDAFLAL ALGMKLKDDEVRKVRVKLEAAMRRQTAAVAHNSLSLSGGGGGGGGLVAGGGGGGGGGG GAGGGGRGRGRDVWIWRWRWKGQAARGESVVSNPAVQRFEVLRRRSTPARFLPSCFLP PPPSPPPPRGNP JR316_0011214 MDLLLWMNRTALELVGQSGLGYSFDSLEEDAATHRYSTSAKQLV PLLLKHQFPRTYLLPILVKIGSAQFRRRVLNMLPIKTLHKLRDIIDTMHGTSVEILES KKKALEEGDEAVAHQVGQGKDILSILLRANMEASEDEKLSDEAVLAQISTLIFAAMDT TSGALSRLFHLLATNPDVQSKLRAEIVESRKRFDGDLPYDQLVALPYLDAVVRETLRL YGPVSVVMRATREDVVLPLSNPITGINGEQIPEIFIPQNTKVIVGMRASNTNAELWGP DSFEWKPERWLKPLPKGVVDAHLPGIYSNLMSFLGGGRSCIGFKFAQLEMKVVLSVLL ESMQFSPSDKKIFWQMNGIASPTVDHVTNLNNQLPLIVERLKK JR316_0011215 MIGFIFNAFVLFSISWAGWLVFKGASERSRDGLKDYRGALSGII ATLSNPSEVKELLAASQATVGGWWEKGIAFYNDLDDEKRAEYSLRMTEMYYGTIIQLN LFLTYALIEARIRAEPLVESGKVSISNALNRETWDAVSKSVVAGIQQGPIGQAVQVYA KSGVWTILEEQIAEGRKLFESTADRAVNVIVRTAGPERVKAFEAFTEDLFKTLLGEMS IYAYDPSAMHQLVVKDGNVYIEDVAVDTSRREPSQSRQLAAKSPLSIPLPDTETEEES DSISAIDIRVEEVDEEDTSESSEIEYDKVEKILGLSRIEEVVEEEVEDDSCPTNGGND DVVVAQAPLHSTEDESLSEKQVPGKNDDLVSSGQSGSRRSSKSENYTLVEGVDLPSTD TGIVVSKPPVDVDVVFQGRFVEEEIKQKGREVSVAVAEVTEEVDLGYVDRALAVVEEK SRAREILEERRLEAVDIHIRSSESDLDNASDLKTVPATKSPVRKRKRYAVRNVRFYLQ PPSNIKQHITREQYEGDTQEIAIKTLIHPDIELQGHRSDATHYLLSTVFSIDKGGQFV TKYLGPGVLYFTEGRYNYLITIPSALLLGHLNLHPPGELKYEDPKNPRTHVVVTAGSI VHVEEDSVLRWHCSSSSGSKEICVFYVPVSVKSTSEIIISE JR316_0011216 MLNPVTLTFLAFITLYSVLPVAGHGYVTTPPSRQARCHAGEIQN CGIVQWEPQSVEAPAGSFLCNGGGHRFTELNDESLFADHFEVVPRSTESLTFTWKLTA PHRTRSWEYFLITSGETMLYYEAGHGVVPPEVVTHKVPVQGLVGRHTVLGRWTIADTT EAAYACVDLFLGGQETETEAAAAAATQVPVGMPYELQDLLGNQAAANSSEAPVAESSG GAHKAVHNLMYVQDVQS JR316_0011219 MVHLQKASQTHTVERPSSVCQTCWDTVFSMQFGLLSKPLLRTGT NEWPRGGLTYTSTLEDIKSGAISECQWCKFMLGLIQEDTRRAGSGPVHIIMGIRAQSG YLGAPKYTQALSIYINNIESFDGYLYADADNPAAEHITARSRIVDVGSPQSISLCRKQ LEECIVSHEHCPPISKPYPLLPTRLIDCSNPKHLKLVKTSGMQGSYCTLSYVWGEPQP HRTTKENFGAYTKKIDSAHLPKTILDAIHITRLLGIQYLWVDSICIIQDSEEDKLVEI TRMGHIYRHSYLTIVAACSPRDGAGFLGPRPPVPPSQFPRDTEFPFPCFPNQGGTALP PVIGKVKMSPIAFYTELDDSFDKWSDYFPAMEPVNERAWCLQEYAMSPRSLVFTYHTV QFHCITGGAQNVGGSYNSHPDREDLLPQNFFFSDFVKTSGMPVRGSQEWVSVRRAWNA VLREYTRRSITNAEDTFVAFAGIAQVFQTVLGGDYLAGLWRNTLLSDLLWFKFADMDM ARPKIYRAPSWSWASVEGAIVPGKLFDRELHATETLAEVISCTVLLRYPEHPFGETKE GTLLLRARLEECRWNIRSSKFIYHKRTTQSNTDDSNQKIIGTGYIDSKDDQDVHDVYA IPIYMDKDEVEGSIIVAKLSDGDKESGMIGKYRRIGYFDSHRMECSTNWLKLAPVVEV IIV JR316_0011220 MPTHIPIDSYTNAPYEVVPKATIHPSVELHDHAHDTTHYLLPTV FSVEKGTEFVVRYPGPGVFYFTDGEMKYEDVQNPRFHNTVKAGSVLHVDEGSVLRWHC NTDAGCKGFLAFYVPVSVKSTEEFLVTE JR316_0011221 MIILPMEIVDQIMHNAAQILQSHPLGASSIALQSHRYRSVAHRE TFSTVIFQQQPTALGKGDVHRIQELADLIAGSTRVPTLPGVDTFIASLSIRLSPYQGD YRSSIVTQSLTTIFDHLFREPVLLNTPVRRLVLTSSTWSNGKPLERSIRSMIETSYIN SLHLEDSFYVPIGIILGSNVEDFNLNRSIIPDRNDPIKKCHSVSLKSLSITGGSVNKI TFEDLTIAICGQNLLAPDTFSYLKKFTICVSSPALAVSVVNSTKVLETLILHDANRLF PSEASEKPAIEFRLLHFLKELNITYHRTIDNKNPQVSPVTFLGEKAPPLLETVTLNIT IHSYLRAPFPPPADDLKAAVEERREISEWDRYLFSLAGFCRLKRIRVKLLVIRHVSLG GENWSDRGPSWDEAWCPYFMDAFPMCKEAYGDVLVAYSSSWYLVG JR316_0011222 MPAHIPRSEYEGNLHPIVPKSVTRPDIVNHEHASDATRYLLPVL FTSHQGTQLVMRYPGFGVIHILEGEIQYEDMVTPGGPPTIMRAGSVAYFEPNSCVRWH CASASGAQGFGVFDVPVSVKSCDEFVVSN JR316_0011223 MSGFLPIEIIDYIIQLAVQESPSHPNGTSSIALLSHHYRTVAHT ATFSSVMFLEKPPRRKEGDMHRIHGLASLITDSRQFPTLRGLHTFISSFSLKLSSYYG SMRGMSEVSRSLIIIINNLFRDAAMLSVPLRTLKLEACTWPEDAALDVALRSLITASY LNNLHVSDPSYLPVDIILGSNIEHLTFHGSIFLDRRFYTEGYAAVPLKSLAITGGYAS NMAFEDMILIIGGQDLTAHHMFCHLTTLSITVTSPDLAADLVNSTKTLESLTLRKAEC LFSCTLSLALTIWLICCVRMNGSKFIAGDEDGTPRIYFAYLSHLKELNIAYSRTIDND NPQMSPVAFLGQEALPLLERFALDITMTSYLHAPFPSPDDDLVAAVQERQEISQWDEH LSSPTIFYNLKTVSVKLMVRRLVYFYGEDQWYPSWDIAWSPYFTAAFPMSKGAYGDVT VAYSSAWAVAG JR316_0011224 MIRLNMKFSTVFATLAMAAASLSGVAAQTTSRCWTSATPVVANY GPLADLRADASQFCNEYAAGPGISSAGRLIFGFQSAGFFGNFASNASCVSTFNQLVTD CYGTNPSRPATLGGVRTDPGGAELVIAFGDGTKL JR316_0011225 MKFSTVLATLAMAATSLSGVAAQTTSRCWTSATPVAANYGPLAD LRIDAGIFCNEYAAGPGISSAGRLIFGFQSAGFFGNFASNSSCLSTFNQLVTDCYGTN PSRPATLGGVRTDPGGAELIIAFGDGTKL JR316_0011226 MPFHVAKEQYIQSPHEYLPKAFNHPTVEHHDPPKGKESYLLPAV LDVQNGAEMTATYPGPCIYYVTEGEIEYEDRKNPGNTTVLREGGILHVEEGSLIRWTC KSPNGVKGFAVAHVPVSITSVDDFVALEQ JR316_0011227 MPNAYHHPKIQHNDHADGTESYLLPDVLAMQNGAEITARYPGPC IYYVTEGEIQYEDTKIPGNITVLRKGDVIHVEEGSLIRWMCKSPTGVKGFGVAYVPVS VKFINDFVVTDQ JR316_0011228 MPKHVPVHHYDSNPYELVPKGIIHPDVEHHDHPEDAEHYLLPVV FALEEGAVAHIKYPGPGVYFFSQGEIEYEDTAKPGHHTLLKPGSVLHIEDGSVIRWRC KSPSGVKGFAAFYVPVSVKSLDEFVVPE JR316_0011229 MPPKKNPVVLSGPNQRSSRRVNNATVTQSDEPLHSATEQNTDRR STLRLVRNQNPGIVDAPRPKRTSEEVAKEKKTQQAEQQKLASRRKTSIQKAAAIEDQL LQEEENLKKDCFSDSMEVISNSRTHSSKGSIESLPHQESNASSLPDYDEISGTPLTSG DISSGGGTDEEYVDNSQVESEDSDLDDSGGLDDDTELSQTKSKGSRKDKRGKLRNQVN AYRLTENTQEPSVGNKRKGRVTQSSKPAKLKKSNIGGIRDDWNEETYEERFDLVPDIE SLPPSSNASGTSGASDMEVNEEEDDDVEVMDGISDDEREVVERRANASNPRGKFSPHP GSTGRSLAKVVATTSVPTYISPASLDARLKRKQEIRAKVDLPSELRLSYDEQFSPMLR ELIGIINPWERPSEQQILDLWHKVFPEVPLEGWLKTVVTKLVENNIYNWRTKLAQTAG IFQHPLILSTLAYHYSSISAIHSSARRDDKPIGALIMAIQASHHAISHWLTGEHLKIQ RPLGDFSKDNWGDNLTRDPKNYPTLLPGLVQESKATSNLLRVVMKLTDKRWEKIIQGA MKATRRHKSITIQPVAPAAPDAIEEPLSDFSILDNDNDSD JR316_0011230 MAAYGAILQRVNRTRKTFRAATFHCSYPGCIKTSTTSWGIQQHY TKKHVVPAALKAQPIVSQSPTNCSSSSRSPSPTPQPWSNNFSPRRLPRRTPSGSPSLN PRSPNPLPKATRIDVHPTINGRPCDLAGNYLPPKTKPPPVASHNIDDFTPFATRMEFE MAEFLFVEEEMSAARIDRLSQILGANYPNQDPPFANHSDLYACIDSIRQGNIPWTSFS VTYNGKLPEDGPIPDWMKQTYEVWFRNPLHVLEQQIANPTYVQNIDYAPKRYYRNGKR RYRNLMSGQWVWQQANKIAQDIKCHGSMFCPVVIGSDKTTVSVATGQNDFYPLYMSLG NIHNKMRRAHKDGVSLVGFLAIPKTSQEYSDRADFRKFRRQLFHTSLEHILSSLRPHM STPRVTLCGDGHYRRVIYGIGPYIADYPEQALLACIVQGWCPRGV JR316_0011231 MVQAVSAFLDFCYLVRRNVIDDSALDQIEAALSLFHRHREIFRD LNVRPEGFSLPRQHSLTHYPTLIRLFGAPNGLCSSITENKHIKAVKKPYRRSSRYKAL GQMLITNQRIDKLRAARVFFTKHSMLNGGPGCYIPESILKNTSSPSKTLPAGQRVSEE CGEIFEPESQSEITLAKSHVRGVPLAIEAFAVHVRQPRLQELTRHFLYDQLVSANADL QLHIPSNDTLPSLAGCTFRLFTSARAVYFAPSDLSGSNGLYYEMIRSTPSWHNGPARR DCVFIGNSDSDEDGFAGLHVARITTHMKSLFRYQEYTRF JR316_0011232 MPKSAKISIKPKSAVNIPPSYADIRKTATDGIKQHGKAAATTEN YFGHIRRGRQFLENFVLEESRAEGEWCGNDNAAQNLSTEGEESIQSLNSTEFCMPAQF REAFDSFPIECTPLAISMFIAFKCYTHNRGKSTASAIHAAFLRHYDQMSGDKYRGKWH FDVHSKEWVGNPVRSAEVEDQVAACKQKDGEGERKHSRAISIQDMQYLLRNFKHKCPS IDSSRKLLNQRKEITARCNHLLFNALSTSAFTIWMRVGEATSLQYKHFEFPMGRQRTS NGHHFFRLNLRNRKNWQKREKNGEHQLSGHTYMIYQQPKTPEIDMYTHLLDWLEFYEA ILLGRPLQPDDFIFPIIGTNGISVQPSRAMTAEIAQKKINQMASESGIHGAAFFTTHC FRRGGAQYRFMFAPLGERWTLARIRWWGGWATGEHRDTLIRYLLDELYTYEEDHSNAL CPKNVADDLNVDHKLVLNAAPPAEIVAGFTRQVAQQIDTIHSRLKELQEQHTTEITVP HSVQGFSGSSNCTSVDLESEMPTPAVFTSPHHLTGLIRFDDSKKGVDSRRHLIPGLPR KLLARAFEQVAKDWEEADPVRSLYVPMKLWDPQWHKDSGESQKYGQRQTVALEFIDHY KRDKSAFMLAYPEHSKGFTPLLKAIRKAQQIRGECEQRRRKIII JR316_0011233 MGINKLWKMLEPVAQRKSLLEMSVQEGVVSRRHGTGVLVIGIDA SPWFYSTQAIFAGHSHAQAGQNPELRTLFFRLAMLSRCAISAVFVFDGANRPKTKRGR RVRTMPHWMTKAFKELINGFGFHYHTAPGEAEAELAYMNRIHAVDAVLTVDSDAFLFG APQILRMNVKDAKEKDIVEVYTAGALAAQPNPNAFSPAALLFLAVVCGGDYDTIGLKG CGPITASALASGPLAQQLFDAAHEYDENMLKIFLKEWHISLCNELEHDYSGRIGRKHP KVAANVSDAFPDPKVLKLYSHPLTSESQPGSLAHSNQWFIPEVPDNSKLAATCGRLFG WGPTIASRFVSNIWDGYFIRKFIQLSSFSPVEHTQNDVSALKSNIRFMTRAKSSKTFV NIRLTMWINALAAEAATSVVRHAGTHPSSIEGLSTTIQMLIWIPEPILQAFSSQKVAK FYAEHPRVSFDGQLELYTPVLAA JR316_0011234 MEDIKKNAFSECQWCMFILTLIQEDTRRSGSGTLDIIMGIRPQN GYLGSPKNTQALCIYINNFLSFEGFLYADADSPSAEYITARSRIIEVGSSQALSLCKK HLDECVRSHKRCSPRVDPCPPLPTRVIDCSDANNPRLVETTNLEGSYCTLSYVWGEAQ PHSTNKNNIKAYTDKIDTTRLPKTILNAIHTTTALGLRYLWIDSLCIIQDSEDDKLLE IARMGHIYRNAYVTIIAASAQRVSTGFLEPRPPVPPAQFPRDISMPFPCFPEEEGNRT PFTLGTVRMSPTSFYAESEDTFDKWSDYFPGMEPVNERAWCLQEYAMSPRALVFASHT VQFHCRTGGAQNVGGSYGDHPDRENLLPASFFAQEGSKDVKTVTRGSPAWVSARFAWH SAVEGYTRRALTKPEDKFVAFAGVAEAFQPVWGGVYLAGLWQDTLLSDLLWSKFADMD MPRPKVYRAPSWSWASIDGSIIPGKLYDKELHEGDTLASVIDCKVELKYSEHPFGEVN RGVLVLRAPLEECRWDIQNSKLLYHKRDSKEGFEQKWIGTGSIDSEDDQDIHDVFAIS IYRKNAEVEGIILAKLSKNSLEPNNKTKYRRIGYFDSHGMGGTASWLDSAQVEEVIVV JR316_0011235 MPKHIPVHHYLHKPYELFPKGTIHPEIEHHDHPSDAEHYLLPAV FTLEKGDAVILKYPGPGVYFIHEGEIQYEDTAKPEEGTIVSKAGSVIHIENGAALRWH SPSIAKGFAVFYVPVSIKSFDSFVVTE JR316_0011236 MKSMHILKENYHKNAYELFPNGTIHPDIEHHDHPSHVTHHLLPV IFTLEKGDPAVLKAHGPGVYYFTEGECQYEDLAHPGKINVVSAGAVVHIDEGSALRWS SPSVAKGCKQFYINRNATLLIYALKQDLEHFTSPYPSRVLTVSLYLHKPVFP JR316_0011237 MPKHIPHQHYSENPYELVDKTIIHPDIEHHDHEGEVTHYLLPTV FSIAQGAQFVAKYPGQGVFYFSEGELKHEDHDNPGSHIAMKAGSVLHVEEGSVFRWHC SSPEGAKGFGVFYVPITVKSIDEFIVNE JR316_0011238 MPKHIPSEHYVGKSYEVTNKTFIHPEIEHHDHPSDAEHYLLPTV FTIQNGASVLAKYPGPGVYHITEGECLLEYVTEPVHSTTISAGSVIHVEEGASICWIC GSPSGVKGFAAFHVPVSVKGIDDDELSWLYAVHNTISTSK JR316_0011239 MPKHITSDQYIGNPHNLSAKGIIHPDIERHDHSGDTDRYLLPTL FAGEQGIVITAKYPGPGVFHITEGEWHVEDMAQPGHTTLLKPGSVFHVEEGSIIRWNT KSPTGAKGYLTY JR316_0011240 MADPPSPYDGFMTMSLDDRFALLFRAQQVRFDADKKVDDQLSAI ESKLERLTASLPKPPAAPTPSARAPRARRTSRKERPAAPTSTPTTGPPHSQSIRSTTT KAALEKIVATLSIADEQAGHVIGRAGTGLRQIHDISHAKISVSPVVTSGLRAVTIRGT AREVGDALSAIGKRIARRRIRNPRSKKPKQPPAPTAAPPTLVVEPPSPTPTSSSTPTT RTSRSGTASPHSPTPTAVDTRSSPSSSLAPGSPMEVDALRAPQQHSDGYSRPGPVQPR EGIQTARRGGGPPRVFGANRPR JR316_0011241 MPTHITSDQYIGNPREVSPRGLIHPDIERHDHSADTDRYLLPTL FAGDKGVVITAKYPGPGIFHITEGYVAAGAYYPVETLGSVFHVEEGSVIRWNCEYPSG VKG JR316_0011242 MDLKRQKRHKVTHSSTRSIPVEQIPTMESKPHDARRWVDVRKTR PAGREKRTRAQSPTEQIQDRLPKRRKSLEIWLENNSLRGWERMRSKPSRDAPTRRKPI QGHRSDNDEKFRLPQEISSNSPI JR316_0011243 MPKHIPSEHYTSNPYEIVPNAHVHPDVEHHDHPEDTERYLLPAV FSSEKGFTILGKYPGPGVYYIVEGEGQYEDTMKSGSSTIVKAGSVIHVEEGSILRWHG KPGVKGFAVFHVPVAVKSLDEFVVPE JR316_0011244 MKLTIVSSILLAYLCTAISVSAYPVRRSESSDALVHSARDIASL ETVQLEARAFDSRMVERGLWEVVKAIRKGDTPEQKAHRKEYKASVAESKRLQKAAGKV ISAQAKQEMGAKDWKKGNIAMTWQSYKGSGGEYERKAKKHLEDLVRKDWKSKGNQQNM RHADLSIEVLKSGRIMGQVRYNNGPNQIPQSSNTFLWDP JR316_0011245 MAKHISSDKYTANAYEVIPNAHIHPDVEHHVHPEDTSHYILPAV FSSDKGFTVLVRYPGPGVYFITAGEAHCHDTKNGNDTVLTAGSVVHIEDGAVIRWRTK PGTKGFAAFYVPVSVKSFDEFVVPE JR316_0011246 MPKHIPKDQYSANPYEIVPNGYIHPDIEHHDHAEEEAHYLLPAV FSSDKGLSVKGKYPGPGVYYITDGELHFEDSANPGSVTVVKAGSVVHIEEGAVIHWKV GPGVKGFAVFNVPVSVKSFDEFVVPE JR316_0011247 MPVTGNARQLTFRADNLGKQIDLMLVFTPPAEGKLYSDLYPVCW KVLRFHSSGLSGATVHYSASTAFVSPQKDTDNIVSAYNVESCETGQLCALMTNEKGNN YLTKAAVGSKGVVQCKIQTSTPAEIAFGLFNQDKTTVSPVFKWTGLGIGSTLAVRLTP ILRIYAVSDYQASEIIKGDLHSEVKFEKDLMTLSHETTWNIIRDPVSKEIKIVPA JR316_0011248 MSITGNARKLTFVSKNLGKDIDLMLMFEPPKLGELYTDLYPVCW KVLQFSASNISTAVALYTADTAFMKEDDSNIVSALNVQKCQVREPNYDKFAYPQSHSI HSGWRDMLVDDQHQGKQLPYCARSRYPGLIQCKIQSTKPADVAFGIVGKDQSVSPIFK WNGLAVDSNLAVKLSPVLKIYGVSDYQSSEILRGDVTTEELFNQDLTKLPHESTWNVV RDPSSLQLKIVRAK JR316_0011249 MSGNTRTLEFEYSDLTKPYQLMLMFEPMAEGKLYTEMFPICWKV LRLSKENSGVAKVVYTSQTGLMVPQTDCGNMICAGNARPCETGQICTLKTDNDGDNYL EQATAGAEGIIQCKVESSFPATVAFGIFNQKKTALEPLFTWKDIPKGDRLSIKVTPVL KIYAVSNYQETEVVKSDVFSDSLFKENILTLPSLSRWTVSVDNNTKAIKITRADK JR316_0011250 MSTPGTVGNTRKLNFMSNQLSKDVTLVFMFEPLTKGKLFSDIFP VCWKVMKFRAGSIDNGLAMYTADTGFLIPQVDSGNIVFSSSARRCQSGQVCELVTEDG DNFLKAPVKAENADNIVQCKVKTDFPATLGFGIFDKSGTRMEPIFTWTDIPKGDTLSL ALTPKLKIYAVTDIKVNQVLKGEVQSPKLFEENLIELPPLSKWEVYIEENTNAVRIRS ATSS JR316_0011251 MDNAESPTAMFDAYELDFRHIISSISAKLEGPAANGAQVGEQRK AALRKVEVELDEADDIISQLEIEIQGIPQSLKPPFQARLKAAKADLTRYKRLSKEQHA HAARGELLSRSSPGPGRYTDAATSDDPYGERARLLQGTETLDEGSRRIKSSTGVALET EAYGADILRSLRGQREQIENSRNLLGTADTNIERASGTLKKMIRQMYKQRVLLSVIGV FFIILVLVILYFKIFRH JR316_0011252 MSTFNVNIFHISNPRNVPISTPRNVPISTPQAIQPAGNSYRAGA IESGVAPQAPSLSSYHSRPWPGILSLVAPTPRDLLDIYYARYQNHNVDGGTAVPNVES TSVIRSSVHNMGFTSPNRSSADVRVIGGRQDLEGVSEDGAHSINLPSAFSGPVADESE IMDTRILHSLATGTIDPILLIRTLPHYRDKHSQGAMRSRAAGVPSDRYHPYNVMNKPS RIAVPRNGDKRHANNVCRPSGETENSQIITSQHREHHHGHGSGYDIPVEQMPLTAPNP SDDGRESRTKGERIREELPTTVERLSSTGDGDSGETEQRPRKIQGHRSDKDPFYRTRE EIYSQRTAGVGRSRRRRR JR316_0011253 MAAQNTFKFERINKDDVALLVIDHQVGLFQLTRDIQADQFRNNV LAHAELAKVFNLPTVLTTSAETGPNGPLPKEILDMHPNAPLIKRNGEVDAWDNEDFRK AVKATGKKQVIIAGITTDVCTAFLALSLRAEGYTVFANAEASGALSKRIADDANARMR AAGVHVLSMFAIMSDLMRDWRNTPGSTQLLPFLDKYLAVYGYLARGHAAAVDRGTILP GESE JR316_0011254 MASISCSSSSLGEVVNSPSSVLSFQHLSFSVKTKSGSKYLIEDV SVNIRAGELLALMGPSGAGKSTLLDVMAFRKTMMEGGSIYLNGQPLGPKAMHKISTFV EQEDALLGVLTVRESVTYALRLHLPLLPRKQVNERVNRVLGALGLNSCADQRIGTPIS RGISGGQKRRVTAACAMVTFPRVLFLDEVTSGLDSTSAREVMASISTLSKAEGMIVIA SIHQPSLETLAQFTNVMFLASGKTCYLGRVDELDSFFEKFGHPVGRFSSPSDHAMNFL NSDFAGSSKSVDQFREFYLSLPSSSIPDPEKVASGSGQPIEDDSKLHGKAGPLETLFW NTAVLSERTALNYLRNLLAYGVRVGMYGGMGLMLATIWIRLGDSDSVVNDRLSVHFYS VAFLGFMSVAGIPSFLEERAVYYRESKNGLYSTLPFLLSNTLVNIPFLFASTVIFTVI CYWAIGLHPGAAPFFRFVIYLFLAIFAAESQALVVASLIPIFVAALAISSFVNGFWMS VGGYFIKARSLPRFWFFSFHYMDYQKYAFELMTNSDLRGLTFTCDTIVDGVCACSYPS STPSTCTVSGQDILNYLDIGHITDRKWIGILVSIVILYRIALFLALKTKSG JR316_0011255 MVRLNIYSRLGMPPDQTFDTSHKFVTSPFLRYPTSLAMLRTIIS LYTLVTLLVTLIWNAVRLHDASSYFSYFTYLTYTGLCAYYFASTTQTIAYALRWRRLG TGAGYPLQRWPKILQALHVLLHATIVTFPILVTIVYWALLSGPATFEDTFTSWSNLSV HAFNTAFALIEIFLTNSPPPPWLALPITVVLLICYLGVAYITHDTQGFYTYSFLDPKK QGGKLAAYIIGIAVAQIVIFSVMRGLIVLRERWAVRNERVLSASVAQQGGLGRSTGNI EDDWEEVESPTTPKKVEQV JR316_0011256 MAANILRSYNAALIRRPMLTQCLTAGVLFGAGDLIAQQAVERKG RDHDWTRTARLTFYGGAIFGPAMTKWYQLLNQLKFASPTRALAYRVFLDQAVLTPVAV AFFYGAMSCLEGKPEEVVPRVQAAYVPTLIRNWGVYIPTQIINFSLVPPHLRLVVVSV VSLFWNTYLSAANASVQKESTVTGTSIEKVEVSEF JR316_0011257 MEADEEIQFQLFHAACTEHMRSEASLDVDDLNRAISLYCFALSK IPQSSTLHRQGLFNLSCALEDRFRKNGLLEDLDKSIAVGRGARNLVPTNDSNQHIFLD NLAAQLQTRFKHTEQLRDIDECIALRRDVLEMSHLPADRRHIFLRRLARALESRFEVE RDIENLEEAISQYRMSVDLITTESDSHPDLSNAVRCLADALVDLYGETSEQRHLNEAI SLFRRSILCAGDAEEKYEPLHNLAHALKTRFDCMGLPEDLRECIDMHRQVFNSLPQSH PDRPIYTINLANAIMARYDLSGQEADLHSSIAMYQEAVDLERAQPTGTAGSNEHMAIN NLATALVYRFELNGERGDLEQSIALHKDNLARMPSRDPRRAEYLTNLANSLNALSRQT GDRATMDQAILTYREAFELFTPLHPMRSRFVNNLAQTLLLRYEQTGFENDYEEAVTLL REALQRHSGSHPSRGYSLINLANALGAKTHTKSKEATRGRLEEAISLCREALNLSDSG RSNNATILNSLSGTLLDQYKLDNEQEYLIEAVQRYREAVDLLPLPSPLQPMYLSNLGH ALHCLFKTSREKDHFIEAASLHKKALELYPPFHYHRYVSLMDLANLLVLAYLRTEEVV YLDSAMLSFKAASQNASHSAFTAHNIADTWSYHADALGHTSALEAYEVALRSLSQMAS LSLDIYSRQDTIQTSRSGLASKAAWCAIKVENFNQAVEFLEGGRAIFWAQALHLRTSF DRLQEKSPVLAQRLQQLAGELEHASYRNSVSADPAMDNKSKMSLEAQEAQFRKLDDEW SKGINEVRNIPGFEDFLQSPRIESLRRAASDCPIIFLVPGQDVSACLVMTAQTVHYIC LDNLSEETLLSLVTITRLACGPTPDLRGEVDQFISVQEIWTDDMLEKSPALNRLRSKG AFGRRRTRPSSDSLFEYVLLTLWDDLVKPIIDALGFQKLQSPQRVKWCPSGPFSFLPI HAAGCYNLDQGSLQCTSDYLVSSYIPTVGLLLSSVTKKNTTSEGVPFQFLAVLDPELP SASIELHKIKKQAPSDCIIELGSTGGPGATIDTICSHLQTASIAHFACHGIQDPSSPL DSSLFVHDGKLSIVKIMQQSIPNGSLAFLCACETAMGDMAVPDESMSIGACMLFSGYR SVVATMWKIADEDGPTVADAFYAKLFESVDDKANPLMPDISQSAQALHNATLQLRLSG VGYRRWVPFVHLGN JR316_0011258 MEHEQEKTSPVQTTMSIDGDGSNGTGKATDAEVLARLGYKQEFR RNFSAVELFGIGFSIIGLVPSLASVLVYSIPYGGASAMIWGWAVCGIFLTFIALAMAE LGSAAPTSGGLYYWTFKFSSPRWRCLLSWIVGYSNTVGNIASVASVDWGCAVQIMAAA SIGSGLNFQATTAQTFGVYCALLLTHGVICSLNPIIVARLQTPYIVLNILLCLGLIIA LPSATPDEFKNHARYAFGSFNNISGWNNGWAFILSFLSPLWAIGSFDSTVHISEEAKN ANVAIPFAIILAATSSVIIGWGLNIALAFTMGTDTGNILSSPIGQPMATILFNSFGQK GTLAIWAVIVIVQFTMGSSMLTTCSRQIFAFSRDGGLPFSSFLYNVNSRTHSPLQCVW FSVALSLLLGLLAFAGASAIGAVFSLVVAGQYVAYSIPILARFMGGQEFHPGPFNLGK AGLPVAITAVTFMLFMVLALMFPASPSPSSNNMNYTAVVLGGTLLLSIIYFYLPTYGG LYWFTGPVRTLEDRYPQMDGKGDSEKDDSSS JR316_0011259 MLNSPPKYAPTAEHTFMYLDANNTPQDYTQNVPILVHPTHKRAV TSVERNFRSALSTQHSASSSSTMRFFTQLTGLCMTVASIASMAAQASPVESSDVVTVT VYDQPAFNGEAYTPNPLLPGINTLPEEWWYNVASLKVSNGWYCLFFHAPQGDGEFIVL QGNVLSVPPPFFRNIASFRCRRGFYIPGESR JR316_0011260 MSIQYVLNVALTTPAILYIDKWGRRPMLLWGSLLMGFWMFLVGG IQGRFGHWSTPDSTGKTVWIIQGNEAATKAVIVPCLFILADSDYTLLTEKLSYALAIG PVSWTYPAELYSLKVRGKAVSLATASNWAVNTALAFAVPPGLNTIAWKAYFIFGTFNF SAFIHIFFMFPETVGRSLEEVEEIFQQGHTFTAWRIWKDVGKKTLAEVVEKSKVISGT HPILIMYDEPNFEGRAYRARFIDPIVDTLPEEYQEGVVSVEISSGWLCAFYNKPDGEG QFVYLQGDVPIVPEPFREHIVSYRCIPQFKSN JR316_0011261 MQSEVAHRLLKSLYKLTNKKDANKQIAQKYSRMMALQSTMPYQQ DCMAERAEAAVSGHLQDTHYISKSRNTPVPIFAFMQNDTDPAKKNFLHLLKNHLLGRL TGRTFDGDDTDSLFDDEDRNNLRFINNTMFRVNTMRTYYTTYDMRRAYDTISTRSHPF VMVLSPETEPGAHPFWYASVIGVFHADVQHTGPKSRDCSPKRVEFLWVRWLGVEPGYK SGRKLACLPKIGFVVEDDEFAFGFLDPSLVVRGCHLLPSFVDGRTNELLHTTGPTQAR PPQETDDWMNYYVNIFVDCDMLSRYLGIGIGHQEAAGRIQLEEPSDIAEELEAAEDDG PRCPDDMSDDSESDNEDIDSLSANEDFDNDTGDNDDLGFDDL JR316_0011262 MDDICPASPTPSTHDPSPVSYSEISMPSWSGCPSCRHRNPRRNN QTLPEPVSSLPPLPLSAIRWITLYVLDRFTSVINVFGVWRYYPRRPHHDSDSFLSLDD LASKPATFKQLSSSILDGITSYISNLQPTVRLLLQWANNGQTSKSIGQINELVDTVLL APNFDAQDLIGFDARREHARMDKAIATLGLGAHFRETCVQIWVPSGIPHCPPLPYLVP GLLHRSFTSLITEMFTGPLAKHMHYAPFELWHQSPHTNKSERIFSELYNSDAFLAKNE AIQRRGALPPDDPNCKREKVVAALQIASDATHYTNFGNAKGWPIYVMAGNLSKYICAQ PGSGALHHLAYIPSLPDSFKDFASENHPKWKSQSQQLLTHCRRELIHGVWKIILDDDF MHAYRYGIVIKCHDGIERRFYPRIFTYSADYPEKVLLVTIRDKGLCPCPTCLVPKSKL DQLGLKRDKNLRTDNRTVRRYIVEQVALARDAIYRLGHSIKSEVVDRLLKPFSGVPTE NAFVARLGLDFNPSDMLTVDLLHEFEIGVWKSLFTHLIRLLYAARGGSDELVTELDRR YRQVLTFGNGTIRNFAKNSSEMKKLAARDFEDLLQCAIPIFEGLFPDPHDRQIAKLLY PTAEWHGLAKMRIHTEGSLKLLDELTFEFGKLMREF JR316_0011263 MGKYPIPPHLNRDDPSRSLMMFAHAKPLGAYMGFAGLRSMRQIC SGTIRPGSTSVFRKISTTAFPPNFIHSLDATHMLLTAIKCNDRGLTFASIHDSYWTHA CDIDTMSEAIRETFISLHQSDILNKLQQATPPQAQWSDSPRHRLKIPAANLFGYDKAG STSVFSGLRSTLSKCGRRRRTRSMYGMRWWQKADDPWQFLAACIELTK JR316_0011264 MLENTNEAPPTIVGIPLAFIADTPEKPAPQPARVDTDSSSEESE VECSHSECESESDECWCQYEPKVVKIKKTAEERAKERAAAAEREAEWQNFITQRAQRK MAARAVEASTMICTQINSDIGHDKPNTQLNEINNKGSGSCEVVDEPAAKVAQGQQNNN JR316_0011265 MSSYSYQPGGAFHPGAQGSSSHGRVTGTQEQPNVVTQHGHNAPT YPVGFNGGPPYTQNERNHALGGGMATLDNSFYPSHSQPQMPASTTASTPGYQARYGHH LEPNASSSSMSSDTTSQNEISAPDTSTLQTQLAFYKRRIEEYEMGDEEWSRKSKKAKT SLYVSAQELYRDWNNDYLERNGMALAKFRDVDTKRNFDRNVSSFNKLLEYIPRLQMMI DGDASSQEIREYLHKIDQGGNAARSDDCNHIKEFLRDFLNAEHRPEVLFTKERTGRGV QNDITGKYLCPIHYNWDDPSVRTRIRAGDIMDPKFPIFVNYYLRFLYPDGYELPPNGR VKHLFLRSLILVKVWCYIFTSPGSAKAIIKNPNGGPPIRNRAYAPSTKSTKTNVARLI EMTSVTAHSIAYTVIMTGFNLTDALFWTSKYNNFNFHALYDFIVDYFRESTPESEDLL RWWNSMVFPNGVGAAIDRTEASTNASYSLLEASRATASTTFST JR316_0011266 MGSTDDSSDNFKPGDDCIPQKPSVAFKEEALQVLADLQGKGNKE AELVVLEFEEIRQQVYLERAEGAKSYMDLFKGNNPRRVMLGMSLRMWSQLSGMSVMMY YVVYVFKSAGITGTRTILVADVIRDSGKV JR316_0011267 MNRQPSEKATTSNQKTPRLHLHFFVGGSCLSRIKRHRISESSDT QIEKIIGAVLNHVNEMTRLIGTFPSISRGDQGALDNLPSVDHCKHIKIAEDASTRFYD LLITHPSKIYSKYRNSKWINDYKGTLEQFGLRKRLLEDLSNMSKNLKYKPGLVTIEEL NTLPNIYRPLRHQFRLEVLRREDAALTVSLMENGVEMHGLLTGQIDYDSFDPTELELQ VVNEDLDCETDSHIYSHRYITRFEEVNEEHVTKLTNFKFQNVFGPSDRQELVSKSGDD SVRRVESATEEQRSQGREVHNVNTKRNEALLSVADIYIRILVESCSENQGKILGTQSV PTQAAVVSISGQIDAVDRDLRNTMNMTAHPKLNTEEGQTGFAPYSQEWIFSTIKNAVF ENSADREGMMLTYTYYFFTQ JR316_0011268 MEHQKRITESTSAMNSDVSTYDNKLSKAANKLTESRDDKGRGFA HLRSFVYLTPSIDPGGGKPSSSKYDDATDGLQKSVDEKTAQKLTADQRLEASRAKKEE LKRLKARLEVLKLTRAQRELALHLEWKEREEKFLQVSKQNMAFINEALARDQAMLDAL AQQKAEFAKEKDLLAQQNASQQASLAAKEVSLATKEASLATKEASLAQQNALLAQEKA SFAQEKASQQASLEKGSLLDQLARENALLSISLAKVEVSAANTQASVANAMTEAAKAK AEAAKAKAEAANAQASAAQDKVALIAKHQKEIENMEQLMANERHKAFLQVQNASKLMN QLYRERPQNEGEENKAIKKQTAQNYESMMALAFGLLQDDARLKLAKSLGKGNWEEVSK MSQTQADLPALIYSLKPELDIRTLNFLCLPDEALNEVNFAAHNTNPTLIRMALDHLFI KSPRASQYLAHKNVQPEQVLDFLVESHAILARQI JR316_0011269 MGGDRKGKDRRRSGGSMMLPPRPSVGDGGGKGKGGASASRNPGL APVQTVSGRVGRETRTSTKSQFARDSAPHVGQQPRSEQWGRTIRKWDEDETSQRTFGK GKGRTISMASSIAPGRVFTAADADAGVNAFDLAADDKWKTDSSRGTLSRNRRSREYDE EDMDAEQPDYHVGLENEEEFFHPPGEVSNVKGAKATSASSSKSVAIATRFTSPPLIPG FISALEEMFGKDAKPTPVQGLSLSWVMDWVSKQANVKELQVVGEAGSQPWKAPTPPGG WREFLLAAETGSGKSIAYLLPMLQSLKQSEPSFVPYSPPKGAESKKKEYSPRAVVLAP THELSRQLAGFAKDLCHFPDTRLKVVTVSRANVPSTVGSGVSTMPMEQWRESKRGESA RKMTMMQGVVFDTHGKQVDAVSEEGAGEGRPRGHMEHGADVIVGTPMKLLEMVRGRGW DRITEQHQQQLELQDAAAKQSQDEDGESEQKQLRRGRDRIPHFGTWRPKSEMGLENVE WVIVDEADVLFDPDFQETTRLFLADVSAARGYPIPFSPHLLPPSPSSPSTVSSLSTTL APVKYPFNLLLTSATIPTSLNTYLTQAHPSLLRLASPNLHKLPKTLQVEYVAWSGGNK FADILRRLKRVWAEDASSAHVHGKGQDMLSQVVIFCNRSSKAEELGEWLEDQSGNGGG VKGVVVVTGKGGRQRGNNKHLESFLRARGRKAANRSTSNESSSGSAPSEASPKVLITT SILSRGLDFSPNVKHVFIIDAPRNMVDFVHRAGRAGRAGMKGRVVVFGKMKGRGSKKG KEIRGRVAGLM JR316_0011270 MSTLQNKTIVVVGGSSGIGFGVALASLQYLAATVIIASSNKGRV EGAVSRLKAYNLPGEVRGEVLDAKDSSAVKAFAAALGLVDHVVWTGGEVPKGEEGGGL PYSNVQSAEEGQAIFTLGFWGPFILARNVKFNPGGSLILTGGVAGHRPFPGGYLASGA VSALEGLTRGLALEFSPIRVNLVCPGMILDKMFGEHKDSIVKAYNEKILLKRAGEPSE IAEAYIFLMKCGYITGQPIYVEGGFMLL JR316_0011271 MPPPHIQVVCTEDEVVEAVSPISLTITRRRSHTIDFGPNLTIPG RVSPQISRSTPQLRLQLSIPAAATQKLGLDINSSVSQEETPQSECGPETPVSTTSPGV AKVVDLTKDITTTSVYAVAHGGLSDIYTGNWHRTSSDTGETEVIAVAIKVLRILARKD HDGANARKKLNKEVYVWHRLEHPNIVKLFGTSYHMSGRPAMIMQWYKNGSAAEYLEKI NPEADRLRLILDVARGLAYLHTHSPAIVHADLKANNILITDEGRAAICDFGLSEVVED LNRPTGYTLSTPNVGPLRWRAPEFLENDGPAVFTSDVWSFGCTALERLTTDASVYQLL TDAMPYKHCKGDYQVIKSMQEGVKPCLADDPHFQKLDERVKNLLDDCWSFNPSERPCM THVQAQLEAIYSLS JR316_0011272 MSCHLSKTFDAVVVATGRYNAPNVPNISGIKDWNDRFPGHIIHS CQYRRPESMANKTVLVIGAASSGGEISRDLITNVKTIYQSIRPQNAPLFIRQLEVFLR RLPKNVTLVGEIKRFHPPASEFSQGQVELMNGTIITGIDQIIFTTGYRYNYPFLPQFR NSGIDMSEDVSYGAVQPIVTDGTHLRSLYLDVFYIPDPTLTFVNANHGMQSFTYAEFT SLAVAKVWAGKADLPLTSELWRKFLGAEKTNQNIRFFLGWLNNAAVKYGGRQIDSLSK DVDQISATWTTARFTRDDGVDILNAAGIPSNLAQNKGILEDGATPWELESIFSDYWSL JR316_0011273 MSSKNSTSSNFRQTNTPSPSPSAATPPTPAHAPSKLPKFLQTKA NRDRSRSVTDGFVGGSPVSTSGSIGGSGSGSGGMSASASGSGGSGMSGGSASPEPYSY QEQVHGKGGVPPTPAKPRKSSKFLVIGRDKEKEREREKERRASLSPEINASNSRNASM DMDMDEPPVIVEPERESPFMPAQMPVNIPIPRPRTRSERPAAGDGSYPASAPALYAST SGSGGAGHSRIGDILPTRLSGWFQQLTTSASASSTTDLSLPTLLAQHHHASQSSHSSY HSTPLSSTANSPSSTSMNSPRRSGAAALLTAAKHGKGHLDKAMRYLLDSDAVPDRCAE PIWLLGVQHRGWEEPPVEVQGQGIASGYASGREGSGHGKTKEGKHGKDKDKERRSGTP ASFRSSTSSIHSSHSHAPDLSLSHSTSGKHGSSNPSKDPSANWPPAFYIDFTSRIWLT YRSHFGVAIRDGRLGDLPLCSPPPLHATAGYHGGASTQSFSLGSGGGGNGSGNGGQGA GGSAANSPATTAFRSRPWNWVTGGGEKTWSSDSGWGCMLRTGQSVLANALVVVHLGRD WRRPPHPVLTADYATYVQILTWFLDTPSPLAPFSVHRMALAGKELGTDVGQWFGPSVA AGAIKQLVASFPECGLAVAVATDGTLYQTQVFAASHFGGVEGEAGRGNSRTPRRRHAT TWGERPVLLLLGIRLGIEGVNPIYYESIKMLYTFPQSVGIAGGRPSSSYYFVGSQADN LFYLDPHNPRPAIPLRPPPPPDTQHIDRQPTPDSDRDASTSNTKTKRSSTPSSSSHPT YQPQPQQQQTPYRTPTPASPSSVRTGSSNFSYHAPVSPSPLQHQFSSSSADTGTVESE GSSSVYTDSHSYSYSNTGHQQQGQYRERSPPPSYQQHSQHGRWRSASASAGVSQPSSP AMGNAEHQQHHRSFAAGTASRSQSVSASTSASTSTGEGAGLGNNVGGGLDRVQQHYCL SYSAAELKTFHCERVRKMPMSGLDPSMLIGFLCRDEAEWWDFKRRVAELPRTIFSLQD EPPTWPSDSDDNMGLESISEPDDVDLLDDNDNDDVHDDNDINEDEEEDGVEILRGKES ESDSSERFFDTYSSARDRRRTAGDAEGEEIDTEEDPVDPVTPGPNSRFDIINIERPAR LKGKGKSDNGPGRERAEDDDEIVFRPEGAGATSFREEDEEEEGVDEPRDGEEEAEEVE GDDIEDDWIDPSLPTPTGPPSIRVPAPVTPASTKDKAKAKEPSPSPPSSSNVPPLAKG KSSSSSSGGKSEKTKTKKTKTGKQVPVPVPSVRIPHHQPSSYPYPQQQQQEHYPFPVT NADHEHDHDPAMSSASASSSSYPDHHQYPNDRSRNVSTKGGKRMHTARARDGGRTQSG GVKGILTD JR316_0011274 MLAERNLKDVSSGEEETALFCNEQTMKILQAKLSEMNQILKLPE KERFERIRDYVTKRKRVIKEREDAQQQRILEEKKRADEAEKKAKQRILEEKKKAEQRI LEEKKRADEAEKKAEQRILEAKKRSDEVIAEFNKGIAPFVRALQLTSLRKLQDNVHKK LSNQIGASWEDIREMSEEDLRSKAPNVDASRAMALIKAVKDYRDLRRGYISDAYTAKL EEIWTALNHLYQPREPDLQAYHSDNHLLEACYNLLKAQQ JR316_0011275 MGSAALQDDRAYTLILDVMDCDPTCISTGTQDPGSNTSVEKGQT GITDIARASEILVQCLATFVEADYLLQRPTVIKENKHKFYTNATFEPARPQGVHLLAQ HHRHTNFKLHTPSVAKRNGFVAHLAGVLENIKRSENDTYDSLDDTNACDNQLSGDVYS TIYNFSKLKLLRREIPTSHCVLDEIANEMGNRGSKSSCSEPLNELQNKFNHPQQSLGL GIGELVKLLVDPTSVLMIRNRKKTSRHLASHTRAEWMFLT JR316_0011276 MFDVNAPETMLAMKKWWADFCDRAPVANDADMNDYCCVVVGNKI DMVGKGGGDNVVSESEALEFLDELVPPSDISILPPSISSRSPQFPTPSSSFSSTNIST RTPSIAIAPQPRTHHHTRESSHSPKHQLSKSRSRSSSRFYSGTMTTTHTTLTIYHTPS SSYYQSARSSPEPWSSSVSNSSLSEVETISPSGSVRRRSTTILSSNSTSSGSAPTITP SLYARESAAAAGVSTPSVSPLIPSSTPIPPERGPKLFFTSAKTGEGVADVFEYIAQRV VRRWEYEEWVEARTMHFREASSANETVRLGSENRTKKVSYL JR316_0011277 MQFKTLAATVALGATLAAATGSPASQCNTGGLQCCNSTGTANSD AISKILGLLGIVVQDVTALVGVNCSPISVIGIGGNSCTAQPVCCTNNSFGGLVALGCS PVDLNL JR316_0011278 MFKSFVVLSVLAAPALCQTAVSLLVDFDGYQKSGTLNLRSTLQS VSTRKGQPFMFGSTYDTYESNQSFSSSVFSTFFNHVVAENGCKWDATEPSRGTPDLGE CQAVQSFASKNGASFRGHNTFWHSQTPSWLPGGISASDLVNNVIPQHVQQTIQGMGSS VTSWDVVNEIVGDGVSNGMSALQCVQNKKVWPTQTSDGSSTTLVTDLSFVHAAFTTAL KYAGSNTRLAINDYNTGGNDAKTACVLAVLADINANAGIPYNRLAVGFQSHISATGFT SKSALSATFAKLAQLGATAMITELDISLPSASSGYERLQAAIWGDYLDACLYASNCNE FINWDPRDDMSWLGTSAAGTLFDSSGNPKIAAYEVQARLQRFAAGEPMLCATAQGTGS CMASGPSGSTSSSPTSTTTKPSSTSAPPTSSSPASGATQAHYGQCGGIGWTGPTVCAS PYTCQVSNPYYSQCL JR316_0011279 MLAVGAAPAVDSVENTSSPDSAQVDGHLFVCTDNNFTGICANVA FNVDECINFVSPFQDTISSFGPDSGFICITYTDNNCQGLTYTAVNPGFSSLPSGINDA ISSFQCFHN JR316_0011280 MTTLLNKAGKELFAKHLEQYAPQDPLYEFYTNERGKQKRRKRAI PPGLSKRDAKILKKLMSRAHYLDKGFSFCGLRFGWTFIIGMIPLVGDVADISLNYLLI VKPARKLELPNWLLRRMMVNNAVSAGVGFVPVAGDVFVGAFKANSRNVALVEEFLRIR GEEFIKMGGVAEEEAKKGWFGTLKGRQKATAPVAPMSPQDVEQVKPGAGMSGDEMKNS LSDEILDGQMSNAPVNSVPARSGSSGFSLFGSRKSKGKNIAPNSSRSAQADRGRFVED ADVVPGAIGPSN JR316_0011281 MAVTSACSAELHKANIDLGWLFYVQGVVLSPAVIPIALTVTWRK LTSAGIIAGALLGASLGMTAWMIGCWKIYDPADYDFKGTRGIANLDIDIEGDAVNKSS SDVVDAKSPSIEKSEKDPADIRTDAVVAADENTSSNGPDEEEQPSMATLKLAFKKAAW YSLTLTSIVTVIAIYAD JR316_0011282 MLPRYQALPTSPLSSSATEKSPKKYNLSLFSGRWATRWLFAALA AAVVVFLGLPILRDLNWEWGFPWSQSTVPLHLQGYTRPSSIRLPTEEEQAFWDLRKDE VRDAFQHAWTGYRSVAFPNDELTPVMGGKSNKFNGWGVTLFDSLDTLWMMGLEDEFSS AVETVKDWKFEEIKPDQFVPFFETTIRYLGGLLSAYALSGNEILLNLADDVGRILIKA FNEATSCQLEFKYLAKLTGKREYYDKVQAVMEIFYNASNATNGLFVDQWFGSTGLPSG NHITVGGGSDSGYEYFLKQWVMSGDEKARTQYLTSINSIIDNLIYVTPNGTRGLMYVT DTDTYMTAHRQEHLSCFLPGLLALGAALLDLPPDVREKHEWAASGLTYTCYIAYADQQ SGLAPEEIRMNGPGVKWVDELRRWEQGGRQGKAPGMGEPPAYKNWELREYANGWPSVY LLRPETIESIFYMWRTTGDVKWRERGYEIFRAISTRTRTKFGFSSVVGVDKRLRLLDE MPSYFLAETLKYFYLLFDEIDTYPMDRWVFNTEAHPLPTFSWTSDEREAFNITL JR316_0011283 MSKQQNGASRATSVTPAPGTPTPASGPTSSLHPVVGINFGNSYA SIAVFTKEGLAESIANEDGERQIACAISFHGEELYIGNQAKQQLVKNAKNSIVGFRNL LGKKFSEIPGSEHPTLSAPIIQHPDLADTIAYKVEVLQPAPSPLPTSAITTPAASHAP TPRSEPTPTSRILTVHDVTVIFLRSLLKSAEDFLGKPITGAVISVPEAETFNDKQREA LKEAAKEAGLNVLQLLDEAAAVVSLTTTSLWSSEEDQEEGGQPLNKDRTQLVVDLGSS ALSLHLVSVREGLSYVLSSSFTPNVGGDKIDDKLITFFAADFTKKTKVPLKVCPAPAD AVADQRAEAKLRLAIEHTKRTISASPGAATCSVESLKDGMDYTGNINRMRFDMLASPI YAAVADAITALLSRAAFDAHDVDEVVYVGGTGCLPGLDNRICLQAGFREDVQTPFARG IVVGGGVGDPTTIIARGCAYQAALLDTLTNSESQEDKEILAAFEAGSEANQVKATTKT IGVLFPNDLEENKEVGGTWIPVVQRETALPVRRIVSLDVEVEEESKRVAFEVWEVVEG IRVEKVTPPKSQDDEEEEEEEEEEEEIEVKHRTVTKHALLAAFDGKAKLAVQTKGKGP QKGKWFTRVEIQFVVGIDGDLNVELKEIGGEEGLVQRIHVAA JR316_0011284 MEQLARLSTRANPLSKLVTRWVLVAFLGLALLWYSKPWLELSAH GDFTPPLWLYGPHSENDETPYKFAPPPPHELQAIWEPRKEEVRAAFRHAWSGYMMRAF PSDELLPLSGGKSDKYNGWSVTLFDSLDTMWIMGLHEEFKDAVERIKDVHFFPTKPDH YAPFFETTIRYLGGILSAYALSGEETLLRLADEMGQILIPAFNGTESGLPAFSVNVEN GKILSDLNKKTVLFAEAASCQLEFKYLAKLTGNREYYQRVQDTMNVFYKANVSDGLFH DNWLMKEGTPTGTHFTIGATADSGYEYLLKQWLQSGDVQARDQYIKSAEGIINNLIYV TPKRGLMYVGDLQNNYLMHRLEHLSCYLPGVLALGAATLDLEPEVRELHEMAAHGLAY TCAISYADQVTGLGPDQMQMTQGKKWIDEVYMWNQTGRMGEAPGMREYPVERDPRRRD YVHGWPSAYLLRPETIESIYYMWKTTGDIRWRERGYEIFKAINKHTWTKFGFTSVYGV DSVPVTYTDDMPSWFLAETLKYLYLLMDDTDVINLREWVFNTEAHPLPMFSWTEEEKR TFKISS JR316_0011285 MLSFPLLRAHVLLGLLFLLPNLVENTAATSPGSDPIVKLNYGAF QGSVVGNVESFLGMPFAAPPVGNLRFAPPHPPVAFRGVHQATTFGAACFQQAANASVF PGSLVPTIAGLISTGAPNPSVISEDCLFINVVRPVGTQPGKKLPVVFWIYGGGFQNGD TSLYPGSNIVSRSIALNEPVVYVSANYRLSALGWLASKEVQAAGLGNIGLRDQRFALK WVQKYITAFGGDPRRVTIWGESAGALSVGTHLIINDGNPEGLFHAAVMQSGSPYLMLD IADKQPIFDQLVANSGCTGSPDPIACMRAVPFDQFSTAMNLSPNLFSFSSLSLAWQPT IDGDLIKRDPQISLQKGLYAKVPILSGDCDDEGTFFSFANANITTNEDFLSYMQSNYF RGILSDEQLAAVGEAYPDDVTQGSPFDTGTANALTPQYKRLAAIQGDWSFQAPRRFLL ETTSKTQPTFAFLYKRNKTSTALGSFHGTDIPEFYGAGPSPDLVGADAIINFANTGNP SLPKNPKSLLSSVNWKQWGSSKTNPPMLTFTDPIPSIAITSDTFRLQQMALLTQISLQ IANTSAQP JR316_0011286 MLSPWLDRRLWALFALASFGKASPAPPTVTSGPVVKLNYGSFQG QVSGNVETFLGMPFAAPPIGNLRFAPPQPPVKFKGIRQASNFGAACFQQSTNASALGP LAAIINELIANGSPGPAVVSEDCLFINVVKPANIPPGKTLPVLFWIYGGGFEAGDTSF NPGNTVVSRSIALGEPVIYVSANYRLSALGWLASKEVKEAGLGNAGLRDQRFAMQWVQ DHIRFFGGDPKRVTIWGESAGAISVGSHLVINDGNPAGLFHGAVMESGSPVPMQDITS KQPVFDQLVASTGCTGQKDPIQCMRAVPFDKFAEAMNLTPNLFSFSSLAIPWQPTIDG EIIVRDPQVSIQKGLYAKVPLITGDCDDEGTLFSLSNGNITTNDEFLAYMQSNYFRGL ISNDDLAAVGAAYPDDITAGSPFDTGTANAITPEFKRLAAVQGDLIFQAPRRFFLQTA STTQPTFAFLFKRGKATPLLGSFHGSDIPEFYGSGTAPDFIGTDGLVNFANTGNPSLP KNPISLLSAVDWQPWSSSKTSPPLLTFLDPAPAIAITADTFRATQMDLLTKLSLQLDS NQ JR316_0011287 MSTSATLDPSVALDNSMGAMFIGVIVSAVLHGITLLQAFTYWNN YKKDVWYLKTLVSITVSFDAIHLVMISHAVYHHVVSKYHSDKDSLRFITWTVLFLHFA CVSPYVLPLNAKLPELLIYQHNIVSKRNYWLAGTIFFLILATAGSGTVWVILSLQFET YEQLLSITPLTITINALSTVVDVAIAASLCIMLHNARTGFRRSDTIITKLIIFVVNTG VLTTCCAVASLICLIASPKSLIYATFYFCIGRLYTNSFLATLNARNSFTSQIDENTTS RMMGSIPTSVISGHQAATNSMKMKNITIRIDTSTTKDALNNGANHSVDALKSQSEKSE DDVRVRAKNGDLY JR316_0011288 MEELDKRGSIYSDRPVLEMGGELVGYSQTLVLMTYGSRFRTYRK HFSRYIGGVRPMQELHPLIEEEARRFLKRTLAAPDDLLPNLRKLAGGIILRLTYGYQV IDGEDPFVNLIEKANDNFNAATVAGAYPVDFFPLLKKLPEWLPGMGFMQTAREWAKDT EAMVEVPWEFTKQQMAEGKARPSFVSTNLENESGMSSDDIRDLKLAASSMYGGGADTT VSAEYAFYLAMVLYPDVQKKAQAEIDAVIGTGRLPTLSDQPHLPYVNAVVTEVLRWNS VAPTGVPHTAIEDGYISGYFIPKNSLILANLWNMLHDPETYPDPFTFDPERHIASPGK EAQRDPRKVCFGYGRRVCPGMYLAEASLFSCISMTLAAFNIEKKVENGVPITPVHENT SGIISYPKPFKCVIKARSEKAVSLISEEVI JR316_0011289 MVLVLVIGDLHIPHRTHDLPAKFKKLLVPGKIQQILCTGNVCDR ETYEYLRTISPDVNIVKGDYDESTFPSSLVVSHSPIKIGVIHGHQSIPTGDLDSLSSL ARQMDVDVLVSGHTHVFQAIEYDNRFFVNPGTATGAWTGTLNGEPTPSFALMDIQGSV VVTYVYQLIEGEVRVEKIEWRKEPETAHVPRSGVFPQSVPSPTIPGSPQGGSIW JR316_0011290 MSCSHIGDIAALQPPRLSQSVHRSSSPFGKDGADGVDGHDEEPP AKMTKLAIVEEREEDKYEHITQVKCWACDSQNGAVVPEALSDPKIKSLVEGVMQSLSS ARQSEVKAWEEEITPCEHTLTIEQFVSGHIPAEGLAHCNACDLKENLWLCLTCGSLGC GRQQFGGLGGNGHGLAHYEASGHAVSVKLGTITPEGGADIYCYVCNDAKEDPDLATHL ASFGINVQTLKKTEKSMTELQIEQNLKYDFSLTGDDGKALEPVFGPGLTGLANLGNSC YMASVLQTVYSLPSFQTRYQNQASTHAEICPVSLPADCVECQMHKVADGLLSGRYSHP AHASADSLQHPSPTPVFQTGIKPTNFKALIGKGHEEFATMRQQDAEEFFTHLITVLRR DGQKYKERSEQDPTTIFSYATEQRLQCMSCKKVRYRTDAMDVVSVSIPATEKGKNEDG KILYEDVKLTDCLDHLLGTESLDYACPSCSTNVIAIKQMKFASLPEVLVVHAKKFQLV NWVPAKLDIPVILPEEDTLTFSERHIGTGLKESETELPNDAAAAPALPQFNEAAMAQL EGMGFPTVRCQKALLATGNSDPEAAMEWLFAHMEDPDIDSPIQLSASGSGASGGQTEP DPEQVAMLADMGFTSAQARKALRETSGNAERAVEWLFNHPEDTGEDAAPASTDSSSSV PTIPGLKDVPAKYELVAFISHKGPSVHSGHYVAHIKKNGQWVLFNDEKVVKADAESVK DLKKLAYLYFFVRV JR316_0011291 MVNNITMSYGPLIRNLQWIIPPDETVAKLRTLSVWIGEHPDGGD AAEAVRLLIKGTEGLQPPIEMIVITTQTSFWTYSLASIVQAASYPSIKHTQIRLYDDN VPRLQQALYYFQNESLHGPPTYSHLTLPMDSLVFNGKRNSTILPVVNAMRNHLRKVYV VPPWDGDAFLKHQIMIFDEIIIILSALDGLDNVYLPPGFFDQEYLENFMYDAFVSRGN TVPTIEWW JR316_0011292 MASYSSKWIKVSEPVPHVLLIELARAPVNAFCTEFWVAYGKLFD TLTADGYDVRAAVITSAFPKYFTAGLDLHDASALGSSGADTSLDSARASLSTRKHLLA FQTAIGAPERAPFPVIAAIHGHCIGLGVDLIGVCDIRYAAKDTKFSIKEIDIGLAPDI GSLAFLPKITGNHSLVRELTYTARVFSSDEARELGLVSRVVEGGRDEVVKEALALATF VAGKSPVAVSSAKHLITHSRDHSVPENLAYTGAWNAAALMTNDIRDNLLATSKKEKAK FAPLAVQTKLPKL JR316_0011293 MSAFPVPRRILVIGGGPSGLVTLRNIRERGNFNHVELYERRDDV GGVWFLDEGSDTFPVSDNPRWPSPAYRGMIGNVLPEFLSFSEHPFPASPTTPHQPFPT LKETHNYLKGFATPYIEKGVIKLNREVVRVEELPSKRGWNVVTRNWNHNGKEEDEIWD AVVVAVGWYDNPVWPDTDGLEELKARGIAKHAKSWRGPESYVDKKVLVVGNANSSNDI AAQLASVANKPIYQSIRRPAFPGFVSLPDERIKMVAPVSKYTAKDTGDGGKADAHLSD GTVITDLDVVHIGTGYKIMPSFVYVLEESKGTLAPIANHDTKPHRVPSLHRLILYAHN PSLAFIGAPMSYTPFTIADVASTWLTLAWTGEASYPDTVDGRLAFERERMAAIDQWRN QIENPSALMVYNVLGHGEQEYARSLKEDIVKARPELHDALPEWNDERTALREAMHRTK LEALKYAKEHNAIDHVP JR316_0011294 MSDSESFFDQPLITIRPFNPFDIQVGSQKAEQVLAQGIIYCGAS RLREALIHIIDASLDNHGWMQRCLQEELHYIMAVVGQAYAVGWVLDIPYILRLLSESL FACLPEEFPMDFHPDLLPSLAADDNDQGFEKGVKKYLYDWWTRNTPPSPERMLNHLTI GKIMKFHGEQYAKSFPKAKDSTKPLPPAEPSGIRHGQLSTLDPPPDAPGQTNFIYPTQ IVPDSKPGDIHCGCCAKFRNLSDDLLVQFRGVKNFSTCLADVASNQVACISRRAMGFF KLYELSQELDDAAIEGAATYGRDNIKTRKPEIPADLMNSKQTPPSDKWTWGKSLTIKD VLSISEVSNPKEHTGYAKNLKEGQKVKYSTEDSLSQVGTFDSAAISAVQTLPSATFTQ PDYFKYGTEGSPPSSVGDFAEVADQVPLSSVEDFTEAADPVSSNGNSSDSESDNDIIN QAANDNLFGSESDDSLDAALGLFDDDSSTNAGSDDNLGGTLDEETGRQSDIAEDEGSG SEFQSESGM JR316_0011295 MPSQRTKSSRRSKKGTLYEDDADAADAVTYENRTRTTRTGRTVP DLVKVSLAVPQRRNTGDPWHIDNQDTSEGYEGDYDIHNTDDHRDDGRPVDSRPRKTQR DYILQFVERVDDILGALLSREAPRALNAPSICTQCHNDCISVWRCRDCSIPSLLCRRC IRHEHQVNPMHRIERWNGAYFRSAELWEVGCYLLISHHSAEFPPLCESLTFQKQLLEE LEERKDFEEQALLRVAHPTSESPSYPSRYDNDIFMDDTKEDSEHTQNPDGITDDQFEE YLNQLREDPSNLEGFEDGDDEAEVGDADSDVRFMPAYLSPPTVGNRDPNSTFTGNAPF ADGLNNSYVRVVHTNGLHHLAMVSCVCHGSGQLPLDLMACRLLPTSFQQIRTLFSAQL LDYFRLSNLELKSSAYQFYSLLRRVTNPTAPHTIVNLYNEFRRMSRLWRWMKKLKWAG FGGHNGKSALSVGRGELANFCPACPQPGVNLSANWKDDPNRWVYKRVFVADGNFKADH VRSKKPSRDIWLSEGGGMTPDRMEYGEFLKSAIEALTGAPCENTFRAIQNSLLSSKSC DVTGIVGVACARHGCYAPNSLVDLFKGEQQKNVDFALLSALKSTGVDPDQGLAVIYDI ICQYIIYLLKRIGHLLPKGLKVDRAIGMFHVHAHKDECFFRYAPTFIPGAACVCGEIL ESLWADLNHISPAARTATLAHRAELLDDHASDSNHKKALGITKYLCRRHDEAEEKQEQ YRVAFVNLTQGADPDAVKLWKQQIEEAESKRLVDPKVMDIYAAKRPGTSTVTPDAVPH RPLNPIESWIQFAVVVEERQYVFKSVLIIYHGVNSYTHRLDIRMRARRLINHDRLAER NKLIKLRETLKALMAQLSILQNDAGVLPTASKGNEIPEQLFIDWEDEEDILAPGSGSA VYEPIDLQTIFLPSNGNVDIIHAPSEIHARISQARGHLNQIRELIAERSFQYSDVIRK GPRKGVRTRGQAAAKELRERISHHAQAYSRCRDCLVQLGADNNILREFRVLTKDDVRS STAVLNPNIPGSTNFRLSWIWYSVNQRLGPRWVLDPDAPDNADPNSLGDNADPATVLE FKRVHWLRARAHFQRWKEESTLVRYEMQWTVTYFLHKSATWKSAIEDNPTILPGAIAY AHRKAQMWHHLALISDRSFRSVNKHYKPL JR316_0011296 MSEIDEQDVLDVFQTHDRRKRVYNSLERKEIDPFKEEYFAATSN LERKQVVCKILPKLFNIWVTTGSRRLSATQEFAQKEAKRLLGWIRNNWRAPQPTGAEL KGKKHKRTTVLWRTRPDDVYKEIASILGLEHADSTTPLAFETRSRAMGNIISRMTPQE KRALDREAETLNTVEYTDEQKLKRGNKYAFKRLDEAATVHWAEMGLMNITFVARLNES GQLSVRVHDQVASTLGVVSTLFEDQKPDEVTQMKRMVATYVRGLLNARDRIRNGTRNE NTTEISILDQDPDGFPLLPSNFDASKYNKEQLEELYRMYMGQNYSTNGRSKHAPFNAL HEYQSTFISPEYLPPKVRLNAPRSVSLDDLRRIMDHIYARQEIFPPSQVFRFRKVKKF RKGDETTPTNYPDEDFQSDAEKRRVPPKKPKKAAKKKNTAAPTKPAPLESAPLRIEDM QGASQLVSFDSFQPVEAPSKAPPPPPHTKINMQDIDPVLLNESQANQVIIHTPAPAPA PAPAPPAPAPPAPTPTPPAPAPPAPAPTPPAPASAPAPTPAPAPPAPVPAPPASAPAH APTHAPAPAPAPAPAPAPAPAPADAHAHAHAHAHAHAPTPTPTPTPAPAPTSTAPTLV IGTNGLLDVSILEPGRHTNKNKSSRPKPRPRKKPTTPDEIAQAEESRRLLEEARQIEA SLHIPAHADKQAESSGVRRKHREVDEDLILSGKRVRRQRQRSH JR316_0011297 MASQFNNSNTLRLALEAADLELRNAVKGGNLEGFMKLNNRKLKQ FILLRKSKTFPDAFVKFGPESFFWTVTIGYKAALKKGTLNIKEFTAQHARILEEAAKV HVNSVPNPSGDTRAHSSDAKDYTNQGASPPHSGANSHFFGAPHPPQFLICAPCFRSTG TPDPSCASDAVQSHASESRVLGALPYTPVLGGPPTSLVSSGAAPQSRMSDAAPQSRVL GAAPQSRVSDAAPQTRVLGAAPQTRVSGAAPQTRMSDAAPQSRVSDAAPQSRISDAAP QTRVSGAAPQTRMAGAAPQSRVSGAAPQTRVSGEAPQSRMSGVPAASLASSGAATNSR SLGMTVNYRSLGAPATATLTSVKHTHTVEHEPQSSASGSSKPQLATKATKASKLKSKE TKAAEPSNPPTRKIIDIHHDLALASFEMPDSPHHSFKKSQGGQSQKVKMLTEEYNRAV KASSSGASGAVEASGSEYEGSESEEEEEEDRSSGRTGSKRKRHETPPLPVVDELPEDD TPRIPHMTPCDRCRDMKITCHIGTKAACFECSHSKKGCFRNSVSVTGRKARNTNLPKP SRQVGKSKRTTPAVVLSDLEPLKKKPRAVAPASGSARSSATKSNELTTLQNTPFSNVI ELSDDEKANASIPVRVVSHPASTVPSLPSRIAAPAVSSLPPRVLAPAASPAHVPAPSL PPRVPAPAASPAHVPAPALPAHIPTPAVSSLPALVSAPAVQTPTSVVPLPSAHVPTTT PAVASLALLIQDLTSRVSRMEVNPATTAPPPDLSGLSSHPLIAHLIERLTVMEDRYAH TRQELMEVSRAHEDLKVEYQSLSLRVGSNQKLVNTSENLLHNARMKISTMSRSIKELD NSYAILLERLVDMERAVDEFQQQEIEVSRDNFEEESEYISPSASHSPTRSGSPSASFS SPSPAASPAAPLASPTASRTASPDLVGSPAPTSPTPPASPAPAASPAPAASPAPAASP APAASPAPAASPAPTASPAPPTSPASPPAPRPAAVVPPTVSPTHPASPSSARDGLATS ATAYPRLKRLTGTKDGIVHHYESDMDIDSDSPDDNENGGDKKSGVNNSLDVASPSV JR316_0011298 MSDFNPKPQTVESNVPSGYTVIEGPGGKKYLVPLFVVPSLEYSM SAYHKKAEMKTEIANADGLDPYLERLQTYNTIAGTLHAPPDPPLTDKELLRQHAEVQA LVEKLGISYRDAAHRLYMAEMEKLKVADMQRKSFQIFEKRATNTLKGIAGRHNYKSNY FMMYKKMKSEISKQKEQ JR316_0011299 MGLQLHRCATLGPKGHNVIIEQAYGGPKITKDKFENLGARLIQD VASKTNEIAGDGTTTATVLARAIYSEDVKNVAAGCNPMDLRRGSQAAVDRVVSFLSAH AKTITTTAEIAQVATISANGDAHVGGLIAQAMEKVGKEGVITVKEGKMIEDEIEITEG MRFDCGYISPYFITNTKSQRVEFEKPFILLSEKKISALQDILPALEAAAQASRPLIII AEDVDGEALAACILNKLRGQLQVCAVKAPGFGDNRKSILGDLAILTGGTVFTDELDVK LERASAEMLGSTGSVTVTKDDTIILNGEGAKDQIAARCEQIRALIADPTTGDFDRSKL QERLAKLSGGVAVIKVGGASEVEVGEKKDRYDDALNTTRAAVEEGILPGGGVALLKAS LQLATASAQAASTSSPVSGDAQPIRTANFDQELGVGIIRRALTQPTRPTGYGSADKLS WGYNAAKGEYVDMVREGIVDPLKVVRTALVDASGVASLLFTSEACVVNSPRRRRRVLG EGAGVAGSVGVGGNANGNGGAGGILPDPSAMFDPWQGAASISTSSPAAGPSGQCQQRP HSHPTPHHAHTHLHALNHRLSYDHASGVIMLPNNGAWLSDHSHSSDNDDNDNEVDHGV QGARANGLALSELMISEGAESEAVAAAMEAGEGVPLGLGGVGGSSSTFTSASASAGAA VGTGAGVGTSASTSGGGAAGAGIGTGAAGPGAVMGMAGLGQSIGQCLSQYGTYFHHLE RWRTGSSANATAAANANAAAASSAGGAEADVMKPVDESVLLGEDALVTEEVHKQHSHA QQGYQHPILGAPHWSVGGKENVHAH JR316_0011300 MMKNLSESLLPQPPSFIKKKSYEMHQVLGTGTFGKVVRATWYVP VDQVATAERGAVAELMPTPSSLSVSSSKKSSSRPSSPGPGDRTPSGVVKDVALKIIPK KKVKGNEASVWGEMEVLKGLDHPNIVKFYEWFETRSKYYLSFELATGGELFERILQKG KFTEQDAVSVTRSILNGVNYLHDHDIVHRDLKPENILYRTKDEKSDIVIADFGIAKHL HTPEEQLHSLAGSFGYVAPEVLLKQGHGKPVDIWAIGIITYVVLCGYSPFRSEDVRVL IKETTAAKIEFHDRYWKNVSSEAKAFIKSLLNPDSTNRPTAAQALADPWLTTHEPSVE HDLSTGLREHFDPKARWRQAITSARALHRLGSRISTKSSTTSSGGWANDEDVSDDEIG SPSKHRLPVQGNDDFASNEFVHVVAPEEDRESSATPKTTNQVPHPEQVLKRENHEDVK PPLQDEVPSELKGRTSSVYTENDMVYAEPESTGEENDDQKKEDRFDMPGSFDFSTENH NSGPSQGNSWVDMLRKMTLKSPQMAIDQKQSTPVHPKRVLIIGGGPTGLVSLRNIKER GQFEHVELFERRDDVGGVWYYDDPSHSASNVPRWPSPAYQGLVGNVLPEFLSFSGHPF PDPPSSPHQPFPTLKETHEYLQDFASPYIKSGAIKLNREVLRVDELPNRQGWRVISRD WNTGGEEKAEIWDAVVVAVGWYDNPVWPDTEGLEHLKRRGLAKHAKWWRGPQGFEGKK ALVIGNANSSNDIATQLAPVADGFVYQSIRRPALPIFVTLPDDRIKLVAPVSKYIVKE TPTSEKFDVLLADGTLITDLDVVFVGTGYRPLPSFVHVLGKSGPKLSPIASEETLPQT VPSLHRHILYAYNPSLAFIGVPVVYTPFIIADIASTWLALAWRGETPYPDTPEGRLTY EKERLLSVETLRKETENPSSYLVYNVLCPVEQEYASQIREDIVDARPELDRILPRWSD EQVAVREGMYGRKLEALKYVKSLLV JR316_0011301 MYPHFPEMEPRLDIGQFPTSSSHIHPHPHPLFTRQENMNSNPNP NRSLDPHVLSSHHTVYHTPTSYSNAQDHIHPPASTHAWPLYDHQVSQEYSQPNHGLTS VSYNHNPAGYPSTSPFPATRLSPPPLHVQFKGSSSVSTPATGLNHRPVLAGSLDPTTG IFYRTPEHPRLRTAQACEKCRTRKAKCSGEHPSCKRCLTRGLACEYAKEGRVRGPNKP KPGKNTGNVVSTSKKSNSISNDNPADTSSANLNHFQDAAKLPPRPRAASAHSNSSGSS EHFPDRLLSSTAVRHSLGTSEIEGSISPIFPNDARGNSGPDYRYTRRDSLSMSEHRSS RPRPPDLHLDSTSNLFRLTGGIAEKPSDATAREVSSCMGGLVVSSSFQRQPQQHDHLH HYHSPTRETPFSGDKGDHVTQHPSHHHEFAPQVNQPQILEFQRSGVYVHSHRDAATYN DTGNTEMLNLNNSQMGGDVSEEFLYPAQAEAYVSVSEQNQRFQRPVYERRGSSRECEG TPMAVDEPFVLSTSWFR JR316_0011302 MSGTYTHHFDSPTFKGTSTIHTGLFIGGKWVDPVEGGTIEVVNP ATAAVITAVAGGTKADVDIAVDIAKKANLLEKNIDEFAALESLNTGKVYMYSKLSDIG STIRVLRYYAGWADKNQGKVIETKETKLAYSRHEPYGVVGQIIPWNFPMAMLSWKIGP ALATGNTIVLKPSEVTPLTALRLCDLINEAGFPPGVVNIVNGYGHTVGQAISEHPLIE KVAFTGSTLTGRKILKASAETNLKVVTLELGGKSPTVIFDDADLDLAIKWASSGILIL VQEGIYDKFIESFKDVAKKLSEGTGDPFTPGTLHGPQVSKVQFDRVMNYIESGKQEGA NVIIGGERHGDQGYFIKPTLFTETTPQMKIVREEIFGPVAVIIKFKTEEEAIEIANDT VYGLGCHVFSQNISRAIRVAHALEAGSAWVNCAQDTEVCVPFGGYKQSGIGRELGEYA LETGAHQYRKLADDREMLWRICHEEIERVLYTVYQ JR316_0011303 MSLIPNTTVYQFTPTQDWFSHNIQSWTDLFPLIRSDKPRVLEVG SWEGRSAVFLLNNVCKSGGEIVCIDHFDLLRTSAGQQRYAKIKHNLEVTGKRHRILPQ FSIPGLMSLLSEELGSKNPGFDWIYIDGSHRADDTMLDGELAWRLARKDAIIIFDDYH WNKEPEGSIHHPKRGIDAFLALHHGEYERLTDDEHYQVVVRKVAEMRLGFLLEGNHPV DEVMEYEINIALAVNSGYAIGAAVSILSVVERTPGRITLFVIDCGLVRTDKDRFLDII SAQGDRVTLQFLELPDDGLAKAMGPVWAKIDMLGILPVERVLYLDADILVRESVRALW NTDLKGKTIAAAPDVGYPMGHDKDKRRPYFNAGVILVDLSRARQNSTALTQLAKSMKN AKHLDQDALNLHFQNDWLSLDLKWNAQGLGTYAQYPSDDRNALDLNPMKEDPHIVHFT GPVHPTLIEILNPYVQPPTAKPWGYVGSPGHPFESEWWISLEKTSWKVVRTSADWEAR NEDMKNRAIEAATRDFLEVLARTNNMKK JR316_0011304 MDNLLPQPQASKRRYLITGGHGFIGSHIARRMFAAGEVTVRIVD IAPTSAIEGPICHEFLKGNLLDMSFCEQALEGVDVVIHLAANMGGMGTIHDDNDFLIY QENHMMTINILQASLDAGVKQLLYASSACVYPQDLQSDQTGDVSLRETAVWTQCSPPS PQGLYGLEKLHSENIMLLEQFATKMDIRIARFHNIFGPGGSWKDGREKAPAAMLRKAL ALKRVGLSANTTFEVWGDGYQRRSFLYVLDAVDGIMALLQSRHNPGPINIGSDRSVTI QDLANMALECVGLDPKQVSFSYHLDKPVGVISRNSNNERVKEVLDWEPKIKLLEGMQK TCEWMTRQLNQLEAGSGIDEKFLVSKVIHLRPQKITFAILLPITSRGTANAMDCLLNL RRFAKSLVNTTWRDTRDTYEGVTFRFAVYLAIDADDEFLLPHGRHEGKAQAVLRDEGV FNITSIYCDLPRGHVCALWRKCAKKAWVDGCDYLVLMGDDIILHDEGWMRKAYTEFSD LANELLVPYGFGCVAFTDISFPGMPTFPIVHRTHMDIFGEVVPEIFVNQDGDPFLFQL YRRWNSSRMFTSRISNGVGGENDARYSKAHAQKWKFGPLDQAVSVAHNWLHDQACNPN RMVTIDVVIPCYRVDISILDRILSLKPTTTACVMFIIIIDDPLSPNISQLESKYSSRP DVRIRVNRKNLGASASRNRGMEESAADWIHFLDDDVVPRQDLLEQAEKAIRSEPRAIG FVGKSMFPVADTVFTAAVHLAGVTYFWGIAGDSNLTDDVPWGVTANLITRRVDDSINF DLKYPKTGGGEDIDFCRLKRQSSLSNKGKGFIAAPDVQLFLCVILANTLDDYYRHMWK HPERTASLDISIRRGSLLWRLSVIESTFIRMFSEIGRLRGLFLRGEYTLVMKRFDWFA GRLNDAPIMEERINSMLMYLAQ JR316_0011305 MLGSQAATLAFVAVGSLSAIIWDILSNIALDFYILCNFSVSTTI VVYFVSRFASLVAFVLVSILSTQSMENCSRMTEVLSWMMAASLSSTTLLFFLRLRGIY ADKPYVIAFFFMSWIAIVVGCIVGTRSKSEMRGENGGFVNHGYCISGPIDLFPLITAM STIPMINDTLTFAAITWRLVRMSEHDNSDLRKSLSTLVLGRYLPALSRALLKDGQVYF LSTVTLSFLSTTLYYVEGSPHTFSAGLVFPNIALMNLMGCRIFRNTKIGLRALVDNSE DTNYVSQPIAFRSNTVNTVSSPTRVSEA JR316_0011306 MSTTFTYQWDTPKYKGKTSFSTGLFIGGKFVDGSSGGTIDVVNP ATGQVITKIAEGTEKDVDTAVEVAQKAFDTVWGLKASGMKRSELLWKLAQAMEGVKEE LAAIEALDNGKTYDWALGTDVAFAINVFKYFAGWADKIQGQTIETDERKLSYTRHEPI GVVGQIIPLLMLSWKIAPALATGNTIVLKPSEFTPLTALRMCELIKEVGIPDGVVNIV NGYGNTVGAAISSHMKIDKVAFTGSTLVGRKIMEAAAKSNLKTVTLELGGKSPNIIFD DADLEQAINWSVHGIFWNHGQACCAGSRIFVQAGIYDAFLKGFTERAKAIKVGDPFGV GINQGPQVSEIQYDRIMGYINSGKEQGATVHLGGDRHGTEGYFINPTIFTDTKPDMKI VQEEIFGPVGVIIKFEDEEDVIRQANDTVYGLASAVFTQNINRAIETAHKLQAGTAWI NCANQLHPQVPFGGYKQSGIGRELGEYALTNYTNVKAVHVNLGYRLS JR316_0011307 MPSQWEKIDAHGMQIALEEAQKSYEEGGIPIGSSILVPDASEAA GYRVLGSGHNERVQKSSATLHGEISAVENAGRLRAEVYRAATIIPRVVIGENKTFMGG ESLLIENGVEVIVKDRQDCKDLMEKFIIEKPQDWNEDIGELDTRLGM JR316_0011308 MLSVSFVLISLLAGAFADNDWSKPCFSGVCQYDLPATNGSASGT LKIWGSATAISDITTAAGWDILDCSSSSLKQDIRLVCSGNSTDCAHLYSGTGNNKNAV GKLVRLPENCGKSAFAVVTNAYVSQDQSIPSSLSRRDGKKPTVQGLSIDTSFAASGPV NFAIRAANVPGANGDLAINPQQQRRSNSRIFGRGAVSDFVTGAVNDISSLNDFNVDKS TTLSPVDVDKTFNLLDKSISCPPVDASVKVDADTKAHAVASIGVAATGTILPPEVTSF SLIAGLNADLDGTIDLQATASGTLDSGKIQLFEVGIPGLDFPGVLTIGPSFVINGQAT ATLDLDVGLTVGISYTIDNAQLTFPPNGQKSSGAFNIGDTPLKITAGATGKATGTVEA HLIPTLNFGISALDDTVSANVFLELDASATMSLTVQAEANASATVKTRSELMSKAQSR RGNFLLSPESLNARRPQSDDNEDDSEADSGSEDNSSSIDEDEDSADGDVGDDTSDADA DSDADIDASNEDSADANDNSSSADGSDSVDDNGDPNLNDSTTEDFSDPSSVDTDTNNE DNGDNTSNIGNDTDADDTETEGETAVSDNVNPVSPTSTSANTDDAVTSIPPKSRVALA SPSTTTSLGGCFDIEAALDVSAGADGSFFGLFDKSTKVDLFNKKFEILKKCFGSGGDA ARRDLASVSALRSRSASRLFFSRDNLAVKARDSGLTCSPVADAQQQDIADQTVAASDI KQL JR316_0011309 MKLWLPCLSYHAALSAVAAKAFLSTEIFMSVIEIFDSTSELEVQ ENVMNALEHIINHESSACSMFTLEYMDTLSANLASNDSAIQLSTIEIVLEIATTKDGR STIMLSSALGRIMKLGTSPDNYVSQAVLSGVGYLCGYGA JR316_0011310 MQAKSSFWEIVARCLRIQSGNGTSTLSALAYRFPFPRDVERAES RLSDTKVYRPPSRASIETAAREYVATSNADLRNPFADYLVDDAKVWSMYLDLTKSKGE ELMRIWNSDLDTILIFAGLFSAILTAFLIETRKDLQQDPQAITNNLIRDLIQTLSSES HQNSLLSTTFVPSSPLVWVNGLWFVSLTFALTGAFGTILAKGWVSQFIPVSAGLPIID ACNRHRRFFGDDQRHLRAVIHALPITLHIAFYLFFGGLVILLFQDNPRIGVVVTTLIA ITMLLYLGCSIRPLLNPNSPFNTPISGLLPIIPGVFIPLILLADAIGHLVFTPRQRRR KARRVTGSYTHVDHVFLSTTKLRRTWTKGIFDTFSSLYHLMLVSNPPSDELRTDVLVG LFSLARTFKLDDPVCAIAGLPMSSRLQEALYIADPLPVLTRNITELLSSLDKTETSSD VTRAYLTVMLSLVQTTHSSLNWSASSLVAFLQPGGTLSNWDMFQDDILELIICIHIHV RIRSGFLIPAEFTNRIPEVISNCTNPLHRQWLIDASLILSAMDKGSTLKCLALNERMH PMLLGPELGNTLSNMIKHPQKRVQRAVLSSISAIGVHALIHQGIVGLLLDHIPGNDSQ FQCEALLTFAVFLRFDGTDESDNNQEVFYSQSMLEPCIESILTLFLAGMLKSEHLNVQ EAAVMVLSQFLRHNYPRDKFNQLNHTSALLNMLETGDDSLQIAAMKVLIVILQFGK JR316_0011311 MSVYLGFEAIEGAFLFEAANHLGKPIRQGANPFALPHDRKFNGA YDSRVSNLFTISSEEDDVFVDLAQA JR316_0011312 MKRPGYGQKDTQHYGRTLVLLTRRAYAPLRSTIFVDSRYILCAF EDDFPCQTRTGASCLRLPIVLDSQTTQYQTVRESLPEVKAIQVVSGDSSRQAELTFLS DSVHANAAFFREP JR316_0011313 MQAKSSFWEIVARCLRIQSGNGTSTLSALAYRFPFPRDVERAES RLSDTKVYRPPSRASIETAAREYVATSNADLRNPFADYLADDAKVWSMYLDLTKSKGE ELMRIWNCDLDTILIFAGLFSAILTAFLIETRKDLQQDPQAITNNLIRDLIQTLSSET RQNSLLSTTFVPSSPLVWVNGLWFVSLTFALTGAFGTILAKGWVSQFIPVSAGLPIID ACNRHRRFFGDDQRHLRAVIHALPITLHIAFYLFFGGLVILLFQDDPRIGVVVTTLIA ITMLLYLGCSIRPLLNPNSPFNTPISGLLPIIPGVFIPLILLADAIGHLVFTPRQRRR KARRVTSSYTHLDHVLLSTTKLRRTWTKGIFDTFSSLYHLMLVSNPPSDELRTDVLVG LFSLARTFKLDDPVCAIAGLPMSSRLQEALYIADPLPVLTRNITELLSSLDKTETSSD VTRAYLTVMLSLVQTTHSSLNWSASSLVAFLQPGGTLSNWDMFQDDILELIICIHIHV RIRSGFLIPAEFTNHIPEVISNCTNPLHRQWLIDASLILSAMDKGSTLKCLALNGAYC GFV JR316_0011314 MEGAFLFEAANHLGKPIRQGANPFALPHDRKFNGAYDSRVSNLF TISSEEDDVFVDLAQA JR316_0011315 MQAKSSFWEIVARCLRIQSGNGTSTLSALAYRFPFPRDVERAES RLSDTKVYRPPSRASIETAAREYVATSNADLRNPFADYLADDAKVWSMYLDLTKSKGE ELMRIWNCDLDTILIFAGLFSAILTAFLIETRKDLQQDPQAITNNLIRDLIQTLSSET RQNSLLSTTFVPSSPLVWVNGLWFVSLTFALTGAFGTILAKGWVSQFIPVSAGLPIID ACNRHRRFFGDDQRHLRAVIHALPITLHIAFYLFFGGLVILLFQDDPRIGVVVTTLIA ITMLLYLGCSIRPLLNPNSPFNTPISGLLPIIPGVFIPLILLADAIGHLVFTPRQRRR KARRVTSSYTHLDHVLLSTTKLRRTWTKGIFDTFSSLYHLMLVSNPPSDELRTDVLVG LFSLARTFKLDDPVCAIAGLPMSSRLQEALYIADPLPVLTRNITELLSSLDKTETSSD VTRAYLTVMLSLVQTTHSSLNWSASSLVAFLQPGGTLSNWDMFQDDILELIICIHIHV RIRSGFLIPAEFTNHIPEVISNCTNPLHRQWLIDASLILSAMDKGSTLKCLALNGAYC GFV JR316_0011316 MSVYLGFEAIEGAFLFEAANHLGKPIRQGANPFALPHDRKFNGA YDSRVSNLFTISSEEDDVFVDLAQA JR316_0011317 MQAKSSFWEIVARCLRIQSGNGTSTLSALAYRFPFPRDVERAES RLSDTKVYRPPSRASIETAAREYVATSNADLRNPFADYLADDAKVWSMYLDLTKSKGE ELMRIWNCDLDTILIFAGLFSAILTAFLIETRKDLQQDPQAITNNLIRDLIQTLSSET RQNSLLSTTFVPSSPLVWVNGLWFVSLTFALTGAFGTILAKGWVSQFIPVSAGLPIID ACNRHRRFFGDDQRHLRAVIHALPITLHIAFYLFFGGLVILLFQDDPRIGVVVTTLIA ITMLLYLGCSIRPLLNPNSPFNTPISGLLPIIPGVFIPLILLADAIGHLVFTPRQRRR KARRVTSSYTHLDHVLLSTTKLRRTWTKGIFDTFSSLYHLMLVSNPPSDELRTDVLVG LFSLA JR316_0011318 MQAKSSFWEIVARCLRIQSGNGTSTLSALAYRFPFPRDVERAES RLSDTKVYRPPSRASIETAAREYVATSNADLRNPFADYLADDAKVWSMYLDLTKSKGE ELMRIWNCDLDTILIFAGLFSAILTAFLIKTRKDLQQDPQAITNNLIRDLIQTLSSET RQNSLLSTTFVPSSPLVWVNGLWFVSLTFALTGAFGTILAKGWVSQFIPVSAGLPIID ACNRHRRFFGDDQRHLRAVIHALPITLHIAFYLFFGGLVILLFQDDPRIGVVVTTLIA ITMLLYLGCSIRPLLNPNSPFNTPISGLLPIIPGVFIPLILLADAIGHLVFTPRQRRR KARRVTSSYTHLDHVLLSTTKLRRTWTKGIFDTFSSLYHLMLVLNPPSDELRTDVLVG LFSLARTFKLDDPVCAIAGLPMSSRLQEALYIADPLPVLTRNITELLSSLDKTETSSD VTRAYLTVMLSLVQTTHSSLNWSASSLVAFLQPGGTLSNWDMFQDDILELIICIHIHV RIRSGFLIPAEFTNHIPEVISNCTNPLHRQWLIDASLILSAMDKGSTLKCLALNGAYC GFV JR316_0011319 MQAKSSFWEIVARCLRIQSGNGTSTLSALAYRFPFPRDVERAES RLSDTKVYRPPSRASIETAAREYVATSNADLRNPFADYLADDAKVWSMYLDLTKSKGE ELMRIWNCDLDTILIFAGLFSAILTAFLIKTRKDLQQDPQAITNNLIRDLIQTLSSET RQNSLLSTTFVPSSPLVWVNGLWFVSLTFALTGAFGTILAKGWVSQFIPVSAGLPIID ACNRHRRFFGDDQRHLRAVIHALPITLHIAFYLFFGGLVILLFQDDPRIGVVVTTLIA ITMLLYLGCSIRPLLNPNSPFNTPISGLLPIIPGVFIPLILLADAIGHLVFTPRQRRR KARRVTSSYTHLDHVLLSTTKLRRTWTKGIFDTFSSLYHLMLVSNPPSDELRTDVLVG LFSLARTFKLDDPVCAIAGLPMSSRLQEALYIADPLRVLTRNITELLSSLDKTETSSD VTRAYLTVMLSLVQTTHSSLNWSASSLVAFLQPGGTLSNWDMFQDDILELIICIHIHV RIRSGFLIPAEFTNHIPEVISNCTNPLHRQWLIDASLILSAMDKGSTLKCLALNGAYC GFV JR316_0011320 MEGAFLFEAANHLGKPIRQGANPFALPHDRKFNGAYDSRVSNFF TISNEEDGVFIDLAQA JR316_0011321 MPPKGSKKKKAVVNATVEGQEVEQGPSSVAENEPPQAPEADPNG RPIRSTRGLGGVNARQEKTSNIIFIDFEKAGKRKSRAANVDTSAMPENEMAPPLKKPR NGVTVVSHVGPPIQMQPRPTPSVAPWDVQQVQPSPRQSQGAPPPVQIQRAAQRNQEHS ELDHAVQRPTAPIQRPAAPVQQPAHPVPRPVQHAAHPVPRPVQHAANPVQRYAAPAAE NSVQYYDAPVQQPVHPVTQHAPVQQPVHPAAYNAHQEASISHQDEEEQQDYEGIEQEE DEEEEEGDSDGERRSEEASGDECMQGIDEENIGDEEALQYVDGDEGYDDAGQDDEEPA AQLVDEVSDDEEERRARALLRQPSPHVVEVEDVLQEHRRRNRANKPPRPEALRKAAVS QGAVSQGLTRENNEASDDDEVLGEAHIAHKKSSTSSAREVSKHSVASFTGYWKDVLKI ARKLMCLYVVEEVPFPTRENHLLVADGCVKMAVTVFERMNTDKVLPDKKKTLLDRNTA VTAFVYASTFRGRLKTMIRPLVKNAYGLEVPSEVIAANPNMFENQMGEIEYIKDRVAY WLLNGKYHRGVAKTRYHDVPFGHPFVKKICLDFFYHPTKGVAVPIKGLETKTDFFKTS LPHKAFALVASCIHNCLEEWRDGIDPARGGPCSGIEFKGEEYSLRYDACMLVAAEAEK DTLNQGPRLARLCREVAEEGCAIMRPTKNPPNPYRMTLHSIPQEELDYGPEG JR316_0011322 MSSYKRRRLDSLGNRTDINPFIDIEAAVSDDDESSEELDYEGGQ LLNDNDEFSEDEERVAHSRLYHAMQNTDNADEWSDLLPMLLPSRMKICPDNDIEPSSS RELIQKYGNPQPGGLGDNNYMPSATDIMYEIGCKVGREEAVAFKIMQMSTNPTFPIIL ARSVFAQSSIPGRIYVEAPSMQHAHTLACLVRELNPTHLVRLSSERCMEILSHPPPSR PEDQSWVKVAGKRKAWTTYANATGLVFTFQGRKSVVLIPRPPDNIKKSHLDRIFQDGF IITDFDAIDLKYLSNVLPTSSELEQFRECPFVTTETLAQASKAISMTRLKRYDRVKII GGEYLGLFGTVKSVSDAEVEVHIPSQGITQAVALHDLRAAFQIGDSVEVVEGDHKDLH GWVSDFDGRSVCIIAPEHEREVIVPIHTVIFYVPPAHATLRPRKRHSSKLGERDHNDV YIGLSVIVVGNNTFKGYYGIVKSTTPDGFADVELEARNQRVERIKISHLIIHNREHIN SAQDPGPSGGATPMPSTVASFLSPAWNPYSAIPVHSAVEIAELPSTVAHWLDTKYDKL KGLRLKVVDKSKGDHQVAMELLSLTDDTAHLALLGRTLTLPKSVLFPIHPVKKDDFVT PLEGDSMGIIFRIRSIDKDICVVHKYPVTRMKRGDTFPTFPTTSLIQIFPPSRGVKVV NM JR316_0011323 MRAAIKYRQSLAALQNSIVETPCVTSATWEQAGSLFAAYRLLDL VALWPAAFGPSLPVTVPLNEIQASTGTKEIFSRFKLVDDLKDWPAMLCSARKRILRAD SKSHRNINTPSISDTPSSAFTQGGPSESTLLLCLARNHFKGVVGKIIGNVYCASLHYQ ILSGMRGMKDDEHVLPDIPTDTATLANLYASGHPDAALFLSEVTPSHLKIPLHVALFI SPILLFVNKSWYSKKCDREQLLKASKALGNCRPRVLREVEMEIWKIIAAQNCDIQSAL YKLVISDCWMECERVIAQDPAFHFFISSTSSAEVTANSNLARRSTEPHAQLQTVSHHG EKTTCEGSDADAEGDDDHEVDTTEMVSMGQRENGDNTVPTSNIQPPEDTSSVAGKDVS MGQQENGDNTVPTSDIQPPEDTSSVAGKDVPTDEQPGLPRSDDIGSGLLQTENTGPSS AGDCEMNVDPEQADVDHQMEDGPHTNGPENLGDGGAGQGAEGSSDEANRMSEVHEGEK QGQDGERPDEENRMSEDGEEERPDDSSSDNETEKQGQGDVPPVQEDRMSVDGEGVGPE QNNDSSDMERNTLEDSQGEKEGENAPPAPLILRIPPVSQINRSKNTTADSSQKGKGKG RQGLSKRPPKRKPTQATDTFDSDDDLCIDVDLYDSNTTLDVVSTPEKVYGTKVWSTYN GRGQLKSFCVVAHSQADVDRVERVLELVESDYVDGVPLHIARPEESCFAVFDRSTTKS MYLMESFSERNVVLMSPSQEESISTCSDEFYSQVRRHMGDMTSTRSIQDMSTMSSNPI ERIKRGSLSQVMEAARMKGKRGKILNALDIPLPHAGAHSFDLSTEAAALRATSGSWKY STPVPFGDMSWGLVATEGAFSDIHIDANGFCSFIQPLHGLKLWIIMYPRRLDVDLSSD RRVFLGDKLDYGETHHQDWIYEAIVLDNQSELFMRPNTLHMAYSISSVVCRGGHFYSS TSFEETLTGIIHCFTAGYRATNTNHSTSRFFLQQTIHWFYKVLVEGDSDPEDFYEMYH VPFYSTQSGYSSLIALCVCMILANALDYETYRNPDQMFSTKTSAQLDAWMRWDTNSLS DEERKACIFARGEALAILEWLCHRTKSIHYMIETDKNKDAQNIMATEKFHEMILCRYA RMILAYDAEAKHNNIGGAPCCTDTSLLFVQLQGVCSGELNKVICRSIDQLPRSSVPKM LKLPVITLIDCDACADSSTQLRTPKEILELGTSVRDKLYLDGMKVKLESTNPIVCCSY RQTNTQILAGMKASGFTVTASNRSSSTSRSATPVSRDPSPEIGNFMEVDYIDNFLGAS SEMEDDPPPKASKYNYPYVKEDYNGAGRAFGTGLTFMDQLKQDQFEPQRAENLYYPFA SKDEWELSLFLLRSDMSVGMLNDFLKLELIKKLNLSYKSAKDLRNRAEILPSGPQWKS QTIIPEIPSKNQLTLFYRDGLECIKALLISPLLQDSMHFSPFKLFDKCNEMMRVYTEW FSGDIAHFMQYNKVPKDQLPKGATLVPPIISTDKTNISNMTGGRVAYPGLISIANIMM NFLHRSKAVNGMMAARLYHQCMDIALESVKQTARVGTTMADALGNNRFCFTPLAALIV DTPESALAACVAGSTSSVTLAQYETFGDSFRHPSRTADHTINTIMAINNVKPPNHLEP YLKESKKHRLNGVHLPFWRDWPLSDPSAFLTPEPLHHWHKMFWDHDAKWCIAAVGGSE LDFRFSILQHRTGFRHFKEGISSLKQVTGREHRDVQRYIVALIADTVSTPFILAIRSL MDFRYLAVRATL JR316_0011324 MSKTRNHIEFTRKKGETGETLTLAQSQTISEAMCLRIEQALQDF HANKQAILDAGARRGKKNNPIDNFYIPKLEFLQSVVHAIRLNGCAIQWSADTTEHAHI EVVKAPSSSSNNQRYEPQVCRYLDRRDKLRNFDLFTAIREMRIDFRAIHSATITDEEE QEEGDEGEENGEVVMDTTSELLSTIMPMTTFQSAKSNRIVDYFYKASLYERGVLEGPV PYRTFSCSKNVVAHLSRDASSKRLHIDEVASIFKIPDLRPAIADYVSLINKESNPRQT NSRGYHIKGITGRRVSPPGCPLPYSKLEVWHKVRIQSTAYQYPHEILEAVTLNAYPPS NKHPFGYFDSAIINVDESEEWPRSGLQGHSVVDIRIIFRIVGETPSTVSPDITGRFLA YVQRFEVLNQPQSLGSAIRGPYPEPITGMYKLKQSQRTDNTIMGGILPLNQIRSLVDL VPQMGEKARRSLTTHNNQAVSTLSEIWHPQDIPSVFLPPAKVGGSSFPPTHSRPTSKQ ISSDLQSIASHTHPIHPHNSHPSVSGHNPSPTLLLASGTKSDQILPLKSFVYKVLRHS RTSKNVLQIALCYLESIRPKVPQILQEENIGIRSYAQPKSSIQKATPEELAMDAELTA LENSGKINIINNFIDNSMQTFRVADSGSQDLAESCIYPQDSLSSVDVQVSTAPLSTTL SLPSPLLCPRRAFLASLILASKFSQEKCYSNRAWARLSGLPPREIGRCERALAQALQW RLWVGKCAFGESAATAT JR316_0011325 MQIISYEEILRIEAEVLAPTFPDLIHPTTFPEAASLASQRQQYD LEMAQLVEQTQKMVLLTENRLILAILALFNEINWTTLDPRLLSLAKAKITEGDQWLKA RAEETLRDADSGSPEHILTQGMSIIANGQIHIRTVEDLIRECQDH JR316_0011326 MTHTWVEFGISRMETQFQLWLDEVCDDRSVTHATDKHVLHIKIG PTLVPADEYALLEFVEMHILRHPYLQSVNVTAFLGTWSRRLHDEIEGRLNLTVTFRVR LVDPERYLEYTQTSLGSPVPLRNKPSAFTRTPYKASVTFALDGISRRSLLAVSHLNNI SRLTVRGPYSTKWRSIPQHIARASENIVNFLVGSREALRDLEEVTLSLDFQDTIDPIL VELQQNTSIATLTLTTPTRSVSPATILNTAVAIQSGMVSIFPKLVELILPTVYVNANN RALGNVLDSRFEFCTDSRVADGGVLTMFYNGSGLRLVSRKPLIFLPVKLY JR316_0011327 MSSTVPISGAANVTAPTPQNTPLNQAPIALGLSRPTVPDITEDN EGEAAEDEGPSLAGLEQHMLGMVQGKLADLLGKSSGYIESLPVEIKLNVEALKGVQVK QNELHNQYKKECLELEKKYLELQKPLYERRSAIIAGKAAATTEEIEAGEEASVKEDED YAPLPKDVKPSPSGIPEFWLTALRNHVGLSDMITDRDADALKHLVDVRLEYLKEGDEA PKETLGKPGFKIIFEFEKNDYFTNEVLEKTYLYQEEVGYSGDFVYDRALGTNIKWKED KDLTKEFEIKKQRNKNTNRTRLVRKARPTESFFNFFSPPVPPTEEAIENGDYEDDELQ ELEEKLEEDYQIGEDLKEKIIPRAIDYFTGKALEYEIMDDEDEDDYEDSEDGDDDDDE NRYEDDSDSDNDVPGRRRAPQKGRGGAAGGDKVNPEECKNQ JR316_0011328 MSVPVDPGVDMKLLHSIRFVSYFDVIAAALYIWDYLITFDMEVD LVWRSKWNTMKVLFLVQRYLPFADTVFLVLFQKVGRNLSISDCQQVYQALGCPFILKQ TREESADLRCRDDAIGDSVMRNTSRSTSMGRLEPRAPRNYHLVHDLYRIMGS JR316_0011329 MTGPNLVSLAFVNMWGSKNDIGDITTAAGWEIIDCSPEALDQDI RIVCKEDSSVDCSRLFNSGAEGKIVRLPENCGRSAFAVVTDSWVSEDQSIPPNLAASL SRRDGSASQVKALRLTTKFDSVDVSKRKNRAVNFAIQAANFPGAAGNVQVDTSRPRRP SRIAQRGFSDFITNTLGSIASLNNFNVDKSTALDPISVNKTFNLIDQKVSCPPVDASL KIDVTTDAQANITIGIAASGIFVPPVIQEFAIITSMSAELNGSVDVAAGVTGTLDSGK INLLTVPIGGIDFPGILTIGPTFEVNAQAIAKLDVDLGLTVGVNYKVDNAQLVFPPNS NRPSGGSFNAGNTPLKLSASPNAKATGTLEAHLIPSLNLGLSALNDVAKASIFVELDG SASLTLGLEAAAEDIPILNVSSPAEKSKKGIMQIRGATQPGHYFWSPPLMPDEKVSST IVDEKVPSTRTMMEGPSVVTVTVTEKAGHWTTPSATPTTWDQKHPAATTIILNGTPSG YSTSASATPGTIWGGNLPSPYSSSSRGLELETTSKLMKATATGTNTASAPASTATGGT EGSGSFSGCVEIGAGLDVNAGADANFFELFNTGTKVSLFSKKFELFKVSYDSSRYEAR GVVATRDIVSDLLCPAADTTAAQTIVDQIIAAADIKAV JR316_0011330 MAEATPHTSNAEIIANVEPLYQVKLLAALRNGDPSVIHPFLAEI SKDKRKSVDGDIDTGAAALHLAVRCGSVQTVALLLAHRAISPNGIHPPGSGTTALHLA ASLGRADVVNLLLEQENIDDSIRDNQGRTCRDVARNKDVIRTIDDSRSLLNTTYRTLL RSYIFSPINDPPVPALIQFLESPRIKFVDLSYLDNDSGTSLLHEAARRKDLRLIELAV RAGADVFVRNRKGKMAYEGTGKDDRVRVFLRQLANHDKTLIQNSAPLTEPPVLKGYLN KYTNVAKGYNTRWFVLRNGVLSYYRHQDDETVASRGSISMKTAVLHIAERNRFEVHSL PSKGSHNSITQKWYMKANHPVEAHRWTEAINKSIEWFKQRENGIDSDASSINASRRRS TDSEHSGIKSTPSMQSQSLSSHLWRKSAPGGSGRDRDSMTGSTYTYIDSADASPNLMQ NDTVGPSAASHHTIENDGGEEDNDEEDSSSANSDEKTPPHSNFELQGSATSTQLELCA QLASNLSFTRDTPRRVLESHQALQESLRITQNLLNDFLQITREREDWWAKQLKKERAR QHFWEESLATVVKEGETLERELRNRSRKRGSRVFGPSAMGSFHEKKRPTALGLTPTSS TLSQPLAEEPPSPQADGPPPPSAIVNTPKYSPPPPLPIPSFAVSPPTPIDPIPHPVAK KSPIRSPEIAIGRHPSPMSLDATAINLTQDSVIDDEYERDTDDEDEFFDAIESNNLPN LYINEGLKSPASDMSFSPTQAAEPSPAELLSLATLKGPLPQGTNLEQYAGYAHLRSRL AIGSDQRPSTSLWSVLKHSIGKDLTKISFPVFFNEPTSMLQRMAEDMEFSECLDVAAH ESDPLRRIAFVAAFAMSNYSSTIGRIAKPFNPMLSETFEYVRLDRQYRYVSEQVSHHP PISACWAESPSWHYYGEVDAQNKFMGKSFEIRPTGVAHANLLLPEDAAPEYPRAQGEL AKGKVLEHYSWKKVTTNVSGFILGSPTIDHYGDMVVTNHRTGDQCILTFKPRGWRGKD AYEISGQVIDHNGQVAYEIAGRWNSQLVAKKVGFGNGQLHPDISMTGPNSPSVAPEYI LLWRNSDKPAGSPFNLTPFAITLNDCPQDTLRPFLCPTDCRLRPDQRAFELGKYELAN DLKSAQEEKQRSIRRAREEGKLAPHTPRWFTAETDGDTGERVWSPVRTHDHKLEYWEE RERVWANGGGATWKGVDPIFIEEPPLISELLKKTAKY JR316_0011331 MAHRINYYILSLLLLLLPQTFVLGNLIPRKTGPRISTTVTLDYG TFKGRHNKTSGIFSFRGIKFADPPTGDMRWTAPVSPPSQNLGNVNATKFGLSCVRTNQ ILVKNETSEDCLFANIFVPNNTHVNDKLPVLVWFHGGGFQIGTTHEFHPDLLMASSSQ PFIFASFEYRLGQFGFLGGSEIAESGAINAGFLDQRAALKWVQRYIGQFGGDSGHVTI WGQSAGAGSIMYHLMANNGDTEGLFHAAIMDSPPLVYTPAFNDDYDEGIFQQFAELAG CGNGTTAAKDGQLNCLRKLTSTKLAKAGSSLLAARPDTLFLFAPVVDNILIKERPVEA YKAGRFAHVPVIAGSNTDEGAHWSSTVPDPAANTSFPNATETTVFNFLVGQYPSLTSE TITTTGFSLYPLESFGGNVSLQGQQMYGEARYICSALMSTPAVPAFGNSAYQYRYDNP HLGSHHKDELQAYFSPSLDADDDDLALFETMREYITSFVTTGKPVSKSGPEWKPVGSD SGLSRIVFNPQNSAMEEVDNALTTRCDFWHGITDEVLT JR316_0011332 MMKSYTLENWDGRLSNPEPLDTQNSTQGPSSIWDSRSHVLAKQS EKVKATNDISTAITESIHHNNVTNYSEAAISSSSTNDGSNTGRKARVFGLADYENTTE NMSPANRMKPTPPDEAINASNRSQKTSRIFVPVENSLLHAFHAHVAPTSPALAKKLEA TLFRLPSPTPKRDIEVISLHSTDAEGDDDDEVEVIDIQRLLVSPTKVNTEPENRVPSP AYSGHNSLFSPSPSERIGSSGPLFTPEPPSAKPPPVVKRQVALYVDVPPLRGPISAYK WMSHPTKKARSHKPPPVTYHGTLEDAYLALAENSKFCDVRSATKKAKKKKKPEELESK PPQNAIASSSKHPIVIDLTSNVESSSFVSKLKIKKRVHKDDGPYQNPAKKQKRGHTRV DIDLTTPDGGNSVAESTPQPVPIMPQPKVGISKSVITDEKENTGPTVLNVKMVKPIAF EMWDFNIRHLRNWIKQQRHSNSFSPSATDGSPSRRRTGSLNRETPWFYRIPAQPLLDE EEISVGGKIPTSWDMDGGYTDDSDEDEFEIAQSHPEQQEYSPKIDLAAQYRKKEKEKS SKGKTVAKPQSHGAYKSISPSSSTDSTQQSQPTQSLTQLVKDALGTVPQPGQSSQLDE ALGPSTPSAKALGKRKAIDNEPYEADRPFRTFGLLSSHHDATSQFQSNVSNTNAGSAH GAFRKSNSDDIFIHNYVRSPSPILQPGSSSTAVPASLDNNGQILSTLYALSDGLDHDK APFASTFDGTEPELGYPWDLGVPPQNEYQYETIDPSLLVESSVIEPEAPEISEPLLEY ADDFPPSDDDNGDVGTQSTAPVAGPSGVQARSASSSNIVFPSSSSENTPDREYEPPQA ASIYQYLKKRKRHVSISSDSSDSDDDTPLADVLAVKSREKPTSSASVVPDNDLERPAK RKKRRASSSSLNASDALPDIVYVSSNGRHISKGKVKAVDKALADEECAPSMKTSLPRI ALPKRKSRRASSTSFHDVDHDHGLARNEHAPSPTPAADVGVKDGLQENEVKLDKETVR ILPKRQRTQRKLQDFVEVDEFLRKEGFGDGLPLPPKAEVAPKPARKERGDPLSSKDRY RQENENWPREEVSLYCHQCRNRTSILKMVCPACDSKFCLRCLTTRYPDELFYDGIEIV WADNCPRCLEFCNCTACCKKRGETYVSSRKRPPGNRPLPSSPPPDILPHYTLPASHTA QPRHATPPDLTQNAQYFATMYDLNGKRVGATYTSVDAFSNIGVVPALPSIPVTKKAHI FVGAVQRSWGISKRSVAKYLHSLPALKQTKRAKKKSKEQRKLRHFVGSDTFALYRPEP KPYDPFGDLSSLSSLSSSEDEGEEPEADVETFGPPNLSNDDLSFAISLGLQACGVVRI DATGPVHTFTSV JR316_0011333 MLSTNSWLLWATWLPALLIQAERQPLHFLRQESPDASNITHSLD VSSCPGYQLHSLKQTNTGLTAKLGLNGKACNAFGTDVADLTIQVTYETNSRLHVNIFD TANKQFTVPESVVERPPAPSKSFQKTSDLVFNHQPSPFAFWITRRSQPHAAPLFDTRI ASLPKTPIPAVIPDDNSTALNGFPLVFEDQYLQLSSALPLNTNIYGLGEVVASSGFRR NVGPNGGTIQTMWARDIADPVDENIYGSHPVYLEHRFDEKTNTSQSHGVFLLSAAGGD ILLLTPPQSNVSLIEYRMIGGVLDFYFLSGPTPKSVIEQYGTLVGLPSWQPVWGFGFH LCRWGYENLADVRSQVTNMRAANIPLEVMWNDIDLYHAVRDFTADPVSFPPDEMRTFI RELNANNQHYIPIVDAAIPKQINATDIYDPYTKGVEKGVFITNPDGTEYVGQVWPGYT VFPDWFQKNTQDWWTESFRNWSKLGIEFSGIWLDMNEASSFCDGSCGTGADLSNTSPP FILPGEPGNPVLGLSIIVDYPECYNSTVHGPSGNITVNGTLTCNLDTATVALSGLSRR GLGAGKQTNVDLNTPPYAIHNGNGRLSIHALATNATHVDGQVELDVHNLWGLMEEKAT NIAVQSIIPNKRPFLISRSTFPSSGKWTGHWLGDNFSLWSYLRYSIAGVLQFQLFQIP FVGADTCGFNGNTDEELCNRWMQLSAFTPFFRNHNIKGAISQEPYRWDSVANASRTAI AIRYSLLPYWYTLFANSSTTGTPPVRALFYEFPDEPELFSVDAQFLVGGDILVTPVLL PNATTVSGVFPGRGSVIWRDWYTHDVLKTTPGQPTTLSAPLGHINVHIRGGSVILLHA QPAYTIEETRQGPFSLLVSLNAQGLAQGSAYLDDGISNPPGPSRNLVFKATKNELTVS GSGGFQVGPKLQDITILGVGSKPKSVSANNRIVSSWTYAPQQAKLVALGVNVTLNQPL TLKWN JR316_0011334 MTTPSGRRTRASIDAELELLEAQLQTLILRESDIQKVIDHAQRM KDALTLERLSTEKQKAELEAQKEPINWLPVELLIHIFSAYAVLGYSTDNPHPSVTVSH VCRKWRDIVLDAPDLWRRIVLRGFQRRAPFRVYLERSMDSPLDIDYTTMPRISTSEER YQVMKLAEICNPHFRRVESLSIQVKNAIAFLPFILIIKDHVKMLPRLRHLNVAISAQD PSFHDISSLLDADRIALTNDTVFIPSSSNLRRLKIEKIPLFNFPASLIANLVSLEIVY SPRSTTNHYFVKMSSLCRFLTLTPRLEELVLANTVPYFDVYPSSEAPDVSARENKEEM QPVNLLHLKSIDWTFPFCGDIHRLLSLINAPGLERLDLWVNDPPRRLDIDYVRGYTYT SSSYSLRNTVTYPSLRDLSVQYAGEEASSLSLRNFSFPALEKLAFTNIDTAARRPGGY MPSLPVFPRLESIFRDPRLPNLTHLTLSHFEIWTEVGRVEAMLGYMPLLTSLSLDACP GTCKLLNALQEQVVPATNRVQSARRGAVRGVKLCPRLEALSFWGCQDVDFATLLAVVY SRNRSASGFAEETTHKDESATPNGATQAIVTRGLRDENNGGASHRTEPAQMGRKIKPL RKLRRDPPELPGAPSAENVAAATRSMATVMIHAARRPAQIIYLRMTDCKLISEEEAIQ FKSLGVVDVIWSGSDQ JR316_0011335 MKDTLTDANFPRTADQRVYHLGVRPGEVANRIVTVGSPSRANTV ASFLDAKPKPFVLNSERGFLTITGRYKGVPVSIISIGMGSPNMDFFVREIRESVSGDL AIIRLGSCGGLVDIRPGTVVVADACVSISRNVDFDFANPESCDEPAYRISKPVAADEK LTNELVKALHAAKPSTSLSDIISGTVNASADRYVDKTSCSHQKFSDDGPVFASFYSSQ GRQTSFPDHNEHLIEYLQKMVENLSTLEMETFHLFHLAACWRARSAVSTVERTPLTTG PVTPVIAQSSNPTPQIPPTPQALPNSVIRAAGIHMVFASRKSRDFITPEQVKEVEEWT GQGVLNALVNIDIPEDQMHTSEGSVWELV JR316_0011336 MSRYSGNFGPSSNSTTKSPDSFQCTTVKSEKQDDRASQSDSEDG SYALYGDAHKLEDLEDESNTALTSQTLNADGTPKRPMNAFMIFARRRRPQVSAENQAM RTGEISKILSKEWVSMPPSDKQFYLEQAKQLKETFNTRYPDYVYRRRPNNSRKRRRSD AGGSVRPPDQAHGDQDDMAGSVDLEASPTDVDDHLDVSLPSPYSRPSYISPSSVDPSK YGSHSRGLGHQISSESLFRSNGQYSSSLPPAERMGSSLGSTGSPRLSIGHGGMAYPYG QTAGHNPTPPHFGGQSLNSPTGWHPRVDRSNPSWMGGGPDRLPNPISTQKPYSSSWSG SAELPNTPSSASPSSANYFPTLNTPFYPNQPHISPFQSNASTSPNTLQPQNPTFDSLG HIQGNPMARDYNSRNYDPSSISPLSYPASGNRDPLPYSQRHLPPVQTGSIYPEQSLSS GQGQQSPFWPRD JR316_0011337 MSPSPHVVPIADSTSVFKPDLFKGKVLFCTGGGSGICRGMTEAM MRHGANATIVGRKLERLTQAAKELSEATGQECIAAQGDVRQPSTLKEAVAKTIAKFGR IDYVINGAAGNFLVPISEMSENAFKTVMEIDTIGTFNTIKATLAHIRASKGAYIHVSA TLHYNGTPYQAHVSAAKAGVDALSAVLAVEEGPNGVRSNVIAPGPIAGTEGMDRLSPK GDVPNQPGLPAGRMGVVGDIGNATVFLFSDAAAFITGQALAVDGGALHLQSTFLPYPQ SVIDPQRFAHLIKPRL JR316_0011338 MARRTAAPAQPSYLPHPQHGGSLTDPNEGAFSRFLRTEVFAPEK LPGNISIASALGVFFGGIVLIRTCGEYLVPA JR316_0011339 MRSSNPLSFLVPILPILLPSFAQDQGSNSSESSYGQECVQALQS AGFTQLATVLTQVNDTPPAQALFSELSSGRNFTLFAPIDQAFQNVSSNVTGNSTLLAE YLSYHFVQGEFQNASYTNTSSEGGGGGAGGATSSMSSETMTSSSETQSSETSTETASA TTAALWGGLFGRQDQGGGSNSSEGPGPGPHPQPYAGIWQNVTIGRTLLNSSNLVHLEG NKSQVLVWTRYEQNGNISILNQPQNVTVVNSTVWRNLFINGVTGVIVPPGNLTAALTA VNATAAQNLVSTIQIPAENGTNVTALEALESARGITLFVPNDEAFTSEVNETLSGLQS NQTAQTNLLQNHYINGTSLYSTEITNSTQGVTAAGEPLTFSRNETGLFVSGTNGSTAQ IIRPDVLVQNGVVHIIDRVFVVESSNPSEAGTA JR316_0011340 MTEMRKEFTTHSAAEDWKVGLLAKILERAAVVAVAVTVLSPPPP PSSVLVEVSLEVDDEEVSEEEDDDDSEEDEDDSEDEEDEADDEEVPVEEGDSVIVEPP PTPPTPATPIGPDAVELMAACDEADS JR316_0011341 MHSAASALGPIRQEAGYSSSSQPLQRKVLPAESELMLLELGGPD TLKLGKGVCFGIEDDVGNNDSEVFGGKRKRVLMDGNEALGMKPKPADELKVMTELDDE VLNTLDDEVMIELGDEVLNEVEVEVLASPEIGLASWASKSRSKSKGGISKVCLLGRNT VGSAVGAETGESMRKAAEPEIP JR316_0011342 MQVAVEAQVASCTRIRPTPKGSILNAIADQHFPLGPHPGMNTQN AGYIALSPSNDENQDYPPSQEATLLVNPNVEESRTIQIHEGTFTIHPTTHHYSYAYGP KGLPGLRHNKYALLCAFFASIGGLEFGYDQGVIANVLVMEDFMLRWPVTPLQKGIMTA VLELGALTGALSAGVYADRYSRSSAIIFACVVFCIGSSFQCFAQSLSHIFIGRAIGGL GVGALSMLSPLYMAEISPPEVRGSLMALEQFSIVLGVVFGFWTGFMTRDIPGSMSWRI PLGIQLIPGFVLAAGCAFLPPSPRLLVMHGKYEEARASLARLRLRDASDDGGDMLVQL ELLEMRVETTLIQRTLQRELELDEGTGNTPGKTGLATEWKAWKKLFQEKYRDRTWIGV LIMFFQQWSGINALLYYGPTLVHSIGLSGDSVALLVSGGIGVVQLLAVLPAIVWIDRV GRKVLLRGGSIVMTLSHLGISVLVLIFQSNWSAHPFAVWVAVGGIYSFTLAYGISFGP IGWVLPSEVFPLTMRSKGVALSTASNWINNFFIGLLTPLFVDFSASFTFFVFAGACAL AYFWATYFVPETANVSLEEVDKLFRSAAGREEGLLKAQIEEELGLRDLVRELAEES JR316_0011343 MSFIPPEIYLGIAHANQVNAYNSIACASWLVYNNIIHFGEEVTF ITNFWTSGRLNELVKVDAIWKARWTGIKLLYVVMRYFGPTWLIYNFAMNAISGAHLSL LLQVVSGFPDVCFPLSSGPMLLSITTDTIILVRLRVWYEAESYKLQYGFILAYYGLIA IVCPSVLASSVTANAPVPGIRGCVPPFIAQEHLYLAKTPLMLQLSMNIVILALTLIKF LQTIYLKDVGKSTTISFQSLFGIFFAEGVLYFVGYIGTYLVSTIIIWGKPALLAYVNV SQCYAVTIDLFLILQLVMTNIFRHQGSSLILHLRIAGRASLRQPVTFNNCTDLIFRID QQLDAQRQSVETRVN JR316_0011344 MIWSLLTAFVIAGIHGAATAAGTSGPAACVTFDINWNLLAFGFN GKDYNAGTQDTWTSSSAPTDITTQGRPPFDSANTTCYLAQFFNAIYVLNADSSSPSSI YIYDVAKASWSKQSVDVGTFDPTNFAAILDHDTNVFYSYSAGDMFSLDMQSQTAAIPS AIPWKEVQKPDLSSDPDGTSTPGANTAGYEPVMALAQNHVHFLGVPGLAPGNAKIFVI HFSFMQPAPQFYGTAFPDTHGKAASFFLDSGVQQEFAFIPDDGSATYVINVESNTTKT LSGPPQRDPFASYSASTSALVQLNTAGQVAFLAYDPKTTTSGGTWATISKLPSALSSG SSSNGSSATGNTTGSSGSGSGTNSSSGNSSGSSNNNKSDAVSSIRLGAGMFLAAGMTL IGMLSL JR316_0011345 MAPSVAQIESVVSDPIQVAKENLKTQAAEKTGEIEYDTSKTPSY PAYLPYFDVNEKFPPLELFDHVDPGSRADPKKPHLLTPNVETTHISPYLGTEVKGIQL SSLGKEGLDELALLVAERKVVIFRDQDFKDLGPERQIEIAKHFGPIHVHPTSGNVKGF PEFHVVYRDAKHNRFQEYTGGNRINHTSWHSDVSYERQPPGTTFFFILDQPEVGGGDT LFLSQVEAYNRLSPEFQKRLEGLKAVHSAVYQADFSRKRNGPVRREPVETEHPLVRVH PVTGEKALYVNQGFTRHIVGYRAEESEYLLKFLFDHISKGADFQIRARYEPGTVVVWD NRVTAHSATPDFSSTFRRHAVRLTPQAEVPSEKF JR316_0011346 HQELSRLLCSSWVAPLMAMDILTSPTFIASASLGALAVYYLAGS QKRDSRHPPGPRRLPVIGNAHQMPDKDPWLVFGEWGKRYGTWLSIEA JR316_0011347 MMLRVLGKQVVSNTFQCLSTPRLPNWPCSSYKTSSLCFPLRKRH AGEISSQARSWPARHDEPEHAATTRPLSLPADPRVGLLSRLGSLTASISPYPTHELVA ALPDSKTPRFLLKSSIHPCTSNCVRHEINTHEVTSLNKAFLHFRMVCPLKTMRVKTH JR316_0011348 MDLESSYPQQSTRLGGPLLSHVSERTFDVPMPSQPREKEVHTLF NVTTPAHFHESGSATPYPVDLISRPRKRGRPLGSKSKSRAVGEDTDSSAHRRPVGRPK GSGTKAQQTPVVKKAVGRPRKSKPQISIEFGRVTIPGTFQPSRPPSGLPFPLHRGNSM PNLSGGSPLVSVDPSTRQTLPNPLFAQNPVLPNVSEPTSLSTIEIIPDEDPQRPVELA DEDQDEDEETGMSGEGIGVDVGFEENQDEKGDELDPVDENVEDSEYQENTGRRIRHAR PVWLLEAFEAKVLESAPEKRDKHGLPPLYSKNCSFWFPRPAIYFILQRNKPSPHELWD IRFYLWDPQALFKRIPCPICKSTLQRHANISYPRRCIDIDSEFYLIGYRYRCSVCTHP KSGKHTVTFNSWDSRILSALPQDLAAEFPAILSHRSAISKRLFQYVRSSFQNGLGAKQ ISDTIRVHHLLKYDELHLQYLNQLAGRKLDKWREEKYMSFPRFDDISPHGRHGFTPSA QWIRNMYDRFIMEHIHDFNQHMSMLSAEICALDHSHKITKHIAKVNGEEIFTGLLTVT NERGEIRSCSLVATKSHSQYQLALKQMLHSLKMYGHSEPKLAFTDNVASDKAFLEDAW PSLRESVVPVEKYPDLEPLAVPTPPIAIFVKSTASAIDAAMASILDDLDPSHPDKKIV IGFDSEWNVEVSSNGHILHRGQTAIIQIAYKSSIYILQIGDMLAGGTLPSQLRILLEN PNIVKAGRLVEADLKHLQTASQSPKPFVGALDLAKYAKDKMVISSARCSLADLCALVL GKRLNKNVPERISSAWENEILTRDQLAYAACDAYACICIYNKLSTLETPQVLPSQFNA GIPVLLFNTDKASVIARGKISSHKDDRQLDGINITTTRTAVDIEEVFVPGALISSHRN CSLASFGTPPFTLVCLRSHLKTFSPTFWTHHSSQSDSSSRAQTSPMSLDQSSNQDLLT ASLAELDDNDLSLDATFDDDSIPSIGNLVVENHRPTSAPPITTDHPRNIDPESASLGQ QVLDQYPNTWDSTIRSRVLKDVFHVFNMFHISSTHGLRAEFARQLRDAIFIPDGEDRA KISAWGAMQTPPLTFEQIQKLRPRWLWKRCKRIIPPADILHGLLKKVFETFGPLKDAT TGAPLFNFNDWKTSGHILDLTRRGFISDPPGIPLYAAIGIDSAAPSLTIYRCFRGTNM TEGGVHTHLRSHLPSSGASVQHVYSCLADFTLHHNLVVGTFNSTGQKYRSHFSIWLTN SIQERLSFLHDVLINPVEITGWVNGNLYIPTTETLGILPIPTSIRIATGMSEFIPSID KKQRHAFLAQMQHTRKPILPIHNDIEKQLFRDLMQANPDYNSLTSGPIWKKAVKVWNH YADINSEISYKLIEQLKSYYAHWKTNLNVKESLFTTYDIRKPLAAILSNPSRSVTAPR VPEKTLKPLSVDDGLLPTSVSVGTFNTNRSEVHGNNKDTPVHDDQQTPLQSANSDLLL PHSTTRKTFTTATNLAVHNRVNREALSEKRVREQIQRSHALDKGKRPRTCAKCGSTQC SGRQRVKHCHNRCRDCGNVKCKGRYTKRPDKPCYVGWVDVPEERWPKLT JR316_0011349 MVANDFSQSMVPRYFSLQDKESARLVRNLIKDPSKFISEVNLRI GIIILRVTYGYYVESADDPFLTTPLLAMANFGKATQPGNFLVDFVPACTS JR316_0011350 MTFWAAMILNPQIQAKAQAELDSVVGKDRLPTISDRPRLPYIRS IMAEVFRMGPSIPLGQFITCAVFANADKVHPPGIPHAVSEDDVYEGYHIPKGAFILPN VWHMLHDPEVYPDPMEFKPERFNGSESEMKKATDLVFGFGRRVCPGMHFAEGTLFAII ATTLATCHILPGLDEHGKEVLPKFAYTPGTITFLEPFPMRLKARSPDAISLLENVPSD VE JR316_0011351 MYEKIVQPLDGHSITGEYIPALHGFGGNVQASLPELSFPIDARV LATLNQPSSEFQYNNDTVGGDQIVLGVGYVQNSSREGTRSSAYTGYYLAAAARQNFVI LTSATVLKLVQTGTTANGLKSFRSVQYAHGTLTLTVTATREVILSAGAINTPQILQLS GIGNAVDLRKLNITVLINNPAVGSNLYDHTLLPNIFVVQDAVANQTFDDLLRNQAQIE DQVNLWIANRTGLFINNIVNHFGFSWIMLDPGEEDPAPGPNSPHYEMIFANLFFEKPG PFRPDEGSYFTVGTMLTSPESTGTVKLASEDPLAPPIIDPRYLTEVFDIIAMRESVKA VLRFTAAPAWSDWIAGRFGAAFQNATDDASIDAYVRTLTISDMNLVGTASMSMVDDPS GVVGPDLLVHCAHAVTRRRNECNEVHNLEEFKWKR JR316_0011352 MAPIEALLFDVFGTVVDWRGHVVATLQALGARYGAELEGTDWGK FAQDWWSECLKEIGRIATGTSQGSLNSDILHKQILDEQLNKPEWAHVGRLWDETARQS INFSLHRLSGWPDTVDGLHELRKHTITAALSNGNVRLLVDMAKFAGLPWDMIFSTEFF DTFKPNPKAYIDAARHLSLPPEHCALVAAHIYDLRAAAKVGMRSVYIRRPGEDIDPST GEYVDVKTKENGGDVDYVVGTFLELADVVKRVNAGK JR316_0011353 MAPIEALLFDVFGTVVDWRGHIVAKLEAQAARYGEELAGTDWGK FTQDWWSAGLKEIGRIATGASQGPLNSDVLHRLVLDEVLDSPEWAHVGRLWDETVRQS INFSMHQLNGWPDAADGLHELRKHTIVASLSNGNVRMLVDLSKFAGLPWDMIFSTEFF DTFKPSNHTLLVIHPSRNPKAYLDAARHLSLDPECCALVSAHIQDVRGAANVGMRTVY VRRPGEDIDESTGQHVEVQSKENGGDVDYMVGSFLELVDVVKRVNAAE JR316_0011354 MVQTGPTVNILTPSDTIPSIAENLNISSLASSPLSMPNLPTALS MTSSLGQNFSYTSNISTNLPPETIQITFTTSNSLQSTTESSGQATPSSSHSSISRPSA EVAGSLSSKSFTKACFCILLGNTTIFETISLIPVSSIGLALAPNHNARFSGYPTMPTQ TYTTMNQRSASSPETTPSTKGTVSKNEQRLFVDLGIAASIFAVSIILLSIFLSRQRHW HRLKRGVISTRAISLY JR316_0011355 MYRRITAIALAVALWAARGGAVAVVGNPADLPKNAAYDFIIVGG GTAGNVVANRLTENPSISVLVLEAGVSNIGATDTIIPSFCVRASPNTPFDWNFTTINQ PGLGGRSISYPRGHILGGSSSTNYMVYTRGPSSDWDRYATISGDQGWSWNSIQPYIKK NEAWTPPADGHNTAGQFNPVFHSTTGINAVSLSGFPQGIDNRVIQTTSQLNEFPFNLD MNSGNPIGIGWLQSTIKGGSRSSSATSYLGPSFIKRPNLHVLIGAQVTRILSTGKKVE LVLYGYGATYLFFGSTGPRFNLTAKKEVILSAGSVGTPHILLHSGIGDKTALQALGIP SIVNLPDVGQNLSDHPFLPNGWLVNSTDTFETAARNATLSAQELNQWNAHQTGPLVDT IVDHLGWFRLAKNSSVLQTGSDPSSGPNAPHFEFLFANGLPIPNPPPSGNFLAVATAI VAPVARGNITLATNNPFDAPLINPNLLGTDFDLAVMREAVRAAIRFVSAPAWSNYIIT PIGGLEDIDTDDKLNAYIQAGTTTIFHPFGSASMSPKGASHGVVDPDLLVKGTSGLRI IDASVVPIVPAAHPQFHVYMFGERGSDLIKSCWNI JR316_0011356 MFPGHALSLTLALLAVSVNSVQVIGNVADLPNISFDFIVVGGGT AGNVIANRLTENPHVSVLVLEAGVTNVDATNTIIPFFCPRASPGTPFDWNFTTTPQPG LGGRSIPYPRGHILGGSSSINYLAYTRGSSSDWDSYAKISGDDGWSWKNIQSYIRKNE AWTPPADMHNTQGQFNPAVHSTTGINSVSLSGFPRPIDSRVIATTQQLDEFPFNLDMN SGNPLGIGELDPEYGKGWKEEQLRDIIFGPGLHHPTQLAPEQESPRIRLTASKEVILS AGTVGTPHILLNSGIGDKKALKALGISSVVDLPDVGNNLSDHSILQNSFFVNSTDTYD SVKRNATLAAAQLAQWTLTETGPLTASPIDHIGWLRLPNNTTIFKSFKDPSSGPTAPH YEFLIANGMLGPTLPATGNFMTVSTAVVSPVSRGNITLASNDPFDDPLVNPNLLGSDF DLFTMREAIRSVQRFLSSPAWKGYVIGPFGALATANTDDQLNAYIRAGANTVFHPFGT SAMSPKSSSHGVVNPDLLLKSAKGLRIVDASVLPFVPGAHPQFHVYIVGERASDLIKQ KWKL JR316_0011357 MAPIQALIFDVFGTVVDWRSSVVAELELVGKKSGAEKATADWAR FAQEWRTGYMTKTRQIAAGASGPRNVDVMHREILDELLTRPEWSQIGELWDESTRQSL NLVWHRLNGWPDSVEGLKELKKHTIIATLSNGNVRLLTDMAKHAALPWDMIFSTELFD TFKPNPKAYLETMRHLAVQPHECFMVAAHIFDLRAAASLGMRTVYVPRPDEDVVVDVK SKAEGGEVDYVVKSFIELAQIIEQLNASG JR316_0011359 MKTTSFFVPLFAAAYVSAHGFLNQISINGKVFTGNVPSGKTNPS VIRQITTQDPIKGATNPAVTCGTGSTPGSLIADANPGDTVSFDWRTASLGKWPHNTGP MLTYMANCGQTTCDKFDISQAKWFKIDQVGRKPGSSDWAQVDLFNGQTVSVNLPKTLA PGNYILRHEIIALHLATSKGGAEFYEGCSQLRVGGSQTGAPAAGDLVSLPGAYSDSDP GIFDPSVFDPSAKYIFPGPPVASFVSGTATTGSGSGSGSGSGTPTTNSPPKASSTKAS SCKIKKRPAASPAAEIVRPRHISRVMRRLAFDNSMH JR316_0011360 MPSEPIEAEFARPPVLSKEQEEEFRTLEQENIPAHASGVSTPGS VTYDGNHVQFTTIDELEKLHTKEGYKLVTFEKGKGEDPRDWGKGKKWYVTATTALLCL AVALGSSIITGDMTGPTKEMGISQEITNLTVTCFVMGFGIGPLFMSPLSEVVGRRPIY CISMFLYFIFTLPSALAHNAATLVVARMIAGIAASAPMCNIGGSLADVWAIEDRGIPM AVFSTTLFIGPCLGPMVGGWIGMYAGWRWIYWVLFILLGVSFALTLFIPETLAPVLLR RKAEALRKSTGDERHRTLEEIERLPFRETLKIALIRPFQMLTQEPIVIIMSFYLSFVY SLLYLLFFAFPIALEEIRGFNPGITGTSFVSIMLGIVSASMFLPLQERAYAKVTKFGT FPEARLYPMMFGSNILPVALIIFAFTGAYAWVHWIAVCIAGYLFGFAMILIYVSANSY IIDSYSDYAASAMAAKTFLRSECGAMIPLFVTQMFHGMGFQWAGLLLALVALAIAPVP FVFYKYGHKVRAHSARATQTTRSMGPEAVSEKA JR316_0011361 MQSRAIGELAGNNDLRLQNASVRHTLLSLPASQLHSSFVPTPMD EIVIESGIQNVKSTLNSVNEQISRLRSTLAELETYREDLHTTLLQHRSVLSSQRKLPP EILGEIFLLAADGCRLTWPPTNASADEMPWLLCKVCSYWRTVAYSLPKLWSEVHMDIR YPIAVPSSLDSDVADTTYESTLRLGERFLQTCLDRSGNQLLTFSIKVDGLLDKAHSFL EMLVQHSERWEDVSLHLDIFSHHSILAPARDRVPNLRRLHIGTSLSDSMPPTPLDAFE NAPKLKELSLTRIIHPFHIIRLPWSQITHLTSNSNTFSEGEFTLIMRHTDNLTSFTTH RERILEVASSDPVPLNHLRYLEIVNKGSYIAKTFQFLTTPNLQELHIQALTPFLADQT IPMLTRAQCKPTHLVFHSSLVEEAVWEENFGIIWLLGGLPSVVNLHLTALRSSEEIMS RLSYRRPQTNSPPFLPNLHTIVLEDRHCRSALNIAETLSSRIRTNSTGNTLGSWTFGE KGLKSISLRLSHPPGPAFPELNVLKNFAVKHGVAIDISSSG JR316_0011362 MTSPDDAMDVDEKPSKEVVEIKGPAVVKESTKPKRKGPPSSSSA PTTKKVSWVAPPSDPEELGSSWNSENSTQSKLVQFLARWPPSRTPDEYGPWISVNRGG MKNVTQNLEGLTADFQSLLSGNNVKPDTLDQIAKTNNVLLGKWMVFEESSKIDMLWGK IVNYLCTERKKGFAKVSTFKEDEKHVICIYVDDYTDKEEVTALRKALRGLGVKWKIGF KPDAYTHLSIYKDNPWKIRPSRYMQ JR316_0011363 MSSFLSFLNQKLAGDKASQGRTTVGDNGLVAQQRKEFLQMYQAY EKVFKSAATVDVAWAFKTDRAGELEAAIESFPRTSSIVMEGLLRLANLLPSFGVVVNS WYSIFQLNFARAKEHKKVLFVLLQIQATLCTLFQLKQVNSVSVRSEADRLSLQRLLIS LAQIITECGSDLNYYLSTNTPVRLFYVNFFEKQWLIHVNKLIKRREELQKFVDSILSL HGVRTTSIKDLGEKVMNLNVSETPEETNKRFHIFKARFLARLRTKDELDVISTINERI GNLAQENPLEGQVRLREIFLDLFCKEDLVELVGYVGNCVSKVMDREGPKRMGNDSSSH SHRFNKLLEMVNRILERRIARVQRQIKEMQQSGIKVESPFVISVSKMNGGKRYILVKA PTTLEDPNFESSVEIRIFILKLREYIGGQEGSNDHWVLDYVDLAHIQPIAEALGSPAS GSVNVRNVHQFALSRPDGLRLCTVAEEHTHETPASVDPRLVELACHVAQMQEEVISAN LKDASFNLMCPNDAKLVGGPERVETWILPLLHLLLQRHLEIIQIAQNIVLDELEMIAH NQSLVSTFRTFEGRIKELDEMFKLDEKYDLERQLKSFAYGMFSTYMDKKAAASSEQNK LNTFKNANGHPNHNFSNWKRIAIDTSILVYGPGKPFEFTECDHTLPPPDSRTHPLQDA WHGSTLQIYIKSFNADMTFTGTGEAINFTADIVGKVVPISQENPKGCLMVYFRGRLPD ELDLVDILFKGMYDPDRDIIEGETASYDGYDVDSIASLTFDTSVSQPVTFGRARPYAT RFRWLLNESSDNSSNIARRRWKFATQATLLKVQADMNSVKIVQRGLQDHRNWHRKLKN AGLDDPELDFLKTEMMNYPPENVRLYASLYTYLYARRSHAL JR316_0011364 MKANISTVLVGSNVVLVPYRPEHVPKYHDWMLDEELRALTASEP LTLEEEYEMQGKWQLDEDKLTFIILSRHPLEGSIELPETPGTVSPEDERLSALPMVGD VNIFLHGTIPTTEESVIDEDEFHAEVEIMIAEPSYRRKGLAIEALQLMLGYATGKLQA FGLSNTTSIPTSPLNIPPNSLITKISETNIPSIKLFEKLGFAITKRVEVFQEIEMKFT KKYS JR316_0011365 MDSLIAKADAIRPLNKKRKTSHPPSKGSSSKGKGTSSNSDYTLN AVVSRTSIPKSLQDSRPIPEDGSSYKHIANKKLRTQLNRQAAQGARAKALLEDAEMLL MDEAGGLEVEGEMDRTWRVGQSEIVQSAGQEAAKGRREYKLDGGPYRSRYTRNGRHLA IAGRNGHVSTFDWQTGTIHAELQLQETCRDITFLQDHSFFAVAQKKYVFIYDRDGVEV HCLKAHIEPTRLEFLPYHWLLASVGNPGYLKYQDTSTGQLLVEHRTKMGACTTMTQNL HNAVIHLGHQNGCVTLWTPNLPHPAVQLLAHVGPVVSVAVDPSQGGRYMATAGRDGTV KVWDCRNWKGAVRDWTVRGGGDPEVEWSARGYLAVASSGTVNVYNPPSIHTPFHVRSP PPLYMTHPIPHRPLTSVRFAPFQDILTVGHSAGLSSVLVPGSGEPNFDSREADPFENK KARSEREVKALLDKIQPDLITLDPEMVGNLAPVSKLTTEMTTADGKPKTDIPYARLPR IDRLRVSGKLDEAEDDAAGEEGEDEESKKRSKEEREKRKMRGKGKSMKRYLRKQRKNV IDPAAIAIRAKLEKQKEEKKRAAAAAAGESGEKKKPSALDRFKRSK JR316_0011366 MSDPLSLVLSSVSLPNALEYMIGLAGTMEETFDKGDQSLHDLHT ETAQISSAIVKLAELYNERSCTPFEEKDMNVALLELMLCCQTFPSNPQQQLISPGRGQ SGGWGTAHDRVYSEIRELKHRINKCHTRFLGMIKTDKRKGDITSGRYQMTSADDTRKE ISKKRRVLLDERLIAFVGSSDMMLSKLPPQVTTNLIADTYLRFQVDAIDNYLIELSTT NSYPVEAPLEDYLRPFQPVLNTGPSRDREAFRQNIIEQTLEIQSSIRSDSSTLSIQEG AWNMVNLSVGLYSLEMYEEAASMGIWTVNLFRTLVKTNPAIYSPYLVHALRLLSKYYT GINDNDGAKSTIIEAISISRSLQSRFADREIKLQLGEALIAFAYVLDLMGEGAASLQA AQEGVSIYENEIIKHRFPQSNESLEHQPVSKTRYQWAQILEGFTEKSICDYYRALDQL SFSLQNMNQLQSAAWACMKAVDIVANSGYSFRSSVQVDLAGFFYRLAHRQFHEVIKLE QALVYSQQSVEIYRSLCEQDRDQYITSLCHALYEMANTLNKLERYSEAVAVWQEISEL AKDINDEQAFRADALNELSWSYRKLDRHSEAATIRTESITVYQTALDSKSDKAAHGYF DLGVDLRLAGRFSDAIEALHMALTKYRALAFSDPDQYTKSIASTLNQLTLNLLHSNRH DEALSDGYESLQLHSTLIEKDLSIVPQYKFALQLNFDLAQVIENETKCIERAQYSVDY ARILAERSPNEGNAMLVQAFFSRAYVFSRFERLSEASTAIQEGLDWFDSHPYQNAREA ELHIHCLIQACATAFNQGLTRKPLEFLEKAIEVGRSRPLEPAIIDLLVGCMYRRAQVF GLMGRFADAANASVECESLARPNVTSCPIEFVSCLRVCSLAARDTKQFQKAVDYIEEA LELCHSEDFMKATKTASYGHVLEADCLCCLSDALEDIGQKAEALSAAKKALDYAEGLR NKYATLPWSEIESSYIDAKRTLADRLAANNELSQAAELIFEVRSYYEKLVDGRKGLSL SFTSSLYSETIICCAAGRHADGIAARTKLLEFQRRLEMELPELAEQTHILLDKIQTYP FMIGLLAQLDLSCGHPQEIIQGAE JR316_0011367 MADPLSLTLAAITLATALKDIIELAQKIEESFTKTSHNLRQLHA LSTEISATLRELKEFCDEHYTVLSDAKDMKTALLELKIAMKSVYTRCCEMVPPKPATK IGKFKVAFSGWKNRDKMESEIVELRNHVNKCHSRFMMFTVMRIEKRIVEMGRRRSSSL LVRRVEMPANSGVSDASLVTFVGTSERTFSTLPPEITADFISDTYLRLQINAIDGYLQ ELSSKNTYTVEEPLDDYLKPYKPVLHVGPSSDKNIFRQDIIAQSLEIQSCLRSDSSTL SIQEGAWSMVNLSIGLHSLEMYEEAASMGQWTVNLFRTLVNTNAAIYSPYLVHSLRLL ARFNIKINENNKAKVAILEAISISRRIQSPFSEPEIQFQLGEALITLAFICDLMNDTE ASLEAAKEGVLLYEDRLIKSYIPDNGVDPNLMVHPSQISWHWGLALFYFSDKAVCDYS RALEQLSFSLQRVDELEESFKAGVKALEVILYSRPEVRDNPDNQTYIASSLYRLSHQQ FHTVTTLERALKYAEECIARYRPLYLADKDQHILSLCESLFEYANLLGKLERYDEALV IWKETAQLAEEIVDNQVLRADALNQLSWNYRKLERHSEAASIRSESVTVYQTSLDSTS DKAAHGYYNLGVDLRLAGRFAEAVEALNIALTKYRALAFNDPYYTKNIGNTLNQLILN LIHSNRHDEAFNDGYESLKLHKVLVEKDTTAVQEYRFAVLLNINLAEVAENETKCIER SRHNVVCARELAEQFPEEECRILLMQAILSRAVVLCRFERLSEGMTAIQESVDWFERH PFQSSKEAEQQMHTLITSCSISHNLGYSRVPLELLEKSIDIGKQFPSDQIIADTLVGS MQRRVEVIGFLGRYDDAKDACIECEEFARKLDHPTSIVNLISCLRTNSIAFRDVGATT KATAYIEEALQLCRTPQLEKAAMKRYFAPILESECMYTLSDCLADAGKNSEALELARQ SVDAALALKGKYATLPWSEIEPSYIEALHTLAMRLAANNDLDKALEVTLEARIYYEKR AKLRNGNSLPLARSLRLESILYCKFGRHEEGMSAIAKLQVLQSRLDIALPELAERIRL QLLKDETYPSWIILLGKLDIRCEHHSRTTLKSR JR316_0011368 MAALQKTGKKRSAPSQSGPKSKKVHVENSKADKKRSQPVTRPVV TQDSESGTEEELDEVEEPLEEDGLQEADDDQMQVDTQVEQRPKDPNAARESHKAQKII HEQRRAAKPHSTLLVDAKKVWALARQKNIPTAERTKHIKDLMNVIRGKVTDIVFKHDA SRIVQTVVKHGGQKERDEVASELKGKFKDLAQNKYSKFLVTKLIKLCPSHRASILYEF QPYVLRMLLHRESSSVLADSFELYANAYERTILLREFYGKEAGLFSLTSGSEEDKERA KKGLSGVLEGADNERRRRILGAVKDNLATIFNNSDKGAVTHAIVHRALWEYITAINTV PDESEQEKLRREIFETCQDMLAEMVHTKDGSRVVRQFLAQGGAKDRKQIMKTLKPHIE RMCLDDEAQLVLFTALDVTDDTKLLAKSLVSAITTVAQKLYSSPQGRRSLLYLLLPRS RRHFTPAQIASLAETDEIRSRTSKKSPESREEEVRRAASEDLIRWVEQSGESLVREPA GCLIVTDIMLYGEGDKSEATSTLLKALSSPTIPEPHPIDLPPTSRVYKALLQGGHYNH TTGAVERVPTTSWDSSVFANQFLKIVGREATVSMCQGNGNGAFVVAELCEALIRAEAS DGRRTLKAWFTDELVKEIEASEAKGKKVLLEKLALL JR316_0011369 MNHYVRAADTGYFGKVYSILHRPPKGGFKKKATGDASNPTSPNP RPFKNPNYTKNVNRRAKNLKAVLGQERERERAEREARRIKREEALKTNPSAAADIPED APTYTTIEAPPSVIPHKHLCDITGLEAPYTDPATGLRYHDKSVYEIIKGLSTSIAKDY LSARGVVSIVK JR316_0011370 MDILTSPTFIASASLGALAVYYLAGSQKRGSRHPPGPRRLPVIG NAHQMPDKDPWLVFGEWGKQYGNIIHVDVFGQSLIIINSTKVAKDLLDKRSSIYSDRP HFKFAGDLYAHPPIVILMNIMIVTIGMPSEFTTTKHLFSSHMARIGENSASMVPRYFS LQDKESARLVRNLIKDPSKFISEVNLRIGIIILRVTYGYYVESADDPFLTTPLLAMAN FGKATQPGNFLVDFVPACGQRERNLTMESAVKHIPRWMPGAGFLKTAEEMHKVMWSAT WNNYEWCKNNLEAGENITEDDELKLGWAASSVMGGGLDTNVSSVMMFWAAMILNPQIQ AKAQAELDSVVGKDRLPTISDRPRLPYIRSIMAEVFRMGPSIPLGQFITCAVFANADK VHPPGIPHAVSEDDVYEGYHIPKGAFILPNVWHMLHDPEVYPDPMEFKPERFNGSESE MKKATDRTLFAIIATTLATCHILPGLDEHGKEVLPKFAYTPGTITFLEPFPMRLKARS PDAVSLLENVPSDVE JR316_0011371 MAPIEALLFDVFGTVVDWRGHIVAKLEAQAARYGEELAGTDWGK FTQDWWSAGLKEIGRIATGASQGPLNSDVLHRLVRDPPTTAMQRRNQSPLSKVLDEVL DSPEWSHVGRLWDETVRQSINFSMHQLNGWPDAADGLHELRKHTIVASLSNGNVRMLL DLSKFAGLPWDMIFSTEFFDTFKPNPKAYLDAARHLSLDPERCALVSAHIQDVRGAAN VGMRTVYVRRPGEDIDESTGQHVEVHSKENGGDVDYMVGSFLELVDVIKRVNAAE JR316_0011372 MAPIEALLFDVFGTVVDWRGHVVATLQALGARYGAELEGTDWGK FAQDWWSECLKEIGRIATGTSQGSLNSDILHKQILDEQLNKPEWAHVGRLWDETARQS INFSLHRLSGWPDTVDGLHELRKHTITAALSNGNVRLLVDMAKFAGLPWDMIFSTEFF DTFKPNPKVYIDAARHLSLPPEHCALVAAHIYDLRAAAKVGMRSVYIRRPGEDIDPST GEYVDVKTKENGGDVDYVVGTFLELADVVKRVNAGK JR316_0011373 MALRLNCLVHGDDRSGIFTVRANGRLDVCNLKDTILSQPRFSHL DYDDLDLRHVSGSFLINDVGRKDLHIDDYVKLSNDSEKISSIFEDISSDCLHILIGRV STGVNESSIKDPDESEDAGMPNTNWMSVAWETRYYGENHINLLTNIRESIAYNPYENT SAIIQSSGFGKSRTVDEMAKLVATIPMNIRDTRDNEQGAYPHPDEDLTKLFKLIASKA RNLDSAVEAFHKFFAILFREFSNKVDALLQSGSNLSLPVLLRDDLAKANSRSLLYKTV CEQYSSGAFPAPQSHNSLTEFCNLMGKLQPTIDNKKDNVWLILYVDEAHTLTELKIAK DTDTKPVSTLYDAMVKAATTYFGYKFFILFLSTSSRLRRLAGPRDVARSARQFMPELV APFTEMPFDCHPELQNHKIRPNLPLKDIQKHDFITYFGRPLWASAFLGHNGDRETEIR KFAITKLTGLSQVSDIFRSTNLMPRLAVLDTLLNLEYSPAKIQTRKLFDSMVARHMRT AFSVPINGMPMFSGYPSEPVLAEAALDTIQMTTSASCPEPMARLYNELSDDAKEALDV RQRGENVAKIILLRAYMAAVRAECANQQHESYPWRNGCSLITFLKQLTATTYHSRVLE SRPDTKVDGQCLDEAFKNAWVRFTHFARGTDDAAMTTSMAWVAFVRGMAVIGGPAQGP VDLHIPVLLHKDKPITEGNMSGILVQVELRRAWSTQSTVAIDADKLFYFPSPENPRGS VEPLDEASKRRPYISLVMELGKPVKQKNPPSLVVEATDDWIQKGKPQPKPESNPTTGP RSHRPNLSHVESQPPPTKKKYASERHPRYSLFFYGRSHRVYGCIPEDQSTQDAYNALL NIGAAASFMNMIDAYPEGRDRKYVYQLKPFWTIGKDCLHWVEDESLNYSMLTKAEEKS EEVLVGDYTSMGVVDTSEDTLDPDAMHVSND JR316_0011374 MSTLLASAVLWLGAIQRVWGIIIDDPADLPLTSFDYVIIGGGTA GLTLANRLTENGATNVLVLEAGRGNEGDLPMPIPFMGPILSPETQYTWNYTVSPQVGL NLRTFEYPRGRVLGGCSSINYLIRQFGTSEDWDRIANLTAEPTWSWANMKQYVQKYDK IVQPLDGHSITGEYIPALHGFGGNVQASLPELSFPIDARVMATLNQPSSEFQYNNDTV GGDQIVLGVGYVQNSSGEGTRSSAYTGYYLAAAARQNFVILTSATVLKLVQTGTTANG LKSFRSVQYAHGTLTLTVTAIREVILSAGAINTPQILQLSGIGNAVDLRKLNITVLIN NPAVGSNLYDHTLLPNIFVVQDAVANQTFDDLLRNQAQIEDQINLWIANRTGLFINNI VNHFGFSRITLDPGEEDPAPGPNSPHYEMIFANLFFEKPGPFRPDEGSYFTVGTMLTS PESTGTVKLASADPLAPPIIDPRYLTEAFDIIAMRESVKAVLRFTAAPAWSDWIAGRF GAAFQNATDDASIDAYVRTLTISDMNPVGTASMSMVDDPSGVVGPDLLVKGADGLRIV DASVFPRSPSTHSQGLVYLLAERTADIIKAAQVSSQPPTNQCNANLLCCGNTETANSA SSLLVLLGITVSDGNTIVGLSCTPINVIGVSGNSCTGQAVCCATVESNGLVAINCSPV NLPS JR316_0011375 MARETARGLRGVESQMKNKTSYEYVEKITAPETWCWRNCPYDTP WIQTQYNLLGIEAPKKHSMSDYGMSSRLERDRHENFALLAFDDAYYRLAGNV JR316_0011376 MPSFTPDSQLDLLFSPELIPASVKAELGSDLHMRPLAKTDITRS HLSVLSVLTTTPDLTVAQYEQAFNALRACPNTYFTLVIVNRGTDQIVGVGSVFIEQKF TRGLGKVGHIEDIAVDKSMQGRKLGLRVIQALSAISENTGCYKTILNCSDKNIPFYQK CGFEKKENEMARYHNVADRPVHTPRL JR316_0011377 MSILPSLPSPTVASLLVTGALLFFFNVPWNPLQRKRRRHLPPGP PRLPIIGNAHQMPTRDMWAVFAEWGTKYGGIIHVDVIGKPLIILNSRKAAVDLLDMRS AIYSNRPHFVMAGDLVRYDESFTLLPYNARWRAQRRIVAQEFAAGGPVARYHAVQERE ARLLVRRLLDAQDSNSNSGTRRRQMRAEELFAEMKLRIGTIIVRIAYGYNVQSPTDPF LTAPLAAIANFAVASAPGNFMVDFIPALKYMPRWMPGAGFLETADKWREILWDATWNV YWWSKSNLDTGKAHTPNLCAHNLRAKAADGATMSKDEEDTLVWAATTVMGAGLDTNTS SALSFLMAMVMYPDVQKKAQAEIDAVLGRERLPCIADMRDMPYVRCVMTEVLRWSPGL PFAIPHSSREDDVYEGYDIPKNAIIMPNVWGMLHNPTDYPDPSQFNPDRYNLSDASMQ TVHDLVFGFGRRVCPGKHLAEGTLFAIVSTVLATCDILPALDEDGREVLPQYAYTPGT IMMPEPFSVRLRPRSEHARKLLFETPITVE JR316_0011378 MSSTISTFLTSLSSTDKAVLAAGGVWIVYLLSRSNGGPAPLPPG PRPLPLVGNMFQIPQKDEWPVYEAWAKKYGRPRRDSLPVNTFVTLAVLGPITYLTMLG TPMIVLNSLKAIRELLEERSATYSNRPLMAMKELCDLDWVLTYISGEPHRVRRATVQR YFSGPASVKHRHHQQEESRVLAANLLRRPDALDDHIKQHTSSSILLGTYGYRVSGTDD PIVHEMERSFKQAEELNGPLAFLIEIGPNLKNWPNLLSLSGFRKTVDEIKHVFNACRV DPFKRSRNMIDDGTAVPCFVSTSLDTISSETSLSPIEVEKKEELIMDCASVMYAAATD STSCTLRCFFALMALHPEVMRRAQEGIDNVTETERLPTFDDWERLPYITAIILEVLRY NTVTPLGLPHGVAKDDIYDGILIPKGSMICANVWLIYHDPEIFPDPYSFKPERFMGEN GARGREVMNMTWGFGRRTCPGKQFAEAVLFITVSTVITCFDIEPKRSSTGEAPTLTFY DGFIRRPKPFPMTIRPRSDKWAWLLDP JR316_0011379 MSDGRQVFSSNIYASAPNPWWYITPGHPKVSAESNSQFPNINGR MVDQGSSGVFALDKRTEPPRHAPQAVNAVSTITGPPPTLQYHSNQQLHPELHLPRPLP LPQYPPRIVVLPVSEQPPHVGKTYTSRFRSQPKPPKPARIAKPKQEKKSSMTRSNAPS SHTRTSAEAEMQVEADADLSTARPPTPLPFPPLTHPYRSDSIPHSSLTSATWSHSPMT PVGYKAGAEAEAAPPPARQLFSSRALPRPQTWSVRQGEGSVLRPYISVADASPAALPL SLRVGYRKRIQVPSNSSSSSSSSSSCFASVISAEPDTGVRCRCEACYPGEDRIQEQAP PTRAPHPTASASNDANAHHSDAGACATGTSLPLQAGIATSSGGATATHRKRVREDAEE FAMPLSFDPRRAYTTHKKRVRVRELEDADKYATPNLDPRAYTSSSSSSLLCASSSSSK LTPHFYTDAEAPLIHNSSQVPFTTITTSSSSSSSSSSSSSTTTAAAAATARLPNYHTR KPTLRLRPATWHTPIPPLVFEPLWTQNKQSPHTNPDLRQPRRPKMHAYGNFPQGNGFH RYYTLTFSSSSSSSSSSSSSSNSTSTSTSTCSESVPELSAEDDVEEHGGVLKRTVGGK RELVSRPSKRKKARSASQSNLKERYLSCPRAEAEVVMPPPLPQAQAQAQAGTQFTVEQ EQQDSEVNFGKYVEALRGYCRRIGEESILTQFDSILWTLEKSKTKELPNGDELACIED VAYLLKDHPEELHAFARVFNTAYTIECGHGWVMVCTPSGELFKTTEDGKERPWESRDT VPPVYRPRNSASAKK JR316_0011380 MDENGVQFGLAIDRDGLRLNVAVDGRNGHAKISVNVDTDNKVEI LVSVEEPATGRVDTEPVVSTHGEIREREEQVVAVKNRIEDSGTVEDSDVEMRDGEGDD QDVEMME JR316_0011381 MQTYKLHSLPDYVDSIRQFGTTDGTSTQMGESEHKRAKLFYKRV KKGDHIRGIAKHIYRERVVHRTNRVEIRKLLREDRELLEPTPPDLHYHISSDVRRKLD ILPWMSQNQNDPATRDFMLRLKTHLYARLSGVNEFSDSIGTHERLQITILGDRIYEHQ VLRINYTSYDMRRIQDTLKPNSSRCDIMVLASNKGADQQVHPYWYARIIGIYHANVVI STPDDYYRASKHKVDFLHVRWLGVCEDCHYGWKYRRLPQLAFGDINDSASFGFVDPSL VIRATHLIPRFILGKIPTLGPSVAYRSKENNEGEEWERYYVNFFVDRDMVMLYRGGGV GHASTRAATDSLRQDRRADDIASRKKRREAHEAPDPDLEPDGASDQEQDAQDQAEVAP ENSADSDDNEDDSDQHTDSEEEEEEEEESDEDEDRGDLDELGFAEY JR316_0011382 MSKVLKGFQENFSRQNQNSNVASGSSHQATLNNEPGPMDVDVHH EYLDVDMVPPPMPPAPPPKSPTPPPHPPTPPPPIERPRGLPPRVIRLPQRFRDEVPPE PPLIIPPVVEEEPPQPQEPPSVESLYRTPMNGYGIFREYTYGPPSITPDEHFTLSSVS DSPNIAKDPADSLRKASEGTPMALPSDWSLDSEPKDDKSLLFKNRSTQLIMSWFYNGH GTKSYADTDKLIHQVVLDPDFDPKDFDSSFSTAREAARLDEISTQKNSTDPTLSEVCR PEAGWIKGSFSIPVPCDGFIFDSEEEAPQFVVENVMYRKPLEVIKQAFAECTSETYTT IPYREFWRPSPDEPPERLFSESYVADIFNEEYEKIKSEPRTGPHRHLEPFVVGIGIFS DSTHLTSFGDASIWPILMYILNQSKYTRGKPKEFAAHHIAYIPKLTDTFQDWHQRQFG KAATSEMLTHMRREVNTGVWGLLLDEDVKRAYAEGEAVELADRVCRAMYLRFIFSSND YPEKMLQSCCKCRGTCLCPRCLIQTMNVPKVGSKMDMRNRLKLARVDSETRQFDIETA RKALFLGKKVNSKAVNGLLQQTSAFPTRNAFSKALFEYGFNFYRMFTVDFMHEVELGV WKALFSHLLRILYTSSNQNAIATLNERYRQVSPFGLTTIRRFARNASDMKKLAARDFE DLLQCSIPVFEGLLPEPAHNKIIQNLLFEMATWHALAKLRLHTDTTLDELGNSCTRLC DLLRQFQKEVCSQYATRELPSETAARGRRQAAKAKKAASAGLPVPSDSTQ JR316_0011383 MPPTTPSFDWSQGSVFLQDGIIYYSPNCNRPVRIKAPERNHPHP FPERAEPDPTSVKHPVWWTDTFGWMSFIPLNPSFISDPFDTFTWQPELDVTPSYNTPP GPTLYQLEIHTIKHWRFKEQCLLEAAHKMKLWYHVPASQPPPPSIFKYDEPYTSKEEA YRQIKLARDWFAVWMGFFAYFAACAKYDKYCAGKMVREQGELLPRWYTRLLEEVPMLQ RSWLDGLLTSPACVFSPDTQRAGIVIPWYEYDNRRPEIQFFLDQQIPVFFPWCAIAEQ AIINNPTLRYLEPPANLVWDALEKFLNRFPSVPLAGLILRSYFRFHDRPLHSTKHILR MEHSTSLVTKYMYEKFASQTDKVKAAMEENQVEATVAELREIVSRAMDMDLAEAERAI ANLPTHDWMDKGDYHRRGELYDHVSIFLEKRERNQRFIIATETEDAKIKRLQREEALP GYNTSVYRWKSVTTPGGKELYMRVRLLRSKHERLFAKVPPSQRTYNAVSNEWDIFDEV DLPRKYLQFVDPPPRKDGYIYDYPIQAARINPQSIIPDNDVPRVESVPVIPEPCVDSS SGGATSPHEPQYETFPMDTEEFQEGPSQPPVHRPEPGPSTMDTDTDEASKIHDYDWDT ADLISNLRYSYGFVASVVPKKEDKTPEGWKHACQHFGFRKDGAEQFVSNTDRQLICQF HDGLMGSTDRPLPQDIHDLHPQNYLSLQVLGNLSLIHRPIPNLFVFAHHDIRAPQEES DRMSADWSIGVETPEAALYVLRVFQSHPGHTVVHQPYKEVTFYRKVSYKFTNDDYESS MLACRQILDQQRGRAALLMGGIVGRIAKEYLSTESVLQGPSVELLRNGRGYVANPEAE LLAYCDDGLTEHDIAIIIGSYSLMTDFKNQVGVKSWFPPPAVWNEIDRNGIGWLEWTE RNEYWYQTRLELIRNGKAQPLTLQDWKSLLKNKPVRVLRESVRARSAAFVHEHIPVTR NPRR JR316_0011384 MDYAFELTQSLSNYMKAKIASRLWHAELPNQIRENFSAETMFEC NLAVEVILQAFENQEYTTWDAEEYLTHLSARCTGQNSAVEARLKDQFPPVHSTLQYQT LPGTVIDSAGNILVWYLPGILSETRVESVWNSLRDIETMIHKAAPLATSWRVNDSYFR HEPGWLQPGNLNFSPAWFQQGHETSNPLEVSLDLCNPIGQEFIRDMMTSSALLGAILS IIHPEQYRAGIKFLQRLAAEPEFVHKAEILKQILTIWSSPFGVMTAISNRDTPYHRDN GSCYSWYDFLMPLGKGEHGRLELPGLGLRYKYDPMTLVAITGRLLQHGAVCEGDRAVI VYYMRRNVFKELGVQEAGWSTTYDLFANLPATNTFDFEI JR316_0011385 MGFKKVPDRGSDEEFPNVREASWSSGAKKRKRGRPRIHKLPAES SSTNLPQTTTYSFQNHEHNILEEEIPHYPGDLTDQQVLDNLRQLDDDRTGGKSQNDYL REWLPKREVYLGTMIGGEAPDPDLNGRCQQCQGMSGVWRCCQCFGSRILCSECLRRNH QFTPFHRVEKWTGLYFRPGALWEVGVKLYLGHNGKRCPYPTDPSHLGCDGGNNSSGSH GHGGNNSPIFEDEHGLDLDPLPASNDQESFTAQLLADPEVPHLVELDDGDDEDDDLFE EVDTTYLDQPRPKAADNNGIPFKAIVHTSGVHYLPVRTCTCRSVRLPSIDLQYLEMGL FAASFENVQTVFTVEVLEDFRMDNLECKTSAYQYYQKLRRLTSPAFPKKVLNRYRELR RLSREYRDLVLRRQYGEGHTREAVVPYYHHCHDSSPERMGINVSDPIDGGSDSPSQEV GMSTDPSLGHGGLDGPPAPQMMRTEDHPKVEDRRGKLALFCPACPQPGINLPDTWIDD ADRQVLVILQGYVADGNFKADHLNQKNEGDDVWLSVGEGYMTAPGPYKEHIKEAISLA PRYKRTECLYNYASTYVPGVGIIDGEILELLWSVLNDTSRSTRSATTAHRAEVLDDHM GDSNWKKTINMAATIAAKFKRAREQSGITDRFYRGITDQQDSGLINTWEDEISKAEAD REQGVADAVGKVMASKVKTAAGRQEIELHLSNMELTSNGATGKAAWISSGLKLEQAQL ELRDHVRKLGKHPSTAQKLDLVNKRRSMRTRVEAFCRSAMTFMGEDVLEDIQGDIAPI LDYEVSDNDDPDLGNVNITRADPERQPLPFPSAVKQDFFDGLDAGTNLILKGLRKLEL QIRHGHAEDCLEAVRSALIQLSWQYKYQVRTADSVYMGTRAWDGVKLLNASWKLHRRL YNTNRQKMIYLSAGVRDEDNIRKQYPILQVHDCKHSNAVSDPNIRGGSSDRLSWIWRS RQGLDNDNQLYVNEFFRLNWLRARAQRNRWQEELALTKKEMEWTVRFYVYMAKTWRAR HDFVPDRLVGHRANAQKQIAMWNDLGRAADKVFRQINPEYPSVSLNILVVSHLVEFMH LPRLFKPPVEDEHHLLTYDERRALAKVHVHICGARIRAGYRLFIANGDSVSSVRRQKW MYLLDLEVAILLRVLGYCYVNGFQVDIPFFISEILNQSVHAVLNRTPHHRAVLFESLN QSWSAWEDDEILNQTKSWGYWWRDGFAEGDEWQVAFVTVESQAREFWNKVVLPEYQQE VLRLNNQRKEAKEGASSSTSGPPRNQENNPKGKGKAAERTSVPTSGKHGSALTGKHGS AFSPPTGKPNPPTRVANTRDETSPIAISDHRPPYCPRCGQPILTGVMRELAHLRRNVA DKMKNAHKAVTTSSAALGRYSVLEKMWIDSKEHPFSNGKGLSAKYKFQHPIHPSPDSW GAITAQARSFEVSKLKVASFYINDIFYSFIAVKELPFHPLWYHSPPSNTANIHLPTDT LPTPGSVSPPSNAHTSNAFLFNARSRPVFPGEEDDIDSTSDTTESSTPATFLEHLAQD FEEEADEESSAGDTTEESDASGNSEELSEDVSETPWDEES JR316_0011386 MPTIASPDADPLPSTANATTHNGPRSGQTAEGDFPPEESNIWTT KEMRVLKKHVQPYKDTSKSSKADYIQNTVIPELQATWDHRYSRRARKEDKQLHKEWKV KKHRIFNWFRNHASNRIGVKLEGLNSRITFQTVFREEKSAEIDSEVALLSGNAARGSK DWMKFYQQGRKQVEARLTQEERDRFMEILEEWNKKGVSKSMKAKTAARQGRKILRQME KLKWQRMGMRSITFEGHYDIEGKIEYSMTQTSDLALDDVRIPSFGQLFPSELAAFRRA FVQYLVHISEIEKGVANPALPDASFHEKSLKFSSNGFPIVPSPIYGSTGREVAYAQKS IIRIYMNKVYALAKDRPGSSVPWDALERRSAEMIDPEYWPSSIPVTDPSRLRLESTSA ILKLWRDRQAQGDIPFKFSKVFGNGYDIMEPLYPSNLFDGLEAHPIPPPPAAITKRRL RQKAIRLQTQSSSDSESSNLSEFDNGRGSPASAMPRTTARFEVTPETDETPTDAPSRS SEPPSLPSRSSPTIVNPSSSPTPEVEEAPPKPARKIMPRKRTKPYVGTAEMDGPDEES SVPPPVKPKPTRRIQPRKRTKPYSDPLNTVEEDGVQTGTTQDTDNTRAGRDVTCDAFV ETVTSPDTDETRARRNVTSDALALQEASLLAVAGKGQRKKTLKA JR316_0011387 MAASSSTTATFAQRLADWEKKFTECYRNGESAFNAELEQLYRDL VPLCQEHVRDAARFRLIDYVASPVVYSYKVIQGKDGENIYRFEVDWATLKHQVANFKA YQEGQEAQRKRREEEEQEKRREKEEQEEEERQRVEERRKREVRRKREEKKKREEEEER EEEEERQREEERRKREEEKQKVEERRKDERRKREQERRTREQERQKAEERRKREQEQE QEQETDEERNKEETEKRRAEKGKGKAVEPPVEDGPVTDAHKDKGKRKAADPVESIQLA PADYRGPRTKKGEIIPHITASNMPGHPAYREKLERLAKSKQGKFRSKAIIGSHTDEDA DADVDEDDEGDNKGAPPTTPTATPTRKMRTRSVKKDTEDDVPPIRKARSRSQKVRQVP EGMVDMVERCTACIKFKVPCYVKGETGTEPLVPVKHQSCESCKSRKIHCSFYPGRNTF VGQFLTTPLGSYGEALKLEEGEDVPAKGKAGEGSFPEDVGELLVQLFERQGRIMERLD GLSASMTAINARIATFAETNLAVENRMKSIEDGIQEVKAEWTMAKEQVAGSTSLSVTM FNDITKRINHVRDVVDDLLEQDEQRNPAPKQAAGPSKTEVEQESGPSRTREPTSVPQS PSAPPPPAPLPSPPPPPAAPILPSPPPPPPAPVLPSPPPPPPAPVLPAVSAPPTALFL PGSTPEAPSPPPAGRPSLPPVPPILSLSPPPPLPAPRPRSSTSKAAPLSKGAPSSKAA PSSKAGPSSKAKPLSKAKPSSKAGPSGNGHSSELSDPSDSDEVEIVEEEDVEIVASTL PASNIATKTRAGRKRKAETTLAEASRSPKKSKAQKK JR316_0011388 MSSNISNSKESKRTARTDDLAPPPMPVIPDNLAPPPMPVIPDNL APPPMPVISSNLAPPPMPVIRKDLAPPPMPVIPANLAPPPMPVIPANLAPPPMPVIRK DLAPPPMPVIPASLAPPPMPVIPANLAPPPMPVIRNDTAPPPMPVIRNDTAPPPMPVI RNDTAPPPMPIIPDNLAPPPMPVIPPTLAPPPMPVIPDTLDPPPMPVIRDDVAPPLMA AHVSYTSVVDKIIMDSRPAISSERKQGRYSPPPEACHLLLQNPYFRTYGRFDPMGGYP GSPPTQSDIEFEDVTDQTAYFNPPFLYNYTEQQLRATYDQIIDSSVGDNSYDSKVTTF KNLRLHQLQSEILTYNALEEIDAGLAGIESSLEKHLYTGRDE JR316_0011389 MSAPLPGNRVTHLHIQKVVKSLTLLSSSLPDNIPVATRHDKIYT AIPTAPIESQGSAIFETFNRVTDILFKEDADCRDEHGRFHYIRRGKLGMENLCVYIGK INWEVSDIPLDLVGMKLERILDELKYLTKLPPKKTGVYNNAKTKPVPKTKKKAKKMSG ITQDLINGAVRDLRSLPSKGNVTLNSRLALNKRSKYLVLDGECTDINSEDDATFKPRR NMERESSEDSILSYTLDKDGLEVDDSKNDPKKRQRVVSDTESEGEQVNKGPSYKKAKK SASAAKSAKIKVIDVDDVPEALETEVKYNKKGPANYSRAHFRNPVPVVVQGVHRWEFS CRHCKKSVTVPRTVDKEKSFDDEHPKPALGNLATHLKKHSNLDLTVPT JR316_0011390 MRKSLAPVVQSHMAARLGWPVVAYRASKAAVNAYTIALSQELQS EGFRVNTTAPGLVSTKINDFSEEGKSLKEGALSLQRFTLLDKDGPTGKFLDWEEKEMP W JR316_0011391 MYRRCSRGLLYERFLQRHGFVRAQSTVAASQKPRPDPFKTLAPK LAELRTDLISMLGSGHPAINEIAKYYFLHPSKQVRPVIVLLLAQATNGLGSDWNKKLW EAECENAGGRQEELNRPLSRPDVLNDWNPSMPANTANFDPKFAMHPAKPHRPPKPPPA YSNASVSRTLANIVLPTQRRLAQIVEMMHTASLLHDDVIDESALRRGAASAPASYGNK LSVLGGNFVLGRASAALSRLGDGEVMELISSVLPNLVEGEILQLREIKMDDDKAAVSA KHDVDVAKDILEKNISGQRSRDAWNIYLQKTYLKTASLMAKGARSAVVLGGCKEGEVW KEIAYAYGRNLGIAFQLVDDVLDYESASATLGKPGGADLQLGLATGPALYAWEEFPEI GDLIQRKFDRPGDVELARDYVLRSSGVQRTRALAQAYADKAKEVLQELPDSEAKAGLE VLTERVIGRKS JR316_0011392 MSKQLILVTGISGFIGGHVAEELLKHGFRVRGTVRGAKYHTFVN TVKRPDLEFVEVDDVVTGDFTKALEGVEGVIHTACPLPGKKNLEELLSIAVQGTLNVV RQAQQAGVKKIVVTSSFGALLSPTHMPAFHGINFTENMWGEVDDQELEKNKDEKYYVY FTAKIKAERALWAFASEHPELEVATILPGCAIGPYAQTFPLPANSDTMATNDFVLLVL SKQEPPFAPNWMVDVRDVGKAHVLALEKLPLPSEDPKRFTVNSATYTWGAAAAYIKES RPELADRLFPLEDIKPLPGVLSTLDTTRAKEILGFDEFIPVEKALDEAVNAVLELEKR WSSNDI JR316_0011393 MRIPEVSTNVTVPTFDSANPMAYLPASVVRELTMASYVLVGALV VQLWDIANNIGTDYMLLRKHPLKPPAVVYCISRISTLAYLLAATLYETAPIGHCMMLS KLPPWIFVVALPSTSLLFYFRVCAMYHNNKAISAFFFVMWLGVVGGCVPSTIGVSGAM IGPTKYCISTTLKHYVALGTIMSLIYDSAVFIAISWRLTHLNLVDDMDINFKDVIKAI VLGKKLSKLSKVLLQDGQVYYLSTVVFNLAVLVIFYMESIPLVYRTLLGLPEFTLMNV MACRVYRKTKCGIYFENTTLDHLSIGGPITFGLPPVDVSQRPASISQNNMRRHVFSET ASEGTTTTMPTSHTPTKMSTQDGESDLC JR316_0011394 MFSQLFAIAALAIAAQSVAAADCTRSYTIQDGDYCDKISQAQNV STYQLAVVNSDSVDTSCSNLKPGASLCLAQKPEEDCRTTYTVVLGDTCDSVAANNGLN TTILYLNNPQINEGCTNIYTGEVLCTSKTVQVAAIPAKGVSIAAPGSSTTLLTPSATK AAITPASAAPAAAATPADDGSCDDDDTPASNDDDCDDDSNDDDDDDLPFCDEI JR316_0011395 MQLSKLALLASFVGAAVAHTRIWGVWVNDVDQGDGVGVYVRSPP TNDPVKDLTSSAMTCNVNNNPVPQTVPVKAGDKLTFEWFHNTRGDDIIASSHKGPILV YIAPTDTNGAPGAVWTKLYEFGISNNLWAVDDLLAAKGKHNIIVPNIPAGNYLLRAEI IALHEANVAYTDNPVRGAQLYMNCVQIQVTSSGNLTLPAGTSFPGSYTSDTPGIVWNL YSTTVNQNTYPIPGPEVWSGAAGGSIAA JR316_0011396 MNTAGPDVPPFDASNRYLSSYTGPLATIYSTAPVGNCEMLSKVP PAVFLVALPSTSLLFYFRVSALYSNKYVSAVFFVMWLSILGGCIPIIRSVSGAHIGPT NYCISIALEDAAAPGTIIPLIYDSTIFIAISWRLMRMSLPHDTGLKEAVKVVAFGKNL SKFSKSLLQDGQAYYL JR316_0011397 MSQFNSHSPPPLRHPVPTHPAYIPEPPSTPASPQGYQRFSSSPG PGQPQQQQSYPSHVPAYTSPFQHSQPPIPPQYQTGMNMHHMQPQTQQQHPLPPQQNAH MSGPDYAAWGFDGATAQLGMQLGQSAVAAGQEYVQRNFGTIIPSYHIKHYFNVSNSYV IKKLRLLLFPWMHKTYFRRPRAQGEWLPPRNDINSPDLYIPVMAVFTYILLTTLNSGI NSKFSPLVLGESASRSTAVLLLDFCFVRLGCYILNIQGSSQVMDLIAYGGYKFVGVIF TLAAGFLGVKGPLWTMVFLYAFAGYAFFLLRSLRAVILPDPASVPATHATATVTQAQR RRRIIFLLLEALMQILYMGWLVRI JR316_0011398 MDYRLIIEFVRLPFVLEFNICDMLVSAAFFLGILPLGLGITIAD PSELTTFSYDYIIVGGGTAGLVVANRLTENPNITVAILEAAWDTQFGWNYTVVPQAGL NLRTFDYPRGKAIGGCSAVNYLIHQFGTDEDWDRFANLTSEPSWSWANMKQYVQKYKQ IVKPLDGHNITGKFNPSLHGFSGMVQASLPELSFPIDGRIAATLDQLSSEFKFNNDTL RLRNSQQLS JR316_0011399 MNPVGTVAMSMADDPSGVVDPQLLVKGADGLRIIDASVFVSSQT ALPVFYAFDIGRLLAQKSQYTLTRARVLACRKSCRRDQSGSVLLCAPDNIYVNKTYND SRKSIDRFIAYDHYYIIKVYNNTNRFFSSDDYNDIEAHNNVDRFLSSNFDFVDSNIKG EFFPISHVVVRFLGPLSVVGLEVILYL JR316_0011400 MASTSTLSSTVIDYANCRHPAKESMEKESMYISKVSELANIIAG NGIQTFVRHPEPSSEGIVDQDTPSQSHEFKLPSWSSLFIIIGGNAVFQLSFFIVVSSA SVYAEHLGGSATFSGLTIGIPAFISGLALIVVTKYDGGLYNRPLNIAYVAMFLGNVLY ALAYRADFLYLILIGRIVTGCGFISFMYSKRYCSDPRIVGIRRRTTLAGWLVVGQAFG FSAGPFFGGLLFKVGFANQVFNGVTSPGWIMAVIWMMFWGLHNLIFQDVPLQSPPSQN IELSATTTSEPPKDQVQENQTPKATFRGISLAQWGVIICMCYYAMTCFFMLGSWEANI PVFTAHALGYSPYNAGNFIALGGVASVPFLLLNVWYARRIQDRVILATGTSLGTVGLI IMLTILKTSKVNFGSLFVCWFLVALGFNLASTCTLSLLSKQLPDTWNRKVSMAIQYSN YTGRVAGAILGGSGVRMGMVNYVAVQLAVVGTGGVMYLTLWRQLKAKTG JR316_0011401 MPSVSAAGGPLVLVTGANGYIGTWLIQGLLEKGYTVRGTVRSEE KGKPLTEYFDSTPYGSNLELPGAFDEAVKGVDAIEHVASPTVFLRRPDDPPDALIVPA VEGTLGILRSAQKFGSKVKRIVLTSSIVALLPIFTAADAESGVTVPLDESGWADAYVD DVRKRGNAAGLLAKYCASKALAEKAAWEFYEKCKSEVPWDLVALNPATSPLLDFQDGL TSSLQYWLHHACKAQPDDVLCDTMGFVDVHDVVAAHVAALEKEEAGGERIILCKRTMT WQQHRNEMYTIRPDLYDGASGVLPSGNPGITPGALQYTYNTTKAARILGIVYTDSGDT LGNTMEYFETRGFFGGGAGGNVNVNGNGEVGGVM JR316_0011402 MPSLSLSSPPAPPSPVLNSNSMHHAAQPLSRRTLPSPEHAADAA LVTHILLLLTALFLLAILLRLPRALALFGGVSREWAAGHMFWYDRRVAAVAPSRRTRR GRRGTLSNNNPHNLNHNHGNREHNGSGEEKDQGVPRARPYPALHFPPHIPSCPSLLRG LLAPLRARVPFLSSTRGGAGMGMGHALLLAVYFYAWVYAALFRSNVFTDPARTGWIAA AQVPVVCALAGKNGVGWLGGWGYEKDPSNTWGMVALVSVNTIFLFSVQSVRNSAYNLF LATHILGFILVLPAMYLHKPSLLPYVLFAAAFLALDHTLRLCKSRYTSAYITPLPELE MTRVEIPSINAGWRAGQHVRLRVLAPWGGRGGGGGWRGGMGLGKCLEVHPFTIANVAR KEGGEGMVLMCKKAGGWTGALYALAKSSSSSSSPASLEAGCPQEKTVGMGMGMGLGKE VKVIVEGPYGGPGHVNFASFSAAVFVVGGSGITYALAAIEDLVRKDGKGESRVKVVEL VWIVADPACLAPLLPTFTKLISQSLYTPLRISVFYTRAPIGKQPAFFDASSSSPSSFS SSSSSPPASPTASPSGPAPAYTHSSAPLATVAEAHGYMGESSANANAKLRRGDSARAR FADPAHDDRVHGHGHDGNDNGNGNGDGNDDEDDDDDDVYAPSVHSQDQNQHEFDSYAR PQRAHESAHAHVRNASGGVNAVAGPSAAAIMHGQMQSHHLQPQPQQSTRGHGPQRQDS SASARTLVGRENSTKSAHYHYRSKSISKSRARTPQPQVQIQAQGYGQTQGYGQAQGQG QGQALPRGLTLAPGRPKLSKFLEHALQRAITLSHGNFKDNYDDTTSTGKGGLSGMVVG VCGPGGLADDVVACVGSLDVRRRERVGGVEVCEEVFGW JR316_0011403 MPNTLILPFLVSRAALPLTRIRFISNTFGPGFWLMTPAATRSAL ANMGRALHSTLASRVLLHMRETAHVDRDDDHIHHIPAHRKMRSDIHITRTIHRDDHDE LVKCDGAEKFTPIITSKSSV JR316_0011404 MTTTTLQSAALTEILRRGGPILGTLNELYNINININNINTNTNN INNSNNSDIDCGVAVKGNEDKDNINKPSPSPSPILSDWMAHIPDETPIVRMNLPGTHD TCTWNYTPEVQESLKRYTGPIPDSKIYRCQHRSIFRMLNDGIRVLDLRYAWNPDLESI GFYHSKALLSPTTQLQDVLFGLYTWLDLHRTETILVSMNYEPGTITGTISWSDDARLQ EALYDILMGGLARRYWVRSNGSLGTLGEARGKLILIQRFDFTLLPEHMTERIGIHLDP GHWIVNGKNTALEYGAGKVAYIQDYYKIDPSSDSHPSSCITDKLHTVLAHLERAIDPA LHPDQLYISFASASFRAEEPSLTPQVYALGDGKDTAGVNQRLLSWLHEHQGRRFGIVL LDFYDGVPSLVEAIIGLGQTSDT JR316_0011405 MSNQHPHPHQHHGDPSPTTMSTTTTKITTTTPTTTPTLLPTPKL PLPQLESLKFKANQIIESIHALQWTIGAGGRQDGMPAWPDILSKYNVLLGQTHNFSTG LLQPFPTSSSSSSSSGANKTAGTGMGGGTGPQNVFERIALHPNRAMTDLQLDGDVIPL LRNQQTTDVLRMENETVRRLAEHMQTRGSLGVLGISPPAGPAAGLGLGMGLGVGKKPE YEDVLEECGVIKNAHDRRVERAVRAVDMLKEKFDWKQRVMVEVEEPEELEWDPRLVGV AVPEEEDVGMSEDEEEEGEEAVLNSEDAPLGAGNSDEDDEFNVEGALFNTSPSAEFGV ASSFSMDAT JR316_0011406 MRLLTLFLPTLALFTLFPAIVSSIHHPHAVPHRRDHARARSPAS SIIASRQYRIPRDLLDVCINVNANLLADASQLLGLSSLLGALNLGADIELCLCLKDLE LYLDTNDQLSALIGLLGKDTVRALITALINTSPDAQQCSFPQHAHHTCNTADPCHYDC DSPYIRIGDQCVCAPPNVSCNGVCGEFPQGCSSAVPRALEKKFEPITTLAQARASCRS GQSVCGIPGKESTLSFECVDTSSTKDSCGGCMTPHPFFEIEPVRLSSGRDCTAIANAK STSCAASRCVVNSCRDGWVPSPQNDACVPHSVESRMRRVRKRSEADSIVDTGATVDIG LLISAVVKAVVALNVHGPVTVITSLPTSYSSDDLVHLDDIYSLVNGVDAATTGLVTST SIPTAISYANALLDVSTLLRSTLSDCQCVASLGLQDLVGDVDDVVDSVGSLLAVCNAS SPVGSSGSSSAVGSSGSSTVSGSSTVPDISTPGVSGDPLIVGLTSLLSSLGIAGKSGV VVDGLVGPDTASSVNGLLDGLGVGPANYKRQGVPANYKRQTIPPINALVNATVGTDSG LLDRLTVIVNLVINLTGSAPGASTSTSAGTTTTTDSPIKSAGSSSTALSDCLVDPVIF GSLYQYAINLVESPDFETLCRNTVTLLEAERSLKGLFEACPYVDSLGLGGVVDDLVLV LRETLGLDEWCVENFGGSGVGVTTSVGSLAAPATRSASPTTASPTTTSAGTSVSVVVG VAASSAVSHITSTTSILPAVSTISSTTALVHPPYSSTTPVASAAAAPATDIPIVVSLD DVLAGLGLGGTKAVVSVDGVLGDGLDESVDGLLDGVGIGPHDVKRDTGGEHNIERSTD RRRQIVANVNATVDLSGLELGGLVDELVGLVLGLDGLRLGGSSGGVVSSGTTASSAAP VLGIPLVVPLISDTTSSLVDTASPLLDQALVSNVVSAVLSLLSLKSPTYGQLLNALDG VLSACSSAVGGFEDENVDSSAVDGYLEGIVRVVKVLEGVCVQAASSGNSSSVKDDADH PSSVKDDADHPLVVGLTKLLKGLGLDISAVVVVDGLLSDGLDEGLDGVLDGVGIGPGG AVATTRRRRGRGRRSEQDW JR316_0011407 MASLSNGQLAQIVKGLNTVRSAQHRRRAVAAGVFFAYDWMLTLG MEIELIWKAKWTYIKVLYLFQRYLTFYDTFYASYLLMFGKNLSKETCKSLFTQMRVSY LVGIIAAETLCSIRIWAVWNKDRRFFIILPICAICIWGPATAAMASAIVVSQYTDAPY RGFVGCFNTNAKDIHLEYVWACLLAYDAISLVLVMIPGIRIYHQGLIGRSRLSHVVFR DGVIYYITLFLFSLLNIIFSVSLESATRSSLANMGRALHSTLASRVVLHMRDTVHTPR SDYEVAAKHMRASNVHFTRTVHRDDGAVIENVISDDFMHTMHTTTDGSDTL JR316_0011408 MVNFTILAGGFSTFIATYVFNSDASTLTLTEQTTTGPNPSWIAL HPENSSILYAVNEIGPIGSLQSFLVNADGGLTLVDTVSSGGNGPTFTNPLSTGEVTAM NFGSPNCSLVATVPGDPLHFQRDSPVVNFPVNGGPSNPHMSLEFNGEVFIADFGADKI WRLKNTGTPGNFIVQGQIDVAPGNGPRHLAVHDNILFVVNELTSTLTAQQIPEAPNGT TQPLLANVSTIPQDDLGLNASFRVAELIISEPTEQFPTPLIYVSNRNLGPDFDPRGDT VAIYEFKNCTGADPVSDAPISRRAHARSWSRRQETVGSGNAYPSAFVLQSQTFTGLTQ IRSMALGHVEDGGDQFLIAGANTEGGVAVFSRVDGGRNLTLVARNEDVQNRTSFVFL JR316_0011409 MELALSAANYLSPIADALYYLNNTKVHPVWFPFALAPTLHAARV SIIFQANVRQRGASGAPLSWGTHIAGFLLMSWGGGLLSHFMLGLPPPMLYSFGPALNY VSVNLIVMLILTILPDLLYPPVLDTILWPLDALLRTNAVVSTLGLLSSHKVHPEYQNS PLTHLIIGAIVSSGGGLTVATMSAWTPNWALSTPPVLRAGAGWAGTLDVWGGSLVALI YSSTTNHPAFAPLHTYTTLILSSPLLSVTRKPHADADFPPLTSLGAASLSAAVLGILF AARTIKTHWLISSQSGGTTVRAVHSKVPQTLTPHKVGHLGAVKRAKKKTS JR316_0011410 MSLAEFPLGQSRVVAGRYPPPGSDPHADAIRERRGPRGITPLDA NLLHVPPIAGGFNSLLGAVRTKGNLPGDLREIMILRVAALNHASFEWIHHEIVARKEG LSTGQLYVIRDVDTPLPPISTVLTSLHTSALVFTDHSTRTARVPMDVIHDFKKELKSW LLGKDTSCSEEQVNAKVEDLYVEAAMVVASYNMVSRFLLSTDVAGLADMEVPWPVEKK EHFVTLPSFPPAKSPTHTIHAVTLVTDPSAPWLVFANSLLTDWTMWSYVVPYFLDFPS DADNKKTYNILLHSQRGHGKSTLPDTSPSDLEDKRLTTIPFLAHDIANLIDMLHIPLP VKSVIGVSQGGAAALAFGTLYGGGSNIKTESIVACDTSPRTAAGNKEAWDERIRLAFG PESSNATEYAERIGMRKLASVTVPRWFPPGSLCNPTTSPLAHGIKRAEWVERMIQATQ PAGFAEGARALSEYDLLDDLFKNPVGKALLVSGSLDGGGKVGQGLQSLCETWKPHLES VKYLEIGVSGHLPMIDAPEVFTETLSQWIQASRTHLSLYHLNDSRRDQSCVDSIAHPT LYYYGGTGARKRIKGERNGHLGSIQRLTFSLYQLTSFAPIIPKLIVLMTQHPPPQETT VHQDGANPQSQGKAIDIVFLQDTTGSQGPYIQSARQAIRGICEKISASENLSQGLLRF GLIAFRDHPPQDMSYVTKSFGFTSNIDVMQSNLASLVASGGGDGPEAQTAALAEALNL QWADNAVKIVILITDSPPHGIGEPHDGFQQSPDQNDPLDIARQMAERGITLFVVGCEP ALSKYHHAVDFYTGLAQITSGKIFPLLMADKLGDYIVGTAVETIETEKLIGEFEQVIV NDVYENNTSIEAVTERLRNQLDQRGAKINTIDVENVYNISEAAANNANVWQTSDSITS ARSRVKKIRTPRMKHTYASGQAVPKVAMQMQQLSHQQAGRIVQQSMARSSVVTPMGYV SRLSGKSVANTALPPGA JR316_0011411 MRNTVTCFFVCFAISTAAGTVIHYPPIASNINNLTFALNGFGSP GIFTTSKTPDSQYGVYNWCNMPHVRQREYIMPGKNYTLQYVEIIQRHHKRTPYASNTF FKEDVPWSCDGAGATFGSISPNGPGSSVSPVQWRGYIDQQNPWTTSVGPGFAGSSCQF PQITSQGLEDSITHGSDLRAVYASRLGLGPTFEPTKAIIRVTNNVITSQVASGLVAGL FPLSKSHDVAVLIQSSTIDSLEPTYSCNAASKLLSDYTTGSSGELWKDHLAQAAPLYS RLDNISGIATLDTAGWHSSLDHYYDNLSAKQCHGKTLPCNLNDTSECVTQKDANTVYR LGNWEYSYRFRDAPASAEYSSLRYGAWVLELKSHLQNNINGTSNVAHDGSVSALLGFL QIDQMVWPGMGSEIVFELYSSADQPNEHFIRVLWGGQPMKTSTPLGLLDMIPVTIFFD YIDSMIGTSKDLFTNCNQ JR316_0011412 MEDVLFSLYKWLDNHPTEAVLVSVNYEGGTGTPNDPAFYIKLYD ILNTPLAQKYWVQTNGTLGTLGQARGKLTLLQRWSYDQLPLNLTKRIGIPLDPEHWTD NGKAIELVYNVAKSQIAFIEDFYRIDIPLGSGANAYIEAKFDAVTAHLTNATLTNLNP DQLYISFASAAFLLDSPPMTPRASRIQNIIQHCGDCINRKLLPWLQTRKGQRFGIIML DFYDAVPGLVEAVIGL JR316_0011413 MKFEEWMAWIYTGAISFTSLLFTFRVRAIFEGNRYVTAFFGILW LVVVGTALMTTQGDTAGQIGSTKSCVNEHFKEYVTGSAIAPLVNDTLVFLAISWRLMQ NSLLGNDRKFCIRAMILGKRMPSFSRALLKDGQVYYLTTVSTNLLTLILMLIHPVPEA YRTMLAGPNIMLMNVMACRVYRKTKLGLFANSTTQSSLPTFRTENTFSLAPDQRDYTL SPITFRKVHSQGDPLDGGHNVTIDLGTKELFVDNSLQAIRAQSSSIFSEHEVKDDPEK RDMKPSL JR316_0011414 HPSLRFEKRSSHNVPGRLHAEIFQGNFTRRTDVLSRYSIYEPID SRLTPHSRGPGSVQVSVVGA JR316_0011415 MQSLDLVDFPEELIEGILSHCVIAAITQPSRPSWHQSGPSSTPS QAIRGRLAPLLVCRKFLRIATPLYYHTVHVLSSAQLHRLLLDALLPSPALALHIRRIV FAGIWAEGGEILRMCEGSIKFLDITLDSTQLSGASGPIRDLDAEEFCEGLKELTALTH IVIRKPNDVYITRPKPRYVLSEIAKAMNSWEHLEYANIAFRASDDSGTLLHGSVEAQS SRGAHIQHGPITALTQSLSTRPNLQTFCTLLPSVWNEVILRVSANPSLERIILGDGHS QNIRSSPFTNSRSSTPTWSSKDHYAGPVSVGLPPSWPHFEMATSGVMGTGLFMLQAKK HPRLTELIRAGTSITRPRAQTLDHSSPPLPIIKPHVQGPSTPTQAPVSIRRASYSSPT NAVISNRVPPIYPPALKVPVQISAIIHRDMAYTHNPMRPQLYYRIPVRHQLAQAAAKP LWLVQIKVPIRPSIE JR316_0011416 MSYALDMVSHTSVMPAAASLAVLLCILYYAVSMVQGKRLPPGPR RLPLIGNAHQMPKGHPWLKFTEWKKTYGNIIHVDILGKPHIILNSARVAKELLDKRSS IYSDRPHFVFAGDLVHYDEPFALQPYGDNWRRQRRLVAQDFSLGMTPRYYSLQEKEAA SLVRTLMQRPERLFPEVKLRIAIIIMRVTYGYYIQSEDDPYLTVVLAAMTNFSKATAP GAFLVDFVPALKHMPRWMPGSGFLKIAEEWNKIFFDSTMNIFDWCKSNLDTGKSLMPN LCGRYLQDANGKMSKEDEKTLLWGASCVFGGGLDTNMSSALTFYMAMLLYPEVQAKAQ AEIDSVIGKDRLPTIADKPDLPYIRSILAETYRWSPAAPLGIPHAVTQDDVYDGYDIP KGATILPNVWGMLHDPEVYPRPMEFDPERYNGLDSEMVKVTSLVFGFGRRVCPGIYFA EGTLFAIIATTLATCDILPGLDEHGNEVLPTYSYTPGTLTTPEPFTLRLKPRSSSSLA LLADIPPTIE JR316_0011417 MHFATLSVTVLAAIISASASAVSVDARDGNGGGNNGGNGGGNNG GYGGGNNNGGGGNRGGYGGGNGVGNNGGGYGGGGGPNGGNGGFGNGNNGGPNNGNNGG GYGNGGGFGNGNTWPRTFSPSHNQSVLTHISLYQNAPQITASETTTNVHRLIIAASVP TTNGSQILGPALDSTAPEMNTRTPGTALRDIAEKLVIPTLGSKEFSIFNSSPSFM JR316_0011418 MDQLDKIEQNSSSDLSFLNDPHCFGLEELPEASKLRARVAKALG KTHLADGDLDSSNLAIDDLEDTDSPLFLHLAHGLAYTTGSALGSTPPSLDTCLAAYLV PNSAGLTAGSRAWSKHSHRSQPPPSDDSETDKRKRSSGWWGTASGPVSSINEKSLALF RKVMDNATWRNLHWLPHQILVYEVRVEEGYGMRWSQDQSPCEGEDKRPWIFRGFLEPT MANGHELKWRHPISA JR316_0011419 MSDATVLPQGAGYGVVVGIGLFFSAFMLCITAIQARYTAFSPKN SEEFTSASRSVKPGLIASGIVSAWTWAATLLQSSAVAYKFGISGPWWYGAGATVQILL FAMLAAKLKLNAPYAHTWLEIVQARWGKLAHGVFMFFGLATNVIVSSMLILGGSATVT DLTGMNTIAACFLIPLGVAIYVVVGGMRSTLLCDYTHTAVLFAIILTFIFTVYATSDK IGSPMKMHELLSAASEANPVPGNAHGSYLTIRSKNGLIFGVINIIGNFATVFQDQAYW QRAIASRPASTVKAYLLGGIAWFAIPFTFATTLGLAAVALRGDPEMKVLSPADVSAGL PAAAAAAALLGQGGAAALLVLLFLAVTSACSAELIAVSSLLTYDVYKTYINPKATEEQ ILRVGHAGVAFYALVCGLCGLIFFYIGVSMGWLYTFMGVILGSGVAPIALCITWSKAN KWGCIGGSIAGFVAGIVAWLVTTSTLNDGDFEMLAGNLASIGVGAIVATVSSLIWPDN FDWEATRAINRPVPPAAKIVDEKGDDESDKKEHEVNVKGSVAGDSFDAREEEDELDPV ALKKAFKFATWSSLGLFVVLILIIPLPLFFSHHIYGVGGLTAWVSVGIAWTFLSAIAV VIYPLYESRSALTEITVGIYKDLFTKQSGKYVGAAPPTSVA JR316_0011420 MGESFALSAHDASSNVLPQPTPTLVAEDDDEPPSSGTPHNRQAQ MTERSNDVSTHSQLNDHDIREKDFARVDSISVKQVASGHHASDLTEEAKSSRDIVNVV DLEKDEHAEEGAPRRRRRRFRVVKMSPTARFRALIASTRSTGPQPTYRASAMAMLRYS PLNILLVFIPVSWALHHAHQSPTLIFVFSSLGIVPLAALLGLGTEQIALRTTQSVGGL LNASLGNLIEMIISGIALKQCELEVVQSTLLGGLLSNLLLVLGMAFVVGGFRFHHQQF HPMVAQLNSSLLIVSVISLTIPAAFHQYLENRLPSGTELDIILELSRVSAIILILIYI AYLFFQFYSHNHLFKDTIQEYSVSTGSSTSSSRSRRSTTPTLPLPSIAPSSASTASIT SSTSSEEEVQKVNTVSALILLVTVTALAYVTAEYLVESLEGLVAAHPSVSKEWITLII IPIIGNAAEHTTAVIVASKGKFDLAMSVAVGSCIQIALFVIPVLILVAWGMDKPLTLL FDPLETVVLFLSVLLVKFSVEDGKSHWMSGIVLIAVYVLIAVAFWHFPGDTVRIIQGQ VIQCFK JR316_0011421 MKNRWFKVALTIIFQHLTWTFGQPPQPVPPGSPPQSVFIDPKSF AVLGPNGPFRNSSFTQFFNPTSGKPPFIQIFDEAFLDILGPNPSIHEVASNPDFAFAH EAPVYVPDTDEVFFASNDGGALGNSDINHNNKVGKISMQAVEKALQGLKPGSGAAVNV SVTELDLPDTIQMTNGGTGPLGSSIVLITSGRGPLPPSIALVNPKAPHNVTVLLDNFF GRQFNSLNDIKIHPVTGDLFFTDPTYGFLNHFRPEPLMRNQVYRLDMAKRSVRVVATD FDKCNGIAFTPDGNTAYVTDTGANSGFLGNNQTAPATIYAFDVDPKSQAFFNRRIFAY TDTGIPDGVQVDAAGNVYAGCGDGVQVWSPEGTLLGKFFIETTSANMIFAGDGRLVIM AETKIFVAKIAAKEGKVSFP JR316_0011422 MDYRNAFFWYQYDPTKWFIAFCGKVGLASHLRVFPSNEIAKSQL AMKLKELKRTQDSLKWPTPNEELPVVSWDTFQEEAQSRPLILVAGYIHDVSRFIDQHP GGRHHLENNSGKEMTASFYGGIYRHSRAAHNLLAMMRVGILDCGVETPKIPVAITRRL NISEQDI JR316_0011423 MFTTFPVRPRTPTTEAHIDSFPRPISPKPSLKREHRVARIYQPS SPTLPPRPQTAHIQPDRPAPILTLPGLPPRELPRSVVAPSRKKFKFNAKNLVDNGRSW LAQLRPPWSEPTPEVSVIPPVTPKSHKFASDEEWGYTPKSAKFSAHIEHEFHQEYIPE LQDEYTRDPPPLYKKHAPEGGLYGWMTVAGAFLIQFSTIGYMFTWNVFEEQYTHVVLV DQNSIAVRFIASVQFFFALFLSIVSGKLADIGYFRFAIHGGSFLFIVGLMLLSFVGEE QFGLIFVCQSLVMGMGMGLVLVPTIAIPLNYFKRSRGLVAGIVMGGGSLGGMVFPPVL RRLIPHFALGGAVRITAFVVLGLLIVGNGLLRTPPKEEKPIFPLPHLDLAKYSKEMEY IFAAGATFLTMLIIYYPAMYLELLGLEKGVKPDFAFNMIIVFSLSGVLGGVAFGFASD FVGPWNLLLPISGFLSIMMFTTCTIQGPKSLCAYAFFYGIFAGAWLSLMATALASLAS RNSEIGTRIGLVLTISSIGALASDLLQAAMLTPNHIWAIPSAVAGVILIGVTGLLYMS RTTIAAGQAPRSWRRLKLLKDVPVLKDMLML JR316_0011424 MQSTAILLVSVVFYLGIIQLALGVIITDPSQIAGTSPFDYIIVG GGTAGFVVANRLTENSTIRVLVLEAGIGDEGVLEMPIPFMGPILTPETQFGWNYTVAP QIGLDNRVFSYPRGRVLGGCSAVNYLIHQFGTDEDWNRIANLTSDPNWSWTNMKQYVQ KYENLVPPLDGHNTTGQFIPSLHGFSGMVAASLPALNVTIDSRVMATLGQQSEFKYNE DTVGGDQSPLGIGFIQSSTGGGVRSSSYTAYYLTAATRPNLVVLTSVMVTNIVQTGTT SAGLKAFRSVQFVQGPGFPPITINATREVILSAGSIGTPKILQLSGIGNAADLKKLNI PVLINNTDVGNNLFDHTLLPNIFVVKDANANNTFDNLLRDPNQISIQTSDWLINKTGL FVNNIANNFGFARIALNGSADPAPGPKSPHYEMIFANLFFQNPGPFRPATGGYFTIGT MLTSPVSTGTVKISSTNPFDPPIIDPRYLTNQFDIIAMRESVRAILRFTAAPAWSDWI AGRFGQAFQNATDDASIDAYVRNLTISDMHPVGTASMSIAGTSGVVDSNLLVKGADGL RIVDASVFPRSPSLHSQGPVYLLAERAADIIKAAQRSTTSSTTSLSITPTVTSSKTSF PVTSTPASPGTVPEFGQCGGIVSHPSTVLF JR316_0011425 MPFSRQNVPRTKIFLASGIVASVFVILLSLRGVMNSTLSSPSIA NIHVDHQPSSISNLHAEQYTPAPPHVDDIFSSAETVESKDYHDWNARTLRDLYSCISM KNCGKNQQKIALLASHWFEEAVVRGWRGGEGIWGMSIYKNLRLMGYTTLFANSFEEAL EQYRLFPDLVKVVIRNRAGECHENPHCVKGPMNPRGIPAWKIFDFEFFPSVGGHPYAT PLGGKWILSANPDPRFIAEEDSPLQYIGYSIEEDCQKIPVVRLYHRSNQVWMLMKQIK YVYDDRFTWNRSYFSMAAQELEMNFVGGWMLDQHYLGWDPKTQGDMPDIADPGHGVIN FGPLSMDDFMAHVGLSKAMVGVGNPFWSPSPYNALCQGVPFINPIINWKKDEPWNKSH WHTQHPSLNQFEPPFIPQHMTELAVRERLKRLMETDWKELAASLLEQRVQEVKSGKKV YIFEL JR316_0011426 MLRPLSLLALAAPLAHGASIAGSFSDGGDTLVSAMMMFLGNEEK VYILDKAEGNAAQVMGHPAWGSVWDIQTHETEVMDVRTNVFCSSGMHLPNGSFVTFGG NGAVGPGGNLGSQLNPGGYSAAWDSTYQDFDGTRAIRVLNPCRSSDTFSSPNCQWFDD PTVLSMKNSRWYSAAEPTGEGNIVIIGGFVNGGYINRNYPNVDPERQGGAADSTYEYY PPVADAPRTFRFLIQTSGLNAYAHTFLMPSGKMLVQANVSTVLWDHVTNTETPLPDMP GGVVRVYPASGATAMLPLTPANNYTPTVLFCGGSDMPDYAWGNYTYPYINTWEYPASK DCQRLTAEPVDQSAPVYVKDDDMLEGRTMGQFVILPTGKLLIVNGGLNGTAGYSQATL VTPSYDLMPYGESLASGPVLTPAIYDPNAPKGSRWSNKGLGVSTIPRLYHSSAILLPD ASVLIAGSNPNVDVNLTTVFPTTYKAEVFYPPYFSAATRPAPVGIPKTLSYGGPSFDI TIPATSYSGSANDAADSASVVIHRGGFTTHAMNMGQRLLQLNNTYTVKSDGSIILHVA QVPPNPNLFQPGPAFMFVNINGIPSNGSYLIVGNGKIGTQPVAAASVLPPNVRLASAS GSASGTSTTPGGSSDPEKSSSSNLGVIIGCVVGGVALVGVVGAVIGVCLARRRRAAAR HGTGAVPPASSYPMTSAEGMSLTGSGAPVMGTRAGMRSSDSSAFMPLQQDNRSQAWNA STTSLNAPYRDDHDAYEPSRPSGTGMSINYDPYATTPMQHETPPPSGLRY JR316_0011427 MVKHASMAFFQRFRRPATVFGVLLFSLITVGFFSGTTLGIPESI RWRTTVEWKESRRFIVGLTERAGHHDEVLGALLYSLRTMQSVRKVLVYRNSFRYKFDE TIRPFYPFAPEPAQAMLESLSNPNNTDALDVVILSTGERTIEAIMPTLVEAFDARPPD RKFTVLCVVHDAGRLQELDFIAPLSIRGALRYVTLNQAAQRTLYEGIFAAAARHPEAQ FDRVPVYAIAPIFPVQGLKNHQRYILTNVVVQGNMDQGRRDYKKLFDDMMDALKENAE FWGYKPLEEGTNGSYVPLEPSFGMEPFLIHLAGGTVRNLVVPQALLNVVKVHQSLPYP EYFALMNSMDLVLPAFAKEGYYKTSASSSVAAAVIAEVPILASRRLLYCYQYLDERNS VILPSGMSEIEAVRLIRAGITPDTGEPFNREAVYNRTNMERLRQEIYDSNERTLIKAF AL JR316_0011428 MINLEPRLPLDDEQFQTSVSGYVFVACTGILIWDVLENVKDDYT LLFNYRIRLPTIAYFISRFSSLAYILLSTVFQTTRIIYCTRFQKAMGAMYSVAVPSTT LLFTIRVRAIYGGSKSVTAFFGILWLFVIGGCLTTIHGDTVREIGKTGYCVNTHFEGY ATSSVIAPLINDTLVFLAISWRLMQATLLRDGHKVHFQEIVLGRRMPPFSRALFNDGQ MYYLTTITTNLSTLIMMFMTSLPDEYRIMLAGPNVMLMNIMACRVFRNTKFAPLSYCT QQTMISAPPASSESMDIRSTQMPSFASNKLYAHGDTFSGTFSITVDISTGEHGTEHAA TAAAAERPKIHPGGLDNAEKGRESFRDHIYYLPEREDGYTTFIVEEFRSVVSIPGRSK AVSAHGGNNV JR316_0011429 MGTRLWKAAVTAICSLPLVFGTIIADNNRRAVLPDAVCIQISKA ISSASGVFHPGDPLYDKGIFHYAISSSQNATCVVEPGTPADVGKILTILGRTRTPFAV KGGGHIANQGFSSTTGVQIAMFRFSEVNYHRASQTIDLGPGLIWDDVYAALQPFGVTA IGGRVTGVGVAGFTLGGGYSYKTNQFGLAVDNVISYQLVKPDGKVVEVTQASDPELFF GLKGGHNNFGIVTRFTVRAFPQTQVWGGSIVSDASTVDALTAATLAFQTNTDPKAAAI IGFSWDPTSSQLTALAFLFYDAPTPPPGIFDGFLDIQPLSSDVGTRDYLNLIQSAPLN ATQGTRFAYNALPMLNFTPGISQLLVNETVFWGKALANKSEISVAYLAELFLPNIYSH NTDKTAYPPVRTIPFKPFMLDYNWASEEFDKDWHDAAVASSAHIRNAAVAQGQTDVLR SPVYPNYALAGTPLKEMYGDNLPALRVLKARVDPDNVMGLTGGWKF JR316_0011430 MASHDVEKNGLHAITPQQALGNNGVLPASYGQAVRPSRIGNPGA LGLFSFASTTFMLSLYNLQTRGIHTPNVVVGMAIFCGGLAQLLAGMWEFPRGNVFGGT AFTSYGAFWLAYATILIPGSGIVASYAGNEDELASALGIFLVAWMMVTFFLLVAALRK NVGFIVLFAFLTITFALLAAGEFSGKVSATKAGGAFGVATAFIAYYVGLSELLASEDM TVVSLPLGIFPKRVD JR316_0011431 MVAFKFLAGGYDVFVATYLFNSATNTLSVQSKSPTGSNPSWITL NPTNRSLLYAVNENSNGALQSFLINPDGSLSAAIDTVSSGGSSPAFATALSTGSVAIM NYDTGNGKIIPTSSQQSKFSQSAPLITFPPPVGGVSHPHMALEHEGEVLVPDLGGDTI WRLKQNPSTGVYAIQGSIPQPKGSGPRHIAIFNDRLFTIHELSSTLSVQNVPAQPNGT VITYATASIIPSNPSPGALWAGAEILIPPPTAAFPTPYIYVSNRNTGTQTPTGDSIAI FEHVNQGQPNEGLVLVNQVFTGLDQIRGMEFGNAENGCDQFLVASGVAGSAGVIILKR TEGGRNLEIVARDLTIPTRTSFVWL JR316_0011432 MHHNLPLELVTEIVHCILTIPEPKETIGQNIKPPWDLIRSFTLS CREFRLIGLALWFRQLYIVSAEDLKTSDSYFPVLKTSWCRHIHCVQEKDRKATHWDLA GFTRLSSLRIDWLCIGVSDIGDGGQPLLKNLESQVKHLDIRGVRHPSPMLLMALVSPF RDLVTLKLDFLRIWCGLCHTCILVRLPSPSPAIISYSNGLGLPYHYSRVLFPLQSLKE VFIRLPDFGPGLPITGLSDKVNDNLWSGECDRCMSLMYEDESFRTVWVARKNGIQPGD LDKWSPYLIPPRLEKVEWKFWRVGNGKEMPFSFEEVDSDEEEDDESEVAEDDEQ JR316_0011433 MQPLNTIEKPSIYLTFTYSKVPKGSFDVNVATRIGVDGAGGTIN RYILVAAWTRKALKLSLFLVAAVLFVFIAFQNIAPFPIRAENVRLQRIPPQILAANST QQLPFESPKPPLGKHLYRPDGLLEVNNDGAHPIYELMSRAEAEWVAKHERASKTLEDA VSEYRRRYKRAPPKGFDLWWKFAVEHNVQLPDEYDQIFIDLEAFWGIEPKDLIEIRKE IESKKGTYTIGKNETSQVEIVAHTFEEDQYPKLVNGTKDLMGLFRLFEDDLPPFRITM SPQDRPNLLSDYSIKAATLEAAASQTYIQRRALPKVKTVGWIAACPPNSLARQKTINL DSPPPRPSKKTFIWDHLQTMDPCNHPNHFYHHGQFLSHNMGPSPQSAMVPEFSYSSSM IHHDIRFPAPYGWLEDIYPLTNNPEWDDRKDERLLWRGANTGIFHGKNTRWEQSHRDF LVSYTNSLEGTVNVLPSNRTREERLGDLRELRAARINPAVMDVAFAGTPISCSPEICE VLKKTFPWRNKQSIQEAGNYKYVIDVDGNGWSGRFKKLMTTNSLVFKSTIYPEWFADR VAPWVHYVPIQIDLSDLHDALIFFRGDGNGDGAHEDLARKIAIAGRQWSKTYWRKEDL AAYFYRLCLEYIRLMSADRKLMSYKDAA JR316_0011434 MSRASTTKKRRYSTAGTPSTKTTSVSRLNELLADALFIAENIDP DELKGPDSRKAIKLARSILQALPVENFVKSIGYNDHKRSLNEKIRALQKHCKRDWKDG YERQSEMMSEISEEIVQWLPELWRVMAEEEADPELIRPSLVLCSTIVGDIWSCKSRAE YGDQRFDINISGSSGESIYKVSGGIGDMLVWMWRELLVISGSRKIAIDGILDDIEQFE KTDKVFGLLQQFPANGEQPEGNKFWDKHWNQSMKDFSTQLCERQYQERIAEFQKSPTI GLYRRLVSQYPTLKKQLITFVRENAFSKYPAISYSDAAEIFMECKQTKALLRILDITT YSSTMSDTTLRAIVKYLSTQTSKEIRARGLKILEFGLKRAKDSVWNSIDEVFPGLDNA TDWLEFELDDMYVTDSESDDGEEPGHPRRLKDETKLKPVLRKFANIASDYPDGEDGPL NRDSNPMKGYDSDDSDYEEAKQAISPNLAKGIQDWVALLGAWPDNAEKAEVWDKVKCS DMDPWIVIDGALEELANRYVELMCRA JR316_0011435 MAVEHQRPPPVKPQELSRNLTRIVSGVGKDENNTTIDNIPFHET GLWGATTALLWRTSETPSKDSNTGKELDGAHRPGDRFGGFVNSNGTNFIVQDLAPGAE VPMHRSNSIDYIIMIKGEVISTGPGEKEEFHIKEGDILVRKANTHGYQNPGPGWARYA VVILDAEPVVVGGEVVPETLPNFDSSTA JR316_0011436 MFSISTADMVISVYYLFRYTLNNLVIPSRYPTILFFITNNAFAD AILIYRFYTIWCREKRYILPPSVILLCSSIFGYLFSNSPSDRLYKLVPVSMWMTFGLN ACLTLLIAGRLFWSGRNSSPILGPDLSRRYFCLCALIIDSGSIYSTYLLLDLITHWTL LRAGLNQIVGMVPTLIIIRISLRRASLNGSTILPRAGGSSTPVLDSIFSTVGTGAPNT APQSPRGGGGEQLPHELTETA JR316_0011437 VESSVIYSIYFILDVVIQDLILDAGLAQIVGIVPTLIIVQIGLG QDTHDVDTSASMVQTDGRGFTTTTNDYSYDVPPSDPPPALSPVIPPLLFSPTQQTTLR SSRIFEVRPRMDEDTECGYS JR316_0011438 MSDLRSNNASRGATTSTAGSQRGVASTRTPVARSPRDEGPPHRS QTVPNPVPASDSRRQPISQTSMPVPGATTSQRQRTPSNSKSKATSSRPEFPEPKSSLD GTGYIVEPYQSSLPRSDSRERGPSNRLAPPSSMPAPQALSDSTRQSVSSFQTEPSSYY SAEDGVSSVGPPMPQGPQPIRQNTEGESNSNKYESLWLASQSQAPPMPTPDLKHPPMP TPDLNHPPMPTPNLNHPPMPTPNVAPPHMPSPQHMPSPQRFGNNDNDRDFEEYDEDLE GSSAGNDFEALNYASEPVLSSGNLEMHDSSASPKDRYDSSWLAEPSPGMPVATTGHPT STSTSTKPTTSSFSVDSGSRPTQGGTRTEYSNLSHSPSTGSKPSAPVAAVPGTAPLRI HHHPRPAAIVKPPTPDASSATPEPTKPPSNQQPQNQPHSLSQQTVPPRVNFHSQQSSA SSYSSTSRPTNERPPVSPTPHKTGSSYEARPPGASAPSIPSGASATINPHIPVKQNTT TVRPGKTQATVTPTVSSSATAAPPVKPTTTAAPSHSSTSTPSQQKPPQTVSISNPYKP TVSSNLSHNKLSTHVPQSQGQKPSPSTTTTTATATARPQVHVQESTRPPTVSSSQVQH QPSMSASSESKHQAKVHEAAAAVAAGPTRPRLSGNPSQMDTQYVNMLLALDDIPALYN LLAGFFTWILLAGFILFPGTFTSLQSINNTSGVPGQVQQELVKAIAHLPLPGFLNSLA GLLSTIASVFGVQDGQLVTSSKATIIVTASCTGVLGILTGFYSLWLVRRVKARHDREV GKERAGKYGEGVVDLSKRKVNGSQA JR316_0011439 MRNKNPLNLHKSLPCMSWSPKNLYNVWRRTVGPESEDLTFKATT EQTLFQQRWRSKAAVRAYHGEYIPEKVFKRWYLPDTIPDVRPRRQVIGDDKTDLEHYA KRKTRVKEQEKKLEEEIEKKGLAPVGSLMFREVERRMDVFLFRCCFVHSVYEARRLII HGYVKLNGKKHSHANTRLAPGDMVSVDPTAIRFLKTPYGAMERITGPVPKTAKPAAQS EASESDAAKSEEDQTTKSEETEATKGEDAATKAVAKTEEPYVPYYKRPPTPFYLPEYA SPWLFIPAYIEVSFKTCSAIYVRHPTARPGYSEIPTPYDADGAVIRYAWEWYVQRRPR MRSQSQRARMPMDRVVELEKSLNKDRRAFTFSKELYEKSKISWRHELPQGFNAEEWLK THANRRWVQYWAKKQEKLRSSQPAKRVRPLKLGPSAPVKALVD JR316_0011440 MSATTTTTTHLPPPKPNHAFTRKPESKVGQFFWRRRMWFESTFV LSMLEPWEKILLRYHALTDSSNNVVSVLALLFLLLSSAILKYMPHHLLFLRRRAMYYL WGQEGDERILWQWLGLTANEGSSAVAGVFGAHKEL JR316_0011441 MDLSPLNASFSAPGIVASRAVDPDKAIRVPRFFSYPKQVLYLVA SFIALVSLSHFISLAYRYAIRNRVYSSPRRPSPFRRFPIAVIDSLRAIAFRWTVPIGA SYTLNLAEVGMTLGYTGVLLSWTFVNTTTTLGVKVEPHYYANRAATIAASQLPIMTAL GMRNNIISWLTGISFDKLNYLHRLSARVICILVWIHAGGRITIGLLDDEAIVNRWVQC GVLAGTTLTLLCILTLRPVRERNYELFLIIHFIFVFMFLLAIYFHLTGRVLTYYGAWP SMIIWGLDRFLRLVNLTVFNFGYLNPWSKTSRDLDASVEVLSPRFLKVTVYRSKHFHW RPGQSAYLTFPSISTFPFQSHPFTISTIESDASVPHQKLTFFIRVRAGFTKTLMQTAS SDSTYKVFINGPYSSPPLLMGFQSVILIAGGSGIAFTLPLLLDVLSRAKRNQHVCQKV TFIWAIRDTDQLKWIEEELLSSLEDLPESISISLQFFITSVLENPQQQSWQAESTSDV NDHHVVEVKTPSSSSSHSGSKILDLSCSKLEHGRPDLTTIIKDEIAIGTGPISINVCG TDTLSDTVRKAIRNPRPADILRGGPSVTLHVEAFGGSQ JR316_0011443 MSTAKARSAHAKAILGPELFSKLANTSVLLVGAGGIGCELLKNI VLTGFGKITLLDLDTIDISNLNRQFLFKKKDVKQSKATVAAQTASQFNPNVRITPIHD NIKEPQYDVQWFQQFDIVLNALDNLDARRHVNKMCMAARVPLVESGTAGYLGQVQPLL KDETECFDCIPKPTPKTFPVCTIRSTPSQPIHCIVWSKSYLMGQLFGEDEDAGGELDE AEKQGENKQEIAALRKEAQAFRVVRDSLRDSNATNAAKLAFDKVFNADIKNLLVMADM WKSRSPPTPLDYDAILDETFSIGKGNGTTSSSAVASGSGTNYSPSLKGYANGSAGTSH LKDQRALTLKDNLELFISSTDRLADRLRHGETTITFDKDDDDTLDFVTAASNLRSFAY GIETKTRWEVKEMAGNIIPAIATTNAIISGLIVLQALHLLKKSHGQLRNVHVQLKPSV PLNSIRLSPPNPQCGVCKDTYATVLCDPSRTTLRDIVQGIMDDDGREVSVYEDKRVLS DPDWDDNMDRTLASLNVTRGKFVSIVDEDGEKATISIAICNLPPNHPVDGPSFILPSP LPKPPPKVKPAPEPSTPPRTSQKRPLPVETEDGVIDLAPTPKKPRPGRTSTTNGSSAK KRKLDDGSSPSKRRRLEEDGVVLMDGPNDDLGPSEPTPELPQGQTEAEYITIDSD JR316_0011444 MAPKSQAQTQPQVGKRKPTKSSSLHRTVRLDGERIPSSSAPSRM TRMDPLTAFSKSVTTVSTVNKINASQSQGQKFKGKGKAKIQEYESENSGEDGQAAGFL WVDKYEPMTEAQLAVHARKVEDVRRWFDEAFEGGPSGKLTKYRRILVLTGPSGTGKTA TVRVLAKEMGFEIMEWKNTIGEAQNFFSQESNTSDYEGLFTKFEAFLARASTCHNIFA SSSAQKSKNRRIILLEDLPNILHSKTQTQFHEALHALVSAQSANPVPVVIIISDSGLR GEATDERRAEAGGWGWGKDKTQIIDVRTVLPKDLLGGQFVTEISFNPIAPTLLRKALQ AMLSIHFSRSSASAPSKEVLDTIVESANGDIRSAIMALQFACIIEFPGRGKKRLGEQR IVLEAVTRREQSLALFHLLGKVLYNKRMGDPPSASASAKDLQKERDIDAALKPSVKLP VHLHQHDRRASRVNVESLYADSPIDTSLFSLYIHQNYTQFCNEVEESEDLADWLSWID ASGGDMWYQANPHQFHLLTLGTLHSLPSPVPRRSQKYYKPEFFGFLQKEKDAWDSVCA TRDWLIDDLLSRDGSGWRAGGWTKNDVVLEVGGVLKAQDAIQNSKYARPPPPVHKLFS QMTFTRTGNTVRASQLDERDDFQADQQLGLDRDLDMVSPSSIYKMAEEKGGWLESDDI DEF JR316_0011445 MTPPNLQSRGIFPPELVDSIIDHNHSDPPTLMACALVSNNWVPS SRYHLFSTVAISHTNAREFIELLSSPLCTISTAVQGMDVQFVPGSQRWFAEFTRRMNS LNKITIQSLGILGSGNTVIREEVQTAIPALAPQTKIFNVGPSVIFGTFSQFAKLLCTF DTLESLSCGCTFQNKDRAHGLRFKSPLRQVHLVTPAIKAVSEFLLAQGVLPTVSSLSL SHLVSDDYPTLTTFLAIPNDNLQSLAIKMNTSFSGTTLDSFTEAVKLTQLKALLNLSI ETDPKLSPEQVSRLLEQITSDNLKSVEITMALEQGAGRVDVLLSGKFPLLTKVKIVGS AWGDARQFLPRCNAKNILQE JR316_0011446 MRTFATHLSIFTQQQQTLNEVENLYFHNSHLRGSKSFPLPTVVA TTQQQHPSFSIPITNTVQSSLSFKLAPSSLGRIAQSLNQTAAIDQDITSSSRQENNVN RDKETAQMPPPQIILSLDNIDPALRSDLPSTSSDVVVDSHNRATPPAGSGAIVPSTSN EDINIPQRELSLPTASLTPPEENSESPVDSQSSMANHTSGMSSHFRMPKAPHTPKPPT SLTSKDMYSFSSTSTLTYDSFWSSHSGSTTPRPRPSREPSTNEFTPTFQIPGDFTSGI PEPGRAPNSSHHNPLPSMTPQQ JR316_0011447 MPLAPPLNFSKWLNDNQHLLQPPVNNFCLYKGDDFIVMAVGGPN ERRDYHVNETEEWFYQHKGGMLLRTVDDGKFRDIRIEEGEMFLLPGLRCLPQYFHPLT DLIANTPHNPVRFANTIGLVTERVRPKDSLDRLRWYCSNPVHIEPTIIREEAFHVTDL GTQLKPVIEKWMTDEGSRKCPHCGIIADAK JR316_0011448 MSYNNDGDNDSYGSSNRNDRDNGNSYGSSNNDNYGSSNNSDSYG SSGRDDNSYGSNRNNDSYGSSNNDSYGSRNNNDNDNSYGSSRGDSYGSGNNNNNDDNS YGSSKNSYGSSNNDNSYGSSNNNDSYGSSKNDSYGSSKNDSYGSSNNDSYGSRNNDSY GSSNNDSYGSSNNDSYGSRNNSNNDDSYGSSKNNNSYGSSNNDDSYGSSNNDSYGSNN RNDDSYASSSNNNDWVQKGVKEAASRAGYNLSSNAG JR316_0011449 MWHPILILSILLQCSTVLVIAAPTVPIQPQVEYTDSNPNDVLWT PTSGRFPSPQRGRVGASILGPQNVPMDLQNADLLAPPTTDNGEVQNYKWPFSFSHNKL KDGGWARQQNVKDLPQATDIAGVNMRLEAGAIREMHWHSTSEWAYVLKGDLRVSTITP EGQVWVGDVSAGDLWYFPAGNPHSIQAKDTAPDGAEFLLIFDSGSFSEDSTFQLTDWL AHVPKSVIAKNFGMTGNLDAFDHIPEHELYIFPSLPPSPDISQDMVIPNNTPHPYTYQ FSKVVPEQKEAGTVKVVDSRTFTVSETIAAAEVELEVGGLRELHVRILKFGLYFMLHV TDDIFSNRIVASNAAGMDLLCVSHHIIRFSPGTKLLGLVLVKLGSLFSRPKEMLQHTI SMQGGDIAYIPPTFGHYIENIGNTTLKFVEILKSDIFQDISLSQWLALTPPNLVKAHL GFSDEVMAKLTKTKKTLVK JR316_0011450 MAYKQHNQTRHIPLPSKPPVLVKDWEAINKHIAEALSNEVLRVQ RATKDLQIQRHDSIYTGISGIAMMEYHLAGISIPLQHDALTPKSLIHVADQHLARVIR YRPPYVYSFNRLSFIESGVGIAALVLSRGLWTSEPTIVGNWKAAKDFLESNVEQVLLE DAELYSPGEKEDGCEVLYGRAGLLYALLYLRNSISGKPHHEIASLENLTSDSNLARLV DSIMSRGKHGAFSLASEFRMDDQPQLPPLMWTWHRKRYLGGAHAGILQILLACPGSII QKHLSEILGTIRWLITCQDEAGNWPSKCPTQRGVSSENELVQWCHGAPGVIILLSTTL RVLHDHEGFAADGVRDDIVRSIQQGARFVYKHGLLRKGVGLCHGVAGSVYALLAASDA LDSSSNKRFFAKAAHLAFLATLREDLTTSKEMLIPDHPWSLYEGIAGACCAYAEVLSR LDSNDSRRSVSGFPGYNDFCGI JR316_0011451 MPSSATHHLAAVIPSKGSPLEFVERPTPTPGPDELLIQVKAIAI NPIDYYRFLIGVFVDVYPATIGSDYAGVIIAKGSNVADNVKLGTRVLGVSASFASKGA PDYGAFQERVLVPASTVTPLPDAISFAEATVLPLAVLTAWAGWYQLGLPRNTKFTPAD KKGLIIWGGASSVGSVVVQAARLLGFTTYVTASEKHHAYLNSLGATRLFDYKDAEVVD KIANAIKEDGVSVQSAYHAIGDLHSTLDVLLKVKGEGIAKVAHAPPIQSDTPKADGIE VKFVIPPMDSPEATAEFIQFVFTDWLEGKLATGEIVPSPRVQLVAGGLRSLPKALDEW KNGVSGAKIVVEL JR316_0011452 MSNIVQNVKSKLSGSHSSGDQNTTQPTAQNNEVKGNAGKESSEP TFSILPHPAKTNDPADLQPPGGLRHNGPMDAFNTPGPFIPKEDMKNNLPAPLSREELH AKQASLRAEEAPGHGTQQGNIL JR316_0011453 MGALGRTPTEQELSAILREIDTDHNGTIEFDEFVDLMDRNPLSP KLAGAAPFGEDSELYYAFSVFDKDSSGKISVDELAQVMKNLGEKLTKDELDMMISEAD LDGDKEINFTEFKKMVGGLSK JR316_0011454 MRFSSLCSLLCLLVFSQSPGSFAATDYTSLVNLFIGTASSGNAF PGSAIPHAMAKVGIDVSTTPRQAGYKSGNASITGISLMHDEGTGGNAAGGYGIFPLFP LNNCSFLSCPVGITFRAALRAAGKDAASPGYFTTTFTNGIQIETTSTRRAGLIRFTFP TSGTNFLVADLTNDLGRSFQGGSISIAPSGRVKLGGTFLQSYGPSNYTAFACYDFVQP SAPSSLTQFGTYASSTKAPASIFVTSGNTSLNYPYSSSTNPIQAGGLFAFNSSSVTVR FGVSFISANQACSNAESEVPTWDWNAVQQASKSKWQDVLSRVATNVASENPTIVQLLY SSLYRASLVPANMTNENPYWTSAYPFYDSLFCSWDTFRTVHPLLSLLSPFEWAEIVNA YVDGWRNTGYIPECRSNTKAGYVQGGSDGMPILGDFAVKYGGRASQLGVPINDLYQAL VDTAENTPPDWYTVGRQNTAWKTFGYIPTDWVDPSGSTGLPTREASRAFEYAVGDFAV RQAAKALNKTSGDITKYTNRSMNFVNHWDPSVTSNGFSGFAQRRYPNGTFAFSPPDAC SPVDPTPHSCARGTDNNVGFYESSSWEMSFFAPHSMSTIIRLMGGNSVFINRTDTYFS KGYFQAGNEPSFQIPWAYHYANRPDLTALRVRQVVYGNYNTGTGGIPGNDDSGAMAAL LIFHLLGLYPVPASRQLLLSTPFLSSYTLHNGLLGTDTTVTVSGFDPATLVKAPPTGS RLYVQSVTIKGKASSSVCWIQFDDLVGGGQIVITVGATPRTDGCGSASNSLPSSLESG GF JR316_0011455 MDSGTSPSGPDSESSSVYPVQRHAGKVGYGPNFASGAGLVDRLT GLATEIKGKATHNPAMVHHGHEIFTGEAKRKKILGIDDPDPAFDTGRDSKKKSSGPTT SNPPTGTVASGADPNAPNAPSSASVTRSSKEHVPEAINLAGPEHVSDMGSSVEHLKHS JR316_0011456 MFSKKLLVFLSLVPFIFAAPIDELNKRQSIDTSSHCGQWDSVTA GQYSLLLDQWGTSGATSGSDCASLTSLSGTTVAWKTTWKWTGGNGVKSFTNIQLNNGL NKQLSAIKSIPATWKWSQSSTGTVVADVAYDLFTSTTAGGSNVNEIMIWLANYNAGPI SAVYNSDGTPKAVASNISLGGQTWNLYKGSNGANEVFSFLPANGGTITSFSADLNTFL KYLTANQGVSTSQFLKTAQGGTEATSGSATLTTSAFSLVIN JR316_0011457 MPTDIILYDIRTALSVPTSPNTWKVRLVLNYKKLQYRTEWCSTT AIEATCKPLGIPPTGIKPDGTPHFTLPAIIDRTDPSHPIYLSDSLPIIEYLENTYPSA PGRELFPAHTKAFQSIFNNFVMPALFLSLQELGISALYNAKLPGDKTDFEARILARTG KHWKSLEKQGAEREAAFSKLKGTLTMLKTACDKSGEGEYLTDGQVSFADLALCAWFIT IKTTSKNDIWARIVTWDDGKWARYVHSFQEWMTVI JR316_0011458 MSSSTIRANKACFEGLVLQALAYGALVMLYIQITQVLVRRPKRN RMFWLITFYSTALFPLATFAFIGKLIFMEKMYVGSPGEIIDSKAYYGVHCGEWANVIS QVCITMIPWIGDLLMLYRLMVVWNYKWVLLIVPGGLYITRFGMAFPHLLTMIRTNPDK DLYGFIFYGLCVGLNTMVTIMICVRLFMMRHKAEMVLGNLQASLYNSCISIFVESGAF FSLWSLVYLSLRAKNSWAQDIFFQPYSFILALTRMLIILRMAQDRAWTREIITAADNG ILDWEVSSVNSLPTNVSKPTDEMTQKLPNKFREDSLSSRSTISP JR316_0011459 MPSQSTLNADEKAKVKSTVPQSSHKILCAALARIYYAYPQPSKW SYAGLQGALVYCIDTTDDTPHFKMVDLDGTRGVIWDHELYSGFELNQDRAFFLSFAGD KCMIGFVFADESEAKLFHKKVKNKKGAKASKPKSEKSKISKGGKIDKSMISGPKSGSF IHVAHMGYDADKGFTSQGVDPSWTALLGNLEGQVGSDVVAREMEYIKSFVRDYSEKQA AQPVAKEPKKPKPPPPPSRGHALNGSVASHTPAGSHAPPPPPPPRSQNGPPPPPSRPT PPSRPQPPRTPVRDPNPEESSPSHAPPPPPVRRPEAPKAGPPPPPARPPPPQTRGGPP PPPPRTQQEPSRPPPPPARPVAAVSTQDHAVAAPPPPPPPPPPPARPEQGFAPPPPPP PPPPPPPADYGTGPPPPPPPPPPPMGDGSDGSAPSLKASLPTPQPGRADLLASIQGAG IGMLRKTTPNSGPGRTASPAQESGSSSTLAAGAAVGAAAGGAAVAAGGGDLTSALAAA LLERNKRLGDSDDDDDDDEDWD JR316_0011460 MSGRKTPSNGPDPILLTLFANRFMSVAEAMGRSLQQTSISTNIK ERLDFSCALFAPDGDLVANAPFIPIHLGSMSFAVKYQMNLYGGSLKEGDVLMTNSPHA GGSHLPDITIITPVFDSKSKEIIFFTASRGHHADIGGILPGSMPPTSVNIFEEGAEIV SFKIVNGGIFDRDGLIDYMVTKPSQYPGSSGCRNIRDVESDLKAQIAANHKGIQLIHA IVDDYGLETVQEYMYHIRNNAEMSVRNLLKDVAKRAGTNMLSAVDYLDDGSPIQLKVE IDESNGSAVLDFEGTGCEVRGNLNSPISVVHSAVIYCMRAMLDLDIPLNAGCLVPLEI KIPQKSLLAPSRTAAVCGGNVLTSQRIVDVVLKAFHAAAASQGCTNNLTFGAGGKDKD GNSIAGWGYYETIAGGSGAGPGWHGTDGVHTHITNTRIGDVEILERRYPVMLHEFGLR SGSGGVGKWHGGEGVIRSIEFLEPMQVSILSERRTRQPYGLEGGGPGALGRNTWIKKC RQEDGDLDEKSDLRTRNINIGGKATVWMGKGDQLVIETPGGGAWGAPEGTVKSSHEHI KAWEPRGSIAERAAAQAAF JR316_0011461 MASTLSEYLDSQDELVKEAALALPHQFSQCTYSLGPLRQAVYLC TTCPEARGLCAACSVACHTDHEQVELCGRPYDPKTELETMIQCLACEDWFHESCCNLR ERPSSRANTPEQSGNEIGGDAASETSSSGLPPPLITGDEYESFVCGSCTSRNPTLKRW AGTCGAMMVVRDTPSDPWRRLNGPSKVGAELIQIDDPQSATFVLGTKRPLSPSAGEGP ETKRVKNISDETISTTPSCLAPDPVPLAQKIFAEMESRESAPSLGAGDVFFTLGFKER WCQCDLCFPPLKMEPYLLHDEETYEPPEDPDSGLSLEELGMRALSRLPRDKAIDGIHA FNEMRNDLVKFLRPFAQDGKVVNETDVRDFFASLKEAAKKGRE JR316_0011462 MNNQLYQHPLFTVRSELLSPDEQVSLSYQRAKLVMQTYRLTAQD IQFCSPRFWQLICDPILSLDIAMFTILAAHIGLAIGTLSCHLKARPDLRPLVQRLLRF ETVGLYLLTERGHGLDSFNIETTATKTPDGYILHTPREEAAKFMPASTPKFNIPKVAL VMARLIVDGEDRGCRFFIVPICNEKEMYRGVQSTRLPTRSGTGPLDFSITTFNQVHLP PTALVASDIMNISKPARPLEAWWNEIWRIQLGTMAVPAPWITAIKSVAFIGGKYSIRR CILGKNDIPMPIISFRTQQWPIAHATAVGMVMANWYPTAVQDAMANSDHRLRHAMSVV IKTTVCRHFQRCVPEVAERCGAQGTFAQNYMAKIENDGKGVIIAEGDVLTLCIRLFSE LLQGHFELPLPDPSESLLAHHAASILQENHDLFLSLKCEHRSDSFNFLILPQSEKVIE AIGHAMAYSAAAKAGLPKPILDVYESAIIRQDPAWYSEQGGLTRMEQRIREEAAVSSF MVHLDDFLEQLDVENYVSAPIVSDHAWKSYLEELTTYSGNAIPSVERLQAVL JR316_0011463 MEGRLSSKNDFPSSETSSRGSSSLHSPITDPCASKEMVVDVEVQ ALPTLGNDTGSNAVQTPSFPEGGLRAWLTVIGGTMVTFCTFGVVQSFGVYQDYYSRTS LSERSSSEISLIGSMQVFLVFAIGLPAGRLFDAGYFHHCLLTGSVIYIFSIFMLSIAE PHHYYQNLLSQGVGMGLGMGMMFLPSLTITSHYFRVKRSMAMGIVIAGSSLGGVVYPV LLNNIFQRSSGFQWGVRGVAFMDLGFLIIANSIMRTRLPPKKAQDGSGATFRKVLSDI PFLIYILGSFLVFWGIFVPFFYLQLYASTHGVDAMFTKYSITVMNAASVFGRTVPNFM GDIYGPLNIMIPSALITAGLIFGMFGAKSVAGVAIFGVFYGFFSGGLVSLVAPGVASF VTQRDLSDLGIRIGMVSFSLSFALLTGNPIAGALLTSHRHWSRPLIFAAVVVFMGAAC FMSVWKPITRRRGHKRV JR316_0011464 MNVRCPPSMTRVLHQWGLKNEIDEMAQVCDRINWYSGPNAEPLG SLILEHFVRDVAANLCFLEHGRLHDLFLKLAKNQGVDIKYNSRVIGADSETGYVSLEN GEQVYGDVIIAADGYNSAMRDIVVGEENNTNPEKTLILSFGLPSCVLQGDPELQSVVD SNATSYWLGDGYFCVGNLLNKDRDFAGVVSQKYSGSREVGDWTTAEDIGQTNIDFGKL DTRASVDSLVCENSRIALVGGAAHPLLYGANHNHALGFEDAQALGCLFSRLQCKDQVS QLLTAYNEIRQPWSQFALAHTYRHHQLLRLPVGPEQQARDALMRPTMRRLGDAAAAAA VPDGSIDEPLFRRVWGGDLQLFAYDARERVEDWWSKWGASIVKKSSMATLVVPRVQVS VSTKTE JR316_0011465 MSVIVPLRPLSTSEPYDPYVPRDGSGPSAGPSQGNAKTAAIQAQ IDDTVGIMRENITKVAERGERLDSLQDKTDNLAVSAQGFRRGANRVRKNMW JR316_0011466 MARGGTFGLTSSRGRGGRGSFRSGGFRGGSSWRGGRGRGRGGKA ASGETVQAVREDDGTQLAEKFEKAAQNDEIDEKLGFARVDQGLKKEGWLINMHPTLVK DPDWPSGRAAVDFYFIQDDGGMFKCTLQYEPYFYIACKTGTETMIEEWLNKQYEGLIC RIVRDKKEDLKLPNHLMGHRRLYLQLCFRNISDLLTVRRDIVPLALANGAKRDAVDAY AEVIRATTDASMDIAFEDDMEGVFGGPSRKPATHRDQDPRECIIDVREYDVPYYLRVA IDNEVRVGLWYSVTFTEGQPSFSLNHERVKRADPVVMAFDIETTKLPLKFPDQAIDQV MMISYMVDGQGYLITNREIVSEDIEDFEYTPKDGYEGPFIIFNEPDEAATIKRFFSHI QDVKPTVMATYNGDFFDFPFLDARAQVNGIDMFQEIGFAKDLEDEYKSRTCVHMDCFR WVKRDSYLPQGSQGLKAVTTAKLGYNPIELDPELMTPYAIEQPQVLAQYSVSDAVATY YLYMKYVHPFVFSLCNIIPLNPDEVLRKGSGTLCETLLMVEAYRGHIIMPNRHEDAQG NMYDGHLLASETYVGGHVEALEAGVFRSDIPTDFKIVPSAVQQLINDLDAALKFCITQ ESKSSLDEVTNYDEVKAEITAALEVMRDNPKRTDNPLIYHLDVAAMYPNIMLSNRLQP DSMVDESVCAVCDYNRPGKTCDRRLNWAWRGEFFPAHRDEFNMIKHALNQETFPPKRP GGQTRRFTDLTASEQTALLHKRLGDYSRKVYKKTKDTKVETRESIVCQRENPFYVDTV RRFRDRRYEYKGLHKAWKKNLDSVISEGKSIGEIDEAKKMIVLYDSLQLAHKCILNSF YGYVMRKGARWHSMEMAGITCLTGATIIQMARALVEQIGRPLELDTDGIWCMLPGVFP ENFKFKLKNGKSIGFSYPCTMLNHLVYDKFTNHQYHDLDKETGEYVVHSENSIFFELD GPYRAMILPSSKEEDKLLKKRYAVFNDDGSLAELKGFEVKRRGELQLIKIFQSAIFEK FLLGTTTQECYSAVAEVADQWLDVLFSKAENLSDEELVDLIAENRSMSRTLAEYGGQK STSISTAKRLAEFLGDQMVKDKGLACKFIISAKPIGAPVTERAVPVAIFSAEESVKRT YLRRWLKDSSLVNFDLRSILDWDYYIERLGSVIQKLITIPAAMQKVSNPVPRVRHPDW LHRRVAGAVDKFKQNKVTDFFRQKGNGDDEETQPVDIEDVVSENPGRKRLVVTERSTV REITPEPEVDDGPLPDPNQNYSAWIRAMRPRWKKRREARWGGGSASAIVPSMFKSTRA QTSHRWDIVQIRESKIPGRFILWLSIDSELISVPLRIPREFYIHLKKPVEGLFQTEFY TCTKVAKNLPRDSPCTNLYKIVVREEVYQEIQEHFIDITNDPNVDGVYELQVPLVLRG LIKLGKTCALDDQTLTLTRAQQVGFDLNQLDRCLTSSSRDRYLKGGKSGKYLFLYHAS SSNGVLHLFALFQPNGIAKIFLVDPASRRQGISRLSEQYVTYLQKKVQTYGTGDSVVY PATVDFSHSYHSSDTTALKAVSRELGLLEDKSYTIVLSSSKDVSYFERWMPKLVKFPI IAMSQAKGAHSLDVFPWQSHVGQKMFSRYLSLGDFIDRMAALADYYDVPIGHIEGDQP LILADISFARRLVQQDMVLWWSPGDWPDLGGIENDRRQTEPLPKTEFQAAGVYSNVCL EITVRNLAVNSVLQSVLVNELEGSGGATAFDSTSHMINEYGANGETQRDLTLGESQLS TQTFAVLKAMVKTWLLDKIHDSTNSPATLTIDHFWRWISSSGSQLYDPSIHRFIHGLM RKTFIQLLAEFKRLGSQVIHADFGSILLATSKPPGTAHAYATYITTAVTSHELFQHIY LQTDRFYDLLVFMDSANMGGIVCENPLAIEPPEELCMELRWNIQLFLPPAIQDDFGSV IQYFIVELFKIRQKLNKIARKPLRELQNGEPDSTQRDTAKATEMESILEFISKRLTRK MLKVTESIRSRYEYVMNDDDADRTPFMFPTLPGSYLNFNNPPLEFVKFTCAVFSLAKE YQVEVGLMKRNLLDLVNVREFAGEATFRNPCEPLKLANVPCRHCDYLHDFDFCRDPEL LPDNVEVNPKWLCNQCGGEYDRTAIEFALIDMTRGLEKAFAQQDLRCVKCQQIQSDNV SKYCKCSGAYQFTMNKADARRKLRTIVNVAREYNLPRLKECGQALLANCLNTYHHHKN HYNFLDDITPVFGPLTFIINAAMPPTHGHKQSASLSQNHKAQLANAYNELGKELSSSK VRVVGNYTLGKVIGEGAYGKVRIGTHRLTSTRVAIKQIPKAMSASLTREIHHHRQLHH PHITQMYEVIATESHIWIVTELCCGGELFDYLVEKGRLSEDETRVIFGQLCLAVAYLH DNNVVHRDLKLENVLLDERCRVKLGDFGFTREFDRGILMDTFCGTTGYASPEMLQGKR YEGPEVDVWSLGIILYCLLTGTLPFDDDDEDVMRSKIIQGDFEDPNWLSIESRDLIKS ILTKDVTKRISIPQILAHPWFTARTLTYELESPLSAVPPMPLTRPPSPRRDSESSTAP DSSEASTQESLSPLIKQPDLGSSTPTTPDESVHDPFESSDNNTSTKIHRHPSDMTIRK QSTSDLESLSSRLAMSGERQPATVPEEREEERKMYSASPLPWTGPGSKPPPSAHRTPA RTKRRSVSSIMSDAGGAASPTLEKTPTPLPIPAARDLDFASLLSARTPVIFSTPPERQ LLNTLALLGFDTAQIVHSVLTNACDSAGSLWWLLRKKEETSTRVKNADGTLVVSPTDA DTASLLEGVGDPEPLSGEESSSQQLRPSNGKKHKDKDKKSKSKSRTRKASAGVQTEDS AQLVHMPLAAPVLHGHTPPLFALVPPTPTMASTRSPTVATPPRIGSPTRSPLLSPSSS TVADGSVTSSSAAARSHPSTPSGSMKDKDMGSGSNTGSKGRKARSGSVSIMQRATTAL EAAGLVRKKSAEAFREEKERKEEREREKERERELKEREREKSREGERERRGGSGEEPS RLSHGSGTSSKLTKSPPLKASKDHPPSTPPPSELSRDVAAQQMGSPWVLADGRESIGQ GQLPAAALSATSVSHANGPGMRGEILHSHSTPNFSAPDGMGAPPAAAAKSNSGTNRNK ANLLTAFRLWFNEDRKGKRKDSADSNASGHNPGSESAGQSSAGAGTRAVGGSRRGNAS NSNNKFGSRGAHRTKRPSMSSRRSSSINSRRSSGTPSVQMLVLDSPAQAQIPGAQQAV ARRSFGSHTPNSERGEHSSRPSSIRSVSMQTRERHRKSPSQSSAGSVHYPRASTSSPM PMQKYHRRGGSGGSTTRVVRQITPVSGGGAQPRPVHVRSNSTTSSMHSPPSSRPNSYY EPSESEGGVPTATMTTPVKSSSGRSRRSEDRRPVSSGNGSMTFVAQKRQGPFASPAYG GSGGSMSASRSSWKKSWGVEPPGWSSRTAHLPVEVLSISPNDPVSIRDVFSGKQAATV LASAMGDESDWVDEDDDVPAFAGGLGQMGTSISMSSSSGSSMGYHGQMMEPITLSPAP RGHRASKRMTGNRGVGASGSATGGSGSSSSSGVVGSTSSGSVVGRARGGNSGSSPAER PSPLPIPEAGYEPADSRTGRRQLPAGRSGPAFKHAIQEEDEDEEEE JR316_0011467 MLPGFGLPVNHVPQKGDPEYIDDLYCSALSPGNLYHGFINQRLT TLREFTMMQLMNTITDKPGWQIKVYDPKISYKWKEEALSSGKDISSKMVDWCIDELRY KASLIPDSAPHPPPIVVYNGDVVKSDYAVSPELKIELQNAVKAFEDKIPEHLKDWHPD SDEKVLDLVHPSLYPLVYGLTRVLPDGQITTLDDCIQRSGQGSKSIDNPRGIFGPYRS PYSAKFQWLPCEVDISGEEARITSYINNLHPQEDKPLYKLISKLITVSIPLWNLTMAP LRKGGWGGSLFLHSPRIHYNKVVYDPDPANWPEQEGPQPDHEEGEDRDDDMYLQRRQA WIESTRRIVLPEPGVFKPLPSPPPFSLKDKYGARGLQVIVKLANIELTPDKPEYNGGS WHIEGQMNEHIVATSIYYYSSSNITTSSLSFRQQCWSNIDYDIDYPVGSDYEWLLDIF GCERNEPTVQFVGSVMTPEGRLLTFPNILQHRVEPFKLEDPTKPGHRKIVALFLVDPN IKIISTANVPCQRADWVLNADSSSARSEDGFPITEDAAKDLKLELMEERKEFAVNHGI AFEAMTISLCEH JR316_0011468 MSTPSTATTLTSLLNSLHSHLLSQTQLLPTLHAQLGLPESALED ELRALQQQLVDGVELRIDRRRKEVDEWMAKCDGLENECIRYTKALGGNIKATGSSLGE LRKEQALPRRFELISEYQEKLRQLYHTKVEQLNTLTNRLNALSRTLGPDYFAQDIIEP TVAAGESAYDAGANRDVTPERFMKLEKELVRGKAEVLKRLNQLSATFVQIDWLYTELG IVPPATDELEASPAQTTSTFTQSLASSSSTKVSSSDPFLTSTPTPMSRSTSTNILFRE DVGSVPEYEYQRIFSNFVARIEEAEAENLPQSQSVPVGLDGVDPTPGLLSWAATLHAS LEEIKRRREAHIQTMYDQLEGLWRRLGVSEEDMDNFVEAHRGSTEETVQEYEDELERM LELKRERMGTFVASAREEIVRLWDDLMIGEEERADFPPFADDEYTEQLLTLHEDEIKR LKEERRNKAPLLAAIKKYFEICEEEKELANAASDQTRLTGRGPRDPGRLLREEKMRKR VQKEKPRLEQDLLVSIPAWEEDAGRPFLVHGESFLQLLMESVSAADQENKRKPSSRAG SVPARATTPTGSSGYVPGTKTGVVTPAVRTREQAGNGSQSVPSKRQRVGEHAASTPVY GVRAPLGTHRGVNTSNSNSNSNGHLRSSSPTKIPSKSLSGGVGSGLPRPAGLTLGMVQ PKPGTAQHALGHGRVPTSVIYGASAYAPGSMGVRSASVMGYGSGGRTASGGAAAGGYG KAGSVAGAGGIAKKSTRARRESFKPRPSVDVVDIPVAVGHGTKRWGGGYTVNEDEEY JR316_0011469 MTLVEVLDFHVKHNTYRPAYTFSEDGKSEVTNISYLEFGRAVDR AAHHIRSGRRGPDRQVVAFVALSDSLLYLAILMGILRAGMVPYPMSPRNTAAAIVKLL KESSCHRLIATQETLRPLLNEIKAELKSGENAYYLEINEVPPLFDIFPKLGYETLEDP FVPYPKVPRPPMDEVSMYMHSSGSTGMPKTIIQTTRILIEWSKLPYITDCKSKVAAMA LPSFHGMGFMVQLMIGYYSVQPICLYPPVVTTPTDQPITPSPDNIIDHIKRTEAKALV IVPALLQIWANDKEAVRVLSSLDIIIYAGGGIPSKLGNYLTESGVHLCCVYGATEIGA PTYFGRRKEDVKEWDYLEFAESVKVRWEPQGDGTYDLQFLDCDTHHVAVKNLPDASGY ATSDLWVPHPTKFHLWKIVGRKDDVIVHTSGEKTVPAPMENILMSSPYIMGTVMFGRD REQAGVLVELKPAFAIDPSNEKELIKMRNTLWPIVEEANKIAPAFSRIFKEMILIASP QKPLPRAGKGTVMRKAAINAYASEIDAIYAQVNAIVDCERVAYPSSWNIENTMAWLKN EVEEIRSGRSISVVDDFFHQGVDSLGATILRRRIVNAMKMNDMLKASQLVSYSTIYKN SSVEKLAHFLVGAIANPEGNNAINLKKDDTIELMINKFANGLSVQDQPVDGAVVLLTG STGNLGAQILEALLRDSRVSRVYALNRTSSGTQSLKERQFERFVDKGFDVGLLESPRL VLLEGDASQKGLGLSTHVYSQILSFVTIIIHNAWKVDFNQSLSSFEPNVQGTRNLIDL ARASRLGSSVKFLFTSSVSTAYLWHKSYGAYPEEVVTDSRYAFGIGYGESKYVAERIL ARSGLQATSFRIGQITGGTPNGAWAVTDWVPILVKSSIALGALPSAIGVASWLPAHAV SQAILDVAWSSTSEPALNLVHPRPTSWNAIFCLINEALVREGVIKEELPTVTYQEWLS LLEKKAAASNTESAQILKDVPAIKIIDFFRRSAAMDETLRLEGDETVESGGLPTFSTV KISSLSETMRTLPPLGEADVSLWMKYWKNSKLF JR316_0011470 MSFNLANNLSETAAIQPTSEGTVGSETTSALAIQHELPIPGTTS AEQEVFSPKPSATDLPQPGESIRLEMLPRPHYHAQFRADLAVRSARIRERLAELAKVS DELKRGMQGIQETIADIERTKANTQKYTLDGIKQGGEELNLSPEETRLKNLLMILTTL RRNATQP JR316_0011471 MDLLFKYSQISEDVSLQDDKVVLRRFKELEPIEDAWNKWLSTRK ELDDAIPMLADPDPSMASLADEEVSALTETLSTFLQSTFPSLLLPPSPTAHLSALMEL KSGVGGSEASLFLGDLLRMYQRLVNNNTMGMNWTASVVAQNDAEGGGVKDATVEFRGE GAYDALRWESGVHRVQRVPATETAGRTHTSTVAVVVLPLVEESSPHDGEEELFTMDEI KLEVMRSRGAGGQHVNKTESAVRLTHIPTGITVSMQDQRSQHQNRRLAFQVLRSRLLD IKLQREMEARRDTRRSLVKGADRSEKIRTYNYAQGRVTDHRIGLTLKNLHSVLEGDGL HAFIEAVGRSYKEGLLADMLEEKEK JR316_0011472 MTTLITGGTGRSGLSLAKLLRAAGRPVVIASRAGTAPEPFKAVK FEWYDASTYEGALSDASIDRVYVVGPPGRKESAMVIDFIEFAISKGVKRFVLMSAAPF EPKEESPIPAMVIHKYLLDKGVDYVVLRPTWFIENFGSNFLASIRDNNEIFSAAPTGL IPWISTEDVAQAAFEALTAEPSPNKDIIIVGPELHSYPDAAKIATEVLGRPIVYKEIT VEEHAARYAKAGLDPDFSKVLAGMDKVIEAGTEESYFKDQKLAAEGRKYVGTRTLRQY FQDNKALWSK JR316_0011473 MHFSKTYTQLLQSLPPEMRDNAIEYRQLKKIINQIVSELSELGL QPAVLHELMVPGSPPPVTPPAAAGAVAEVPHPPTTEGSSPPHSPTEESSHSAGPSGSK TPTNESSPAESATVASASLTVPQPSTSIPIPILVPRKSDGDDKGKEKAHDGDVDEDHE HEHGHGHDTTSLLSASFPSYPSSLNASLSSSSTASSSVFSSFAAPLSGDTQYSSSSTP HPHPHSNQSHPHPHPHAHPHPRVVYELNAASGKIEPQLRIWIWVKPKVGGISEDGHGS GSESASGATTPIGHLSPSASSSTSALGQVEETGPAAVDSKEGGDEAVASPENAKESGG KETYGQGDHVEGLEELEDGVHYDDGDGRHAELLWQFQQRHFDGERIVEVDEGEQYPHD PDTHHTHHAHPDAHIQMREIVIPLVHDTHFFSLLSSKLTHISTHLASIHTSFSASLTE LQRTIADAAAPASASASTRFRPSSALASDAGGVRVRTKEADLKSDLYFWREIFQMYVE AEVFESVAEADRGERSVEESERRLQMFAERATQRGMGEVGRLKLPASREALETFLRMN LMILDVKKFSHANSEATRKILKKHTKRTALFYPGLSSSSLLPASSSTALALSAHASSP FTFPRLLVQALCTTLLPIIPSLEDYSCLICTSIAFKPIRLDCGHLFCVRCLVKMQKRG KGECPCCRRWVVLGANRRNVDWALLNFMQDWFPLEARDKLHHNEKEAAEEEMRELGID PDQGCIVM JR316_0011474 MSSSAVRVTNYPAIKNAPLVGLAEGNGAFNNYHLAALLVVVPYV VKSFLPIVKYGGFKTYLFMFVLMGAPTAVGYWSFISIYGARRNEKVTLPGKDIEEYID IKDEDLKKLYKGKEKIPMQVFHDAYFDGKIDFKGDVLDILEQRHDWAKMNFTFELFKY VFTVFIPEVIVHSQSQDEEQIRGNYDRGDDFYEWFLGPRMIYTSGVVLDINKEETLEE LQDNKLAIVCNKLNLQPTDKLLDIGCGWGTLVTYAAKNFGCDATGVTLAQKQAKFGTE RIAKNGVPADKARILCCDYREIPTGTKYDKIVSLEMAEHVGVRRYSQFLSEVYDLLED DGVFVFQVAGLRPSWQFEDLVWGLFMNKYIFPGADASCSLGWVITKLESAGFEIKNID VLGVHYSATIDRWYKNWLANKDKVVEKYGERWYRIWVFFLAYSVIVARQGSSSVFQIT MHKNLNAYHRIEGVPNHHSIHVKLDKEPL JR316_0011475 MKLEIDSFSGYRIYPSKGKLFVRGDSKVFRFASSKNASLFLQRK NPRKIAWTVVYRRMHKKGITEEVAKKRSRKTVKHQRGIVGADLATIAARRNQTAAVRT QQRLAAITKAKSEKKEKETKKAKPARPTGSTAPKVSKQQMKGAKQNVKMKSGLQ JR316_0011476 MISGGEVVVGEVEEVEEEGEVVVVGEEEEEEKEEEEEEEEEEEE EEEEEEEEEEEEEEEEEEEEEEKLGNSWKEVPQWV JR316_0011477 MSVSSNTIVLQPLVAEIHNDTLLTLGLALNDGNRLAPIFSQYGK IPHVLRDLDESVHKFVTLCLANPALPKMPQSPAFEVVFGICKTIQQLCHGATPEALST EVSASLSVKPGNVLSVGNSSTGGGATPGERMEPSRHKQEDEVTRYDLILFILQLIDGM QAMSVDNDGPSQIAIPTTIVDSSTPGASQLGSSSVLSKGKGTNKRPETVLLDYEAAGV SSSEPALQKACRYANKRVRSKEAKSMTTLGFSPKNLSVDHALYNLYKEKAD JR316_0011478 MAKDLPLLGDKGTPKFDKNKPEELLRFLDQLDDLFKKYRVKTDK EKKRVVCCYISPTTEAEWRAFSMFQKGTWKRFRKDLIMSYPEAVNLHRGSIDALDKIC QKHSGNNQIKSHDSLGLMALVQTFRAEAGKLLQPPALLSNRDLVERFIGCLTTEFARP IGQKLDFKLDTTNVVKT JR316_0011479 MFSQHTAKITLPFGHHITTTSQPTASGMAGPNPTILLAPSQAVA DVPEKENVQSRPSVKVVKPASKSGLGSKSGARRDQGQSDDEIAKLPLEKKRVAELKLK REAPKLTDRLTEQDQICMVSWLVDSKRWKIFKENQKKFWTDLSNYWNHNAWAKYKAVR ELENHTGGGDGDKDQNKGPSEDPSVTCSRKFDSVSPLSDEEDTPTESSDSSNAKQTKD LTNAKHAKTKQQIGLRKSESSDSIESDPEAASRKLIFSAIESMKQKTREQMAIDKATL ELAQKREARDEEEHQLARKHEVREEEEYQERKKRAKKEGDWIWFEKIKVMCKSSSAVI RAMVEKLLAENMLNGDDL JR316_0011480 MATTIIQRTPVNMPVPKTSAAPKFTGSYYNVTLDDDKVQYMVQC CNQESREIIEGLPSHHAKQWERLKTDMLKIFDHARTTQKFTLSTLRAYAFQHSNLSMR SLDDFREYQKQYIRIAGWLLNNNKISKTEYNQYFWLGINEFLRPALESKIMVFNPHID LSSPFSIEDVTKAVEIIFKQDRFDVGIFDNPSARPFTSLIPPKDSYPERSSVFDEIKK YLQEMFPNIETRDARERPYNPPEETKRIFQDLDKEEKQAHKDDEVENLIKQMSKLTIH DSSYAIYYLRAIKLEPALANMLIAPAIMNPSAQPAQPVPIASQSAPPAPQAPRQSASE IICYGCHQQGHGINNCPTLIDLTNRKLISRDSSNRVVFPDGSRIIRQNGESIAQAVLR QQQPPPPPPQVATTSIAVSEAYYGQMFKNYRAMVAEEEKDDIGTWDGEDEFEFTLAGP GNRIPTKKRTRAARKQVMDAVVPPEPAYLKGKRAEMSKAKDYSQIPSILKRPANSGLP NNVPSSTSIQPVPIPSINQPSAEMNPSIPVKQAQPNVPIRANPTEHPETAQRHEVFDP ADDDQIMEDVTPSLERGKSVTKPRAAPQKRVSDISQTVDTMAILRRCHNQPVNATFGE LLGVSKDLRTLLINSIKGKTLTVDEFKASLANGNLKLSDKEVLDIIRSAEKDVPVQYM HETNSVESLRAHKPLLRIILMCNGHELNALIDSGSTQNILSKQAWKKIVKLPMDSRNT IVMVDIHGGKSHMLGFVGNVQLDIGTVRTRAHCYVSDKVQFDILLGRPWTRDNYVDIL ERPKGTFIAFYDVKDPTREQKFLVTPDTQHDRRYFTDTIYDKTPHTMLAHNDLSLANP DAEEGEIEEDLEPGELLDDLRYPSPTPSMIELSILTRQQSLNRDESANPNEDLSTSQD APSFSDSNCEYDSTQDSSHGLYASNYDSMYQDDSGTSQFNATAHYGHISTPPYDSTHE APQIEQPPSPSVNPSIKSHTSDNNDPHEEEPADEDSEMEQLSSPKVEAIQFSSDNTHL TAMGMLNPHLRFEDWILYDATYSSPTRVVSDQTGTAFVHYVYPQRDSHINLTTTPTIL HFSRTGISSQSNHSASVSAYPMGQRHSASWTHFIPQSIRSQNASSIPQPHSRSSALPE TPLSIMIHQETRVSSTVTANVDEHHRGPKALVHPLRVAHDSPKEPLNEDEEELRIAQN GKEVDHNSSLLPLPITSTMHESRASNMDDGNEWYYQYGEESPFGIDNTEEHPLDANTL Y JR316_0011481 MPCDMLVLTVDIWETLPEFANVAQMYENFKAAILILYPAADDQY RYLLDNMDLLIGTRQRLGIQLLADLAQYHSQFIMITTFLKAKGLLSDLEQNGRTFILH GTHTTLVNAVNPSPPPVVAKASIKTEQLSDILNKFKKSIVDALAASATCPRYGASSDA RPCDLKCNFCGKDHFICNCKLVNDYKPAGKVKRNADNKVILPSRAFVPSSIPGNLLKD SMDKWHRRNPGQLGVATLSNTMLDTTPALRNTIQQFTLSDRIALVEAELFALRAR JR316_0011482 MSAILTLAAKAIKQNYDCKHLPTPLFNAGNSVLLNATNIKSARP SKRFDAKQYGPFKVVNYVGLHNATPLPALANPAVAQVLDHRKLRSGTQYLISFKGTRP EDAIWVTQPNIFDPNKLIDIYNATNRL JR316_0011484 MSGLSAKQEEAVAQLRELIGGADADADVATNVLRSVDWDVERAA DFLFGNAGSAPEASASTSASGSSSSAARGGAPRMEEFDIDDSQQGEFENAHRAREHEH EHEPEYPPRQRNPPVPTAPNTFARPLLTLLTFPLHVLSSMFKFIFTILRIPVPQFRFM GMNLGLNLSLGGYRPLHSRGGAPGTSGPERWLRALEEETGAVAVSRRVQAKGSSTATA DPGAGSSTLTSRAAAGSSTGANGIWEDGRKYLPDFTISTYEDFLRRCQNETKIGCIVL VSEEHDDVPEFKRSTLTDPAFVKALYDNDMLVWGGDVRDHEAWSASQKLQATTYPFVA FVALQPRRTAGVSSFTTTASSSTSTNPSTNPPTLTVLSRHQGPSLPHTSTPLAPAGPT AAHTLTHHITAQLLPRVTPYIAQLRAHAQSLVRERELRAEQDAAFARAARADKERIER RVREEKEREDEARRRAETERREVERQKREKEERVRKEEERMVWRRWARRVLVTGTGTD KTNDAGEKPLRVAIRLPSGARVVHTFPSSSSSTLTSLYALVDSHLIPPTHPASEDPSP PISMATSKESFTTLLESHIVQEQESALPSDKGPAAGFWPFLIVGAYPRAEIPWAPHTP LSAVEALRGGGQVVVELLRSPSEKTRSGSGTPRSSLNGERGTVQGNGSAGAQVDDDDD DGYATEESE JR316_0011485 MDVDPLADTVPPRYAIESDEEEDEFNPLHPNPSPPTPTQYDVKI VGDLQSGKPLEVASGDAGRYWARGAQLGEQIGAVYVDKVQIGLLFTPTWTSATVLISE PFARLPLPAMHPYAAAVLDALSPTSLAVLDTYPTPTYATDVRIPAHDAPVRYLTTDEG LSFSDEEAKPFEPPNLVAATSAAFLTSSSPSSSSSTPLTRTLILLPTPHIPPSPPRTL SASSSTSALSQDNDDDDVDWSTQLVNSAQRLLFRAIGKGEDGMREWVKPSNSKEDVTG GVKRRKGAEVGEGGINLHNIQYNEYKEAFALFDKRGTGAVPRETLGDLLRALGQNPTQ AEVAEIVAAAPRDVDYKTFLTILNRPDGFKPAGTPEEFIRGFQVFDKEGNGFIGAGEL RYVLTQLGEKMTDEEVDELLKGVQVGADGNVNYESFVRTILSQ JR316_0011486 MSADLLGALLAVVGDEPDSGGGGSDEPGKLPPGSPPTLKFEGPW FTTQLTISLTVGVLSFLIFSYCRTRWPLLFAPRTKLKGFSPHEAHAHQAFFGWIMPTI RTSEFTVLQIVGLDAAVLLNFFKMSFYLFSLCSMFAVCILMPINWKRNKHLLDDDDDD WPGGDNSTWPLILHHLPILTTSFSFSEPPSNPNTNHTSPLPPDWLDLISDATTYLSTH LLLTYLFTILALILAARTYRRFLRARQLFSLELVHSIPARTVLLTGIPRTLRAERRLA EYWENMGLGVESVSLVREVGGLKGLLDERTKRLLALERCWVDYVGNPSTLESYDPESS GVAPLVDIDIEDQQSSQQNRLVVPHRPRPTLRARWFGPKVDALEHLEARFLAADARVK RTRRTGKFRPTSSAFITFEKMSSAQIALQVAHSPLPPSTSSSGEDALQMHTYPAPEPR DIVWSNMSLSPRALLVRDALVTASLGLLFFFWFFPITSLAGLLSYEEVKKTVPWLGRL IDRREDVRAVVQNSLPSVAMISLNGALPFILEGLTYVQGYRARSWVEFSLLKKYFLFL LVNVVFIFLFATTYWQLVQDLANSPAKVPEKLAIALQAGRARHFFLSYVILQGIGIMP LQLLNLGVIIPRVFYRVFYTRTPRDFAELNAPPMINYGVVYPQAILMFVITMLYSIVQ PLIVIFGAVYFGVGYVVYKYKLLFVFYKPYESQGQAWPITYIRLIWGLVIYLLFMIGI FTLRHSYILSTLLLPLLAYTLLCGWYTHRRLRPLSKYVALSEVFEVQRGEEGAEVQRL REGHPVTWSQSHLNRRRYAQNDDTLYVAPEDERTDYSQPPMANWYSGVLNTGKRRYGH PALNGVLPEPWLPLKKGQALVNSAHHHHRGEHQQAGGGDQAVVLTLRKRYSVSGRSRR LSQEGAAAAGGVGVGGNANGNGGAGGILADPSAMFDPWQGAASASTSSHAAGPSGQPQ QRPHSHPTPRRAHTHPHALSHRLSYDHASGVIMLPDDGAWLSDHSHSSDDDDDDDSEV DYGVQGARANGLALSESMISEGAESEAAAAAMEAGEGVPLGLGGVGGSSSTFTSASAS AGAAAGTGAGVGTGASTSGGGAAGAGTGTGTAGPGAVMGMAGLGQSIGQRLSRYGTYF HHPERRRTGSIANVSAAATANANAAAASSAGGSVKLPGAFPTR JR316_0011487 MPFSAVGKKKLAGHAAFLLVDIIVLALATRVNQFQDFFYVADIF PLALSIISLILVVLLFTVDLALINSYTGRAQSEIGIFGVLSIFWLAFNAFSTARWRQI PFQCDSIPTDFVDEREWCKSLQALKSFVWINFLLCFGIAMFTLRYAIAEYYRGNKHIF QMPLSRYRPELRSDQHFGSGGRGSEFLQFEKMT JR316_0011488 MSWKLGAKKPKDGNGVISRSVTPIPSRPTTPKPPYGSDQFRSGM LTIRIFSGRGLSLAPGVQIPEVIQHALETTPTTRKSTSNRESMQRKRCWWLPYVVLEF DKNEILIDAMGGDLSNPVWNYRADFDVSRTSNISVSSYLRAASAVQGHDDMGNDHLMG RVDLTPMLDGHHASDQWYTATAGSGSFHLKIDFRPVRGEPLTIEAFDLLKVIGKGSFG KVMQVRKKDTQRIYALKTIRKAHIAQRPGEITHILAERTVLALVNNPFIVPLKFSFQT PDKLYLGMSFVNGGELFYHLQREGKFDQDRSRFYAAELLCALEHLHGFNVVYRDLKPE NILLDYTGHIALCDFGLCKLNMSETEKTNTFCGTPEYIAPELLESQGYTKTVDWWTLG VLLYEMMTGLPPFYDEDVNTMYQRILSDPLNFPPDMPAEARSVMTGLLQRDPARRLGA NGGEEIKRHPFFAKYIDWNRLLAKKIQPPFKPSVESVLDVANFDPDFTNEEAQDSVVT DSALSETVQDQFRGFTYNPANEHLSESVSYPAM JR316_0011489 MPAPRLSQNAEAARAAAQQAEFNEKKWVWVPDIREGYLAGWVNK EEEDSAEVIMAAGGEFRKVRFEELFKMNPPKFDRVEDIADLTFLNEASVVHNLRLRYG SGAIYTYSGLFLVAINPYQHLPLYSDAIIQQYRGKRRDENPPHVFAVAERAWVNMGDE RENQSILITGESGAGKTESTKKVIQYLAAIATDVHQPPVQSHSRSNTITSIPTSGLPR SRSMRHGHSASVASITGPGSILTAKGRLGLLERQILQANPILEAFGNAQTQRNNNSSR FGKFVRIMFAPDGSIAGANIDWYLLEKSRVVFRNEAERSFHVFYQLMAGGGDLKGQLL LDGQVEDYEYLNKSRREVDGVDDLEDWNALVSALNIVGFDQSEQIDLFRIVAAILHIG NITITATRSDDASMPDPSQAERVCHLLGIPIAEFTRAVLRPRALAGREWVTQARTRQQ AVDELSALCKTLYEKSFGALVERINRALDRPSSKATFIGVLDIAGFEIFEVNGYEQLL INYTNEKLQQFFNHHMFVLEQEEYAREGIHWDYVNFGLDLQPTIDLIESSGNTIGILS FLDEECIMPKADDRTFTNKLNAMWTSRDQDEDESHPGKLKYEPSRFEQGFIIQHYAAK VEYRTDGWLEKNKDPLNDNLTRVLAASSERYVASLFAEYADQPSPLSAGAHVSTVGRK RPMKKGAFRTVAQRHKEQLSSLMAQLRATQPHFVRCIVPNNIKKPGRMDVPLVLDQLR CNGVLEGIRIARLGYPNRLPFVEFRQRYEILTPGILPKGYMDGREACRRMVNSLELDD TIFKLGTSKIFFKAGVLAELEERRDMLLFDIFSRLQAVARMWTARRQMKKILNRAMAV KTIQRNAKVYSELRDWPWWQLYTKVRPLLAATRNDEELRKKELELALIKERAERDKQE KQALEKLKMTLEAEKRKVEADLEAERSLALDKDALLERSKRREGELEEEIGALQADIN TLDSQLTRAMRLQKESEDKYEKLREAFDQAAEHLVRLEQGEHTWSTREAELNEELRKA NEEIEALQSDLEGIHEVSEELRNLALQREEDLARTKERMDSAVNDLRAKLETEARNKD LIKDKADHFENEARQTKEQLSEMGRTATEYSTMIQKKEDQIVELVAQLEELKQEHDNA SMEIVELRADIDTIDAQLSAEKKDHAADLAAKHKLQAEMDELRDLLATKTTEATRRSE AEKSKELELVDLRGQCNILHQELAELRRSSVENQNKLKVELEQITREHTSLQHSHTSL LERERAAQSMLTRVHAQLSELEKGKRALDSELLAVKSRQTEVQDQLAEALRVKENLER QLTAAQDKYRNFEDVVLDFQREQESRTKELDTVRKQLETEIAKRTQLEKTVSTQKAEV IKLKDRNTKLEKDLNTTIRELKDRQFEVKQLESKQDKTIVEHVYVLEEAKRVTDRQLQ EARSELEKQAAHIKSLQMARKQWGQDIDDINHQHAKEIRAKEQEAKLHEKRADEQAAL FEKEMRAKQEAELQVHRVQTELDKTRQREQDLNEQLIAAQRSKAALEGELDRLAADAE TGDSLAKLQRDYETRIAQLEAQLEESELARATSTRIQDQIERQHAEIRELVLSSNPTD RNFHSTLLHQFELAENAMKKELSVKSKKPRLSGANELRPSSANSTPRKASSRGGSRFE DAARASEKQVAALKQQLQLLEVQMAASERVRRHLESSVHDLTVELSKSDGSKQFLEHY RAHLVSENEKLTQLLKEEAEARRTAEAARIDGVQTIWAKFQQTIADERENYARLEESR KALLVQQRTGQDELETQRTQIRDLTAAKNQLMNEVAQLREQHEISKMDAINAKRQLQK HMQDEEVAQSSSNAAQAELKVVIEALRANEHELQARYEAAEMERVKAVSSEHQTRRQL NEAQVALTKASEDHKKSIKSRERRIQALEAELAKEGRESSETSQLRQRLSEELEDERE QHRKDLAERDFTIDQTRKKYQAELAQLSEGTITNDVLVMFMLANNTFSLELQAQRDGL SRLRDENRKLRSDHDALQLKYDDEVYNSKEWKKDKERMEEKVKNIESAYEASTAAQAE QQSQIVALHGQLRELRGVLDDAENERSLLQKARRALQAELETIKLDYVDSNKMSSDPE FQRLQLKKQDLERSLEEQEDRVSSATERLRKAESLASEYKLQLDKVQDANAKLDQRNA SLEKQNKELNVRIVDLETRSYASSPRAAPGSRRMESRIEELTNQLQQSNKDRRESGRL KRTPDKSQEAKPQPGDSDRQKAKLEAYEMQIEGMRQSMDAMQTAENKLQAEKRRAERD ASDYKQKVLSMERELERLRARLEGPIAPERTGGGTFIGPRK JR316_0011490 MSAFVNAVAVGIDNVLDSKRPVDTDGARPRTQVVQKTVSKVNGL IASPPLKPSDLPALVDALRHSDSLDDRQLLLERILTAMSRLQKFDISKTMQNKVIKLL YDDLPHPPSGYIAEFRPQVQSNVSLPRQGYVKYAYRAADASNYNPLQPGIGKAGSPYA RSVPSTKAAPRSALPDAGLVFDTLLKRDKFVEHPGGISAMFFAFADLVIHSIFNTNHT DWTVNDASSYLDLSVLYGSNDRQVQSVRRNDGSGKLLDDVFADGRLLLMPPASCALLI LLNRNHNFIAQKILDINENGNLMKPYPEDAAPKQAQDEEIFQRARMVNCGFFMQIILG DYVGAILGLVRDGSDWRLDPLMPIRDSDHQLVPTGQGNVVSVEFNLLYRWHATLSQPD TTYTTNTFNKLFDGADPKDITVQTFKQAAHKYLIPPANVQEWTFGGLKRGKDNRFEDA DLANVLHNATEARAGAFKARGIPEALRIIEVMGIEQSRSWGTCSLNEFRKFLGLKPYS TFEEWNPDPEIHRAAASLYRDIDNLELHVGLQAEETKLPGEGAGLCPGYTISRAILAD AVSLTRGDRFMTVDFTPFNLTSWGYQDCQYDHEDGSYGGLLTKLLFRTLPDYYPRGSA YAHFPFLVPSFMKENLEKTNPTLAPKYNWTRPRAPAPLLVIDTFDGVKQVLEDSGSFM AAYDSRLFKVAEPLLAPNLAPKHTLEEEEKAQIALDAAKKTFDAGVLTVSRNVFSKPD PNFAEYFASHTSALIHEKFWSNGRSTAYVDIVRDVINLLPVHWICEEIAGLPLKSGLN PRGIWYEQETCERFEHIARYVYFNFDPVNDWKLREESQKDFQRIVAVVEAHVDRMHYL VSLKDEENSFGIKNYKSHVFLRKLKDSVSPKTLPRELATQIVGGIVPSASIYSQTVAQ VVDFYLEDSQKDAREEIVRLMDSSEEGAQDKVMQYIFDALRARPPVAGAYRTVTKDTT IGPIDLKAGDHVFASIMSAERNVSAAPSDSSMHNGSSSNFQYDILSFGVNGFMTPEFF KATAPHVLSSIFRLQGLQRGPGQSGSFVRYVEEWHDTQKTEYISQLGTVTPFPDSLVV QFTR JR316_0011491 MKIYSISVILAPPSGASVTLSSANDLSSFSFYQRGSAGEFMSFL SKTVAERTPQGQRQTVQEQSHVAHVYNRGGDEQLAAVIITDEEYPVRPAFSLLTKILD EFTAKVPKSSYGNPSSISFPEINAYIQKYQDPRQADTIMRVQQELDETKIVLHKTIES VLQRGEKLDNLVERSNALSMQSKMFYKTAKKAGGWQMMLMPNRSVANPPEGSKSNSVH HIIRAPGRTANLLPSIISRRF JR316_0011492 MSAAHSTSTELIKTLGLEEHIEGGYFTVTDVQEEKIPSAFAGGE KRQLATSIYYLLSYDRPVGTFHMNKSVTYHVWHQGRAEYTLITPGNPPRIERKVIGPD ASAGETRMLLVGTGVWKRSALLEVDMQAAESEEEREKINCLITEVVVPGFDWKDHRWM RREDLEGLFEGVLGGEEKVREFEKWVFSGSEEEMKEKVEGGR JR316_0011493 MLGGSFVAAIAWIKVAQLAKNPLDKVDAIALYVHAVMFTILAVL SVFGFLGTLTKSRRLISTFAIALAIHLGFSLASGAFTLYTVFHERTADAVARCLNESQ LPDPDMDGDGEVKACRGAVALMKGVMVVVYVVTWFIQLYAYFIVERYVAQLADEEVAA ATVVIPQSALAMEQAAPMMSTTYGSFGPAYPFTAPGHAFGLGTGVQVAGVSRGRDGSA MRTRPAHRVPRPSPTTRAHPQAEARGTTGTCAHGVGVRRRDGALYGRYARGGRGACVH VLCLWMEGGGEGIVCAAYTGASDEKGFDGVCSDFKQRTASAFHNAHRTSHQSSFILKK NTNETQIPGLTVPLSDLPLIVLAAASCQIIHELGHALAAARDALPILSSGASLLVFLP SFFVAFPSSPSPSPFASPHHTTPTLSTLRPRARARIIAAGPFHNLLFYALIALIVPWT RIPRAAVFLGGYVDVSTDKSRVGGRVVVSVSQDSPLAQHIPRGALITYLDDTSLFLSS ASSPSPPPSFFASLFSTNTPDPWTAYLTAPSPSPSPSPSPSSHSHSQLEPQLESQSEP EQVLGWCIPTIEFAPTQGQRQRQGCIDPVPLLTLPFSHPFPSAHTHTHTHHTIPAKYK SQIPANRCFEARDCSAGSEDKDKEKEKEEKYTYTCAAPHVRAGADAGLMRIAYLPDAY SYSYAYADVYGDADAYADMYGERDRAAEAEKERVVLWSGPRAEVYLKLTTLSLYIFNL LPLPRLDGIQLLSALLDCLFIVLNRVLWLRLRSDADADVEAEAYDDDDDDDDDDEEED EEEEEEEEDDDEGDDEGDEEDDDDEVPEDIAFTFALTQYIPAVEAGGTRTHTKRHRLA QNRKRKRKRRQRQEQEQERLKDCVMRYTPRCVLALVVGCIVLGLVGVVVV JR316_0011494 MPKEIRDIKQFIKITQRKDASQARIKKIASKVPNGKTQTKFKVR CSRYLYTLSIDDPEKAEKLKQSLPPGLTVIEVDKPKKK JR316_0011495 MNAEIAEFMPSYAGDVMKSSRVLGIVHVGGVRELQQSLTMASVS VVSPEIAQASLHISIPWQRHVYAVPFLCLYPLLAYTYFVKYDEWIVSEEWTFVYCVSL GASHALSFLVTRWNAGARAWITTHRAPSLEQADCIRIVPHQHRGQGEIVPLLKKIPSD PSSYTFSYQRDTYSLSSLNPPTFARLAYPSSAQPALASFLNPPPRGLTNKQLADLESL YGWNEFNIPIPSFTELFGEHATAPFFVFQIFCVALWCLDEYWYYSLFTLFMLIVFECT VVWQRVRTLTEFRTMSVAPYPIQCFRNGKWQTVQTDKLLPGDIVSVARQSTETTVPAD ILLLNGTAIVNEAMLSGESTPLLKESIQLLEGAERLDADGAHKGAVLFSGTKILQAGQ STEIPSPLATPDGGCLGSVLRTGFGTAQGQLVRTMIFSTERVSANNLESFLFIGFLLI FAVAASWYVWVKGIERDLKKSKLLLDCILIITSVVPPELPMELSLAVNASLVALSKFA IFCTEPFRIPFAGRVDVCCFDKTGTITAENLVLEGVAGVDPANPRHLVPVTPSSPSTA LTTTSTTLSRATTLTLAAAHALVRLDDGTIVGDPMEKTTLDAMGWGLGKGDVVLAPVP GSVAGGSKHGKKDGKDKDGKDNEKDNEKEKPAPEMRPALQIKRRFQFSSALKRMSTIA LLPPSSSSSHGHGQLVAAVKGAPETIKGMLRDVPRDYDETYKWYTRQGSRVLALAMKE VEWMGLDRINKLHRADVESDLVFAGFLVFHCPLKSDAVETLKMLADSSHRCVMITGDN PLTAVHVAREVEIVDRDALILDLAENPKHEADLVWRTVDESKIIPVDPSSPIDQSLLD TYDICITGAAMKHFPSTSPAWSQLVQHTWVYARVSPSQKELILTTLKSLGYITLMAGD GTNDVGALKQAHIGVALLDGTEEDLKKIAERQRLERVKKVYEQQLSISQRFGQPPPPV PPAIAHLMPQAVVAQQEAAKKYGEKRQKNALEKFDLAAITDKMADMEGDEEVPKIKLG DASCAAPFTSKLSHVAAITHIIRQGRCTLVATTQMYKILALNCLITAYSLSVQYLDGI KFGDYQVTITGMLMSVCFLCISRAKPVEKLSRERPLGNIFNFYVLLSVLLQFALHIVT LVYITRLSHVYEPRTGPIDLEAKFEPSLLNTAIYLLGLSQQVSTFTINFQGRPFREGI SENKALWYGLVGASFVAFSGALDLMPEMNRWLQIVEMQNSFKMRLTTSMLVDFIGCYI IEVICKYLFADLEPKPMITRGRERREARRALEEQEKAKAEMEALSALEVNGVIKVKAQ JR316_0011496 MFLRRALSVLPIVGSALAQAGGPYVDPDNGITFSGYTDPVHGVT YGFVFPSVASNSQEFIGEIVAPLANAWVGVSPGGAMLHNLLLVAWPNAGKIVRSARYT ETYNLPTAMSGPILTDLPSSKVNSTHWKWVYRCQNCVTWQTQSGPQTLPTNDFGVPAW ATSSVAVDDPSDPQSTFQEHTDFGFYGLDFSQAHVSDDQYAIWAAGGTGGGSSTTSVP TSTSTSTSASSTPTVSAIPYDYIVVGGGAGGLIAADRLSEAGKKVLLLERGGPSLGAT GGTYQPTWLKGTNFTKFDVPGLFETMFSDGNPFWWCKDVNVFAGCLLGGGTAINGALY WFPTYMDFSSAAGWPASWNNHGPYTAKLKAKLPGSDHPSTDGKRYLEQTYNVAAQLLN SQGYTNITINDNPDFKDHAYGYSTFAFANGKRAGTITPYYTTAVARPNLTYKQYTMVL NVVRNGSQILGVKTNDTSLGPNGVIPLTSKGRVVLSAGSFGTPRILFRSGIGPSDMIN LVKNDANAGPNLPPQSDWINLPVGYNVSDNPSINLVFTHPSVDAYENWAQVWTNPRPA DAAQYIKDQSGVLAQASPRLNFWRAYSSPDQRTRYLQGTVRPGAASVTTVYPYNASQI MTITAYLSTGITSRGRIGIDAALTARPLVNPWFVDPVDKTVLIQGLNDIVAGVKNVPG LTMITPDNTTTITDYVNNYDPGSLNSNHWVGSCSIGIVVDENLLVKGTKNLHIIDASV IPSLPVGNPSGTIMSMAEQGVAKVLALAGGP JR316_0011497 MFDLSRKSTLNSVKEWYRQARGFNKTAIPFLIGTKFDQFATFPR DEQEEITKQAKKFARAMHASLIFCSTSASINVQKIFKIVLAKAFDLKCVIPEIEGVGE PILIYVDV JR316_0011498 MLLATEATQIMRRPDTYKDVPHRYSIETDPKTHVIHRRATGKVS MAYFTNWGIYGANFQPTDIVPGPLTHILYAFADVSPDTGTISLTDSYADEQKHFPTDS WNDTGNNLYGCLKQLYLLKLAQRNLKVLLSIGGWTYSQAGHFDFVTSASSRATFVASA VQLIEDYGFDGIDIDFEYPANTAQGQGLADLMTSLRTAFDNLAAQKGDQTTYLLTAAV AAGPANYAFLQVPQMNAALSFWNLMAYDYAGSWLTYSDNQANVYGGARTGFSTDAAVK FYFGAGASPNKFVLGMPLYGHAFENTAGLGQPYSGIGPGTIEAGIYSYSALPLAGAQV FENTTDISSYSYNSATQELVSYDTPNIVKLKVQYLESKGMAGSMFWELSTDKVGSQSL VGVSASGLGALDQTPNHINFPNSKWDNIRNNMGQGGSSTVTSTKPTSTAPVSTSTPST TAKPTTSTKSTTAIPSSTASSGSLCAGVAAWVSNIAYTGGATVTYGGHLWSAQWWTQA DTPGGAAGVWIDKGAC JR316_0011499 MSAVYTTSNGAAVNEPYAAQRAGINGPLLLQDFHHIDLLAHFDR ERIPERVVHAKAAGAHGYFEVTHDLSDITSAPLLKSVGKKVRVTARFSTVGGESGSAD TARDPRGFALKLRTDEGNWDWVFNNTPVFFIRDPAKFPHFIHTQKRHPQSHLKDADMF WDYLSQNPESIHQIMILFSDRGTPDGYHNMHGYSGHTFKWLKDDGTFVYTQVHVRADK GFKTLDDATATKLAGENPEYGIESLFNAIESGNYPSWTVYVQTMTVEQAEKFRYNILD LTKVWPHSEFPLRPFGKFVLNENPQNYFAEIEQAAFSPSHLIPYIEPSADPVLQSRLF SYPDTHRHRLGVNYQQLPVNAPVAPVNNFQRAGAMTFISQGSAPNYQSSIQPLLYKAP PAGIDYSARDVERLARHEAFIGGAWRDLSVITELDFEQPRALWTKVWGEKEKAAYVNN VVGHFKNVKNATVKARQLSVWAAVDQGLSDRIAAAIGHPSVPPLKVAPASAADQFRAN LGFAFTFQSRI JR316_0011500 MDGTSFEPDPLLSEHNDLLYPSRTLDGTVVSTLEEYSAALDTIR RKERLREACSNLHLSVPKSANLERLRSALKLYWFPLDSSADTAPTTINTVNEATENQA DIKDQHLSAQSHNIIDDGALISHFSVEGAAADEILGYDEDNELLDEDDEFGEDLDNNE DDIMDTSDDFEQFVTQTRVDEAKRSEKNRRAGGVKTQSAVVRDWKMFCRQALDKGEIQ DDIVDCHHLLLYIRHSAERPKRTRKGLDIPGTYLGASQLKKLYFGALRIRKMQEALDP TLEKTRPAKSIHVWDCIKGRMNEALNRSRNGLIPGEDAPDIVANTFLASVTEEQMAKI GGGFLMHREYNSLWVELDEIFQVRSVINGHLAWAAQNASGNRGDDFRALKLAELQPYV FLHPNKETAVECVLGLQGEEKAAANRGLHTKVNPVYTVFIAHKDPTICPLGAFAFYHH FIHDVKKLTEELDVDWSINKSWRQVRVLHGKTSPTTPYHEQSLYNLYVKAFSQANFIS KIKAHLPRHILGYSQEKMGVDGVHTSRLGWVRGETYFDTYAPAIPKEAVLGAHGYKTH EVYDPLWRRVHVPEAFLNLVCPIAEDIHAKIVGRANLSGAANYWAMCGAAIYQKYPES ALFRLPALANPQVQHWMKTEYPTSLAHLQANAGSTVDLERIQNNLLRLSLEEMRALLA TQAAELKEMRLLIQRRTDVLSPTKGFSNLSYQHSLNVSKVPQPVFTLAVPESPLANKS AGHSATKNSDDTGIYVLNAPNDSKQPGSPLRAFANGSPKVSSESRSRTQVDLVLPHVD AFSNPGGPQLFWPPVLGQKSVTWEQVFRLIKRPELLWDAWCPSKTLNKYTLNEQWTCY NSGEPVFNASGTQTGIKPPLQQVELHFQSAWRTKPAARKAWQRFRKIPEFIHSESTLR GVSPQIVIEELEKSATGGNGRVKGLSAITDEIYAQRIAKAQASKDTIKEAESRISILS TALDHRESSGKIAMKLGVDIRIKSTLMSECVAALQGPTISGQFYGGALHILFMKMCEF IKYPLTLVFVYDGPGRPLIKRGTNAPGEAEAELAMLNRRGLIDGIITNDSDVFVFGAQ TVYRTIPTKERHFEDEMIAYTYSHLLDLGLTSGGLVLFALVCGGDYDCAGLKGFGPSH AHALASCGFGDQLVKAFQTLSGVALQDFLNHWRTEIKHELLTNSHGHLHSRSPVLSER LTESFPDLDILDLYLNPLTSAPGQKFQPWILQEPSVPGLIDVSSTHLGWRSEDVLRKR FKAKVWEGIFLQMLYSPLILYDSSRKLFATPNTQALVLTCKLLKRKGCVQPNASELHA RLLVSAQNFVTLMGFHSNQSNEVDQFRVWVPRSILPQELHTTVEGSQSVTRMKINPSR RRRPSSSSSTMEEQNNSIRHFEVIDLTDETEVSNIQSTSNVIDLTQD JR316_0011501 MEPDEHHLQLNARLTLSYSDHDNPLYSRPRSTSSLSQLPPEKNT PQRSTPLDGDPPAYDPSDKLKRVDENHSSPTKAASLVSPTSVEKRSKWWAVIPTISFS RTSNRYSSLTQREEEFTIDQEIYSVIISLERENIPVFNLAAKLGDERDSKTTIIFRCT ATPSGKFKDIRLYVRFFDTGSSNLMGINKKDEKLKIVEYTNGLVLNGIEVEHGHGQEV SLNLGANAAGATGAAAVTKSHDIRYTKMEGAEVYGALKSNMSEVCWTWTAPNLPNAVL KGDCNLVLKLKNVRESMKVGFRVECKMDRGINILSQGSMEAPKKQKPRVEHVVTRLKL NHKKMIS JR316_0011502 MPPKGSKKKKAVVNATVEGQEVEQGPSSMAENEPPQAPEADPNG RPIRSTRGLGGVNARQEKTSNIIFIDFEKAGKRKSRAANVDTSAMPENEMAPPLKKPR NGVTVVSHVGPPIQMQPRPTPSVAPWDVQQVQPSPRQSQGAPPPVQIQRAAQRNQEHS ELDHAVQRPTAPIQRPAAPVQQPAHPVPRPVQHAAHPVPRPVQHAANPVQCYAAPAAE NSIQYYDAPVQQPVHLVTQHAPVQQPVHPAAYNAHQEASISHQDEEEQQDYEGIEQEE DEEEEEGDSDGERRSEEASGDECMQGIDEENIGDEEALQYVDGDEGYDDAGQDDEEPA AQLVDEVSDDEEERRARALLRQPSPHVVEVEDVLQEHRRRNRANKPPRPEALRKAAVS QGAVSQGLTRENNEASDDDEVLGEAHIAHKKSSTSSAREVSKHSVASFTGYWKDVLKI ARKLMCLYVVEEVPFPTRENHLLVADGCVKMAVTVFERMNTDKVLPDKKKTLLDRNTA VTAFVYASTFRGRLKTMIRPLVKNAYGLEVPSEVIAANPNMFENQMGEIEYIKDRVAY WLLNGKYHRGVAKTRYHDVPFGHPFVKKICLDFFYHPTKGVAVPIKGLETKTDFFKTS LPHKAFALVASCIYNCLEEWRDGIDPARGGPCSGIEFKGEEYSLRYDACMLVAAEAEK DTLNQGPRLARLCREVAEEGCAIMRPTKNPPNPYRMTLHSIPQEELDYGPEG JR316_0011503 MSSYKRRRLDSLGNRTDINPFIDIEAAVSDDDESSEELDYEGGQ LLNDNDEYSEDEERVAHSRLYHAMQNTDNADEWSDLLPMLLPSRMKICPDNDIEPSSS RELIQKYGNPQPGGLGDNNYMPSATDIMYEIGCKVGRKEAVAFKIMQMSTNPTFPIIL ARSVFAQSSIPGRIYVEAPSMQHAHTLACLVRELNPTHLVRLSSERCMEILSHPPPSR PEDQSWVKVAGKRKAWTTYANATGLVFTFQGRKSVVLIPRPPDNIKKSHLDRIFQDGF IIMDFDAIDLKYLSNVLPTSSELEQFRECPFVTTETLAQASKAISMTRLKRYDRVKII GGEYLGLFGTVKSVSDAEVEVHIPSQGITQAVALHDLRAAFQIGDSVEVVEGDHKDLH GWVSDFDGRSVCIIAPEHEREVIVPIHTVIFYVPPAHATLRPRKRHSSKLGERDHNDV YIGLSVIVVGNNTFKGYYGIVKNTTPDGFADVELEARNQRVERIKISHLIIHNREHIN SAQDPGPSGGATPMPSTVASFLSPAWNPYSAIPVHSAVEIAELPSTVAHWLDTKYDKL KGLQLKVVDKSKGDHQVAMELLSLTDDTAHLALLGRTLTLPKSVLFPIHPVKKDDFVT PLEGDSMGIIFRIRSIDKDICVVHKYPVTRMKRGDTFPTFPTTSLIQIFPPSHGVKVV NM JR316_0011504 MGAALWPAAFGPSLPVTVPLNEIQASTGTKEIFSRFKLVDDLKD WPAMLCSARKRILRADSKSHRNINTPSISDTPSSAFTQGGPSESTLLLCLARNHFKGV VGKKIGNVYCASLHYQILSGMRGMKDDEHVLPDIPTDTATLANLYASGHPDAALFLSE VTPSHLKIPLHVALFISPILLFVNKSWYSKKCDREQLLKASKALGNCRPRVLREVEME IWKIIAAQNCDIQSALYKLVISDCWMECERVIAQDPAFHFFISSTSSAEVTANSNLAR RSTEPHAQLQTVSHHGEKTTCEGSDADAEGDDDHEVDTTEMVSMGQWENGDNTVPTSN IQPPEDTSSVAGKDVSMGQQENGDNTVPTSDIQPPEDTSSVAGKDVPTDEQPGLPRSD DIGSGLLQTENTGPSTAGDCEMNVDPEQADVDHQMEDGPHTNGPENLGDGGAGQGAEG SSDEANRMSEVHEGEKQGQDGEQPDKENRMSEDGEEERPDDSSSDNETEKEGQGDVPP VQEDRMSVDGEGVGPEQNNDSSDMERNTLEDSQGEKEGENAPPAPLILRIPPVSQINR SKNTTADSSQKGKGKGRQGLSKRPPKRKPTQATDTFDSDDDLCIDIDLYDSNTTLDVV STPEKVYGTKVWSTYNGHGQLKSFCVVAHSQADVDRVERVLELVESDYVDGVPLHIAR PEESCFAVFDRSTTKSMYLMESFSERNVVLMSPSQEESISTCSDEFYSQVRRHMGDMT STRSIQDMSTMSSNPIERIKRGSLSQVMEAARMKGKRGKILNALDIPLPHAGAHSFDL STEAAALRATSGSWKYSTPVPFGDMSWGLVATEGAFSDIHIDANGFCSFIQPLHGLKL WIIMYPRRLDVDLSSDRRVFLGDKLDYGETHHQDWIYEAIVLDNQSELFMRPNTLHMA YSISSVVCRGGHFYSSTSFEETLTGIIHCFTAGYRATNTNHSTSRFFLQQTIHWFYKV LVEGDSDPEDFYEMYHVPFYSTQSGYSSLIALCVCMILANALDYETYRNPDQMFSTKT SAQLDAWMRWDTNSLSDEERKACIFARGEALAILEWLCHRMKSIHYMIETDKNKDAQN IMATEKFHEMILCRYARMILAYDAEAKHNNIGGAPCCTDTSLLFVQLQGVCSGELNKV ICRSIDQLPRSSVPKMLKLPVITLIDCDACADSSTQLRTPKEILELGTSVRDKLYLDG MKVKLESTNPILKGVSATPVSRDPSPKIGNFMEVDYIDNFLGASSEMEDDPPPKASKY NYPYVKEDYNGAGRAFGTGLTFMDQLKQDQFEPQRAENLYYPFASKDEWELSLFLLRS DMSVGMLNDFLKLELIKKLNLSYKSAKDLRNRAEILPSGPQWKSQTIIPEIPSKNQLT LFYRDGLECIKALLISPLLQDSMHFSPFKLFDKCNEMMRVYTEWFSGDIAHFMQYNKI PKDQLPKGATLVPPIISTDKTNISNMTGGRVAYPGLISIANIMMNFLHRSKAVNGMMA ARLYHQCMDIALESVKQTARVGTTMADALGNNRFCFTPLAALIVDTPESALAACVAGS TSSVTLAQYETFGDSFRHPSRTADHTINTIMAINNVKPPNHLEPYLKESKKHCLNGVH LPFWRDWPLSDPSAFLTPEPLHHWHKMFWDHDAKWCIAAVGGSELDFQFSILQHRTGF RHFKEGISSLKQVTGREHRDVQRYIVALIADTVSTPFILAIRSLMDFRYLAQSQTISE AMCLRIEQALQDFHANKQAILDAGARRGKKNNPIDNFYIPKLEFLQSVVHAIRLNGCA IQWSADTTEHAHIEVVKAPSSSSNNQRYEPQVCQYLDRRDKLRNFDLFTAIREMRIDF RAIHSATITDEEEQEEGDEGEENGEVVMDTTSELLSTIMPMTTFQSAKSNRIVDYFYK ASLYERSVLEGPVPYRTFSCSKNVVAHLSRDASSKRLHIDEVASIFKIPDLRPAIADY VSLINKESNPRQTNS JR316_0011505 MHIATQIITQHAGLVVHKDDSDQYQQPLLTSPAFSHCIIVTYCI SLHPSHLCRIKSFSLIFRSPPPKLQRILPRVPLPLNKNSPPVLSIKLPLRIEDIWKHT ADSTMLSRSHSSQGSQNLQEESIGLSPTIHTCHASVSLPTKYPSIRLISAESSRSHSS IPPTTAQIPKDSSQSASSSKQKFFSRLADQAVSTLSEIWHPQDIPSVFLPPAKVGGSS FPPTHSRPTSKQISSDLQSIASHTHPIHPHNSHPSVSGHNPSPTLLLASGTKSDQILP LKSFVYKVLRHSRTSKNVLQIALCYLESIRPKVPQILQEENIGIRSYAQPKSSIQKAT PEELAMDAELTALENSGKINIINNFIDNSMQTFRVADSGSQDLAESCIYPQDSLSSVD VQVSTAPLSTTLSLPSPLLCPRRAFLASLILASKFSQEKCYSNRAWARLSGLPPREIG RCERALAQALQWRLWVGKCAFGESAATAT JR316_0011506 MQIISYEEILRIEAEVLAPTFPDLIHPTTFPEAASLASQRQQYD LEMAQLVEQTQKMVLLTENRLILAILALFNEINWTTLDPRLLSLAKAKITEGDQWLKA RAEETLRDADSGSPEHILTQGMSIIANGQIHIRTVEDLIRECQDH JR316_0011507 MAKPPPLVVRLIGIGKYEYYPFLDAKGDTNADDLYNFLNKEYKD GRECDIKVVKDATKEAILAELRSLREPVDRTKGIVVFFSSYGGSTGEGTSIICPTDIA RTEKSKGITDKELTQLFDSIAKFRGKNITFFLDAPSLAFDWGWPTSEGWAPPLA JR316_0011508 MSRDPPRHVLGANVSKSGRLIIHTANDTGTARIKARPMTILQAA QASDCFPNFDSTIGDPPTVHSDVPWHGIVVHDLPADSLRDSFDSATPKNSIWSLLENE AGVSRQDIRGQIRALCRDGEEFEDKNITERLYHSGIFLFGSWCRVSRYRERKRKPQPS TPTLAPHAENTTPQ JR316_0011509 MSEDTAGGGRVDGGAASVFGALCGSANGMGVLTECCGEGPGGAS TDFRGSFVVVAPQQIPSNRKAFTTAVIDALRSEKESISTLTAESKKAEESRRNDLISV TIFAGAAHGIENGTTFNVYANHVDTNQKPIGHLEVVDRYHPVINSTTTKLELPLDTKL PVVFFVVETGSLSRALNVYADKVAMSMVKGPRLNMVNESMAHIILRNANDKVEVLWND FPKSGLDSGKNLVHNIAVLNNPADLEKALSNAAWFTHRVSYMRNPMSSCAFFEAELKA VDENQEPTGKNLLLDDAVTLDITQDNNRTTYCLLLSNKTDHEIWPYVFICNPSDFSIR PWYTATKALSPKMMGATNTVEIGCGDEDDLAFEWTADQGVDVAYINIIATKERTNFSC LTQSETTTVERLREVAPNQSISTQANEEPRKRRGMSIKKDWEMAQIPITRKYVQRTSR TVSPSASRKPFWNKFGK JR316_0011510 MAAQRGGGASGTKFRLTLGLPVGAVINCADNSGAKSLFLIEPFG FGAHLNRLPDAGVGDMVVASVKKGKPELRKKTMPAVVIRQRKAWRRRDGVFLYFEDNA GVIVNPKGEMKGSAITGPVAKECADLWPRIASNAGTVV JR316_0011511 MASSSAVKLDDLTALSGASSSSATPTANANAQAPSNSSIDEHLF YPSLDDKDPISERSKSPPEEQPRQLLKDRLYVGNLHPTVDEYTLLQIFSKFGKVTKMD FLFHKSGALKGKPRGYAFIEYGNPDDARKALTMAHEKPLRGRKLVVTFAHQAPLDQYG SGSGIALPSSLKNRKTMNDAGRPTALSLMKTGMSHRGEGKTTDKIAMMEAKLRQMERT NPVPKSTAPLVTHGQDVQMDDAAVVANRPSPPATSSLPYHPSLPMKPPPPLPKHLAST LDLSSSQNSKHGASKTANSLPSLTMLQSAAKAKVNPLLEAKLLGLQPTLQSGANAHPR TSKTTKLTGVKIKAKEKSQP JR316_0011513 MISFAELVGNSTVVLLDGGLGTTLEDYVGLEISQSPLWSAQPIA SASEEIMKAHLLFLRAGAKILSTSTYQCSVETFQAAGFDSELAKSLMLKSVLIATKAR EIFEAESIQAGFPLQNPTIIALSLGPFGAILKPTQEFRGFYPPPYGPLEYSDDHINHN SFKENESKQEADAIDALTQFHYERLLVYASSVNTWKSIDCIAFETVPLKREVIAIRHA IQLLHTWIVKRGGEMKPWWISCVFPDGNSPAELLPDGPKISIDGMLDAAFSEMGESNG RLPTPSGFGINCTKPEYLPALAASTVGYFKAHLDKLISKPWLIIYPNGNDVYDEETRS WVGKSQGSHINWANEVGNLVMELPTGNNVIGGVVVGGCCKTGPEHISSLRSKLQCFPV HL JR316_0011515 MAQQRLALWNAEEDLEEDVDMTIALDGYGQNVQYEDSHIHQPQI DESTLLAMQQHMAQQAAFQQIPDVVKSFIVHFHQAVLDNNLAEITVAYESGWNKYTEK FYSKTEWPEAELIAPLVNDDPIFLILYRELYYRHVYSRLQPNIDDRFHSYENSCELFN YLLNSDGPVELELPEQWLWDIIDEFIYQYQVFCTWRSKVTTKTPDELLMLAEGGPVWS SYSVLNVLYSLMQKSRVNEYIIAQREGKSAEEIAEIVGEYGQRPLYRMLGYFSIIGLL RVHVHLGDFTLGLKVMENVELNQKTPFTRVTACHVATYYYVGFCYIMLRRYPDAIRTF VTILNFIMRMRQYHTRSYQYDQINKTADRMYALFAMCHALSPSRLDDNIANIAKERYG EQYAKMARGGSDALAAFEELFIYACPKFITANPPPYEDPVAINALISSSADAESPTQS SPQADSTHRHLDLFLADVAAQLQVPTLRSFLKLYTSLGAKKLANFLDADEEELVQEMM VLKQASRSISRVTGSEGTSLLDGQMITTSDLNFVIDENMVHIAESTIGRRYAGWFIKN TERTQKILDDLKNMPLPVPPKTSGGTASGVNSQQQAEAVAGQNRPARSGQKVVWGGVK TA JR316_0011516 MSRALNDDEVLSEMNKMVAFIKQEALEKAREIKVKADEEFAIEK AKLVRQEQQAIDAQYEKKRKGAEVAQKIAQSTITNKSRLKLLHKREEILQQIFAAVRE PETPLYKTQGYVQFLEGVLTESFLYILEPNVTIYSRESDVDTVKQAAENAAKAYKEIS GRNIAYEVEGSIADDSSQGGVKLVSGTGRITLDNTMEERLRLLEDKMLPEIRTSLFGK NENRKFYT JR316_0011518 MIPGGTVQETTQLNIESLWSGGPFADPLYNGGNKQPPTGDIDDI EGLTTDAGQYGSYAGAGHLLTTLNINGSISGYNRWLDLDKALARTSWTQNGHNILRTT FCSNPTKACVQHMQTDVPTLPDLTFSFTVDLEPGLPAPNITCLSPSSLLVSGLVSHSP PGMAYALIFNAYTASSKAVFQCVQQPVASGNLPNATLHIFSHNGSANTEAWIVWTGDT EYDMNAGDAAHNFSFRGESPVTKLLSSRSPESFSDYNALLKQHIGDIYNILHRPFALD LGQVPDLDSPTDIIKDRYSINGPPSNAYLDWLTFNYGRYLLASSSRGALPANLQGKWA NGIGNPWSADYHSNINIQMNYWLAEMTGLSELTIPLFNYFEKTWVPRGAETAQVLYNI SRGWVTHNEMNIFGHTGMKGGGNTAQWANYPESAVWMMLHVWDHFDHTNDVAWWKSQG WPLIKGVASFHLDKLIPDEYFNDNTLVVNPCNSPEQVPITLGCAHAQQIIWQLFNAVE KGFNASGDPDIKFLADVKAARSKMDKGIKIGSWGQLQEWKIEKDSPSDTHRHLSHLIG LYPGYAIANFDPSPSVQGNGAAKNYQKNEVLAAATVSLAHRGNGTGSDADSGWEKAWR AAAWAQLSNSSMFYQELSFALHENFGANLFSLYDPADDDPVFQIDANFGFPAANALLQ APDVASITTPLVITILPALPAQWPSGSIRGARVRGGITVDIQWNKGRPTSVTLQVDSL KNLKHRPVHVVYKKHVLASFTAIPGLKKVLSGF JR316_0011519 MPGPENGFQLEMAMLPGGTVQEATQLNIESLWSGGPFADPSYNG GNKQPSERAAMAQLMQNIRQTIFESPTGDIGACVQHVQTNASTLPNLAFAFTAGLEPG LPAPNITCLSQSSMLVSGVVSDSPPGMAYALIFNTFAASSNAVLQCIQQPVASGSPPN ATLHILSPSNNKEAWITWVGDTEYDINAGDAAHNFSFRGESPVTKLLPSHSSEPFSDY AKLLKQHVTDIEGVLQTPFALDLGQVPDFDTPTDVLKSQYTIDGPISNAYLDWLTFNY ARYLLASSSRGVLPANLQGKWGNDVGNAWGSDSNINLQMNYWIAEMTGLSDLTLPLFD YIERTWAPRGVQTAQVLYNISRGWVTHDEMNIFGHTGMKSGPDYVEWAADYPEAAVWM MIHVWDHFDHTNNVTWWRNQGWPLIKGVASFQLDKLIPDEHFNDSTLVVNPCNSPEQP PITLGCAHAQQIIWQLFNAVEKGFAASGDTDTQFLDEVRATREKMDKGLKIGSWGQLQ EWKVEKDSPTDTHRHLSHLVGLYPGYAIINFDPSVQGNGPAKGYQKDDIMTAATVSLV HRGNGTGPDADAGWEKAWRAAAWAQLANSSTFYHELSFALYENFGQNLFSLYDPADAD PIFQIDANFGFPAAVLNALLQAPDVASITTPLVVTILPALPSQWANGSIRGARIRGGI TVDVQWSKSKPTSVTLEVDSGPNIRTRPVQIVYNKRVLTAFNTTPGLKKVINAF JR316_0011520 MGEVVNVLVAFAVIVFLFRWATSSNDTPEQQTAINALGFRPKKV TQDMVTTISNMFPDIPADNIRYDLLRTGSVEQTTNKILERGYLDAPPAAYHTLYPQTQ AAAPVSAPATTSTNTSNTNLGAGSASGSTSKKPAPSLIARYNLQDLVKETENGKGKAV ASSGQDLEDPFKAGGKAVWEDTPEKREESLRQRKAQMVLAARQRFLSQQKEKEAAAAG KSS JR316_0011521 MQDGDHDALHLPILGDSSTGASQGETNNRLLVVATLAAIVLAGS AIVYRTRPLYSLIGPTQSDSGPKDAAQKVKEVEGSQGEEKGAERVQGASSGGGFETGT GVHNGSSSSLVPGSPARMADEFDTKESKSSRSKDRRRRGKDPLKEILKSEKKSKGLGS PTISAKDPSFAKSPAGKDPSSPSSPMSSLFSDSQLTRTDNAKNNKTRRVPQREKSNIG PDDTMSQAQGSAPGSTSVTLSRGTSESIPTSENLQKQYPNDRHDSPSSSSPSSQPLPP SDPNSHPYTTHHNRPPSSLASDDGHGRPLSSMSFSTTSSATSGHFNNVEHHDEVPEWD NNADDTYSTEKRNRTQARLSRLASKDDEADQPHRSSSFPPSTIDNSASYMPSSYATSN SNFTSHSVSSSVSASTETTNAAASTSSSLILSTGEMTPATSPTLSHDSVSLKTILASQ GAVQEESDDAHANRASSSLSSHNADSIGVIPTKRMKARKKTSTANMNKGSSHNPWDWD GAGDAPSPSSSHFPEGDSIAENETGKDKETYQKPPRLQQSGASTLKGASFSAVAASRL PASSTHPTSLHSPTSSSFGQSVSGSGLPTSTTAAPGSVNRTSPSLGSESGLTLGELTE RDEEEAFTFPTLNPTPPIAGPSRTRPTLSDINNNISNNTSTIYNSSSTSRRAPTPRRP PTPSHNNSTPPPHPSSSSSSSPRLGHTSLNSPTSHSASNGSSMPALSTQTQLASLRGA LEAARLREEKNKQEIERYAKEMEILKWENVNWRRGEVELQAHIRHLTHQLQSYAALYA SVAPQLPQQQQQPQQPNGTSGTSSPANAGSSASTSANVNGYGPTTGSNSVPATPQTSS SVLSPTPQQPQPSSTQPPPAPTPLHLQQMLSNMQMNGMSSPAAVMSPISISTPPLMNV HPGAPFFPYGMPPQHTPPHPMMIHAQQMQFLQQQQYQQQQQGTAQPSPHQANIFSSIF PPGPPPLMSPHQQHQYSAYIASQQAAASVSTANPYGSGPSSATGSSGSLSPDLTGSPT PGGMNGARRTKTRTQTAGSGMSSRAAWDDTSDGWLGVGGAEEGEDQHVMGGEQAAAGD RLEREEEEYGEVGINSILADAILKRPASIRVRSSSRRGKLDMEKGSDASQEHSASPTD KPEPEQLTEFTFPSLSNLGNVNYRSDVIAVNGGLSSSSSSVASPQVPSPHIHDDTTAV DISSNGQAADEVGAITSNSTTNDTKPLKDEAVELSLESIETTSNSESDTTPRKEAPET NSTNLEHEPCKTQETNGLSVGQ JR316_0011522 MHPKIALLLCGNLTGKPYADHGGYQGIYSKFLQDTVPANPDSST VPYSVDIYDVVHEMAYPQDDDQYDCIMLTGSAASAYENLEWITKLCDYISRIATSKPH IKIIGICFGHQIIARAMGGECVPNGGIWEVGPTRIQLTDIGKKIFGNVDTLTLQQMHR DHVPVVPPNFHLLASTETSPNQGSVLFYPEDTQPNSRIHILTVQGHPEFTEPVVTAII AQRAPSGVINEQAVKDAETRRYDKTDGDVVGKAIWEVILGKI JR316_0011523 MDSRRADVEAQRRGPTDIRDESFDEKVGHNGRTSVRMPTEYRTL SINVENPTLGKGKGKDVDKRKAAVKELSSLDWHRISSEEALSRLSVSPKTGLDKAQAH RRLQTHGKNVISPPKSNLVRKLLEWILGGFGSLLLAASIVCFIAWKPLGEPNPNASNL ALAVVLLLVLFIQAIFNAWQDFSTSRVMSSIKGMLPSDVVVLRDSVSTQVPAKELVPG DLITISMGEKIPADLRLIEVSVDLQFDRSVLTGESEPISGRVTMTDDNFLETKNIALQ GTHCVNGSGVGVVIQTGDNTVFGVIAKLSSNEQTRLTTLQRELLRFVAIIASLATAVA VLMVILWAAWLRRDHPDYINVPTLLIDVVSVMVAFIPEGLPVAVTLSLAKVANTLSKK KILCKSLSIVETLGSVNVLCSDKTGTLTQNTMHVENLAVFDTTYESNAYRQVVNQSQP PVESNLSQIAAVGAICNSATFDKDTSDDDEKKVQGKNILGNATDVAILRFSDKIASVE VTRQRWVNVFRKNFNSKTKYMLQLSKLAMSTPLNGPLVSPLAAWDSFTRECFLLTVKG APEVLLPYCSHVLDPRGGPPIALTVREKDRISAVQEKWSRRGQRVILLARRIVRDDWL EKVAISNPQSEQFETAVEEYMTDLIIVGLVGLIDPLKPDIKHTVKVCRDAGIRFFIVT GDHPTTALSIAEQAGIISNPTRVHRVADLDPAPEKSIALYDSENEVQDLKSIIITGSE LMALNSEQIHQLCQYEEIVFARTTPEQKLRIVNDFKNRGNVVAVTGDGVNDAPSLKSA DCGVAMGNGSDVAKEAADLVLLGDFSSIIDAIKYGRLVYDNLKKTALYLLPAGSFSEL MPIVLNIVIGVPQMLSNIQMIIICVATDVLPALSLCMEPPEDGLLLRRPRNIKKDRLV DWRLLLHAYGFLGVIESLCALSMSFWYLQIHGVPFSSLALSFATPENLSNELLFRAQS VYFFTLVLMQWGNLLATRGRKLSIIQHTPQSNLYIFPAAVAALGIAFFFCYVPWFQKV FQMRPVPAKHIFIPMTFGLTLLIFDEIRKYFVRRYPKGLLAKIAW JR316_0011524 MSLAKLTAVSTKTLSLLLERQRLQTLPIDGANASANGSSLHYPQ IKRNLAQLREGIHAMESKDGPSSEAAKLLRNQYDRMRGMLWEEERAEIPSLDNERSSP SPPLPEPIKPPPFTHTNARDSLLSHPTFAPYTDDPEQGQSTEPPDAGTMLHTQRFIMQ EQDQQLDQLSHSINRQHHISLQINEELDVHHGLLQDLDTEIDRTQGRLGKARKKLDHV AQGIKENGSVVAIGVLIFILLILIIRFKT JR316_0011525 MKSDIVLPINIALRRVLMFDCSVDICINRHDIAKKMNVSFTNGR ITKAEASTSLRLLMLQNLVLPIRAVVIAPTVEIIPTPKKAKAQGDIYIPIVYRPDTEI KEKGGSRHSDDIYPTKSAFKLSTVVYRHRFMKKN JR316_0011526 MALIFDYVPSYDIPAALEIEKQGYPEDEAGTESSFRLRQSQAGN LFLGAYEPTSGSERRLIGYICSTLSPSPTLSHDSMSTHVPNSSSVCIHSVCVSPSHQR RGIGLSLLKEYITRLEKARAGGYANYRRVLLITHENLRDFYEKAGFEWLGKSEVVHGS RPWFEMRRELHSAQGVIETPVKTAKENAIPPGILEALQRPKDVFPSSKLVSDFPNGLP ELIEPDEKNPGTSFNKYDLICPRNGCGSVILKRGGGKWTERESVQLEPEGYSQPELPP LPIPPETAQWWLITPSILQFENIGRTHSVHPLSEGGRERSRIIGASRPEAPIFAFAHN QTDNMRETA JR316_0011527 MKEKTPLEVSQDFEVRRYGRDCRELFFTDSNFINLNHASFGTIP EPVLRACEALSRECERNPDLFIRGQLFDRSTRAREVVCQLLGADADTCVFVSNVATAM SNVLCSISLTERDHIIYTDAVFESVSTAILNIPSRPQTSVFKLAEGALSHQSILARFR DHIREVQKQMDDKEANTVSLSIQPRKTVVVIESITASPGLLLPWREMVRICREENALS IVDAAHSLGQELNLNLKEVDPDFWMTNCSKWYYAHRGCAVLYVPIRNQGMIKTQLVPG TRYPTRGSTPPDFVHEFYWSGMIDPIPFLSVEIARSFRDKLGGEKAINDYCRSLAVTG GRLVSEKLGTSVMDQTEGNELTANITNIELPLPPNITPSKEIFFLYQDEMLDNHRVFA PAFYYMGKWWVRASAQIYNDLSDFEQLAHALAETCEKLIDCVVLRKK JR316_0011528 MALEKLCLENRTSLPDLDAFHFDQSSETFRSLPGAAQDAKIAVA ACMQLIAILSPPTDTNFGLTKLIFGKGHLSFATRTCLEANITEILREAGPEGLHINDI ASKCGLDPSKLGRVIRYLVIHHIYREVKPDVFTNNRTSSTMDTGKPLDKLISEPDRKY DDTGFPALISHFMDVDQKCGAVGWDVLKDPVLGHSCDLTETIFSRAFNTKSKYWDFFD HPENHYMRRRFDYAMKGLGAIEDHDMVLHAFSWEDLDKGSVIVDVGGGIGTAMLPLAR RYPNFDIVIQDLPIVIEEGTKFWSQNLPDAVANGNIKLHAHNFFDEQPIKNASVFYLR HVLHDWPMPDMVKILQRLRDVAAANTTLIILDYILPYSCKMFADKDAVSIASARYYSE APEPLLPNYGAVSHGPYTMDLTMMFHYNSQEHTYLSLKSLLDASGWRLVRLRAIDPRN DYFQSIECKILA JR316_0011529 MATKEFKTYATIHSGSYILIVLIAVSEIFASITGLKYAFTKASK NMRSLVMFIFLLMTALLSAIGEAFVSLSADPLLVWNHGVMDILAFLTGLAGTLSVTGE HTGA JR316_0011530 MLPTVVFLLGPLRDASRPCSTGIIDYHRRTGSIPKPFAEPALEM PAFPASTLDGPSSARNSWVSFLGSHRFPSNRSSVLSTASSNISATTGSTREVRQTFDP VLPDELLISVNEQLTVVQSFDNGWCVVGRENKSLFATAKSLFKSAPAAEAET JR316_0011531 MSDCDFARYTTRSGRVYSACQQVMFTALQLPAMILAAMKAEAAQ DDAFESEAQFMTEAAQDDAFESEVQKCNYTPLSVDPTPSCVPEPPSPLPFATPASTPD SEGSSSSRKRKRPSGKPLNRHIRAMKREDRYDKHGHIPVPRKLEKLVEKACVEDAGID LRELPSTMGGYEAKREDEWEAKVYTLAEAKALGLQVYEWDGRRPVAFVAPNKQIFMVL AGRPDDPQYDSATERVYEALSAKGVKFTSKYLHHRRGDYPTLDIGVSRGQGLPQPVSL KCQPHEKFVANLLANPDIQRMASYASSAFATWAPRTYNYYKTQLDKLFMRMPGLPRIF TKSIFPSMSTNISPQAQTVRHRDLKNCPFGWCAVQAFGHFDPKQGGHLILWELGLAIE FPPGSTILLPSATLSHSNTKILDDEERASLTYYCHGGLFRWVEYDFQIEKDLKHNNPA LFNQIWRDRPARWNLGLSLFSTMDELQGGQFEQCEV JR316_0011532 MPAGAPLPAPPPASNLASTTPAPVYGTVATGHMYNTRSRQGTQE DISAHLSSAAKGELPRRNARSTRNNATERPKPPPAKPTVKEKKQPVKPAAQIYRFMAH EMPKDKGGLRDAMNLHIRILWGLENAKQTPAPPAPELLNSFYSRFSTEAQLMGAITQE SAPRLVPTHLVQIGLAMSASKGRTARQARLVEEHMLSYMQACLSRVGLVRWCPDLRQT PYSLYNSACRIIALNTFRQALVSHTYIHLGPNLAYATDEGLLIKMFDHFVFHVMQERY QKELRNPGSVLQNLENTPLYNNRIRLAKARLDFLVQNGYRKRYRDLINPKATSDDEYD PVQKVWIIRRRPERSPAAEAFIRMLDVQREAYAIAKSPSSWKERRRVVPISGQPLTAF PAIPRGMPLDYFYSGFFNNLQPRLRYDCAVLKLSLLPLPLIHHSFDFEHPDVRLSDRA FNLKYKAVYEDYHIIRKEDIENDADDESESEEEGEGTGESDEDDMSVDEDEEDDDDDD DNDDDNDNDEDDDNNNENDEDNVNDNGEGVPLERVSVTSSPLSSVPATLV JR316_0011533 MSAQNHDDNLAAANGGYDRDNGGDNFPATATATADNGDNFPAAA GGHIYDFPAAAGGYTDFPGDLAGNNIGDSSALDTSANGTAGSPRASFAPAPMDPSSFA VTGFEADDLDELVKSMVEAKLKESRKELEAQAAALQKREALLINGEIQTRLEMERQVQ SLRNQLMAAERAATRSNAAAPRKKRKQQSRQSTLPDIQNTGPPAHNTRSMTAARGAVD SEPLPSNDASMTSVATPAMPATAPPPAPPPASNLTPAMPAGAPLPAPPLLPI JR316_0011534 MDSVMDSQAARIKTYDLDNDGVLITLLSPEDFVTQTLDGKIYVR IAYDKFAEMMKEKQDFIELRASTLKIYGSLFKTEPEI JR316_0011535 MPPIDSATEIPEERYDVDHDYSKKSDKGNVVPKARFARRRTHNE QLLVSCCGIINARATMFGAESVSGADDFLKSRYLIARKDNYFQHVIMPVDVFHFKSKH KVTDEFCQKHCNPAKWNELVGEDGKWIFNSSAAEQVNAWLGGYLAIVRDMLAHNYDFF LDEMIMRRNKLVLGRLLESGHVPYIVPSYDEYP JR316_0011536 MVFQAYAEAYRFGAERPAHNSPDVLFWLRIHKDLGGILLVTASV LGTGGGEADGWVNSWVTPLQTSELKPLRIPLHSQRSKRCPACTHILIKPEQKAQSVLY KIKLVAANYLPAITVSLPPAQRLTAEAAKKSLGRSVSTAVENSNAGAMHAGRTYPFHL ALSNSLCTNPTDVIS JR316_0011537 MSQRPCPHPGCDYSVNIRLCRGTKVPEHKGLWYEACTAPPPTSH FVGWVRERGIGEVLPFPTPTTFQQALLQVPRTPHKVLPFNKLGNSPNTPSCSRSQISV SPSTAAGVAAVARAADAAEELEKARAAELLVSALENYNNLPNLDSGDVDVNDQPDNID DDVLLTQTIAATGPVTPSKSQSTVSPELKSTDAIWPHEHTRRIVKAGKAIIACNGPIC FNNVAKGLDSFQKDGAKPCAQASHSVSGTQSTDQSESEVFSGSKLTGISTASGVRLTN GSGNSYNGNLPLRQIHYERSQQAREKYQIGTNRLVQQKAIEETMKSSVTIFYWKNDGK HTTFRIPCSSYPVFTLAGCSAGILKILGLYDDESDKLIEAFDPVNNTWQIHMLETAQD VSKVPRLLYRALENVSDMAEGMEEETNLLVNWVQPRKRHIELATEPCTKKPRMTPSSS KTPIAGTSQIQETPTRLKLKQHPIAGTSQETPSRHIGHLRSPVKSESDHALSDSDSSD LPSPSEIRKTLVPQTKSKSQARKKVAVLPNRNHKRKYQSSDPGTDSNSDSEVEIAATA PSSRHAPWPFKYVCAMAEGFNKMETMEGMGDLPSRFTAAFKGIPFPSSKATFFAAQNL WLAADEELKDAYIHAKTTPEGLWKAFRKDVLAEYGGKLPSRRELISSRRTGSATETER VTKGKGKEKISRGKVIGKDDTPGLKIKKEPEVIVLDISD JR316_0011538 MAAASDIVQKLAALSIKPASTVSHAESSSPATWKEAVIASGSAP ASFELIKTRCHWQEAQLEGTASGLGGSAHGIFFIGQKFTVSSLYHQVYFLKGCHHSRH IDHDFFFHIAIHANSSSQTLFLSGKDIVSYLKSLETDDKKVQEIDFQNAAATPPAQAA SAQIPGAVQIAIGVKKEVDFPTWYTNVLLKADMLDYYSVSGCYILKWMDAQFEQW JR316_0011539 MVEETGSYFASLSLRLRFALLGLNGNMIILLYDLIWLCIYLTGV ASQDPILAAYFTSGVAIASILALNVSSVIGVVSRIARGTAITRDPPAGARWGRLASVL SDM JR316_0011540 MDSYTPRTLGHPGGRKKTIGLSKKEYTKITGTIASVRRNRHDDA GALSDHDDYNDLDSNQGAGGNLERATGSAAKSSTRRSHTHGYAKQTRPSLQPDSSFIA ETSFVRAPLNSRVVSSSSAVFPNHTEDSSANGGTYGSARTGSAKGKGKQHNGKMVSRE PLDRFPLDIQEAMILEDLLFVLMGIEGTYITYHSEYSPEDDDPLLGIRFVVAPTLDSS LGDLVERIIPLGMYYTAIHAFIEQRSHLDFGLVNHALCAAIREMLRDYQTLLSQLEHA FNTSPSFSLQKLWFYVHPTVHTLSLIYQLILELATADGSEDNTSESSSTSEDEEEAAR NEALGLGGAKLKAVLSEINQGGADVSSSGIAVKGGEVLAIIYERMQNMSGDPTACEIY GKLLREAEKPYVEMIRDWVSCGRLVDKYEEMMVKESKFIDRGILDVDYTDEYWERRYT LRDGSISPGSSKRHQAGIPMPRSVGGRMPGGACVPPLLESWKHKVLLAGKYLNVIREC GIELQIDKRYSNHVSMDDEKFYTFIQEAYSNANRTLLQLLIKDQQLIPRLRSFKRFFF LSQSSFLTHFLDLAATELRKPSKAVSTVKLQSLLELSLNTDDALLGDDALFRDDLRVV MADSGLYDFLLKVVTVTGVIKTEDAEEKEPVKEKEDKKAMQVIEALAFDYSVKFPLSL VISRKTILRYQLLFRFLLHLKHVEQALSAMWIEQKSYPWRTSLARFSFMSNVSSPSQT SLGVGTSSRTYSNPSSSSPSNNNAHGNPRSATPSGTPTTFSGPTSATTPAPTVQQSFP SEFHEWRLRVCILRARMLAVIQQILAFITFEVLDPNWRALERKLEHVETVDQLLRDHV DFLDTCLKESMLTSSKLLKACNKLIVVCQTFALYSASFTKSVNQALAAAMAYAESGIN SEDVFVDPTRAKRWEVLTKFEMNFNHWFQVHLDCVQFYASSDNVSLLPLVVRLNSVKS A JR316_0011541 MVNVKVAAIGTVLALAHGATAVCSGFNFAIGNVQRLDARTNRWF VYNTDCKQVDGLTISSSDNPCNHGIFGCSPPPILFNQYTNSFSGLRYACRQDKSSGKC GNDIISVCCRNDGN JR316_0011542 MSSPPRRRISPVNPSGTAENLPPQRALNPDNKFNPLLRTVSPPL YNTRLSNLIYLLVGLTTLLTVFYGYRTMQYKTSVGGWWGLLLGKKPPEMQASGTPSFG GYTDVNADSRSIESHILALALALGIPSQELATAIASAVRSHVPPASLSSIKAHETGDA VKLLLQDPAATTDGGHGVWEAASTAAGVVDGVVSGMENFVGIDEP JR316_0011543 MGVGAALTVPSAMHMIVHLFPEPTAQSKAIGGFSTSGALGNMLG LVIGALLTSFASWPWVFYFMSILGILESIAVFFLCPPIARPKSSALEKAKRFRRLDVF GVSLVTVSLILFIFGVTSGASMGWGQAKVIAPLAISVVAMAVFFVYEAYLPEYLAAVP PKTWKYQNFGILIATALLPYMCLPLSLVGIPGTVLVTILQQKFPLKWVMFVGECITIA GTILLPFSNSKQRYWRLAFPGTLIGSFGVLVTFVTSKILNIDTVKSVAIFATTPPEAA GVVAAIFNSSLQVGCAAGIAIVTSIQTSIQITHGGPLSFVGRADGFWFLFAAVCVLTV ALLLFMKDTIPPLGMKLHSQVNIAVDSNEKEAAGPLDNDEEAGDTHGEL JR316_0011544 MSSRDQVDSLLAIIKEAAYNALDEYETYGTPAPNLDSLEPHPLD VADDKLRLKKIISKLEGACDQLCTILAPPSHTVMNRAQDFGWACLRVSVRQKIADELA QHPDGLHVDELSKKVNVHPMKLASILRVLAARHCFREVLPNSFANNRLSMNLVSDHSV SALVNLVTEEGQRSALALSDYVVDPEYGYSLDISKAVFQYRNKDVMPKGLTFYEWLQS SEQKERRQVMMKAMIGMNLVIGSLSALHAYSWSTVKSVCDVGSGMGGFSRSLLEMYPM IHVTQFDLPQTLELAKKVGISGSDEQAILILKSVREAMGPGSRALIREWDDINHLREA CVTLNSDDHVLHHMSSTKGTYSEGMDVAPEPMLPNYGVGKIRLYHQDLTMMMCYNTKE RTVGEMIALGEKAGLRGLKIFDLAEMCLIEFDKVD JR316_0011545 MGNPIASTFGVWLTIIWLQALLRISSFKDLSSTKPVSTLQAAAA LLCDIVITAALVVTLANRKGTIKTPPVYMNSALATLNSRQHTIKRSRVPVTTGDSSTR DWNSIPMGAISTGTGYTGTLQDPEHESHVHVLVSKQTIADNDPVITLPEEYKDTPNFV DGMV JR316_0011546 MSFPNASNVLISGGTFIHTQPPATVSSCTYHERLHGLSAYLRLA LALLSSKIATGASHNSAERFDPPKCHPNTREAIFQTILNWLDDSVKSPQIMWVYGSAG VGKSAIAQSIAEMCFHTGKLLGSFFFARGVPNRHTETLFIPTLAYQIMQYLPETRASV LDAVERDPQLFSLSLADQMEKLIIGPLNEIIHNDHDGQGLRSRTATLVVVDGLDECSH PQAQRLILDVLSSVVVKAPIPISVMVLCRPEQHIRETFHNDPLKSLTVSINLDNISDD TDIDIKTFLLSEFRTIKHNHPAKEHFPPIWPSENEMNQLLMRSQGHFIYASTIINDNP FASLDSLYRQILLGVEDFDTASKIIVTLVLGSSSLLPPTATSIESLHSFQPGTVKIAL CDLHSIVYVPETPDENLRMYHASFSDFIFEEARSGRFYLDKGAAHIMLATSVLKLSIS NMKDLPHPDHESDLVTHHLQFFIEWITLAFSGPIRKFMRDSIKLKKSDISVNDILQAF QSATASLVESPEGRNKVKNLCIFLIDIFNRDIILELNLRESNADALLEINDYLVDLLI PVRKHGRKISFRKLNAHRARLWVRKSTEGNMSEDVIARRDETTSESAGGWYKSTLRKL F JR316_0011547 MRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLTMMARKMRDT DSEEEIKEAFKVFDKDGNGYISAAELRHVMTNLGEKLSDNEVDEMIREADVDGDGQIN YDGMCSFNSLKLCTLKA JR316_0011550 MKDRRTDKRSFIADVLLIARCYVVWGNRKSILFACLVLLLVGTA FGIISEGTTTPSLKRFIAVFILLVLVLNVGITLLTAGRIFWIAREVRSAMGPEMMSHY NFAICILIESGVVYTASTILLFCLSPTKFVLLGGIISIRTVCIMPILLLVQIALGQSI KDVDSTISRIEMASTPPVILDTIISNNDHGWDTSTHPQHSIASHADERRKNGGIDTIG RESCRDS JR316_0011551 MSFAKAFSPALREIRILCSQTGQASAGTRQFIVSKYPIIKQHNP DLPVLVREASGTPARVFVRFERGVEKHVELDNLSAAEVETKVGQLLSS JR316_0011552 MDDEKRQQDDWANSRKNRLPSFTEVLSRRTRPPVDLFMFYLFLQ REGAEDILDFWLDVQQHENLCRAYFKDVRKSGRTIKEDWPQYWDYARRRGSIYGTVVG LSGDGGGTKRSTASTGEMLSEHDKRNLASLGNDEKAGYGGRSTSPRPNTAAGHSENTR VASASPVDDPPRSSTPFSLSGRTPTLFNLRRASRAPTIIPRSAAISRLDLIASAERIF YRYLSPAGNTVNSPENHEIYLPPALRIHSFPLSSSQEPKSQTELALMAQIPDIFHAQK EYCFRAMEQDAFPRFLRSKAFGNLTPISALVRLIAGLIILWIGLAAAFSLIFLDVQPK SKRFFLFIPFFLAVLFLVSHQYELDPILVFFGQSETTPFRTLTIREPYVKKLLMGRAI WVSVLVTAFMTALTLLFWAVPGHRL JR316_0011553 MKPGIHPPANESMTLVEAIDFHSKHNTYRPVYVFSEDGNPDVTN ISYLEFGRAADRVAHHLRPRKGGPDPQVVAVVALSDSLLYLAIVVGIMRAGLVPYPMS PRNTPAAIVKLLNETSCHRLITTQETLRPLLDEIKAELPSLVIEEAPPLFDIFPRLGH EKLDDPFEPYSQGPRAHMDEVAMYIHSSGSTGMPKTIAQTTRILMEWSKLPSITDCKS QMAAMALPSFHTMGIMVQVMIGYFAVQPICLYPPIVTTPTGQPMIPSPDNLMDHIKRT GARGLIIVPALLQVWAKDKEALHVLGNLDFVIYSGGGLPSSLGNFLTESGVYLSAVYG TTEIGAPTMFYRREEDSKEWDYMEFADSVKIRWDPQGDGTYELQLLTCDTHHVAVENL PDTRGYASSDLWVPHPTKSHLWKIVGRKDDVIVHTSGEKTVPAPIENVLMSSPYIMGT VMFGREREQAGVLIELKPAFAIDPSNEDELIKMRNMLWPIVEEANKVAPAFSRIFKEL ILITSPQKPLPRAGKGTVMRKAAVSIYAPEIDAIYDQVNATVDGGRVVPPSSWDIEST IAWLKNQVEEVRSGQSISVVDDFFYQGVDSLGATILRRRIVSAMKNDETLKASQLVSQ STIYNNPSVEKLAKFLVGIIADPDGFVLSSNRVDAIDAMINKFSTGLSAPVQQLGAGN VDEVVVLLTGSTGNLGAQILEALLRNSRATRVYALNRASGMNTKTLKERHVERFVDKG LDITLLESPRLVLLEGDASQKGLGLASEVYDQLLSSVTIIIHNAWKLDFNQSLPSFEP NVQGTRNLIDLARASRFGSSVKFLFTSSVSSAYSWDKTHGPYPEEVVTDSRYAVGNGY GESKYVAERVLAQSGLQATSFRIGQITGGAPNGAWATTDWVPILLKSSIALGALPSAI GVVSWLPMHAVSQAILDVAWSSLATAEPALNIVHPRPISWDTVFSFINEALVQEGAVK APLPIVTFQEWFALLEKVAAAGNSGSDQVLKDIPAIKLLDFFRLNAKMDEILRKQGDD TVESGGLATFSTAKIASISETVGALSPLEQADASLWIKYWKDTQLF JR316_0011554 MESRVFPPVDGSVTLPETLDFHVQHNTYAPAYVFAGENADSDPT QISFLEFGRAADRVAHHIRPARRGADSEVVAVVALSDTLLYQAITMGILRAGLIPYPM SPRNTGAAIVKLMKDTSCHRLIATRETLRPLIDDIRAELASTSYTLDIEEAPPLAQIF PLLGRETLEDPFLPYPKAQVRPPLDAVLMYLHSSGSTGWPKTIPQTFRTMVHWASLPP VMDNRRPGLKMGGMALPPFHTLGIIVQLLCSLYAMQPVGLYPPIARTPSQLPMAPTPG NILEHLTRSGCNGLMIIPALLQIWGQDKAAVSILAGLEYVGFSGGSMPSRLGDAMVDA GVYITPVYGATEFGAPSRFFRREGDEAEWAYVEFSGEAGNVRWEPQGDGTFELQLLSS AKHQVSVENLSNVRGYATSDLWVPHPTKPYLWKIVGRKDDVIVHTSGEKTVPAPMEDI LMSSPLIMGTVMFGRERNQAGVLIELKPAYAIDPTNEDDLVAARNALWPIVEEANKVA PAFSRIFKEMILIASPNKPLPRAGKGTVMRKAALEVYAQEVDDIYAEVDDAAESDSAV LPVSWDVDGVIEWLKDQIEDIQSSDSDDTPFSVSDDFFAQGMDSLSATILRRRIVGAM SMASGSGSHSDHDSEAKTLKAAQLITQTTIYAHPSIEKLAAFVAGVVQDPERFVAVAS RADAVDAMVGKYTVGLGETATHKAESSSGSAVVDKGNMVVLLTGSTGNLGAQILESLL RDGRVQRVYTLDRPASVSLSQRQAERFEDKSLDVTLLSSSKLVPLECDAAQRNLGVTQ EVYDELRSSVTTIIHNAWKLDFNQSLSSFEPNVRATRHLVDLARSSAHAAALKFVFTS SISSAASWDQSLGAYPEEIVLDSRYAVGNGYGESKYVAERILAQSGLNATSLRIGQIT GGAPNGAWATSDWVPILVKSSVRLSALPTAVGVTSWIPLNAVSQAILDVVWADESVQQ TALNLVHPRPVQWNAVMSSINDALVNEGVLPSPLRAVSFEEWVGLVEKAAGCTEQKTL RDIPAIKLLDFFRSRAAADSQCTGREMGGLATFTTEKMASISYTVDKLQPLDNQDALM WVKYWKNSGFF JR316_0011555 MAATTDVLKVSILGKESIHCGFHLIPYIAHTVIATLPSSTYVLI TDTNVANFHLEEFEREFNAALAGTANGGSASSARFLSLVISPGETSKSREGKAHIEDF LLANRCTRDSVILALGGGVIGDLVGFVAATFMRGVRFVQIPTTLLAMVDSSVGGKTAI DTPHGKNLIGAFWQPEYIFIDAAFLETLPPREFSNGMAEVVKTAAIWNEDEFISLESR SAEIFAAIQTPSANHAGRAQSTRSAAQELLLSVIVSSISVKAHIVTIDERETGLRNLV NFGHTIGHAIEAVLTPTILHGECVSVGMILEAEVSRQMGVLSQVGVGRLTRCLKAYNL PVTLADPRIASLPAAKQLTVDRLLDIMRIDKKNSGPEKKVVILSRIGATYEQKATVVR DAVIAKTLAEAARVIPSVPMNHPVKMATPGSKSISNRVLVLAALGKGTCRLRNLLHSD DTQVMMAALNELKGAKFSWEDGGETLVVEGGQGSLTVPPRGKELYLGNAGTAARFLTT VCTLVQKTPGVAAETTIITGNARMKQRPIGPLVTALRANGSNIDFLESAGCLPLAIAP QGLKGGTIQLAASVSSQYVSSILLCAPYASNPVTLELTGGQVISQPYIDMTIAMMATF GIHVVRRKDGATGRLLDVYDIPTGTYVNPAEYNIESDASSATYPLAIAAITGTSCTIQ NIGSASLQGDAKFAKEILEVMGCKVVQTETETTVQGPPIGHLKAIEEVDMTEMTDAFL TATVLAAVASGKTRILGIANQRVKECNRIRAMMDELAKFGVETIELDDGLEIIGKPIS ELKSGVSVHCYDDHRVAMAFSVLSTVIAETIIEEKRCVEKTWPNWWDDLENKIGIKVE GVQLDHTAFEGSASGTSAHDAAASVVLIGMRGTGKTYIGNLAATALGWPCVDADAFFE EKHQMGVREFVHQKGWEAFREAENATLQQLLKEKSTGYIISLGGGIVETPEAREQLKQ YAASKGPVVHVVRPIEDIITYLNAESARPAYDEPISNVFRRREPWFDECCNYIFYNHI DTDDSAGVQGTFNEVSRFFKHISGQQPNLAANLNPHDRSYFLSLTYPDIKQAYPHIEE LTQGVDALELRVDLLKSAKDYENLGDSIPSLSYVQEQVAALRRVSSLPIVFTVRTKSQ GGAFPDAAQKESIALLRLALQMGVEYIDAEITLPEKQLKELAARKGNSQIIASWHDWS GALKWDGPLVKEKYQLASQLGDIVKLVGKATSIKDNFALYEFVSSVKSQPGAKPIIAI NMGVEGQMSRVLNATFSPVSHPLLPNKAAPGQLSFRQIQHALHLLGLLPSRQFYLFGN PIKQSMSPTLHNTGFEVLGLPHRYELMQTEEVGEEIKLAMTAPGFGGASVTIPFKLEV MGLLDRLTPAAQRIGAVNTVIPQRVQGKEGKEESVVLVGDNTDWIGIQRCVRAKLESS NVKAALVIGAGGTARAAIYALLALGAEVVYLYNRTSGKAEELAAVFGDGEAEGKVKVL KRLGEWVQEYGAPNVIVSTVPASATTTDAAAQGGLLLEANLFEYKAGPAVVVDMAYRP AETPLLQLAKGAAGGGGGQWAVVPGLEVLLEQGYEQFRLWTGRECPRTVVAEKVWAGY NAAA JR316_0011556 MDAIEQAKRLAAYTAVDNHVLPEHRVIGIGSGSTVPYVVERIVQ QGPAVNKGRVFIPTGFQSKQLIVDAGLGLGDVDQYPTIDVTLDGADEVDHQLNCVKGG GACHLREKVLAEAATTFILVADHRKNVEYLGTNFTQGVPIEVVPFAYAKVLQNLHHIL GSPKATLRMAVKKAGPVVSDNGNFVIDAPFERDMMIDPYTIMAQIKMLTGVVEVGLFC KMAKAAYFGNADGSVTVRWKDGQVNQILAEEAPGN JR316_0011557 MNERESFIIEDLDESHLVIKAEQEYRVRKELEAENYAFPGGLMI GTDSHTYEDMSRSAALLKEAKDAGLELKSKFTGTPDQSKFVLPSPVTDRLRLSRTSEE LSLPTLADLASDNGTDRTLRRAKPTLNSTLVAKHADGSVDEIPLAHSFNEGQIEWFKA GSALNLMAAKAKAAGSA JR316_0011558 MKLFKRKSTKGDVVAEVMGISPGAVGSANDATKPKKKKGKARRV CMYLISSSPRLWSVFERVLTRSFLVLGILKKTVPCASGRAHTVENVGEDESFYDQKHK SEVTATGEFIFEPMGLPAQSVAVPIAAPAPAHVAGRRNRQAQIIQPKVQAPAAMGEVN GSVDIVQEARERTERVVPEAMNPANANAGGNRNRTARVIQPKIQDGSVDKFSIIERAY LHQLKTQGDRPPPSDAQNKAKRQARIMYPGAINPDHKPGAVKAADVEKEVSTRPKIPL PPMPIDPTFDPNARSEVRIAHPFVYPPRPPPKPLVEHDASSPPNIPLPPVPTYPYDRK FRRQARIAQPKAVDAPAEVADAPVVKVEEPVKPVVVESSTTPEAVDVVEVAEVAPANT VQKSRRHARIVQPIVFAPDNEPAAVDDLDNGKDAAVATNVLEVAEVDTANVAQRKRRH ARFVLPVDYIPACEAATGVEVEDVVKPAVVEEPVGVEEPAVVVVEEPVVEEPVAVEEP VVEEPVVEEPVVVEESAVVDVQSKVVDHVEVDEERRKRAYTSFDAVLVGLSLLRDDSS EGESYKERDFFSDESSHPGDVEDVVSEVAAAVENEVAVRCSLEVRVAVTSKVVAYGTI LFDENNNNTVSEVASFEDDLIVLGSFSKDADFKVCTFGSIPLLEDDTTADVLWLLVGN FIKTQYLTRRVAVGKTSEVVGSSVVDSSTVSEVVDRSSNGDLSPLVDSIPSEYSSSPT TPVEVQQAGDLPKEEVKEEVKEIVDVIEEVPVLGSPIKLVTSSPKLAVVPTSLPYAKG VPTRRVDIRGTRQRVQCEGTSTSTGEQPGVLVRSILNLEEAYSTTNKPRIASASPVVE TDAPLSTTRKVPPSRIPVLASRREALARRRFGTLYESRSTPAPGIRPLLLPLHKSNRD AYDSEHER JR316_0011559 MGTSSLSKLFARPSKRLGVHSMKGKEESNFSSEIFQLLMLNSMR KVLPVRGQSKISIPVSVEKPSPPMSKEEAFEALLAMSESDEDSKRSSVFTMKRTSSGE SSPSEYSSSPSTPSSFSLSVLSGDSEKKDGESIRFPKSDTESDLAVPSEEKLEPTTLA TEVGSKEDVAEDPCSGGHTQDDDYLDMRLVNKLFEDIVNPRARRVGMVPGPRTGLGNA ILAAYKQELLETVIALEDSFSSPVPASGLSPYPWDKVPEVSGGDIDAGDSSPDASFDS AVVSLDIFKEKDEVPSQPTTAEGRTGSQSSVDCGSYSPSKTARQSEGNFAHFCGQGYT SSRHESVQDHFNSPIR JR316_0011560 MRHPTLSQLEGIVQTHLPGHSQTTVTGFTQLKNIGYSYTRSIRT YILDLAPAGSAKSTCCCFLTIDVSPSSNSDSNSLPTIHNLFKLLHDASSSLPFPIPIP ILDTTLTTLPFPFILTPPPPTSSGTYTSENITTLAHARRTVLNANTKADTLLDLQIGA LLGRAHALVQNDFFGPVTITPEANAATTSSIPEPTEPGQTYSWQETFTHLLESLLSAF ELASESESESESALDIPYTQIRAHLSRAIGFFLFDDADTPSLVWFSGFEEDILLLTSR AALDSDAEMNVSIAAILPSMFTHALWADPLLESFFLPSPNRPSQALNEAYLSSGGKHL LLFPRQRTKRIWYSLFLGLVVLREWCFGAAQGSVKRGTEDEGGDEDKNEESENENETI KQWALKLVLESTKALESAPCY JR316_0011561 MLYAVLRTSWTRTRGPLAATTTTFLRPVGVSSLLAGVAATATRR TFLTSARVDTPASSSSSKSSSARTTSKDKTAKEKPKAKSAEAKEKAKAKSAEAKEKAK AAKEKAKAAKEKAKAAKEKAKAAKRERAEAQRERKEARKEAQRKKKAEKAAAEKAAKQ NAKNPFRKPMNAFNNFLQQAFKDATPEGGKYVVPAGSDMRTEFAKVAQKWASMSEEEK QKYAVDPKSFQEYHEKVAAWRATLTNKERKILKSKSKSSGIRGVNLFFKDNFPKSLEG TTFADVTRDLRAKYNALSAEEIAVYDERARVINDAKKEEKAKAKAERKAAKEAAAAAA SAASA JR316_0011562 MLLSILSSTRALSGNSRALGSALILHALRAGASRTYRRRSRGSY YTEKYVMPLTPPTPLREMDVDRTHAQFNNISMEYERLQEESGILDAAIKRLEDECAAA QEELDKFSREAEERLAQKEERLAEAAKHRRKSVVKVEERAAASRDRKTKTALRKQKQM VEAELRRTRKKAAKLAEKVGKQLEKIEKRLAATRRKVNEQDKAVEERRKWMRMSRPRA PPRNPFSNYLHEQSEPPAIDVVQRWHELSMEEKEQYRNDPMVFPLHRKAMRAWYKSLT VAERRTHRQNVARKGSKGTIKPQSVFLREICPTLKPDPQTGRSSVFIQASKLWKELSA EEKARYAAIAKTESEARRAAILAAEAKGANSN JR316_0011563 MQFRTTTAAAALLTALSLATGAAAVTCAVCAPTITFSGVVRTLT LVRQESGNTVQCNYDTPAIPGFSPGCLYGVSTRLLKMFGNGTGKVNVYLDLQNVNGAL IFTNAGTACPSTIPLVTKTSC JR316_0011564 MGSTITVDISDRIATITLNRPASLNAITTDDYDAFANALREIDK NEDVLITVWQATGKWFCAGTDVKRSGNNPADSIGSVRKALKNNVVSTTTDCGKALYSH SKILVAALNGPVMAFLGYFDFIYALPSVWLAVPFTFLGIVAEGGASVSFVNRMGVAVA NEVLIWGKKKTADELLACGFLNKIFPNQSAESFHAEVRKLLLDELSGLDPTALLVMRS LIRRGLHEKNDPDAVNLRESYAQAERFASGIPALQFSRIARKEIKHKL JR316_0011565 MQLFKRKSTKGDVVAEVMGISPGAVGSANDATKPKKKKGKARRV FLGILKKTVPCASGRAHAVENVGEDESFYDQKHKSEITTTGEFIFEPKGLPAQSVAVP IAAPAPAHVAGRRNRQAQIIQPKVQAPAAMGEVNGSVDIVQEARERPERVVPEAMNNA NANAGGNRNRTARVIQPKIQDGSVDKFSIIERAYLHQLKTQGDRPPPSDAQNKAKRQA RIMYPGAINPDHKPGAVKAADVEKEVRARPKTPLPPMPIDPTFDPNARSEVRIAHPFV YPPRPPPKPLVEHDASSPPNIPLPPVPTYPYDRKFRRQARIAQPKAVDAPAEVAPAPV VKVEEPVKPVVVESSTTPEAVDVVEVAEVAPANTVQKSRRHARIVQPIVFAPDNEPAA VDDLDNGKDAAVATNVLEVAEVDTVNVAQRKRRHARFVLPVDYIPAYEAATGVEVEDD VKPAVVEEPVGVEEPAVVVVEEPVAVEEPVAVEEPVAVEEPVAVEEPVAVEEPVVEEP VVEEPVVVEESAVVDVQSKVVDHVEVDEEPRKRAYTSFDAVLVGLSLLRDDSSEDESY KERDFSSDESSHPGDVEDVSKVAAVVENEVAVRCSVEVRVAVTSKVVVYGTILFDENN NNTVSEVASYEDDLIVLGSFSKDADFKVCTFGSIPLLEDDTTADVLWLLVGNFIKTRY LTRRVAVGKTSEVVGSSVVDSSTVSEVVDRSSNGDLSPLVDSIPSEYSSSPTTPVEVQ QAGDLPKEEVKEEVKEIVDVIEEVPVLGSPIKLVTSSPKLAVVPTSLPYAKGVPTRRV DIRGTRQRVQCEGTSTSTGEQPGVLVRSILNLEEAYSTTNKPRNASASPVVETDAPLS STRKVPPSRIPVLASRREALARRRFGTLYESRSTPAPGFSPFIYGLLRSFIHFDDVHL SSFFLADDFLTSSKSFACSVTRVRNALAKFSLLGTVGQQRFAVFSRFLR JR316_0011566 MEAQNVLHYPQAINSSVAIGNGAAAYIHILSGSFKSLSLFLLAF SPSKRSLAHLATIPAYGPHQYLCTNREKDHVYTTSWALPPSLSSWHIERSETEPWKVK HINTVPITATSSYITIPQPYTHAYSVGGPTGESHSIDATTGALINKTQEILFVPEDQL EAADKTRVALRYGSHGIEFTPSGQYAFVPVLGTNSIEMYTRDPASGQLEHITSVPSPR GLAYKDGPRHVKIHPNGKVLYSITEHSNLVDAYRILPNDLEHMSSRSLLPTDAPVIPT THSEDHFRGDTLMFPPSTPSKPAPTVLITTTRGSNSRLRGWLSVFPLDADGDFTSVPE DVSSENARQAERFQTPTSGGRANAIDIISKDNNDPNSGLWILLTDEDDFTVSPSGTGA VRVLEWDGWGKGGIKIVDEWPTAKDADGEYRIKGASHAIWLD JR316_0011567 MHFAHNLFVIALLSAIHAQAHHEPKTDHEIEVQRALQVAACHCA PAVESFTASRKRAWAQRVLAGNPHLVDQQHLFSSDAYADLPDSHHSEHSSSPHENEDE TFMKCTPISDTHIQNNTCVLAPEVTEGPYYHTEGHPIRQNIAEYQDGLLLLLDIGVID VETCQPLPNVLVDIWQANATGSYAGHPVPHPHLADEQPATEGRRRGLLTAFPRTIQEE TWLRGAWPTDKNGVVQFTSIYPGYYTGRATHIHTKVFPEWTPLPNNEGFQAGRLAHTG QWFFDEELNMVVDKMYPYITNPIKDIRGRTRNSQDSLNIFQDSHGPEGKYNPVFKTHL LGGVVSQGLIAYITMGVNASASYDNFWKG JR316_0011568 MTLADTLTWHRYFVLSRTRPLPYNTIKINHPAPEEDCADDISAI VFRDAYEDGSLDYDHSSLASIPDHISRDVVEYIHTPIVDHSSPIVDLVGSVLDFVGDV EVTQFFGPINRQNEVVAPTIDLVEEPTVVFPAAAPVEAVEVGRAAEEDITGHPSASPI ESKSYEEDDSDLGDIIDGYYSTSLADKKGCLYVSLPYKVANVISEPEPVKVQIAKPAA FKLAAFGSIPLLDQNLIDEFIQTQGAPRRKQRADDVGVRCNGCHSDIVKEVIEEYLKV LSKHNLENRIDEIDIAGVLSEDCKCSVIDSSSSGLVCSAIGSSSSESGCSVMGVSHSD NSSSPTTPNHIQAACISADAEPDKHHKVIAATSANSTPVTAFHTSPTIATPKCQTPTL LIRYRLNSEYRRFYTSSRPSGIPVRQRTQSQALSQSSSQSTPPRPPFAPAHFVMRWLN GMPHGSISSPTNIRANSHSPIPGSPPSSLRSIGKI JR316_0011570 MSPTAPPRDCSVTLPEVVDFHIKNNPDYPVWIFNEDGQSDITKV TYLEYGRACHRVAHKMRPGRRGPEGELIAIVAFSDNLLYNAVSVGLIRAGMVPFLMSP RNTAAAIIKMLKETDCHRLLSTQQTLKPLLAGIRSELASTSPGFELSIEEMPAFYDIY PKLGCESQDDPFEEYPMPLVRPPLDNVMLYLHSSGSTGFPKSIAETFRIMVHWASFPP TDDLALMTLGCMALPTFHTLGIICTLLYSMYGMSIVALYPPSATSPASLPPIPTPDNI IDHTRRTNCNVLISIPTLLQSWAQNRKHVEFLAKLDMVTWSGGSVPTKLGNFMTDSGV KLSPIFGGTEFGAPTYLKRRSGGYGDWEWISMDECVRIRWDPQGDGTYECQFLTSPDH QLSVENMPDIKGYASADLFIPHPTVPNFWKIVGRKDDVIIHSSGEKTVPAPMENIILN SPFTMGVVIFGREHDQAGVLIELKPTYNFDPSIEVNVIQGRNLMWPVIEEANRVAPAF SRIFKELIIFTSPNKPLPRAGKGTVMRKASLALYHEEIEALYASIESTVSAETVSPPP EWTEEATRKWLMHQVADITSGKVSCISVDLFEQGVDSLSSTILRRRIVGALQSNKETL KASEMIAQSLVYNYPSIEKLSTFLLGLIRDPDSNLVTTSRVDAIEEMISKYNVGFQKP LLSGTPENSATVLLTGTTGNLGSEILAGLLKNEAVKKIYALNRPSKDARARHVARFED RGFDVGLLSDDKLVLLDGDITKKHIGLEQGRYQELLDNVNVIIHAAWRVDFNLQLVSF EPMVRGTRNLIDLAQSTSAGSSTKLLFTSSVASAFSWDRSRGPYAEEVLLDPKYAIGN GYGESKYVAERLITQSGVNGTSFRVGQISGGQPKGAWATTDWVPILVKSSIELNALPS MFGFASWLPMDGVAQSIIDVALHNSDLPPALNLVHPRPIPFDDIVSAINNALVQEGVT TTQIPVLPIQDWYSLLEKRAKRANPEDHKAIPAIKLLDFFRGAATVDSALRSGQIKGT ESGGIAEFLTTKSQNVSTTMRNIPSLSNGDAQLWVKYWKSTGFFN JR316_0011571 MFFKNGPEYEERLKRPFVPPTFTLKEVHDAVPKHLLRKNQRKAA LYVIRDIVFTSLLYKFAYSITPWAASDFGGYVTEGWQKTLLKASMWGFYWWFQGLVFA GIFCLGHDAGHTSLFESNKINNTVGFLLHSYLLIPYFSWRSTHHAHHKATGSMERDEN YVPYTRSQFNLPSEKLAKSTDYSEIFEETPLYTLYRMFIMQGFGWWVYLARNTMGSPM YPPGTNHFSPYSPLFKKEQRFSIFMSNVGLGAMSFILYKLGREFFLWYYLAPYVLVNH WIVMFTFLHHSDPTIPHYRKGEWSFLRGAVGTVDRPLLGWMGRFFFHNISHDHVAHHF FLRAPFYNGPEITKAVKSVLKEDYNYDSTPSFYALYRSFTQCLFIEDEGDIVFYKNKE GKAVRELKESVMEEIKAKGWDPAEQDKLSEEESKAN JR316_0011572 MGTSSLSKLFARPSKRLGVHPMKGNEESNFSSEIFPTADAQQHE ESSSSARSEQDLHSVAEKPSPPMSKEEAFEALLAMSESDEDSKRSSVFTTKRTSSGES SPSEYSSSPSTPSSFSLSELSGDSEKKDGENIRFPQSDTESDLAVPSEEKLEPTTLAT EVGSKEDVAEDPCSGGHTQDDDYLDMRLVNKLFEDIVNPRARRVGMVPGPRTGLGNAI LAAYKQELLETVIALEDSFSSPVPASGLSPYPWDKSPEVSGGDIDAGDSSPDASFDSA VVSLDIFKEKDEVPSQPTTAVLDGEGIKKMASRFSLPPNFRKEEPDPNPLLTVAHILL RREQDKARGIVRISVDGDIHPPDTRVFRTISIRPFAKF JR316_0011573 MEVSCAFVMTISLNIWSSIHFDDVHLSSFSMADDFLTSSKSFAR SVTRVRNSLAKFSLLGTIGQQRFAVFSRHTLPWAQAIPPSTGNNIRVREHTADGLPCV PPFIR JR316_0011574 MKLFKRKSTKGDVVAEVMGISPGAVGSTNDATKPMKKKGKARRA LERVRACSDAFFLVLGILKKTVPCASGRAHAVENVGEDESFYDQKHKNEITTTGEFIF EPKGLPAQSVAVPIAAPAPAHVAGRRNRQAQIIQPKVQAPAAMGEVNGSVDIVQEARE RPERVVPEAMNNANANAGGNRNRTARVIQPKIQDGSVDKFSIIERAYLHQLKTQGDRP PPNDAQNKAKRQARIMYPGAINPDHKPGAVKAADVEKEVSTRPKIPLPPMPIDPTFDP NARSEVRIAHPFVYPPRPPPKPLVEHDASSPPNIPLPPVPTYPYDRKFRRQARIAQPK AVDAPAEVAPAPVVKVEEPVKPVVVESSTTPEAVDVVEVAEVAPANTVQKSRRHARIV QPIVFAPDNEPAAVDDLDNGKDAAVATNVLEVAEVDTVNVAQRKRRHARFVLPVDYIP ACEAATGVEVEDDVKPAVVEEPVGVEEPAVVVVEEPVAVEEPVAVEVPVAVEEPVAVE EPVAVEEPVAVEEPVAVEEPVAVEEPVAVEESAVVVDVQSKVVDDVEVDEEPRKRAYT SFDAVLVGLSLLRDDSSEDESYKERDFSSDESSHPGDVEDVVSEVAAVVENEVAVRCS VEVRVAVTSKVVAYGTILFDENNNNTVSEVASFEDDLIVLGSFSKDADFKVCTFGSIP LLEDDTIGNFIKTQYLTRRVAVGKTSEVVDSSVVDSSTAGEVVDGSSNGDLSPLVDSI PSEYSSSPTTPVEVQQAGDLRKEEVKEEVKEVIDVIEEVPVLGSPIKLVTSSPKLAVV PTSLPYAKGVPTRRVDIRGTRQRVQCEGTSTSTGEQPGVLVRSILNLEEAYSTTNKPR NASASPVVETDAPLSTTRKVPPSRIPVLASRREALARRRFGTLYESRSTPAPGIRPLL LPLHKNNRDAYDSEHER JR316_0011575 MLNITNKTADAVRALRHVAKGMATAAPRIGDTKVAMSLLEKNAF INYQRIEDNLVIVRERLNRPLTLSEKILYGHLDDAANQDIVRGTSYLKLRPDRVACQD ATAQMALLQFMSAGMDTAAVPTTVHCDHLIEAQVGGVKDLARAISINKEVYDFLATAT AKYGLGFWKPGSGIIHQIILENYAFPGGLMIGTDSHTPNAGGLGMIACGVGGADAVDV MAGIPWELKCPKVIGVNLTGKIGGWTTPKDVILKVAGILTVKGGTGAIVEYTGSGVES LSCTGMATICNMGAEIGATTSLFPFNERMAEYLKATKRPEIAAYAQQFAHNLKADKGA EYDQVIEINLSELEPHINGPFTPDLATPISKLAAEAKKNNWPDEIKVGLIGSCTNSSY EDMSRSAALFKEAKDAGLELKSKFTVTPGSEQVRATIARDGQIEAFENAGGVVLANAC GPCIGQWDRQDVKKGEANTIVTSYNRNFTGRNDANPATHAFVASPDIVTALAFAGSLS FNPLTDTLPTPSGKPFKFSPPSGFALPPSGYDPGEDTFQAPPQDRASVQVKVDPKSDR LQLLKPFAPWDGKDPKDLPVLIKVAGKCTTDHISAGGPWLKYRGHLENISQNCLIGAI NSENGEANKVQNQLDGTWGGVPQVAAAYRAAGIKWVVIGDHNYGEGSSREHAALEPRF LGGAAIIVRSFARIHETNLKKQGMLALTFANPEDYDKLKGTDKVDIIGVETFAPGKNL TLVAKHADGSVDEIPLAHSFNEGQIEWFKAGSALNLMAAKAKAAGSA JR316_0011576 MKAVLFGSLALVQGAFAICAGFNFAIGNQMHLSDTISRWNVYND DCAVVDGLTTTENPCTQGIFGCSPPPVIFNEYTSTFTGL JR316_0011577 MSTINQTAPATSSSAKITDEARRKYIRITGHGKMKQWIANSLAF LEVRCMYIQHFLLRKIIPILFLQSSDEDKTLIFHTLPSEIDPQITLREESGKRITQKA ASTSTDLIPRLISVVEIIKREYVKNLETKHSIRMAGLHQYNEIGCLQKLGVLVTPAEG AIEETAEVTRSRTIIQALEGKNHPRQTQTPFMRITLSLTEQPELIENGATYQPPIKRN MSKSAKMRAKKRVKKAKAAAAAAEVDNVDMAVDCTPQDKDAGQ JR316_0011578 MSASTSQNIVIVGAGFGGLTIYNELVTKVDSSKYNLILINNRSF FTHRPAGLRLIATAEGKLEDTALIPLKDSRFNTGNRKLVVGEVTSIVDDDTKGHYVVL NSGEQIDFSILILSPGSNWDGPLAFGNTKAEIVELATTWRTRFEKANDIVIVGGGSIG LELAGEIKDLDQNKNVTIVHAQPLLLNDSYPERWRRRTAKDLEARGVNLVLGEYVDEV EVVDGKVSTRSKKSIKADLVVPTRGPSPNTKFIESLGSEVLNAKGYIKVLPTLQLPQH ERIFALGDAIDWNEQKQAAKIRDHAPAIVNNVLFLLGEKKSLIQYKGALELIVLTNGK NGGSGYIGILWGIVVGNWFARMVKSRDLLVERSATGLQLQ JR316_0011579 MPRKGGPIERRSIANVEKVVVVASGKGGVGKSTIALNLAFALAL RKNERTNAPLRVGILDLDIFGPSIPTLMSLKNAGEPALTNGGAILPMTNHGLPCMSMG FLIPSTIPGSEDNTDTPIVWRGLMVQKAVQQLLFDVDWSKDGQGLDVLVIDMPPGTGD VPLTLGQLVKVDGCVIVSTPQDVALSDVRKGIAMLKKVSVPITGLVLNQAYYLCPGCS TNTPRYLFGETKNFYDVASKLHTPVLGELPLVEGVSRSSDQGYPYVLRDSKSVDLDDG NGDVVWRSNMAQIAASVNSALWKNV JR316_0011580 MIITLFLSSNLLQKAKNEILAEFQGKEPANIFERIRYIFVFNTT QTLMRLDSVLASFNSDSNDLHTIFVPLVKTYATTYEALTQSQPVTCTVTGTKFDPVPP PNIVILDVSAYAHLQATRSITGHAVPIVSWVTGHASSAIRLFGPADRGGHGDLGARSA EWALQTGASLEDIGDSTKGTVVRIPGVPPMYDWEFFPQDVCAAKLPYGFTLKWSLEFD ESKDFLDKALAEYGERSVMLISFGMIFWPTRQEYIEEVLEVLIEKEFPFIIYLNTAPL ATLSNNLIEKIKMSCLGLVPKRINQQEILNHPVSPSLVLG JR316_0011581 MTRTIRKPNSAQPIHPPLRSRYEYPRNSCSQTHRKQEKLGTFWW RRRIWIESTFALTVYEPWEKVLVLTIFSIFCTAMLIVLVKYLPHQFIVMRRRAIYYIW GEYSERSELALWQYLDLARADSCGTGGASCA JR316_0011582 MQSIRSRALARSIAQSRSLTRPQLSRQLARRTLATESPATASSA SSTPPPPPRQSKWSRFLTILGRTTLITLFAGAGGFYYVTQLDRNPGTQLPFDPEKKTL VVLGSGWGTTSLLKTLDTTDYNVVVISPKNFFLFTPLLPSVAVGTLAPRSIIQPTRYI TRHKARQVSVIEAEATDVDPINKTVTFVDNSDIKGAVSATTISYDYLVYGVGAEVQTF NIPGVQKHACFMKELGDAERMQREFMDCVETAAFPGQDSKEVDRLLHMVVVGGGPTGV ELSGELHDFLEDDLKSWYPELAGKIRITLVEALPSVLPMFSKQLINYTESQFKESKIE ILTKTMVKEVKEKSVVLQMPDKSIVEVPCGLVVWAAGNKGRKITQDLMAKLPEDQTNR RGITVDDYMQMKGANGIFAIGDCTATSYAPTAQVASQQGAYLARVLHQIARRDALQAR LDALEKAEAHLPPAVSTDSTEAIAAAADDAKKIEAEKEELKRQLAKFKPRPFHYSHQG TLAYIGSDKAIADLPFMNGNIASGGVATFLFWRSAYLSTLFSLRNRTLVATDWIKVKL FGRDVSRE JR316_0011584 MHGPPASINSLLFHFPPTSTWAIDDMLRLNLRRSTRRPIFALLT FVAILTLTGQINAPISHAQDGLQEVKTRVKTRQIRTGSLASRVLSEQDKEERLEQHIY RKDGLLQVNPNGPHPIFELVREAQRAWDRKLERASTTLQEAVDEYYRRYQRMPPRGFG DWWEYVQKHNVQLPDEYDQIYRDLEPFWGMNPIDLQNIQRDWEAHRDSFTVGKDTFEE PISLKNHTFDDIGPNGMAKGAYEIMDLLKEVENSIPPFRAVFSPHDNPNLVTDRVFKN AAIRAAREGTFVDINNPPKSKALRWLSACPPESAARNQYINWGGEPFRLPLLMVKTFI HDHYRTMDPCEHPSHFLLHGQFISHGKGPEPQRILIPQFSYAPTMLHHDITPAMPINW MEDIAQQGNPEWDERGDTRLQWRGSNTGIWHSDEYRWDLAQRMRLVRWAGDIQEMEDE DLEYLGFAENISVLIPTEKGQKVGRAVRIPKKRWAPAMVDIAYAEKPVNCPPEMCEKL KKIFEYRQRQGMRAAGNYRYYIDVDGNAWSSRFKRLITSHGLVFKSTLYPEWYMDRVA PWVHYIPVQVDLSDLWDCLVFFRGDPQGKGAHEDMARKIAAAGREWSMTYWRKEDLTA YTFRLFLEYSRVMDPNRLSLYFEDVTPRTSRTGRNPKWRDYDGGA JR316_0011585 MLDIASCGRRPPLDMEALRALPEALLNVIETFMNIAYVYLAHVA QWPGAIVIGFAAITMTLSKTILYWAQEYYCGYCAIGHNNLWNLIVLWIIPNGLWIVVP TFIVAQFAKDLVDTLNFADAQSKKISSAKKQ JR316_0011586 MRVSAIILLSAFTLVLGAPISSGVVARSSSDIVVARDAAPGNPD WKREAEAGGKNPAWKREAEAEAEAQRGRDPSWKREAEAGGKNPAWKREAEAEPQRGRN PSWKREAEAQRGRNPSWKREAEAEAEAQRGRNPSWKREAEAGGKNPAWKREAEAEAQR GRNPSWKREAEAEAQRGRNPSWKREAEAEAEAQRGRNPSWKREAEAGGRDPTWKREPA RNPTW JR316_0011587 MARTIWFHVPNAPPAEGMSEQEAAARSLVEKKSAINLLEAFAVA IKHYLRGEDGIYYQDLYYLVKFLPAYALPAGLPASPTDKSVFSEDISLPSPQTPAPNS FSSPISENAYPNGLTAANPGRQPTITIAPMPSVLHQRNTNTATSPTSLPPPVSIGPAG KRSSYYSGGVTSPVSTYLQKEKERIILSQHDESYLMPAHMPPKYSVFDIFPFSLLVKW LTEKGKDVKGKKGARIRAKLRNEAISHNLPLELSLYLSSYIASLQNRKICDVPTTNTL LFSLNQLVDSLTGLERILTTPIPFSYSIHLWVVTLLYCLALIWSTLKWITIPGTTVIS FIFFGFLVAGEEIENPFGYDKNDLNLDHFTHNIIRNELRAVTSTPPPDPTRWAFVPEN NLLFSNNTDERVSPDEWISRGPNAMQRNLSHF JR316_0011588 MTTSVNKTVHPFDKGRLEALLNRRFFYAPAFEIYGGVAGLYDYG PPGSSLQANIIAEWRKHFIIEEHMLELDTTIMTPAPVFETSGHVARFADWMVKDTKTG DVLRADHLVKNVLEARLAGDKEARGQAAAPPTDDKKKKKKAAKGAAVKLADEVAAEYE TILAQLDNYSGADLGELCRKHDLRNPDTDNEVGEPQLFNLMFASSIGPTGQHPGYLRP ETAQGHFLNFSRLLEFNNGRVPFASAQIGRSFRNEISPRAGLLRVREFTMAEIEHYVD PDDKKHERFGEVRDVKLVLLDRHIQESGKNTTTEMTVGEAVDKGIIANETLGYFVARI HQFLVKIGINPQRLRFRQHMANEMAHYATDCWDAEIHNSTGWTECVGCADRAAYDLTV HSNKTGHPLVVRQALKEPIVTEKEVPEWNKKALGKTYKQDAGVIQKIVDSMDQEGLVK LKGQLAQGKAVITSSEGKEFEVTPEVLTIELKTLKQSIREFTPNVIEPSFGLGRILYT LLEHSFWSREKDVERGVLSLPPVVAPTKVLIVPLSAREEFDPLVKEVSSKLRKAGVFS RVDDSNTSIGKRYARNDELGTPFGVTLDFASVQNRTMTLRERDTMDQRIGSIDEVIAV VTDLVFGNITWEEACTRLPAYDGVQAIE JR316_0011589 MAQVATGPELTPRQSSRNLPSMAVNNDRPELKEEVVKALETYKK KDSPKVVVRFRGVGNAPIMKQNVYKIIATNRFQAVIQFLRKELGWQKGEPLFLYINST FSPAPDDTVLNLFKSFSTEGYLIVNYSTTPAWG JR316_0011590 MGAESDLVPKTSNDTQDCDKAKSTIYQRTKTWSGFSALRYLFIF GDSYSDVGYSTFRTRVPSPSAAQPLGIEFPGITYNEEGLPNWVGHLISKYRPAPRFDA SVDTANQDPEYIRSPLLVYDYAIGGQTVEGVRSQVENIFLQSDLMSTVSEDAWNASNS IFITWIGINDCGSFVRSNTQTAIGNLFATQQKLYDVGARNFLFIDVPPIHRSPAIPKQ EENESASYYQVWNTTLRTAIDEFSRNHDDASVFLFSSYEIFENVLDKPKDFGIKPKEV RKAGGQVWVDYLHPTSKVHDILASSLANFLGQI JR316_0011591 MGVASNSPLDLLGVVRIIIESAMIYTFQLLILIILFPLHHNAQL IVQSAVIPSMGIVFVLIAVRVQFSRSRTLFGETVMASMPTWLNEASSTRGNDEGERQQ SPRHSTALQMVNTDDTQEKRERVLLSFLREPGPESVISHSTSAP JR316_0011592 MALGPADSGGITFDVANTFAVWIEVFLYGVYVTLFVSAITVILK KRATARMESAHSAWIFLICVILMFMVATLHLVINVYRFLRANILGVDPAGALHYFFDS TRWENITANAIMCVMSWLGDALVIYRCYYVWDCNFWIVALPLVLFFGTIGINSSTLYW FTHPYDTHLKVPTMISLLNAIFPLALTQNVLTTGLIAFKIWLQHKASSRSGVVDRGSR LSLIRILIIIIESAMVYTLQLLVVIILYFKKSNAQFIVQSAIVPSVGIVFVLIAVRVH VAKSQSVFGTGLGTLPAWLDEDTSDSEMNGDRSSSPVAGVTFRVSTNDQDRYHPSEPL DSSSYTQKSHTGDKLLHMG JR316_0011593 MSSYPNQHLAAILPKQGGPLEITYRPTPAPGPNDLLINVKAIAI NPVDWEQRHHGVTIAAYPAIIGSDIAGYVEAVGSNVPADAPQVGARVAAFAPSYLFGC APDYGAFQERVIVPASFVTPIPDTLKFNEATLLPLGVLTAWAGFYQAGIAFGTKYTPA DKKGVLIWGGSSSVGSNAIQIAKSFGFKVYVTASVKHHSYLSTLGADRLFDYKDPNVV DNIVRAVKEDGVVIQVGYDAVGQLKECQQILQKTKAEGPARLASAVPLTEDSPKAEGV VVKFVEAEGDATKIQELIHFVYRIWLKEKLEKGEYSPSPRMHIVEGGLKALNSALDEW KKGVSAVKIVVEVS JR316_0011594 MPNTSRQHLAAMVPSVGAPFVIEPRSTPTPGPNEVLIEVKSVAV NPLDYFQRYTGFHIDVFPAIIGSDVGGTIIEVGSSVSLELKPGTRVSAFAPTFLLNGS PNYGAFQERVLVPDHFVTPLPNGRVSFNEASILPMALLTAWTGIVYQLGIPTSTTPYK PSDKQGLLIWSGASSVGTMAVKEDGVTVQLAYHTTGDLQDTLKVLQRVKGNGIAKVAS APALTEESPKTNDIEIGFVAPTSSGPKFDEVIDFVFRVWLKERLERAEFSPSPRVQVI GKGLGSLQLALEE JR316_0011595 MDLSKVTRSSKGFQRLFNIARPFKKCGPSSEGGFEGLDAPNLVC PDPHASEKRVPERKLRSRGTLLEFFQKAILCINATREAMEEDIISDYADVMGISPSIV ERAQSSGSRFIEGATVFGLQVDSISVGRATFNGPIERSATFNPTLAPIDYFDVALMKN TKSTAARNIADFENSSERVMVKDTDPFGECRSSFYAEKFMNIGPTTHNINDLNFYFAD SNKSILADAAAVGHNRSAVRRLLPLNKIDRRSSKETFCGTKSLVVPPHKKVVLPHLSE RPKSTVTQTRMPMKGWSISKHVVKHSTTPRYTMDANGMPVRRPKGKARIQRLYYIHNS VLPMIEEEDAVYHIMELELPESSPSVADSISVYSQDSYISQDESVSSPELANIVAVDS KVHDIGGQYEEGGEHEDKTSFILEDEDGNDDDDDDDSDNAMFSEISTAILRSRERRQN VCGREQLYVCTYAAPLLAIAKERQQANHNDHNGHSLTGLRPLLLPISVSLQGDSGNAE D JR316_0011596 MITDESQRKCIRITGHGKMKQWVANSLAFLESSDENKLLIFHTL PSELDPLMSLGEESGKQVTHKAASTSTDLIPRLISVVEIIKREYVKNLESKHTIRMTG LHQYNEMGSLQALGVRVTPAEGAFEETAEVTRSRTIIQALEGKNHPRQSRTPFMRVTL SLTELPELIENGATYMNSYP JR316_0011597 MPEIWGKSTAAYGRYYRLLYEAKPVTCAVKGTVFDALPVPAAVV LDLFCLAEFRITQGISGSTVPVLTWMSGGVSTFIRFFGDDTIGGLGEFESRAAAEATL TGVTTSEAGLKILFEGEGKLLKIPGLPAMYDYEFCPQKPATTSPVFKILAASAKFLKE AQGIIAASPYALEEVSIDAAKSFWGNLEKEMYVVGPLLAPSPAFDATVSKSHGEIEIF LDDKLRRFGGKSISFGTAFWPTAPDYVEEVIDALLEKQFPFIFAYASPAATLSDKLVA KVNSSGVGLLSKWAPQPYILSHQATGWFLSHGGNGSIVESLSNAIPLVIWPFNADQPA AAAHLTENLKVSFELIEIRTGESGLKPLYRLGRAPKGTREAVGIEIREVIDACRGPKG VELRKNAEAVQAKLSNAWKEDGIAARDLRAFLDTYSGRD JR316_0011598 MFNMAQKPNIVIVGGGGCGAQVARLLSTKLKPEEYNILLVTARP YYTHLPAWIRMSVTSEGHLEDRAHITYNYLFVNGNGQFVIGKVVSITTEEGDKPGFVT LESGETVDYSILVLTPGSLWEGPLNIPDTKKETVDHLQSWRKKFKESDDIVLVGGGAV ALEYAGELRDMSPTKRITIVHGQELMLNDAYPKYFRKDVAKDISKRDVEVILNDWVDD MDISEAGTIKTRNGRKLVADLVVPCRGPSPNTKFVTLVPGTLSDENRIRVSSTLQVFK YPRIFAGGDAIEWDEQKQVGKYHTHASIIAANIVTLLKKKQPAALYQGSFEMISISNG KNLGSSYWSIFWGPTFGDFVSSTMKSKDLFLTWTRKSLGLSS JR316_0011599 MNFFKTKPRTPPDLVRGLRDAINRLESGAPGGETRRKASLATED VSKNLQQIKGILYGDGEPAPELVAQLAQETYNTDLLLLLVQNISRFEFEARKDVVQIF NNLLRRQIGSRWPTVEYLSGKPEVIFAALGGYENEEVALNTGMILKEMLRHEQLAKIL LHSEQFYKFPHYIETTTFGISCDAFANLKETLTRHKPMVAEYLDKNYDRFFSSFTTLI LSNNYVTKRQSLKLLGEILLDRANFNVMTRYIANEANLKMMMNLLRDKSKNIQFEAFH VFKVFVANPKKPPQIENILRRNKEKLLNFLKSFHNDKEDEQFSDEKQFLIVQIQNL JR316_0011600 MSNSHYTDHENAQDIDVLPDGVDLEDIRQSLKNLEHAVITNRLP IIRLCTRRVSYCVRTLLRTTDVLQHNTNTVRVRQRLLKLAKQRESVLDTLNTLITQSQ DVLMEDIVEDERENGTVQLLGTSEQLASLVQELVFTADECGYNFENSNGQKIITVEYD IMTQDILKSGHALAYLKPSSEYFLQRKDIEYSDDGTLLYATMDALVEEMTPHDSLVDQ AFSATFFMTFRLFSSAAELLEIAITRWNLVPPSNFSQERLHMWQHRKWIPVRLRVLNL IKTWIQYHWESSDDSVLHLLEGFLKETADFLDKSKAISLDLLQVVSQRLLSPGTVTVK RARGLSESKIDPNVNFTPPTPRRYSVNQAPSFPASIISKPLLASLQKKEFDDISITMF EPLELARQLTIMESNLFCALRPSEILGADQAGKNSARGVETIMTISTAISKWVTENVA KEAEHKKTRRVFKFFIQLANCCLELNNFSTCRSIMAALKPNDSIWSALTQKQREQAEN LNFLADPERYQNRLNETTPPAIPILSRHMYDIIFCQRDAPSYISSIHPSPSRLINFGK YREIAKLVQNLLAFQVPYSLKPIPEVQEYLTFCFATITLNATPDQFLQYPIHSHEHAL VSATIAHDSIIVETSDSKSDRVEESSISINTATRDISLYSLPSSRTGSTDYGLFYTRH ACSQDSFGSQWWAEAAENNSPPINV JR316_0011601 MATQLYPIPEQDDEESRTSILTVSYSSDYEVQSPTSSPNTSNGE LIPNSPSSSSLNRHKEISDLEFLSGDVEIVNGEMVGATEESLVARLISLRNPALTASF FLTFRLYCSPSNLAKHIDTQYKPQQGRNNNIHPLESKTDSGAQYATLEFIFTWLKEFW LPTVDDPAFPTLTVVTSKFLIKEMSPYLKSELLFPVLNLLNLRIQGELNAPGTKIQPR PHVLKSFTDDTPKRRITRALLTSLRKKDFAGLLFINFDALELARQLTLMESELYCAIS QQELLNYFPEKPDSSPNIKALVCLSDSVRHWVSESILHELNIQRRAWLIGFFIEIADK CIKLHNFNTSRSIISALDSPVISRLYLAQTALREHSKDQFNKLKKFPSFKELYNFGQD APSVPFLGLYLSSVIFYRDLYSSHRPPLFDSSEKLIDLNKHSKLAEILEDFRRFQVPY NLKPIPELQFYLKNVAFKVDKHLENIQILGDRINKPHKPSIQITTSLQDRKRKLLEKL TIPVDNSQTKDSKEETTPTQATGFDKHQSLNSNYSSSVGTIYSNPHSSIGTLDYGAYY TRHAISQDSLALAGWQWKTAELESDNPLFELSND JR316_0011602 MELSAPLAQRHSFILLSKLKYTGVQRAAKSSIATEAIMDNLRSV SFLSPTKDNFSICDSERVESDDSHTLGPYEDTYSWASSATSLLRENVEYRHGRLVGAT LSVLVKRMTPVNPPVDPTLSKVFFLTFRHFSTPKDLANALTDRYSLTPPANLSQGDYD YWQREIGIPIRRQVYKVINTWLEVYWLPEVDEPALPILKALLSRRMKRDTSSWSAHMA KNMLHKRTPSDATTIIDPTLSNPGFFINNLLGNERQPIRMSNRLRSRLRKGKFKRISI RDFNALELARQLTILESELFCAVRPEDILRTGADEAPSPKSIQAINQFATILTRWVTT SIITKRYYFAARASMIKFFVQVAATVKPVYLDTELCDAKQLEYLMLYTGCTGLKSSLQ IEMGL JR316_0011603 MTIKRITREIADLKKEDLGPIVLEPSDDLSIWRGIPSIATQYVR NRKEHDATARQWTHSFAYPKPPIPIVQPQTSKAKGKRRADDSPQVIIGNSGSASRPSR SATSAATTGASTTEIIELDSDSEIEAITRSDPKGKKRKRPSGTGPVNAEEVVDVSDDE DGVGAGASSKRTRTRNPPSTTDRGTTHGEVIVIEDD JR316_0011604 MSASAPGSSRFPNAASTSSNSLSVGPRTVSSMLPPSIPTKSSST NHKPKYPFSQPNSVLNVSNQSVKSSGPLSQNPMNAVASSSTLDFKKKDFPSSLDSKLF ERARQSPRKSESILGRSVSAREPTKGKGAPTFRPRSATGDFIDLSGPNSSQLSAKDPN TVVDMSDDDDPPPKRMVARKSAGGKMARKPPTTDNMEPEVIEISSDTDEELPPKVRPP IQSSNSQPSTLRNSNHTSTVDILSKVQKTSPSSRRSSGSDKINVGSAFLNPSKPQQSG STADRAEEKALNQVPPQNMDVDPTQPSLLASHPVNFVSSQTKSSIPPKHRRGVSGLEI RDTVTKARQSSQEDMQVDDDKDPSQIMSSTPRQALISPAGSGITGLNRSRGDTVSQPS LPPASTHTPRLSDTLKRNNSITSTQNSLALSPDVEHDKPIPPSSSFSGSSIVQNSINI SNLSISSSNTKSVGKPVPTLQNSSAGPSTTLAHPTAHQTISSVKKPDTSSSTKSIPEP SSSQQTTKKDLETSSASKPLRFGRPQVAVKSAGGNRMKQRRRYSSSPPSDSSEDSSSN SDEDSSSPNELSPRRSSKGEQAINKLSSHVPAKKATRTPDRSISVKKQPITPKLFKSP NSTPAQSPTKNKYTPGQRAPASLSLQAGIASGSKPFPSSFRHRGDTIESAIDLTSDIE NDDLLLEPIKVASEKLVGQLSKWKGKGRAVSPPDEPLKPYIQTPRSGTDELLLKPSEE EPSPPPGSSSPPLLSPATPAAEFSEGFDGSGRQKADKRRNSAPAVPDVDNRPASSNVP AIEVSNLTDIRAKAASPGRAYTKPLSAGSKPPPSIQSVVSRADQITNAQASNMTVQGA LTTNRPSSNFEPTFLTSTTGTRAKSSPVVPRISSVSSSKSKPQLVESKVIPSSIESSP AKESAEESAPNDDDELSGMSSDIEMRGAQTSEDEAMQESEVHDALMEDDAPLDTFSEQ ETGEASASEETENSTEADLSAAPLSLARTIRQMSRRSSHSSGPADSDLAERDVTPVSR DTTPDDVVPLPLVPTVNKTLGGFPAITWNEYRQDLNNFIPKCLRSEDLPHSLQDQINF MSEYMQKMPALRDVFEAMILENTIENEPEAPPIRVINDLDDEPTPPWEFHYTNEMWHG KDVPAPDMKSLISCTCKGGCSPKSKTCACLIRQRKESDDPNISEFAYDKHGRLRTPEY PIFECNDLCGCGDECRNRVVQHGRKVQVNIKKTKHKGWGIFAGNKRIPSGTFIGIYSG ELLLDAEAHERGTYYNKSGKTYLFDLDFYHLKKDYVSVDGKEWTNKYTIDAYQAGNNH SCDPNCSLQACYINEGNLDKPLLVFFTHKDIEAHEEICFDYSGGFEEDIVEQDEEADE AKSDDKIYAKCMCGASNCKGTMFKR JR316_0011605 MVQFNASIRSTEARPSTFADVHRTSRRDSIANKARQLNVERRTL YEPPQAAKRFVHMQLHGQCPHPGEIGNPGWRNVFKDVTRLKILALSSFLLPARLLDAV EFMYRGAVIPHASGSSSLPPRVPAPSYRHGAPSGPWPYLDIEDQVDPTRIQDPDSHIP LSASPCDHKQTSGQDVCWCKYPQSLYPNWALRQQQKSRIANFVEKQKGDCTIYYLDVM DNGTFVKRDEREVTEKTLDENWIAIHHGRPPGVQVRALFVEGLSGSVLRMLGTHYNIE PFFFSSTLGWIPSRHQTHLAVVKDITVTLTFVRSLSNPNTAVPSPEPNEDYLANINDL SRGELPDLVIDTQAPLPLRSSDILLLPDQLALHMIRSPAGNTIISLHQTQEHRATTAK ALHTRARLTGRSVYWSNIFKDSNDPTFVFISLLWYALYAWDETLENVYNHICFLESIV MTTHDIHMTNELHVIRAHLLHYESLLEEFRRTVHFIQHTQNPALRSPAGTPVSEEFDT MKAHGAMLMKRECGNLLTDIDRLERSRQMQAKRLKNVMDLGFSSVNIEDSKRMQKLTE SAVNDSAAMKIIAYLTMAFLPASFVAAVFGMNVREINPDGNVDLPHYIAAAIPLTAVT IWIIVAYQIQITEPQMRNAAAEAAGLPNEESKYAFYRFGKHSGRNSDEKQLDIWARLW WPVILISSMTNQMKRKWKERRTQTRIDTIQVKM JR316_0011606 MSDSNHQFDKIVMKGIPFDIAVTFAVWIEVWIVVFKAHGSNSNR GNGFSLQLLLYGVYVTLFISALSVMFKRRSSNRANRTTISAWIFSVFIMLMFIVATLH VALALFRCLRAYILRVDPRGAFWYLWDLTRWDSAVTNALLCTMSWLGDILVIYRCYYV WNCNLLVILVPLLLFLATVGINAYTLYWFAHPSDTHIDINLVTSLLNSIYPLAFIQNV LTTGLITFKIWLQHKASSAAGVIDRGSRLSLIRVLLIIIESAMIYTFQIFVLIILYFK SNTFQFIVQSAIVPSIGIVFVLIAVRVHIAKSKSTLGTGLGTLPAWLDDGGSAFELTD QRSPTPIPAITFRVSANHFERDDEPDSPFDSSAYTHKSEQSSKAMHVV JR316_0011607 MSSINVNAGEDPQEDIPVDADGYAICPDCQKAIKCGPGGSKNLN LRHRGTAKCKAAAAKLSTKAKLKDSSLKGFFMKRGPALARVPTTTTLPVILPKDTPLC ETTTTDNVLSQPPPSVTPEPSCAPTVKWFKSNLANALQTLVDGLPPPSTDIALADNIL SAFHTDPSNYNNPLVSDEDLWEEVLNPLLKDILGWGTELDVEAIVRGNRDGLNGLAPF VEFFVVCRRVDEALFEGKLGHLMSALRDRQLTSTRSVIDIDMPLESAISLNTSPRPQP VNFVPRTPAANSISNTLAACKGYPLPIPPGNSPHSTYPFSLHDTLSLPWNYEVKHNQM VLRSFHCKKSGSLVHFGICSACHELSTDATLKGIIDRMEHGINDNTPYAYYSINGLKA KLRQKDTKISFLKLRGISQARTILRKTTSLGYHKRLMVAVASRRYGNVERLFQIALRQ KRGVPYIISMYEAAASNLYRPKSFSEHDDMLGLLLMKLGGSRIAGIAHRALGLPGITT LRNRAIMPPLIPSPSHPTESEVSKNLLACFESLKDVLAGVDVLHANLQLDEIAVERRI RWDDHTNVFLGVCREHGKQTALEFNSESDMVELFQSLDNEEVHFAREATVGAIGIMDQ DTRVYAARPIVISGDCKQETGEDHADLIRTLINSVSSNKLSTKVRVVSIASDGETRRG SALSLLTYQKPLSTSSPIYSQLFNLTFLDLYVGSDDITADKDWKHVIKRFRNLLLRPR GVLVHGFRITPAIIGVHLKMADLPAIHVNSICNPDDEQDVKLAFDLLRAIWNLSPLSN HKNPAVVEARSSLVILGRLFYHTVFPYLCTDLTLSEQLEHLSAAAHLALALFHESGSQ FIPTLLYTDLQIMIKNIFFCVAKAKVDRPECPFFIVLQGTDRLEELFGILRTMVGNDA NLDIWQLGSRLTGTTQVSNILAKYPHWDRAPRRLKLPALTREMHELPDASDHIKPASW KGNVVVNQVTLLTAWKRGRRLAEKDCPFSGSIFSQLEASPNINILSPRGTALIGSNVE GNAQDDESFNSFQRPSALRQQSSVSTDDLGPSSLTENQAIIEDEFLESELPHIDDGSS SYMSYSILDGKKFARRVIYQGKLVSKSRAISLHSKLRGRAAPASTDRLRRVQGTERFS KAIESNGGCTHLLDDSELLTIQDPVATLVKCDGHIFLCIGEVNNIKIVSEPPVEAISM DDISESDPGRISISISVLGLRRSIETDDPSLICDWRTYRPAQERTIHVTAKAILPLNP TISSSGLEHMFYLLEGTFLVALVDQLYSSADTELIKRLAMVPISPDFPYYEETGKACF SVSAISLDGAGGVMNACPICDPPFELAGKVGPRILEHMASHILHDLNINREDEPCGLC LRPSPQCLFFLKKGANGNIRINKEASTGCPNAIQYQYGPAERSTSSAPCSNVPIFCPL CDKTAPAVWRYNLRYHIVKSHPSATINRYSHFWQLTMFEKKEMRRIWDTFSNPSKQRS MPANTKKNRLVISDAHTTQSVSLQDAVTLSEIYDSDTELERQPAQNLPNDTNQSTIES TDLDTGYNTAYSMLEFKDSKDPKDDLTDNSSATVTHDAESIINESSETNKSDAVIVSD VNTILSHVPNNELALLDASNELESHIATLDSAASLPDSTVSSLRPHRKRTHFDVTDLS YCDCGASAKPKDDADALNVAQCKSRNCQTIWVSLLTLTFIDSDIQ JR316_0011608 MSPSSSFSSTDSAPRSEPTSDEQPDLGAFTTVLREWTHLQPIQR IPVQIHSATSSTTPTYQPEQSIAGSDYRPRNSSSRRSRLSSRGGFSDISSFTRPPSSS VQPEGSTVWNQELIASYLHSTTPSNEILSEADDEEDGGEGETYGEQEYTPSPPNSLAS TALTVEIASEIDFPSFDGSHDSRADHLDPTPSPYVLDPGIVRAIAVESDGQRLDSRET AHPEDETEDGEAPSNHGDSSSQTSRDYVRSTFRDMTYPSSASQSHTGSQQTSVQLLSQ ARAHSTTGASSSDSLALFHATSGSPNAAPSVSLSSVSHPYSSHSNNNTTSNSSTSTST LISALLNRSRNPLPPRTTSDSSITTSNSASSSNFSLLSYQIPETPPIEEESDSEPRLN EEHSSNSHHNISSDPFSSNQSIPSTSSSVSLKSQRSMHSSEHSNTLSSSTSTQTQVHQ RLSVPSSMSHISPATRSDLSLPNPHSPSPAHQRDLPSGLNHSVQPPTNKWGTIPVREF GVVDDLGTPDQPPSETFLFDPSQQRRKEFLPVDDIDDEEDDIDKPLLSVRTPKFSYSQ DSPKAPTAQASSHAQVYDRPGPSSIRVQPSAFSDRPHLEPQDEDDDEAEDDYPYRYPY AHTPKSNAQALTPKGILHVDSQAPLRRIVQPETIASQAQIRQYPRQFDLPSSSHSQRS VQKSAQILQHSQTTHEEPVFTHVQPVVASQSHPQPRKQNELKPWSGLTQPIPSSSQYP PLRTDHSSSHRHLAAPAPVMESSSKDEFSSSTQRHRYPHSLLGLHELPSSLGPAETQE LSRYPYKSSVISPSSQEIENEHRPLVEMDSTEALHHGPDDDTESEQPLPSLGYLDEAL SFIAAERARWNAAREGPGSTSASVALDTDATDSREVGGGDGAAIGSGEEEAGKQVIDN GQDIPKRKRRRKRPPRAHATPLAQTSLPLSSIVKGDGVFEESSGTPLTPVSSPKKKRS KSASTSMSAPGSTAVLDSPSKVKGKGKVVEKEFLVPPSPKKPRPDNKRDNQPVITILR RPPAIDPGASHVQVGTDEDDDAESDGDGGRTPTNGVQRGSEKFESINLVGKKGRRIGR KERQREKAKRDLELKQEEGLVAENISENIRPGIDSDGDDDDDNDDDEGDGADPLDDED EQTNDSLSQISLLKPPRRNRSRSRRRKSRDTAYIHSLVATGGTGEYKSTPATPKRLHP EGFDAAEEEEASLDVVSVHASQTEDLVSKPSTGQAGEKKKRTRTKRVKTLTHAKSDPS LRLDAKAGGTSAQLEDSGDAGSKKLRKGKGKAHVEEIDILDMDRNQLQRLIFASSGAG SRLLLANQHRTDHSQFQVPPDSKRGRLLSLAAKLGQLFPEQREDLGKVIARIERQGAE AAAKAKRAAMSLDIDPYGYTYNHPAKKSRKGRNPKTGTGGAGNDAFDFNDIDSADPDD QEDQEEEIDPRGRPPRKGDVLIHVFIDHSNILIGLLSHLKRHPLQRKISSSTPRGRPA STVLTKATTITSNSNDKSDPASAFAAVKSTSTRPLPIPTGLETSSASTKRPLPIPVSQ AGNHPIPLPSFATISRSLPVGSVINASGKDTGVDDFMPPGNEQNSDGEENTVMDLGAA DAVGTDALFVGALKKEKKAPKHMWHAALTLILERGRPITRRVVVTSSPLYQPMESIER LGYELRVFIRVPDFGDGMDRERYREKERSLAGKAGQSTPGVHPGPNSGAKKGRVGHAR HISGSTSTESGSGGAGSGHASGGGVHAYTGNANDNISQAKVKYREQGVDELLQLKLHQ ALADTDNVPEGSTIVLATGDGNMGQFSEDGFLGPVRTALRRGWKVELYAWEDGLSRAW RREFGAGSEWGRRGMFRVIGMEQFASGLVEAAGWS JR316_0011609 MSLFSNSKNVTVTGGNFHTIAGNQNINVNIQRDDPAQRWQNCVE VFDIVDSSHRYGIDYEIARVKLEVERIRLLEWGNAVGFGTEDSNTSTFDARLDRAEIR NTVLRLLGCVHHVFEEAGRLQERYGLHQITADQQENELRILPLAAIFKRAYAPLIRSS KDRQSNTPLPQKKLWAIRDKKKFEDMINEIRGFNDSLDQLFPDIKKFTTESIKADIGQ SDDVKALQSLQEATTGGHDEISESASVRLEAMGVTTITGSQIGEIPRVGENTIEDEAH LDEDNHPLRKLLQSADSLVSKKHLGRLTISVFGPDRYSAIVRARPYWDGQDSDSWWGN RDKGFIHSTHASFDLYFKKPYTRRSRDVSFDHTDEGSVLLDVECHSKHQNINPGTVTI EGFGLESWGYENAFGKPRENTILVSYAKMPEIQAKKLLRRINELSRGAQKFGWNPTQE EADLKEFFGTALTTRFGGRDRNIYLGDFYSALNRTDIFADFTSESLISMHWCGPRDTV IGIWYMLYQIILAKELALRIGSSENSGSYSGYTPRVLSALIVQDLWLRNVEIVLRDIR IAPQPLVTPENRIKAEVFKDQGNEALKNGQWQKAVDSYTEALKIDSNNAVYFSNRSAA LLSLEDFDGAVYDAFVATRLDPKYAKAWSRLGLAELKRGYGNKAKAAYERAIEVAGSE ATTLMKQGLADAKAKIAADIKAINEEKDQEKKDRLRKDFNDQDWDITYKTYEMHSCVH QQQVEGLLLFAEKMTWPYINEVRDYAEDVYGNLRSGGSCSLHVLDWLFGLTLPGKWMS FKIMATLVSCTPSISASLGTAEFYDCGLVLPKKSYWRVRTVLGRVLGCLPNVVSLCGW VGPCVIPIEFIPPLGDDGKKKPRYIHINARAFSSTMGYDDDEDGVIHLGRRRDYVDPL HLQPDEDLEDWIVAVKDDDKWICPQPPQREFVTCRIESIRLKKLPLDVNVATQQANGT LTDAEIEAKTKYRASIVFSLDNNEDTVIYTLYTNPVFVTPPPCHSGPHSVHARELSRY LKDVWMVERLKDHTPEDFDDDVMIINATGNGTELLARAWCAERGKNAVIRRAGGPCFG CSVRTAGKDGLGVGVLIWVS JR316_0011610 MEHREALDEAQPPSYDAEVTPDFESVPEYFEAQQSSHPSTPAIA NHLQEFEYKLDKNGKTFATLTLIANKLFSDKVPTFVEGAPIKGMIHLNLDNEKPEHVS SLSIRVEGQLITGGSQFWGYTYTFLDVTQEIWTRSHDESGLTQGQCTWPISINLPEKV SMQTGTDKHPEMFRLPQTFNERNIHSTVRYELSMKITRSGLLRSNDILSTPFAFIPVT RPDPFPPLKRLAYDEGTPLLPPKVDPEGWFPLRTVLVEGKTPNNNTAKIECRLYLSRP LSYTRGSVVPLFMTLESDNQQALDLLSSPNAPNVRVRRRTKYHSEPKKKIESKAWRDE VDHSQRAVWWPTTESSDRIRMIAGELHLKPNMAPTLAMGDFRINYSVVFLKFKSPGFL HKEENPLLSEEPITIVTAYAPGPRPRMFTTPDYTPDEEAESEDLQSAVEFSKGFY JR316_0011611 MSRSQFLAYFLLGSLLTTRGRADRVVVHTIKDNDLRQDCVFELN NYGYNLCPLVERKEIVERDSVGKSYDVEKGEGPSTGLRIYEVALGGLDESSYSTRVSS RLEGSGCDMDTWICMLGELGCCAGKFPAILGAQLLIETCGDGRIASQDNVDIRRSAPI ASSIARKARSTRMTRLLRSTVSLNISGNDNESYNRPLKLSLYGGTVGKQKQSAEVSFV CCDKEKLKYVREEKGVHFFSWATSHGCPVRLAGQTHSNIAAANEPGSEGEESAPEEKQ GDDDLMPLDNSRARRWIAIIMVVLVSGLLFGTVLLSSSRARSLATENLKSATYAVLPL LSHLYIKLLPIGNSVFQATKAIAHAGSRFRQGDSKLVRWAQEDMSLMDSEDFMVNGSG AMGEDDWNINGMEEYIPLTVSPQHGRRSVRSYGATPDVETFSERGAFSGFAKYFHR JR316_0011612 MDVIVVLDSCGSHYGPVIERFEFKIETFPELEKIAPLMYLTFGV GTGSDLSLALALSWLLYSSRTGFRRTDSLIKVLTLYTVNTGMIVAIDASLGLILYIIM PNNFIFLGFYLLLSKLYVNAYLATLNAREALRQNDLTSMNMTSLPTSRMFSDIENISP TVLEKSPTTRTENPRLAISIQTLIDKQIESENSPSVLSMTHPDPDSERIPRAF JR316_0011613 MALSPLVPATACFLLSLFLWLRNRRVPPRDYPPGPKPVPLLGNV RDLTAKELWLPAAQWAKTYGDVVYLNALGIGLVFLNSPDAASDLLDKRGSIYSDKPAL VMAGELCGCKNMVAFTGYGPQMRQQRRLLHKAFGVATIPSYHPLLLSETHTFLRRLVV DPVDYIKHVRRYAGGLTLSVTYGYQPVSNDDQFLELAEECVHILSERIASGGGIWPVD VLPFLQHMPLWMPGAGFKRNAIKWKRKMEEFVDRPYEYMKSSMKSGNYKPSFCSNLLE DTSMQQQEDFEFHLKWSANSMYSASIDTTITVVSHFLLAMILYPETQRKAQAELDSVV GTDRLPTFEDRSQLPYVESIFKEVLRWGVPVPLNLPHRLMEDDIYNDMYIPKGSLVFG NIWAMMRNETMYPDPDTFNPDRFMTKLAPELERKRNPKNYAFGFGRRQCPGMNLVDSS VWLLIASMLATLDVGKAVDEHGKVVEPEIKFENPIFRTPNPFKCDLRPRNQKALSLIK QSEILSS JR316_0011614 MFKFAELIPGPGQSPTLQTIATSLSMIAYSAVIVLLLDCIIRLV KERHIQSKWMKPFLFLYLIIMFALSTVTIVQETVYVSKDGDGDSSSRIKETSQPAVLF GIFDVPISLPFTIWGADIFMTWRYVFTLPNF JR316_0011615 MNHESPPWIPYPMLPGLPANLPPSTNHQPRPAAIISATAVQSIK LPSFAQLCESLKRPETQYSHSLPSSQARSTYTYQTSRASITNFPFVIQSPTRQRVFLW ESHGYESTKVGSGEPKALTRTSSQRNRTIPHAPGPQEIVGTPTKPSKEGFINYPPILT TIDNRYKKDAERFISYSPQSKTGWEWKCIFPLENGSLCGKRFSQAPLVRRHILNNHMS LRLEEADG JR316_0011617 MADIDTSTPTPVRPPPLTQSDAVTSLLSLSISASTATSTPASSG AAPQPQPSMLTTSLSTHSTLTLTSSTSTATATANSAAAGKHHRRLGSTGKTRRRLSDA REASSRPLLAPAPAPTTTSNSNSSAALSLASLSLSTSPPSAHPGHAHHGHSQSLGSMP MSQHVIGHGHGHAYYSPLAPKPVPVPVPVTSGVGIAIVSASGLTKSKPVDAATANITA NANNTGEGDGAEDEQQDKDKELEGREKDKDGKEGKASAPIPIVGGKAKKRGVDYKCES CSKIYRHPNCLNKHRWEHTRQWREASKFVLSKHQQVQLLEAAAILSFMGKSPTSLPED RSEWPSFLSGGSLPKMESGGGGGAAGAGVGGGAGSTSTSVGGASSTITGNGSGAGAGT NGAMPAYGSIGHAHAHTHGYGTRTYALQPQPISASVPTKSSSMRAGVSPGPRMHDYTL PGTGVGVGSAAAVAAAPGIVGVPTTPLGGHAVIGGGGYRAESVGAGAGVGVGGGGNAG SGGWSLPSSSLRSGSGSSRSASRSRSGSRSEDSVDVDVDVDVDVDVVGMDEDEDGVAG VGGGGGGATKIGIVTPQHGLGLGHGHGFGTSPGYGGYGSGSYGYTGYGGGGGGYGGGG GGGYGSYGQAQSYGRRGMKREEDEMSVAFSVREEDEDGEDLQEEEEEEGGRGYVRGMS TGVGQNQKRQWDGMEMDLDMDMD JR316_0011618 MKKLASARIYFDPGDRKALNTIQLLAFWDSMRKWFAEKGYHLYE FDITDEPGYLPSERSTIPYNPHPKLNVQKRIFEAEDPFPYAYVGGDYRQLEDNLYEVH HISNGRVVFAQDAAGRHVVIKIVKGGSDEDKILHLLAKQPELMNRETFPSVIPVLDLL PCEDHWFTVMPRWDSNCFVPSFPTPEICVQQMVSLLKGLAFLHSHRIFHRDLCDRNLL INHFSNATVVNMTNNPFRRDLLRRGALTCVLSDFDHSILLDEETYGPNPRLGILEAHV TSDDPPYETLHGHVDYDPFKYDVALLGILFNDRFQNIIKYVPLLAPLIERMVTSKLDK RFTAKEALAFAEGILPTLTDLQAPPLPVRPQIFRAYYRADLWKDLSEEFVAKWADYRE DRSTLSFRFLLWLNDRVPYGTFGLYLCRLGVRAVMFVPRLIFRPFVTFSRFLVHRFNR QL JR316_0011619 MKKLIYFDPADRKALSVIQLLAFWDSMREWFAEKGYHLYEFDIT DEPGYLPSERSTIPYSPHPKLNVQKRIFEAEDPFPYAYVGGDYWPREDNLYQINFISS GRVVFAQDTAGRHVVIKLVKGGSEEDKILHLLARQPELMNRETFPSVIPVLDLLPCEG HWFAVMPRWDSNCFVPSFPTPEICVQQMVSLLKDLCGRNLLINHFSNASVITMGNNPF RRNLLKRGALTCAISDFDHSILLDEETYGPNPRLGILEAHVTGYQAPFETLHGHVDYD PFKYDVALLGILFNDRFQACGPRFQDKRQPVQSTGELTYGKIFRQNLWRNGQIIARIE PRFLFVFFYG JR316_0011620 MNKLISFDPGDRKSLNTIQLLAFWDSMRGWFAERGYHLYDITIR DEPGYFPSERSTIPFNPHPKLNVHKEIFEAEDPFPYAYVGGDYRQPQDNLYEVKLGRV VFAQDTAGRHVVIKLVKGGSDEDKILHLLARQPELTNRETFPSIIPVLDLLPWDSNCF IPPFPTPEDLCDRNLLINHFSNANALYMGDNSFRWNLLKRGALICVLSDFDHSILLDE ETYGPNPWLSILEAHVTGDYPPFETLHGHVDYDPFKYNVALLGILFNDRFQHAPLIAP LIERMVTSRVDKRFTAKEALAFAEGILPTVTGMRTPLPDQTPITSIYCKADLWKDLPE EFVAQWADYREDRSSLSFHWLSEDYFSFLV JR316_0011621 MAVVYDTLVFLAISWRLAKNAHVEVGGIRGGIKMAILGRYLPLF SRSMLIDGQKYYLLTTISALLTIVFASIKSVPVPLSTVFATPTLMLTNIMACRVYRNT RFGLFREDEISTANMSRDIPVFVHQQTCDGSITGKHEIQGFNIDGKSEELSTENRGKK IDSLQIVKGVV JR316_0011622 MACRVYRNTRFGLFREDEISTTNMSRDIPVFNAQTQQTSGGIMS GGGHNIRRLNIMSVKGQKPLTYKEAQNVLKIKPQKEIEGGEITVGMIVGEQLRR JR316_0011623 MYMRSNAPHLTYAKKKTLPLKKNPEGPLKKPLSEISDRLGVSMD QVLLAWIKARGYVPVTMSTKEDRLRGYVKAGDIDLTEADIRAIDEAGAAGP JR316_0011624 MGDRRLYPGYESFQYEISTLLSTYPPPFIYVQDTEALGTSLGAV DALLRDLRNQPASECPSKIYYARVDSIACFTARLFYESVINSLVEWEPDWEDGCENWS ATDGDVRWNENLDSFLHGLRSAHQHLCKKNGISGGTSSGKNKGKGKQINSGHDNVRLV IVVERVERLKETLPELLVPLTRLAELVRLDVCVMFISQVGWLDIRPPLGASPDPYFVD VQSPSKENVVQSLISNFATISTSSSQPTPYHPGLTSLYDHFASVLCDICFSFIHDPQE LHYIAAARWPGFCKPILDQLEDIEVDLPNNYNPSEITRMRLNKLFNPTISAALEVLLP RLTNATDWALANEPPPNLLNMPGSTILQVKLPSIQTEYHDQMGIMGLPRMSKFILLAS FLASTNPPKSDIRMFWRGLDEKKRKRKAVKPSGKGPSKVSQRLLGPTPFALDRMIAIL GALLEENDADSRISSDLFNIAGEHTDMEIGRVGVFSSVIELTSMRLLHRTTPADRLDG PPNFKCSISYETAVLLAKEVDVPLNDLLWDPV JR316_0011625 MMATSYSKNGWTLVKFDLNYHAAHYAPEFPRLADVWLSSLRQLS PCLQTLTTHLKYYSPPTPSESKMPWDIIKFNDGNQIPGIGFGTWKIPVGDTTVDQVDQ AISVGFNHIDTAQAYRNEEEAGKAIHESGLKRDEIFITTKYSGLNGLDIETSIKNSLK NLGVQYIDLYLIHHPRLAVPDIPTAWRQMEGLKNAGLVKSIGISNFEIEHVDTLLASA KIVPAVNQIILHPYAYKRQAPLLEYLNEKGIVYEAYSPLIPVTQFPGGPVDKPVKAAA KRLNATDDQILLAWAKAKGAVVLTSSSKKYRLEGYLEAGDLVLTDDEIKAIDDAGAKG TRIFTARTIVRRTAAVLFAGAIALGVCSYLGIDVV JR316_0011626 MGKNSKKRKNGPEKTLPQTVKKLKQNELDVCKAETAAVNLVQTG GMIGGLIYEDELETTTDTLLMLANNPSLIGLKALKPFKTAVHDYWRVANEATQTGNSL TSRISKALIDQRHIDALVLLAEMAIREQVPKLGALQRWVRECDAVLSPKMSKQEENRV WQVLDAILRTTQPEMIAQSSTSDGGKIEPGSRLRWYTPFTIESDPPARTVDIDSDAIA SAATKVLVPLQITPGPDRRPPNKHPAIIYFSPDNTFPLLPSSERSRQPTRHELPGVPG AFIINDALEIQECEALVKAAESVGLIPDEPIAGSATQLSSVLAHNLIWLADTSFITTL YQRLVHLLPQTVHGGAVKGINSRFRLYRYRPGALYRPHIDGAWPSSALNSTTNPPTYV YDSDPTVYSRLTFLIYLNDDFDGGCTTFFLPSSTQGILEARPVKPRTGTVCVFPHGAA KGSLLHEGSGVISGAKYVIRTEVLYEVDASERIDATKD JR316_0011627 MRVLPMSDSADGNIGKYRMAASVTYSTMQFTFAAIAAAFVAGAQ LVAASPAPAEEATNAADFGINIGSTFNNVVAWVDGQSKCNNVVIAAAGTNFCGHSFNL NGRTFTVNGCGGPLWVTQGPSNTFWANCGSLSEADACGVHTNWHCL JR316_0011628 MPCGLLEVLKKCFGLQRPPYFPKQSRFQTDDDQIDDVPTFKCYT ANTHKMMMQEICRDCPAIGLNTIHERTSVPVVSIAQLNLSQKESFSDSHSQNDYASRP LSIDVHLKAEYEFLSAIYKAQMYKNACIGAEATARQHFEQHQELQYLNQMLHSRSNAA KKNLLRLQLRAQEAGFTQLACSCVGNPRQTCGEEGCSVDIGDQLKSERLDVTPATHNG RGIHSRSRSI JR316_0011629 MSADTVDKKEVEEGSTNTVPHGSTLFVSNLPYNATSVDLQTLFS DIAPVRSAFVVTEHGTGVSKGVGYVSFALKEDAQAAFENITKEGISLVGRKLRVQWAD SKPKEKGEKGERKEVVKKEPKPRPAHQQPRLPHDPFATRTIVISGLPPGLDSKVLWKK IRKYEGAEKVDWPIKDEEGNEDPTTAHVLYTSSSQAYEAVNKLHAHVYKGCLLSVTLK KRLDTLSKPTTTVAKGSESLPTSGKGKAVAAPSHASRLIVRNIPFNATEQDLRAIFLP YGPIYSIHIPLDDKGNKKDKDREPDVPESSTAAAYAAAKKPRTKGFAFVWMLSKKDAE RAMEGCNGMVMRAGTAEALVSEKQKKKKLLRLEKKAAARAAGKEVKAENEGENEDEDM DKPKADDKRATERVIAVDWALSKEKWKEEKAKMDEDIEMRSASGSGSSDSGSSAESDE ESDGGLGVHDGSESDSDDSESDVSRDESDEEEPVKPQLPAPEAGTTLFIRNIPFNATE DELRTLFRSFGPLRYARITMDHETGRSRGTGFACFWNIEDADRAVQQSELLRSETTGQ APKKNPFSLPSILTPDPSSSLAQSLVLHGRTLDVVRAVTRDVAGKLKETNERAREKAD KRNMYLIREGVIMPNTPASENLTPAEIERRTSSFNARRALLKSNPSLFISKTRLSVRQ IPIFVTERMVKRLVTHSIKAFNAEVKQGTRAPLSADELADPLTEKPAEPKLPIKLNDE SESEDENQDKKKKKKKGKFTGRDTGVKQIKIVRQAERIDPITGKGRSKGYGFVEMHRH SDALRFLRWANNNTNVNDLFDAWWKDELENMLKAERAKDADARDDARIKRLKEEIDRA EQHPGGKKGKGTLIVEFSIENIQVLQRRAAMQSTQKNPDAAIKGPSTDKKRKSEPEER HTQKSDERSPKKRRTGNEKTKPEPEKETKSIPSNPLGSIIGRKRKERKAGGKKGGNES KQQDTETFQ JR316_0011630 MALSAGGDFEIKLQIRYFGLKATQYFSKFTPESDATFRGDLTSL KLPHPKRENTTFVSHGYPQKRKLPEEYTQSYPSKSTSSQPVNHSSNGLPFPFKKHNTT WERPHKLFKIDPADDPSRSPEPEQPFPTFKNLPPLPPRKAPEKIHVPIPSNSKGPRTS DTSHTTTQNAENKKGEEDINVRSQSSSQSLRPTTNGNKPTTSSSINSKKKPSTIGKHI TSSFRNSFGLQNTPNINQSQISTAKPTLPISKPFDFNFKPSTQVGQVSSIFPGTETDT TKVTPKVPSASTGQTVQTGTSFRTNMSGGHPTSFSEKNNGRRNEGTSSGAFGGKNASI SQNQASPSFADIFGSQKPPDGTQKAVTMFTYTYSSDSSQTTSYDPGTSNFKAPRNVAT SSQSQTGIADLNPSSSGIAAVQTVFTNPFDTTRSPVTTYDVKMDVDSVHNASKAPPVA PKAYYSQINTEASSSWYKPQSRSRLPTEPILQGRYTKPDKTPESPPVKQECTEDTTVL HTFHAPNLSVTSTDGNTQTRIRPSRFQPAESSTNEKDAQQDKNKGSNLVKEFLSSLKS KESERARAQQASDNSSTEQTLLKVEAPEPQIPSSSSSQLVHQTQPPSPVALDQYERGS MQQQQQQWGWYDSSEVPPFRGPAPQVDTTTGFVLPTPPPSAIDPVSTALAAFNTLKWA SWYHNPEQQQQHQQPPVEPFITSDFPIPSESTGPLAPSLSSSSSLFQSASSLSSSSTT SPKTHKRKRPSTHTKDELLAIQTLLRESSDIKREIAAKMAREAVVIAELKSLNSSVVP RPIMLGHQTEEAEMEAEIFRLRNELKREKRLREEAENAIKDIRRECKEPFVVPSLLDA FVQVSKLTTRGLRPPDAPQIDAKAK JR316_0011631 MTTRSIVVDDTDPRVQYSLGWFQDHGSQDTFGTFGPPYLSTLHG TTNGSLSFAFNGIKVSVIGTIDLGTPYPIFDCFLDNNNIGKAIGALSRENNLIICEKD RLVDGPHTLTVNATASNGQTFWFDSIRYVPSASVPLINEVIYVNPLDPALQYSNGWAQ YFYGTANLVNMTRTFNSSVKFPFIGSSLSWYSLFPSGFPHSPTLGTYSVDDQNPVVFS LNSLAANSNTTLYNQEFFQTARYALGPHEITVTFLGNESTAPLSLNYLIIQNGTSALD NPSTVLTIPGPTLLPSSAKGKGDSTTDKSHTQGVIGGVVGAATVVIILSIAFYFIFNR RRRHKRHEQPNEGNSTAMIQPFCQFPGRRYVPVNHFHNTSVTASTRIAAVLHSVPTPV EAAMKASITSAPPPRSLSTELQSIGMYTADGPGQTSPINSLPTSIVHPPLMQRPLSAL PRIPSENRPFPVPRPTQTVIHEDSGLRIPNFMAEDSSSNTIVEMPPLYTAN JR316_0011632 MSWQAYVDNNLLGTGKVAKAAILGLAGGVWAKSPGFDITPEEQK AIIAGFSAPDTIQASGVRLAGQKFYTLFVIDRTIQAKKAADGVVIVKTKQAVLVAEYV GPVQAPEVTPIVEGLADYLISVGY JR316_0011633 MTLPLYSALVIPTVTSYPSLKCVQWSPDGQLCFITKNSGIIFTP DHGINFDNTSVIKATPGKDVPVLGWFKTMIQHDKITPTRWPEYSQAWGAVSLGSIDMS VISMAISPVGVASNGGCIFVSLSSNMDINFWIAGKNYLKGEWFNIFDLTSFLVDHFAT KEKEDLASILQAQAACIEWSPRPNFGLVPEPSIDASLLILGTRAGCLNFLRYRKADNP EIVATLAVADKWITHTAFQGWNTVVHGHCEGYLAYGISDGSVGLVKISQILQENTTTF SFSKSYDIQVKLEHESSLIYGPESRTGITALHWIHVPGRSPILVSSHPGLVNLWSATS DNVPTTTAYWTGFRSLRLQTQKISSDASALHPVTGLTYLRKQDRLVVTLFDGSFHVLR SFSTDPVWATRTVVDTGGEQLTSDGLSSVSRGIFVRMEKGAVDRRDMVQVNGAVSYDD NACFLWVYESACPSDFSYKHDAKHRTTLIVTPMWEDENDELLLQDLATLLNTVKASSG YSPLYLLRPYMLHLRDPAKLHNLHSKLLEILATRPLVEDLSKTITVPPLQEVLNDDVR CQFRYSVSTSLFGWDDLLSLRMRLSLADFAWKLASNEERRTECGVVAQDLLNAISYRI LRTIIRHLIAAIKSLTPNDIPFVSRMIVQSLLHGCPPELTEEGNQLSVLIQPLLNAPS SSESGTPEGLSSKLNELCPACGMDVPLEDITTAVCGNGHRWARCSVTTFILSTPWVRT CVGCSRKALLPPSAQKDLPKIAQGWVVEDLLEAVQRCLFCNNGFISIL JR316_0011634 MPWKPFTLNDGNVMPGIAYGTWRTGKDQEAIDFAQQAISAGIYH IDTAQNYRNEAEAGIAIRESGLPREKIFVTTKWSRLNGLDIATSFQNSLKELNISYID LYLIHGAELCDDIPSCWKEMEKIKDSGLAKSIGVSNFEVADLEQLFTVSKYTPTVNQI LFHPYVYEESLPILDICKQEGIIVEAYSPLIPVTKYPGKSVDKISSSIARRLSATNDQ VLLAWAKAKGTVPVTNSKKKQRLEGFVKAGDLMLTPDDIASIDEAGKDV JR316_0011635 MATKLAFTNLDVFTSTRFLGNPLAIVRIPSDLEGGLTQSQKQII AREFNFSETVFLHETSDADSPVTIDIFTPTRELPFAGHPTVGSGWYLLSRNPTRESVT LRTKAGNIPVVKADGGKVRIEVPTDFKVHASHPLPEFKAQQTRLSGSDYIFGADGAEP VVSIVKGMTFYLVEVASEDALSRLQLTSQQFELSDSHLGEWQGFVGLYAFYQTKDGVV RTRLFSDGIEDPATGSAASTLGGWLAQKRGEGSHQISIVQGVEMGRRSEIEVSVDIGS DKKIQKIQLAGGAVEVMEGTVFSQ JR316_0011636 MVPITHLVNTPHSHPIRSDLFEGELVAQIQGMTDEQGKVHESEY FKREDRGGVTWSIVVRADDILFGNTFDRPLKLPWGTSAVLKFMHYIDPTLKHDLTSST KPWALSPLISTMPHFMHTRIPPSSSSCMLPPFSANQSIQDRNSGLYLALSDELDEGDS ASSSSGTSFRSASSSSDNVAPVSPSPLSTARSSSKGSSTGGSSFSVKSAMRKVKPKHA RSTLSTGSSSSSEQGERRMKRERKLQTL JR316_0011637 MNWEKHKHLPASNKVGGGGYDDESSASPPCHKFSWRPIVEQRDD SKGNAALESTLNDVGIMDGMSLMPRMTRQKNIESKRRKYRHPGKKGDGSEPDDQKPDL KPWRHKDKKYGNEKPEEKNAVLFDVQVTREQAH JR316_0011638 MEVSAIQPVVSPVPSAHNGRSQNKTRGCSTHTNKLSFSQTISSL KGIIRIPNRRKLSTPRIHFHSQSQDDADSTKSTSNPHFTSRPRPTHGLSHSLDTQAHL HSRMRSTGTAASNGSGNIDGIISDTEDYDKQQRRRSNIKNDPYAQFSRTAEGLNYTYK PYMSQPMLGAELSRGTGGDELVTGYDSESGSASGSGYGGGGCSPVGTGEGGGRTPLGF SATSPLALPSPSPSPTPVLLSSPPAILPATEVAFSLGGKVEDTLIQVQHGDQGDEGEK EGDINDLYDGKAGGSLNNAAPSDTNTDTNTNTNIGTTANTAQSTTLAQISSSTFTPTS TIQTNSHARSNSPLPLLPLPDGPSSLTSASTSGAALRPRSSNGSGRTPAPRRIPQR JR316_0011639 MSLAKFKSGSRARGILSMLLPNTNKPNNVPETQQDFEMEMNELE VALGASESFQRYKAAHQMSKIQGSEICLVELEEEREEATRLLHEFQADAQQKYQQFTE SQQEIDKLEVLVKTLDILISETKPVKIQLVGTQQKRDCRMYVPSSQYQPPDCSDAGSK IIVPKVLSIDGKGFGDTYPTATHHIPGNCILADAADINRAKQIELEYMCVDDLKKLRF LKVNKNQKLAKKDDAFLASEALINQIPRLVSPRLESSPPVSHAEDLTNKPTEVRSTIK FQLKKVLCLGVAVGHVQVNDDEVLGNVMLSINFLVSLLKKNWQNVKSLHIKTIMGKPV RLY JR316_0011640 MSLTFVAQPENEVSSPSFPWPEHRHLFETICVAGNNALEIHLRL FLLRSLLEFCDTGILPGVRILYVQAQVGTVYTPTLAMKIQILQNLNIVLDMLCHPSLQ VETLYLSGPLFYSDWFMHQQITRSFGNLLKSNQLVSLKLNNVENLPRNILDGTSIVDL TLIACEVSNTMTYSNTNALRLPSLETIETDKLSVVRTIYPVGLHSTDGEEPSLKILCL RIDQTDTAGPQVLKEEFDILEEHFSSSIERLCVMFDPCGAFHIITSTVSFFT JR316_0011641 MSQERVPPEIQTQIIDSIVSDHSGYIILQPDCAQTLRTCLRVSP TFRWSAKRYLFKFISLFEDDEDAFRVKLKHLRSLVDLPDMEISILRHIRIFQMSAPIT SILGNPEPSRCKQIIEDLDFILNAFRHSEARLHTFEFHGPLFSSLPSKVVRSLQNLIR SPTLLSLRLCEVQDLPKDVLQGTSIIHLTVLDCNISDTMGAMDVHLPLSQIATIETTD LPMVHALYPETQRSMISNGEACLKSVCLRIDMRTCFFGGLNKECDTVAELFPSVKKDI SLGMPIEAWTKLDDILLNVDIFPHLGGVDIKAFCLFPNNIMTNHSRKVEQLRMEQEIK LALPTLHKQGKMTVAVSCR JR316_0011642 MSAGTDCSPSQSSKLLGPQTRVKPNKRLEDRDASQMHRTQPAAT YLVGQSVEKQNDSRMLLDHQVPDSVQSISHKQADLIPETEISELNQPQVNCRDMHNGP CAGLQDIKRDYEKHINAVNHDRELERKELSETKMMLTSSMLDCATLLSEFQQAKDASD SQREYFETIIHTLSNELDAAKATYWKDVQSVLLDYQRSEANLRMMHVETIAAQRTLEE RSKTITELEARLGYERAARERLDDDLAIAKNALAGECNVTDQTPANPGRILDALAGES RQFEAQRSRERLMEAFITRMRAQVADATLIDKYENTFHQIPEVIDLIERHRNLVEIVG SFSTVIEASDQ JR316_0011643 MAIVTCLKILYHTIKQCLLQIGQHYYSLISGTPSMSFDSMNSLP GNNMTDMVVESAAERSVDKARRNVHEVEARNREIHRLLIQEAYTAAVLREQLEQKERC LNALKYLLTCTICHDSVKQPTM JR316_0011644 MPARAVISSFPMNSNRGRAILVSPPWEASDATTDTSEDEDTEDS DGGRIVLGHAFRMLVRRLIAEARRQESEVEVRLREINGRLFRAYTGINTLVARVNTLS RPSPARSNVIVREAQTFQQLRVEVERLANRV JR316_0011645 MSALYLERKRHYEQYKARQRNSNYAEYKTSHHASQTMVEVTTVK SNHSGTQIGNQKLREETKELAKQNRELQRRLDHANLEQELMCQRNTELNNRILELEKL EQVQETLKATNIVAGKTIEELQCSIADVNHRYDDLHNCLTEMAICSICWSYMVHPAIL SCGHIFCAACLGKVKELNDYIWESDHKCGICRERGPILDGPTRFVRQVFWLVLPRNEP SPAPLENQDNGEEHDRVLQTGGTDSLDEVDNPSHDYGGIEDDQSLAGSDDSNDDEYES A JR316_0011646 MEYNKRKSSLVVIEWKDATQSNNKGESQTKPPRRKKPANHIPRP QNAFILFRSECIRQKMIPKSVEKDYRNLSRICGKVWKQMSADEREPWFRMADQEKISH SIKYPNYKFQPIPRNVRVALLPSLKPGETIVDFDSDEPDLDEPRMDKPCIGNIYMDDT FMNDLYMHDTFMNDLYMHNTFMDDLSIDDTFMDELCDMGKLYMDNTFTEEPYTDEPCM SKVYIDGPCMGEVYLDETCVDESHIINEPCVCEPYTNKPCVGEPYIDEPYMGKSYTED EFSAMDWIAVMEAASLQYVHNINFLWY JR316_0011647 MARFEFEVSPPPKLPVILMRINFDHASHLESNSANSGNHADDSS ESSSSSLLTDKESIHPETPEESGALEVQVQQEEPVVNPVGPSEQLQQRPTQELQQRPT QEPRVPSPAISVVDQPQGLRIREWVGQRSQNNGTPSSDV JR316_0011648 MADTKHQQERVKILVKDTLSVKLPYSSQKKNVEKICAEVLRDQR WSELLQNYENNWPLYSAVKVALKSERDKADKALA JR316_0011649 MKNAPFQVLADAIERQGHRFLYQVNPALTLNPPLSPVLKSFLIV GIVAPASMGTALHDIGDADIANAPLCDGTPIDGVLTLMRPLEKDPFYDDIWDAQINKL CLYQSTMNARYRPKTDNPHSTQTVIDIKFPKIRPRERDDGIVTWRDISQVVPGATYHP SIMDHASHPVFNYRKDVLLQPNIYDSYGHMVPPWLLQSELRPHTMVLADVYPTVTDSV IISDTGTPRSCRTFEIWAKNVKVLQRGTLPMEKNFLPSYGAIERVSYRPREVRLKTKR VARMSTGGKPPRRRKSAQSGIREGDLTSNSKEVDRIKPRLRTETE JR316_0011650 MYNEPHFKPWTSSSWAPAGYSSRYDLVEQTKAAQARKPLASGAI EEKQIEHAGQPNGVNGQAVPGYTAAPGVGAGFGGDAGTQGYRRASSRVPPPPSDSYTL PPESGFGFATGAAVKPGPGGREITVDMDPSRGLQGGVGLTKEGPPGGIGMSEADGHGN KGNRLEAGDLGRVL JR316_0011651 MPPVYVCHCTFRGCGNDPNGVTLSCRVYKDHQAREEKYQQGLNY LKLQREAIERQEDRIALELDNISPSDPIAFFSSFTQEAKYRADHKKRLIDNISLARRD VQALLKDITSIGKAPINYPPAHEIEHSLHQCSEIRKSVEQPSRVLHTAQTSALRKEPS IIAMREALHTDIDELVSLTNDIERSWIAASVERQEYERLRQGKSDYNTARIVDGGRV JR316_0011652 MIPGSFNFGSDGEGEPIETTLGIISNSREKGQEHADSEYRATNL QRAGSKRKRQEDSDLMEKINWNTESAQDSHKPADVNTNIDIGISLEGPPSFKRSRDDT KNTNDMQGRDSRGAECLEYDDRNKVRIIAPTSFDDIQDTARNINHSLAKELENAYSII RLYESHEQDTQDTQKTNTSTLRSEDLANEMNGNVSLQQERDSIQETFVEMLRVHGSTT EHTELEKPQEALDSEKAQYQLFEKQLQDANIATQEMEKKLHQTQIELRNMANELTEAK GGLIDSEERLIKLQADFEKSDMEKMNALYSANQLAEYYRTLLIEEKNHCNTISARNEE QIAEIIKRADEELADSCLLVEETAQIYEATLEDRHRREVAEKCKAEVEKAAAMAKQSQ DALASKEVELREAKLAISQQKHELDASLESRRLLEGECERILQQMDDIQKNYNGKLAD QRERAEAHRLEISQLDEVIRDLQAQLRQSGRNEQSDKRRLEEELRATKRSNEQERLKL LTDHSSKLNAQSREHQIEVDCLREEERAMKNQLADVNILLQEKSELVISLEQSKLGLE TELDNQARKMEELVTAQNTVQNELEQFRSQCEQLSKIVEQQAKDIAEEESMVVTLNRE VERLTNAQKSEDIYEVENNTTITSEHGHPNRVDKGKGKERERTPVLDHMLREVRCYMN KLLEIKQDSSITTAYENGHFITEEEYEAFEEGTMDFEDISIDFLRPYWKKPKCSYNAL LAEDFTEKFVAENPEFEDHREEVSTHFIQRIGSLKAHLSLALSKAGESEAQRQECTAR KNKAVRQNTWRYNGYNRRVADVKARAEIDPAYAPVLETIECLGEYGYSSDETDTSVPR GQGYLVRRKLWRNKKLRRVIKMANDCRRTTNINGNSLPGAQQRPRRYHDSYGPISAAP EPVGKPINYYDSDFLDELSATEFRVLRPTSPRPFIGEEDED JR316_0011653 MYNEPHFKPWTSSSWAPAGYSSRYDLVEQTKAAQARKPLASGAI EEKQIEHAGQPNGVNGQAVPGYTAAPGVGAGFGGDAGTQGYRRASSRVPPPPSDSYTL PPESGFGFATGAAVKPGPGGREITVDMDPSRGLQGGVGLTKEGPPGGIGMSEADGHGN KGNRLEAGDLGRVL JR316_0011654 MPPVYVCHCTFRGCGNDPNGVTLSCRVYKDHQAREEKYQQGLNY LKLQREAIERQEDRIALELDNISPSDPIAFFSSFTREAKYRADHKKRLIDNISLARRD VQALLKDITSIGKAPINYPPAHEIEHSLHQCSEIRKSVEQPSRVLHTAQTSALRKEPS IIAMREALHTDIDELVSLTNDIERSWIAASVERQEYERLRQGKSDYNTARIVDGGRV JR316_0011655 MYLDSNICIPGTGASAALRKRVRRPALLKRLMTAEELAPLFNND DYIGWSGFTNVGYPKTVPTDRAAVPPSVRLFFVPPFSDTPLTSIPNEPIVFPQDLTYG YYSLRRNHGDPSKPLDWAIVEATAITEEGGIVPGASVGVTP JR316_0011656 MSTPNTDTYFTSTLRMVDETRSPIYTPPSTPTLEITSNIRPSDD VAVRDGILALMTHHDTPESKSTVRIIRSASQKLEGQESALSPIIDKNQESERAPVPCS AQNIQQQHRVAGHTAVPGDTVISAAQVGDSAEMYALRYAYENRLHAVSLDLHQTRGEL EDVRMMLASSMLDYDKMVTKYHEVTQASILERQQTEALLEQAKVELTTIKEAYRQGWS MHLRNTGVEVEEARRFIAEQAKIQHGLDMRLKSETVSREQTDKNIDTMRRCMEDILAQ ETQRFLEERATAATVGAVIEELSTQLDHGNFGKAEEHHFEVKAQGTEPPQGAAVHSQN GYHTVFLTLRNLIFHLQELCTHMRGKGLIIDFLGSLISTLRTRNVDGAGNLADMSGVQ TASVRLSDTTLYNRRETLSKAQQERNDELAQHRLTIKLPCAGLKSITKPSSISVKGDE LK JR316_0011657 MHNGPCAGLQDIRRDYEKHINAINHYREIERKELNETKMMLTSS MLDYATLLSEFQQAQDASDSQNQYFQTIIHKLSTELDATKATYWKDVQSILLDYQRSE AKLCIMHDEAIAAQRTLEERTKTLNELEILLEYEGAAREQLDEDLAYAQNALASECEV TAQTPANQGCISGTLACELRQSEAQQSRERLVEDFITRLIAQISDATHVDQCDILSHQ TPEAIDVLARYRTLAAIIGEFSTVIETNKGLTTMM JR316_0011658 MEVSAIQPVVSPVPSAHNGRSQNKTRGCSTHTNKLSFSQTISSL KGIIRIPNRRKLSTPRIHFHSQSQDDADSTKSTSNPHFTSRPRPTHGLSHSLDTQAHL HSRMRSTGTAASNGSGNIDGIISDTEDYDKQQRRRSNIKNDPYAQFSRTAEGLNYTYK PYMSQPMLGAELSRGTGGDELVTGYDSESGSASGSGYGGGGRSPVGTALPSPSPSPTP VLLSSPPAILPATEVAFSLGGKVEDTLIQVQHGDQGDEGEKEGDINDLYDGKAGGSLN NAAPSDTNTDTNTNTNIGTTANTAQSTTLAQISSSTFTPTSTIQTNSHARSNSPLPLL PLPDGPSSLTSASTSGAALRPRSSNGSGRTPAPRRIPQR JR316_0011659 MAPRLRVLAGTSPSSMVPITHLVNTPHSHPIRSDLFEGELVAQI QGMTDEQGKVHESEYFKREDRGGVTWSIVVRGRFLVLYSADDILFGNTFDRPLKLPWG TSAVLKFMHYIDPTLKHDLTSSTKPWALSPLISTMPHFMHTRIPPSSSSCMLPPFSAN QSIQDRNSGLYLALSDELDEGDSASSSSGTSFRSASSSSDNVAPGSSTGGLSFSVKSA MRKVKPKRARSTLSTGSSSSSEQGERHMKRERKLQTL JR316_0011660 MRHCRTFLTRRTEISKPPEYYEGRLEGTAPEHQCYIFLHASQSP ELFPKVQKTSVSLELQARATRWGGIVNFSWFNDGSAPQMIEKGLQPATVFSRLGGKLE IPDVSLENIDQVEDTIKTHLQGPSLLTEGEKGGSDIHIYVCTHGVRDCRCGERGKQVY NALVKAVNDARQREPIARNIRIGEVGHVGGHKYAANVLIYPQGEWLGIVKPDDAPSLI NQALESLRKGVKPLDSTAPPTFLSHWRGRMGLSKEEQKNLWAKHAGIEDNHVAEKELR PIPRS JR316_0011661 MSSVFFYEPYYDIDRFFEEAFSGPFLRQRQSSNNGGQKNVDGAV RSLKPKMDLHEDNEKNLVTASFEFPGVTKDDIQIEMHNGKLTVSAETKQSTEHDENGY AVRERRFGKYSRTLQLPQGVKDEQVTAAMNNGILTITFPKSTPDLAPKKITIS JR316_0011662 MPSMHWTDEPLSPGASTLVHSEPEHREHVYILRIIRARTAVWLC SFLILLISAFLGVGGNYLVKKLDHVITDYQRLVIFFHIMSFTFLGVSGIAGICAAITN THTTRPQLFAALLCGHLIFGVISGAMCLHIIFQNTTSLERVASCSRLIATAAWNNLCH NGMLVKALSLGTFEFAWMLEVLSIYVAIKYASQLRAENNLKTVTYPVY JR316_0011663 MTSGTSTIRPSKVGTPSRSPNATPVPVPSVTAKRMASSIPSLGN SKRISAPVPAFPRLDPGNSTSQSGGATPRRMNEQSTPSSVTGSMRMARSTSMYMTSSP IQSPKTTKLQQEDTFSVSRPRARITSMTPPRRTSANHVPTLSTSSLSKSPSSSSLLSA SPSINLTSPSPQRPAKVSGTPRATPPKFSLGNSPSKQSSATPKATPKSTPKKVQQTES RDSDVWSSPHHQALLDDTLTFGNGKVSSIGTGTAVATDDGLGNAFWDGDDMSLEMVTD VNDGDVDEEMDTALQNILQMHTRKILHYKRLLERAQASTAAQLHALQAQVRVLRESGA SGAGVTHVNQLLADADDVGLCVCGGKKRKGYWSGYRDDEEYDDAGDLSKALRGDGKGQ FNELEVRKALRGLGREERMRLIAIILDSCLPGDIRLQILLLEKYAKSTFDILGTLSPD LAFKVLKNLSVKQLLAIEPVSKKWQEAVHHPALWKYHCLRITEHDPSPVVPPAKPEGW EPLYRSLHHRESNFRNALPQTIRFLNGHTNFCTTLLLRGKRLISGSYDETIRFWDIET GEMKKCLQVKKPVSCVDFLAEEEVFVVGFHDVGRVHLFSSVTFTPLQQLAGHLNGIRA VALSSKNLVSAGADKALVCWDWRAGTKIVRFGQQTTINIGVQLIAGDPGNGANDEGER VVSVTIDGIVRVFSIKRREMISQFKLSELGGGDPVLNSKLFNVGSAPNNMLQWFAAKG TQMTCATKSVILHLQWNEGEAAPVLSQSLTSSSPVALTSSQGPLRSRTGSVLGRSTTS NSISTPQRRISLATSASGSVSAANKSRLSVSGSRTPTTPLSPSPGPGGFPVRFGRAAI LTAPPKLVAIVETPDVAVGAVDPKKRRVVTATRFSSRAGADRRIFMSTHQDKEKTSIS EHTENGTGDNNGDEQDDQDDLNFITQIAPSPSVDVDTHIQPLSGAWGALADVTLGSTV GVKGLLGSLPPKFGGLATPEKNPMSMQLSHEEVVVGCADGTIYVMNFVGYQYQLERKP IIEDEGFADADKDYDSDY JR316_0011664 MNPLQDTYVALPISGIINVQDEAVAHGIKYDGFDVDAFLSCCNP GSPTIPPWRLIYGHPKHEGDVRPTTVKPNSSQARKRADDNSESHGFGIAFKNDTVSKS EKNAVTGLKHSGLPTRLETSPTRLISSGDNDSTELINQEVSGAEKQSESLPARAITNN ISKGDSAVIEHGEEDRESDQGDREIVWVDRENDEEEEAPLEQDAERMAILLDRLDPRL REYSSILPHHIFHGAKIRRSTGNSESVYGKVLSFTFLANIATKRSGGWHSSSAELGST TLEFVEGEGGKVLVLKRHNPVIMSRDGGVQMETEREVYARIATVSEEEPGAQFLMWLE AAVTFTDMLGISERALVMPAMPTTLGDVLDRRYKTSTEISKGDIRRIMAQCAIGIATL HSIGVIHADIKPDNIFLDARRNVRIGDFGLSCIAKKVGPLKATVAYGRKYGGTEGYMA PESVEDPPRFSYQVDYWALGLIFWEMVVGNYDFLEALSSDNVEGWAYFHRERKKRFAY FKKYFPVEECDLELELQLVCGLVDPCPQTRMGIDRLLVHRYFEVDGVSEFKDMREKGK LVIKL JR316_0011665 MIYASNITSNSQQILNPATPTAYLRPDEAFQVVITTYVIVASCG VFIWDVITNLGNDYTLVSKYPVRFPTIVYVLSRLATFGYVLAATIYQTAPVGNCARFE MALNAFNTLAIPITSILFFIRVRAIYENERYISIFFSIMWLAVFAGSLSTTFGVTGIS IGPTRYCMISKMSPYVVAAGVVPLVNDTLIFFAISWRLTKNSLHHLDFQVGFRAIVFG DYMPVFSRSLLQDGQKYYLTTVSCGLVAVVLLTASTIPVTYRTILIVPNCILTNIMAC GVFRRTKFTHLTEGSGTPTSLSFLV JR316_0011666 MHHSRSFCNLAARIVKEDEHALVTLLLTPNLIKNAQAEVEAEFR GAVPDSVIRRVRILSSIKHQKLRLVELFRVHAQAYASEYYPALIQGKALTCAVTGTIF DGVTPPNVVVIDYMAYPILQVTRAISGTSVPIIAWITGHVSNVIRSMGPEHFGGIGDL GAKMKTEAARLGVSPLELGDSLLDTSIGTIVKVPGLVEMYDWELFPQILPQPVEVPLS TIVKFGHRSIKEADDVLVTSTHSFEEESMDGLKAWLSEWNKDAYIIGPLLPSGYGILE ESDRGSKETRDFLDKVLKEHGENSVIFLSFGTVFWPTSQDYIEEAIEAFIEKNIPFLL CYASHIANLSEEIINKVQGSGIGLVSKWLPQQFILNHPATGWFISHCGHNSVMESLAS GIPMLCWPFHADQPYGAAHISENLKVGIELIEVRTGASGLKPLRRNGRQAKGSREAFG IEIRRVIDDLRAERGTEIRKNAANMKPKLANTWAADGGIGRKEFERFLTKYNFL JR316_0011667 MFQTLLSPLRSRFDLSPRSPTFPANATENPTSPPRTAYSLTSPG NASFASSGGFGTFGASLSPEQDITPEEFARDVLVELMRNSVEELKVRGAEASEDGLRG RMETLSEMLRIMEQDACTKDIFREMDGFLALMSVLASLSVSTEDEHNLVPVSPVQLIE PLDEIRKDCITRVFVVLSEAMKDSPENEIYFRTKVGYDSLKLALQSVPTKVDDAGHLH LHILSLFLALSLSDFSPLLVGFFTDLHDKDIETVDEKVKKVVGIIRHAGALRILWDLA VPSTLNDVGRYGLYKLFEGLFGLNHRNAGVLSSLGIVGDVFRRFRTTKKAEDGRNKER HVLQKLLRRLLEMGATTAEARGLFAAAIVDDKLDPEILDVARFGMKSRWVEHFSMEGP AAVVLGDSDTNKWRTLPKDGLSFLIWFFPSTLPTAPYTLFSASSPSPPPSSTSLHRLN APPPIRTHLKIAARLDGKLSVWSSAAPDDEVIFPAAKLKKGRWTHLAFVWYQRKGGNP NLRLYIDGAYVDGQNLTYPRAELFSGYGSNSGIRYTIGDFTAQKQNGSSMSWCLASAY LLGLPLADDLPRLIHHLGPRYTGSFQDRELVKFLTYEASTSLNMYLNSASSTGSNQSS IRSPPGGVTVKGKGSQSPIVKALREGMSSMGLKDESVVFIVASEDFEWGVDGDGDSTI KDSIGVDVGMRNAKLVGDVFVVKAESLDVALWKIGGAAVGLRLVQLASTSHELSRTLS ILTDGLKNSWQNSEDMERLRGYEILGDILRTKAQLINLTAFETLFEFLGINFNSPEQS TVVNPLAYRALALDFSLWSRTRPEIQRAHLDQFATLLELSRYKAFNWKQRLSKMNLVR KIMFALQADWYSNPPEPNGLTSTHEVGHNMQAQLVQTLGVILRAPGGFVKEDIKAVVA YLAANLHENNNGEGSPHSVISRFEFKLPPREKAELVLSLLVQIIGGHSYYIKFTTVLP MTRILLLLLGDRPSPSVAAQILNMISISIRVSSSFSRKFELVSGWSVLKTILPNVWDP TVNKAAFDLLLGRIDTRSAENSQAPTPTSATRREEKERTKSTTVSCTYILPTIIAALR SGLIAVANNCHISDEGEAAGNLSWSTETTMEMLIEELLTLHASSSTFRHIFESQQTTQ LFIDAYKAMVSKLSKATYINNWNLRILEKLTHFGLALALDNSVGGGQKREILDNIQSA EVIANPNAVTTAIDPDLVVDNRSVRQRIASARFSIQVGERSVIRTINRMTEWRKTVQT SERKRIRKTVLDMREHRRQVSRLTEWTYLLTSERGLWPHHEPIMWRLDETEGPHRIRK KLEPQVDNSPSSRVDALEEVTRGVNPPEPDTSSIMQVEVPPWAEAYEISATEIEERQD LAEEIVDDKLRRIRHELEPGDVIEAVATVARIDGVDSSPGLLILGRTHIYMLDGVVEN EDGEVIDAHDAPKRLLFIPGSIVELDGPQRAQRWAHTQIATCSDKKFLFRDVALEIYF KDSRSLLIVFLDKKRRSDLEHRLSTIVGRPYSEIGMTPGPAPQTQRTPMFGKMGSRVL SGFRTDELSTATRKWQAREISNFAYLSILNQISGRTPSDATQYPVFPWVLSDYTSKIL DLNNPDSYRDLTKPMGALTSVRRQAAETRYSNLESVGEEPFHYGTHFSSSMIVCHFMI RLAPFTNMFKTLQGGDWDLPDRLFSDLPRAYESAAHDVRGDVRELIPEFFTCPEFLEN SANHDFGVLQQTGERIHDVKLPPWAHQDPLLFITLNRRALESPVVSEHLPAWIDLIWG CNQRNPESLNVFHPLSYEGSIDLDAIKDDLEREATVGIIHNFGQTPRKIFTTPHPERY HHGLHSLPIGTLHGIEEDPHLLTQNVRCFKDLGPTTPVRELVPDILSDKMNPCPEGVL CLPQFPQEHVEWRPRSAELRIVVEHRLVQVIEDAFCNCAAFADSTSLVTGSSDYTVRL WKVNRGPHPNGAQSGMRVTLSHIMRVHTDEVTCVAASRAWSLIVSGSKDGSAALWDLN RGVYVRSIWHGEAGETNAVNLVAINESTGYIATCSRLKLCLHTVNGRHITTLDLTKTS SFSPLVPTITSMAFHEREYSHLGVLATGGPDGSITLRTWTADGTPDGEKAQWEFLTIR TMKVRMVGHNRPPAVTAIKFLGETLYHGEETGKSYAWNLPDS JR316_0011668 MTSDLERWLGEVRIPPRDETRRIMAQCIIGLATLHSLGIIHADM KPANVFLDERLNVKIGDFGLSRFSVEPRPLRANVGYGIVRVGTELPGGLLGVGGHFDG DAGRRR JR316_0011669 MITPEGLCFNNVPMATPITPPSAVSRTVRPLPRRLRSPEDATAP SPAPVHDDSSESMATPGLIHATPSTHKESIHTSFSVCSGIYHVETPTAHCSPHVIHRG SSDTDNGEDEDTETSEEEDDGDSDESTGEDDETEDDSDSSNTDSQHGRSQWYTYDWEL DKPTDCDNTETSQSAQMDTAQAMLSLDPLFKEHEKILPPHVTGRTHSKESDSRIDANQ IGVKGQVVIPIRVQNLSGGNGVTQLDMVRIIIATRHKESKKLEISLPEKKIWVLKHYD PHQQGSGREFAQAKYQGMVQINTERRAYARIGSLPPRAEGTEFVMWMGASLRLTIDTN EERLGILMASISFYIQVIVD JR316_0011670 MGNLIWFDPGDRHSVTTNQLLVFWDSMREWFAERGYHLYRLTIF DDPCIVPYATSTIPYNPHPRLNVRKKEFEAEDPFPYAYMGGDYHNKRDDDLYELGLYT GRVMFAQDTEGRHVVIKLVKGGSEEDKILHLLASRPELKKRETFTAIIPILDLLPCEG HWFAVMPRWDITWNSVPFPTPEVCVQQIIFLLKALTFLHENRIFHHDLCSRNMLINHF SNAGLLGMPINPFRKHLLQQGALVCVLSDFDFSILLDEERYGPNPRLGILGADVIGDF PPFETLHGHVDYDPFKYDVALLGIWFSDTFQHIVKDVPLIAPLIDRMVTSRLDKRFTA KEALSFAEGILPTAAGLRTLYTDRAPRPPAYCETDLWKDLPDDFVNQWADYRDDRSSL YLRFLYYLNRHVPYGTYGVYFFRLTVRAILFVPRLFFRPFAAFSRLLVRRVT JR316_0011671 MALNTSAVVVPNPPPDSDPYYISMRPSYNINDQTYLRERERLVV VKERLEDLKIRWDLFDDINKIKYVQESTAVRVVDRRSRLHESPTVDTLIHRYAEYRVE TILPIECLMVHQESTVLQYIVAHSDLEEVKPLEDTRDRKYSVEWDCLLAKIVKVYRST SSFG JR316_0011672 MSNAPLPRVADLSFESLFLRYILAGCSAVILWDILSNLRSEFIL LVRHRFRVATGVYIISRLSTIAFVVMATMYGTAPIQACSQYVLAVHILMAGSIGTTSL LFLIRVRAIYEASPRVTAFFALSWLAVLGCFIFTLQGLEAYPESNPSGTLVYCATGRI SPYIALDFVMPLVHDTLVFLAISWRLATNTLYNNANRDSIIKQGVRAMVFGHYMPAFS RALLQDGQIYYLTTITTGLLAFVMLFITSAEVTWKTTFLVPNMMLMNIMACHVFRKTK LGIFTESGVSTINPPTLPIAFHVPTGASSPYIPR JR316_0011674 MASTTTPPIEAAAPAALAPAKPKKQPYPFWLGGVAATIAASITH PLDLTKVRLQASGDKRMIQSIKKTYSTAGVRGLFDGISGTWLRQMSYSMCRFWAYDES KKILGAGPDAPAWKLAAAGSMAGGIAGLIGNPGEIVMVRLQGDFAKPPEKRFNYKHCF DALFRMVREEGVSSLGRGVTPNVFRAVLMNASQLASYDFFKAELLKTKYFDDNIMCHF TASFAAGTVATTVCSPADVLKSRIMNASGPGSNSTLGVIRASMKADGPMFMFKGWVPA WTRLQPTTILIFLTLEQLKNGVDFSRSKGFTFL JR316_0011675 MNKPTGDYEAEFTSEQNMPVQCNRCKQQFAPGTRLQWMHNKHQG EGRYLCGSCYEYYLSKKTTIRRDNNQGHKSEIAARNVRALPVASAAAHVGRDNAATSE AAIVQKHIAAAQRDERLAPVVAVGRNITQSVAYGSPVTNRPARQQGVSSFGALSGPGS TVVNVGLKAKSLTFPQKDLLNPGYQEAHGFYNEMRQHFAAMAYSSAANAELVVVKVWL SVRVPTKKSPVHIAGLHEAVSNIPVHIGLTNLKRVMYYALLPQFLEWSKGFPLRIDDC VVRNKLWVELIPKQPDVDAISEHFFSFKGRNKLSKIFTPKQGIELYLCITHRLYESII DHITEPKETSHRRNDEMQPSASLHGSIASKAVKRKYWESDNFEADPVEGPSLQHTADE LKNALQLQVPPRKKNMKSLFQTVIEDVSFFKLPPPVSFSDLVKNPDELQNPQHFAPMN ATISYDPSAIPFKGAFKLARVGYTSTPFLGSQLSNTRICIKQIFGGIDPVTDKPIVYE GQTQAKKVSIELNCLGWASALMELVYQEKRTRGVPPFTIPVMRYVNSGLAISKTNNAN VYLLEEYIESKSPTGDAWFVKYLNNSSARPVYFANPEQNERSQFLSFAQHIQYIETKG LAFVSDFQGTSIPGLIFGDGNTKFETFALEHDCNKFCQFFGLPKIDRPRINLADIPPL VESDSLPSQIRHQKSDSGSADMELSED JR316_0011676 MPNQHKPLPPEDEIKEYVQFYNDLGQNDREIQDNMKDHYNTELY GLSVSSIKRLRKKWDLLSTRQQKHTLESIAPAIQEMRTRFPTRGVEMIRKQLRVEFNI RVPRSVVYNYLQMTEPDALKARKARRFKRRRYHAAGVNDTWAQDQHDKWGPRFGLWLH HSADPFTGFLNWLKVWWTNKNPRLIAGYFIDTARKYGAIPLTTQSDPGSENFGVANVQ TLARHRLDPTLVGTLQHRWLWHKANIKPEINWSVFRRDFAPGYEDLFQQGVVSGWYEV TNVVENLVFRWIAIPWLQNELDKWANTKNRTAPRSDRKKILPLGAPLLIRTKPEKFNA LDFKIPVTEDLLDDLENEYAPKDHPVFQLTPPTFDKRARKIYEDIGTPEVTMASFWEI YRTMLERFRGEVDEEIEVVLTARVAEEQGIDQEEISLLDGMKDLRQGDKVVGLQEHSD DGNYASFTDTDEE JR316_0011677 MSQTLSRSVWLSILPVPSLWPDILQKIKAAGFNAISVYTHMAQI NPSRGVVDFSGHRALQPLFDAAKASGLWIILRPGKPSWIYTRPRYINAETSAGGIAHW ITTEIAGQPRSNDTDYRAAWQDYIAGIIKVTAPNQISSGGPVIDNEFSQAPAFHAAYF AELEEVYHNSPIDVPLTYNDPGMGSSFINGTGAVDLYGFDEYPQRYDCTHQTWNPAPT NYYSYHMQVNPANPQFIPEFQSGAGDSWGLTSPGYDGCRLLTGPDFLSVFNQALWASN AKMINFYMFYGGTSWGAIPYPGIYTSYDYGATITESRQLTTKFDEMKRQGLFLRSTPD FYKTDWIADTNSGISVSNNPAAYVTELRNPDTQSGYFIVRQANSSSLETITLKLNVTT SAGALQIPLQSPDITIGGRQSKVINTDYKFGSASKALYSTAQVLFAGIIDGRDILFLH GDTNQTHEIALALTGNPSKLKASPFVELSTKVPGVPRDTTVITFGPGITDLITVWDSD TQLILFADSNTAATFWAPVIPGNAANPFKAYWGIGTNESVLVGGPSLVRDASISGTTL ALSGDLETSVQIRVVAPRKIKTITWNGARVALDPSSAVASTGGLSGQLKLRTPLSDIK IPQLTEWKFQDSLPEIQKDFDDDSWTIANHTTTNIPYPPYYNDGRILYGCDYGFCENV VLWRGHFKATGQEKSLNLTVNGGQNFAASVWLNDVFLNSYTVSSAAEFNQTFEFPDGA LLPRKDNVITVIQDNMGLDENGYNPNDVLKSPRGIRGFQLDNGGQFEVWKVQGKVGGY KNFPDKTRGVLNEGGLFGERSGWHLPSFPISTWATRALSQGLPDGAGVGFFVTTFDLN IQGVDAMISFTFTEPLGQAYRAYLFVNGWMMGKRVGNLGPQAKFPVHEGILNYHGQNT VAVALWSLTNQPISPELELHLDSDESTKWDYHSFSIHLSRTMEPKVCCGHVRSFCNLA ARIVKDDDKAYVTLALTPTLINRAKDEVEVEFKGKEPESVIRRVRIVSLIQSDSQNPL ELFESHAKAFPAAYADLLQGKSITCKMTNTEFDAAPPINIVVLDTIAQPLFQITRAVS GKSIPIVGWITGHVSSSVRYNGLERLGGLGDLGAKIDAEAARRGVSAKEIGDSLWNTG SGEVVKVPGLTDMYDWEYFPQKLPFEVTLSDFLRLSYAGIKDSDAAFVTSAYAFEPES MEALKSWFEEWNKDVYIIGPLLPSGYGIERNFLENSLAEHGPNSVMLISFGTFYWPVV QEYIEEVIEVLIEQKFPFILCYASPFAQLSDNLIERAEASGFGLVSKWAPQQFILNHP ATGWFVSHCGHNSVMESLGSGMPIIAWPFHADQPMGAAHIEADLNVGIELVEVRTGEK GMKPLKRHGRQAKGSREAVGTEFRQVIEDCRGEKGKVWRKNAQSMKAKLAKVWLDGGD SKEDFKAFLTKYGF JR316_0011678 MASTSTVVRKYHANYLASNEYFAIALDHVPLLTDSGNYLWWKLH VSYALKAAGYWGHVDGSDSFTNEQQQ JR316_0011679 MWGATLADFYRVAALDKAPTVQSDSWPPSPNTSNNEPCQGPRRC EILVPSRVVTSSILRARYSPVIRTDVRHERLRRILCIAETEEEGPEIVPAYSVAKLLR AGEAWTAQSVPGGASWAKRTFDDFNDSGFEEGERDRKRPRASWEVDEQWPPESVFSPR AFPHPWPLEPFKEHSRQPEYDLTSWVHVPTLQQYIPQHLLPAKLIVHDPWEVLSGMPC TTDDQFTVPFVQKDDIVRVFNLSLDRRPRYDSDLDEEKEELDMEEARRAEFLACPRSG MPMPAGMFYEPRRFVEDEGDGTGPAKPPVYVVFPTRPQKVAPKDAHLYLAPSEKMGSG HHSYVYRAELEVPRSMLVEDVICEDCVREDVKRILEEEDGRDGEKRDPKWDDQTAGRY VLKVKDAKRREVMFGSEDAMCEWGDSRDFPVTDEQTRGPDVHVAYEGPYRAVQTTVGY QNLERAPYCEHLRTRSESIHPLTARVSVAAKLSSNDDSEDHMPTEALNYQEFPKHFFE HRTGYTWLGRDYAGDLYDSPVPSGAVVPQFYGYYQADWRDENNKNLKGKREHLSSILL LEDCGTPINPDEMTVDDRNECASLFIRMHAVGWIHNSIFKRNIVRQPGPLSEHPVIRR SQSERQGHGAHELRSSFRLIDFGRSFPNARKRSFSDYMSEERKMTKWLFQGQLL JR316_0011680 MHLDLKATAIAPATATVAAAESEEKIPPPEVATGNRHSALIADD ASCTIATPASSSLPIPSSTNAIAMDMGIDKLDDADHHHADERLGIDGKDQNESTNSSS PQQPVPLQPQTKPKPIKKTHWDYMLPLIERNLRGEF JR316_0011681 MKHALFSFCILLALRDVAGGPNPAITPRSELSLDEIARRYTRRT EHGLHLPIVRTSSPSLERRAGATAAIGLGDFIDVTYSVLLTIGAITTPLILDTGSSDL WVMSDACSVGCTGGVGVYPQSSFRYAGVDVALLYGDSTTGTFAQGVIGEDTVDLAGLT LDNQFFAAINRTNTSIAQAGSAGIFGLGFPVNSVIWNNLFTAGAEGSEEKSSKQKRQD EPVYPATHPTIKLGSPFPSFDLRMPKFPSLPATLGEAPARHGTRQTAPRQTTSNRMYN VFASYKTIAPFLPRLVANSVLERPMFSVTLQRDTVDIGGNVGMLSIGELPEGVQNENM TWVPLREYTSSEGGLPAPPDAPEEVYPITWEVMLDGVFFDGELLPLSSLSDSSIQLSA LIDTGNSLIRGPADVIDQIQKKLGVNGLFPCSIPHTLAFKIGGQMFPVDPRDFVSQAF QNNVKTCSSNLVATDAPSVGGYQFGWSLGTPFLKGVLSAYYYGNLTYPSQDPPKMGFL STVPTDADQRLRDAVAAAAKADDNFPAITESAPSGAAKHVPTNSNGIAQSTATGGSHA SNGASNAARIYGLLSSSWFSTGMSILFALSFSSTSTALSI JR316_0011682 MSQFAIEDLNMLFHPTDLRTDEQKAIGTACRTFQVAHSDLHSSS LAEVYSAPAPKGQKGRVWWHSNDNDKIEHVTVRYNRRKGGDRCHVYRDGSGNMNPHKP KKTASRATSGQEEEVYDSDCTVELLEGEEPSED JR316_0011683 MDALFDRRPPTNLEDFRRNPVVFLAKRGYYAGVTHCEPPTTPRI QVVAISDTHNHHGEVALVPDGDILIHAGDLTQSGTRTEMISALQWLADQPHPHKIFIA GNHDLGLNVPKKDLHDLLEDFPGLVYLAESSVTLTINTRTVRIYGSPMTPKFGSWPFQ YPRTKPEDAHWDKIPLDTDILITHGPPAHHADHGNGCGALLKQLWSVRPRLHVFGHIH TARGIEHVAWSDAQAAYERIMTNQYSWREFLALLWGIWRVDKAKSTLINAASLGGFRD EERRDAIVIDI JR316_0011684 MASVKVGDTIPEGTFQYVPYTPDLENATSLSTNEWKGKKVVLFS VPGAFTPTCHVNHLPPYLQKYDEFKAKGVDVIAVVAANDPFVMSGWGRVEGLKDKILS LSDANAQWNSKLGLTLDLTDKGFGIRTARYALIIDDLVIKYFGPEPGPGVTASGADAV LAAL JR316_0011685 MPPVIFDAPDFHHSKHRPKDWCFDKDSNQSYTPSVSPTPRFNGS RHRHEVKLLEQDQSANHVNILESMAGYTRTRNNSQFADRSSTSHKDVEYSPEVDEDAE RLETEPSLSRKLVGQRVNTTIVRRGKSSEKKASDTISYNQRHAKYITQEAGNHSDYDS SSALGDYFIGSECSPRGDSDESALGELFIIDSPDHMVVNNSPSASNSVLGDLFSSESN SANNSDVDSTLGELFVDSDSLAPPNALQLYNDASASEDNSVRLGDLFSESGDIDNSQV DNDETNTTLGTAGPLIRSIRLDVDLPAPVTTSSSVGMPAPANASLSTIFAGETGLCMV NVTSAHPMFRLVKNYEQKVTTNNQLTEENTMLQEKVTQLQRQLALAELLQEEDTKPKK PQRKKGNSQKSGAASVGGSSTPSDPLALLTETVANLQKAMTEERETVANLQKAMTEQR EKVANLQKAMTEEREAWQKVEATLASERDERLWQLSDVARRTGELEQWAVTADPEILD RIRLRSLLDEGQAKLARFAGLVSEKDTTSYASMTWRLKLKGEDGEPAADDARLRTARS LLTGRGGIIPQAIQVGDNPWRSNETLNTNRVVSNTSDHLTSELQSHGSDKKS JR316_0011686 MALPKMKLHPQLRSCDQLTIGCSKETERLVADPAPGISALPHDD NLRYFDVIIEGPGGSPFQNGKFKLELFLPEEYPMAPPKVRFLTKIYHPNIDKLGRICL DILKDKWSPALQIRTVLLSVQALLSAPNPDDPLATDVAKHYKENEADAQRVSQEWTQK YAV JR316_0011687 MSGFFPSLETFAKGTFATVAGLSTLSVGLVYYGQNYLIYPSAFP PGSRTEVARPSDFELPYEDLELKTSDDVTLRCYLLLQKKDVGHAATYMDIPNSVTEDE FIASRPTVIMFHGNGGNMGHRIPLAGIFYRKMRCNVLMMCYRGYGHSDGSPSEKGLRI DAQTALDYLTSNPVLKQTAIVLYGQSIGGAVAIDLASRNPDKICALILENTFTSLPSL VPHALPILGPFSFLCHQKWDSASKIPLIPATTPILMLSGAKDEIVPREHMRALWEAVA KRGEKKKPNGSEYKVGLERAKYMEFENGQHNNTCTQPGYWTAVAEFVASLGDSYREKH RTPPRSGL JR316_0011688 MHSFAPTFVISYYALLATLFSFSSLLIVPAYAAPLPLLYGYRGD AIQTSSSPRSHLYTYSELANKFLMDNDAYLTNSGALTMPWEGGYEQEHAAMKAFSAAA EWASRFSQSRYNPPQPRPAQHQHQPPQFQPPQADGAFRHYVHCGEGFTAADANSNGNI ALMERFRKYAVLRSAALADMKRRILDRQRASRGTTEAISERAQD JR316_0011689 MFSRLSTLFVVACASLSIAMPVMDTVPGVAALNVVGGQTSNPAN AISPLVGRQTEPDSFLGVASDLLGDRDSAQPTLPEIVISLTNEIKPICAKLEAAVAVA VKADINVDLIVSLLGDIVVLIEGAIVDIKVIVANPAGFVLSVAGTVITVNELAVLVAA LLTLVLNVLFTVTVGVQGLAAGAVLIVVAKIGGLLAVVLGLAVQVVPNVCVLVAPQIT AVVHIITSLKLDALVVVLGLPAKY JR316_0011690 MLAVSTAARLASNGARRAVVVAGQRQAAVQAVRRTQARSIQSVA QTDRDTITRLLYSIGTKREVERHLRIFSSSSHPSQPAKFAVIKVGGAVLAELDELALS LSFLYRVGLYPVVLHGAGPQLNDIMEREGVVPDYIDGIRVTNAKTLGVARRVFMEENL KLVAALEKLGTRARPITSGVFSADYLDKDKYGLVGKITKVDKRPIEASIRAGALPILT SLAESADGQILNVNADIAAGELAKELEPMKIVFLNDKGGMFHGVTGEKLDVINLDEEY DSLMKEPWVKYGTKLKLREFKELLDHLPRSSSVAVISASSLQKELFTDSGAGTLIRRG YKLFKHNDIDGIGADRFRQVIHDRDPEVLAGFQSVTGVLNDLKKTPYTIYGDEPLDVL AVVSHPEGEVPVMTKLLPSRSGLLNNVLDNVFNAIKKDHRKLFWTAQAEDENRGWHFE RADGSFTRAGKSLFWYGVQDVAEVERIVKGFEEKGRIERSYLPVGPSAPPHRVAAANA APGGARAFSTMARRAFGNKNGVTGSSRGYATAAPTGSTEPKRLALIGARGYTGQALTT LLSGHPYLSLSHVSSRQLAGYPLEGYSKEPIVYSNLSAQDVETMEKEGAVDAWVMALP NGVCKPFVDAVDRGAEARGKGKSSVVVDLGADYRFEGGWTYGLPELYNRDAIRSAKRI ANPGCYATSAQLLIAPLVDYIKPGALPTVFGLSGYSGAGTVMQNDASGKPVSVPKVSA ESLHGGVRPYSLTGHIHEREAGHHLSTLLASGGAGLKIAFVPAVAPWFSGIVSTVSIP LKESMRASDVAELFAKKYAGEKLVRIQKDVVQLKDVENKHGFSVGGFQMSVEGDRVVI VGGLDNLLKGAATQCLQNLNLALGYDEYAGIPIDN JR316_0011691 MFLWVKTLVLLLAFFTDLCLSQTTQFGHLPHNFTLAALNTTLPN ANTTGAPLVLGQNGASSGITFYVTSTYASFPYNDYPALGLVDNGLRAYSSTGRWITNA TAVTSGGTLGWISTTIYAHPAPQIYSVVRLPGYEYPLLAANGFHNLWSLCPFSGNRPQ TNIVFNVTADVPPPPYLGFDPSLCYDVVITMIPIEDHEDHEGW JR316_0011692 MAPRKVSDAPATEPRRSGRIASQPVVAAPEKPAKKPKATKKRSA EALDEPKDESATKKAKADPDNAAAAPTETEAPKEEAPAPPATEDAKEDAKDSEEAQAA PAVAETEAEGSKDAPVPIKQLEIGDNLPALTLQNEEGGEIKVAELAAEKGVVLFLVPK AATPGCTNQACGFRDIYPDFTSLNYDVYCLSADSPTAQNKWKTNANPKRTLITALGAG QDGKTKRSHFIFEKGGKLVEKKLPVSPKDSPKLALEFIKARSE JR316_0011693 MASSSSSGTSCPPSSSAVTIPVPGKSILKRPPPVQQSLFSRITR FLPTPNQPQPASDEDVKPLKRAHFILPQIAVVYPISSVNPPSTPTLKEEKRAIEEREQ ERRKRVVRGSPSSPGGQDAEEWWSMDKVESFYRECCAGCDEEPDPAITVALKHASPGT PRTVDFSGVQLTFTSASILSDVFSIEWGLRKLVFRECDLDDHKIKPILHALLIPGTLS FLSVASNRKLKAAAFRLIGAYVKKAKTLQFLDLSQNALDKKSVEYIVAALETAPEPGL VSLRLDDCSLRPAALETLCRAVRTSSLRNISLRHNHISVSGGVALALMIRDYPDIVPN PQSPSTTSGTYTPSSSATSSPTISVSSLSSLTSPPSTPTIGSNGPVPTKPPVPLPPPR HPTTVGMQTTYTPYVPKARRGRAPPTIAVNPLSPTGQHVPIITSSSQGGVTTRHPPPP GAPGSNSHHDAGPSAALLDKVRALDSLPRLGALRTLDLKGNDLRNGVTYLAQVLKRNR TLKVLNLSENKLDVQCLVSIAEALKYNSSLETLDLNKNPCSGPGLEGIQSLRTAFTLN TALKRLFLSSTSMTSDGAIALAEFLPESTSLLHLDLTMNNIDIAGVMALSSGLKANHV MRCLDLNIPPGDEEFSREILNSCVRNTEEAERLSKSTEGSAKASGRGMGKGVWGMIEE SELAKSIRLGEEKKNEADVVVRAWAHVSQLSNLLAPDMSQSPTTPKASVPPKELVSNA KNVAAELATMIQETEDPSRLEELLGINDQLLSLLKKVPGGTRPNLFLQGLGLSLDSAQ NSEDDGDGRLDGLPHINGRAMNGRTHDSSDSSSMTSLDEDSQTPTTPKVDKGKRKAEP EPEKLEPVLSPTTFRISESESEDEDGVRYVRIGEVTSPTDRSRSWVEEEGEVFRKGQV LLGPEEMEGEYAGEELRKELLEAMVERPPPRPLTDEFGVEIVSGMPDPNLPAGTGDRS PRTPTPSSPIVTENSSPKPPPRPYISRSKSATNSLLSLISPTLSSPSTERVDMLPSPA SAVSESGFPSPSLSGSRPSISRSHSSPTTPTTGS JR316_0011694 MSSRYGTSSRNMPPKLVLYDVRGHGAQPWMPNIWRIRFILNFKG LPYETVWIELQDVERALSHIKAPPSSVRSDGRPVYTLPVIVDPTRNPHSPIILSNSNT IAEYLEDTYPARPIFPQGSRAVQALFVHYIQEVFSKPLLPILVPMSHHQLPHSTQTHF RGGQAPATTLVGPQREMAWQAAREQFDFLAAIMDKNKGDGYGDGVVAYGNDVTYADFA LCSILIWIKRMDAHEGWARVRTWNQGRWSRLLDRCRPFMDEC JR316_0011695 MATSLEQLKATGTVVVSDSGDFETIDVYKPQDATTNPSLILAAA NKPGYARLIDAAVQYGKSKGGSIDDQTNAAIDRLLVEFGKEILAIIPGRVSTEVDARL SFDKEGTKAKAKELIALYESVGIKKERVLIKIASTWEGIQAARELERDDGIHCNLTLL FGFGQAVACAEAGVTLISPFVGRILDWYKKSTGKNYEGDEDPGVKSVKKIFNYYKQHH YKTIVMGASFRNVGEIKALAGVDFLTIAPSLLEELKKSTDPVPKKLDSNAAAQADPIP KVSFIDNEPEFRWALLQDQMAFDKLHEGIKKFAEDGETLKNLLRAKISA JR316_0011696 MPPLPWGTPEQIEFLSAKVPEFQASQRTKTTPNFWTKIYQEFFV LWPTPEAEVRPMTVPKKKKKKKNAPVVLPQTKTELSHAEWVKLRKNQIVNWFNNRGAG TQHRRGPTIVIGGNNAPRLLSETNLYSKKYYDERIRPRVIEALRTNPEGHRIAIINKC TGEAWNDESDEVKAEIRAELAKLKELKGQIPDMPEVMSPEECAINLLTLPETIQAFID EMSSRTGWVFTVIAGGPEPADQGKIRTVAVHNAQEDRDRQTLNSSKAKPEENQVASTS KVETGDAGQENVLSETTPPVTTQPEIQSNALPIAVNQVPDPAALVPTSSTHAHMGSVA QLSGLPIAINQVPFHPTYTAASVPISSTNAHMGSAAQLSGPIPNTITAAHTPPEAAQQ TAMASMTAAPAVAIGFAQAPVMTQSTVQPAQVQPTQSVASPSPPCYPATNGATHATIE AQNFLPPANPLPQQAKVAPNPVAAFLPYGAAISMTDGVGGQDIDQLTAAVGQDMMRLQ AGDANRGDGLTLNFSEEEWDRIDLALQQYAADPNMMGTALALNTNNTVTLPGLHGQML QSQDMMAGQANAPMLLDPNHSISAPHTIVPAQTSAPVLSATNSAGVLSNHAVNDPIIP ESGMHAPLTAAPDKSENLDASATRSRNRKAAASKDAPQTTAWLLAASEYLFKDIEVEK WQECVRAWESFERMEIAEMDTSSLRLPAKGRPTALGKWLSSSRKYTAIPAIRQDEFQK SWMTWWNSIQPAWRQTKTPNSLPLSFETAKAKDSMASLRKGGPNGLLTVMVGLKWWYS AQASDGLWELAVSDLLNTFNTFQKAKLNNKRKAAEETGKEKRTKKAKA JR316_0011697 MAQQSTPPPTLPNSTVPPSTAPASRIMSTRVGDVINLLLALNNA GLEVVRTPDGVDHASGLENLAMGELILILQIMGIHVKGNRATAAPPPPSDSRDPLVQE IEQMVISSARRQAINLFFRLTNGPLTVPPVILEELSSTVAPPPSSVEPQATVTDPALL PPKSQSASDTVMPKGFVCMSCNTYNPIRPSEKPVYVVFCGTDVGVFDHWKDAQSLVSG ISHACHCRYNSREEGERAFQAALTAGKVRILGNPIAAPGAIVSSLSPNAPNGTSGSSS EASSSSD JR316_0011698 MGRQRKYFSEAEKLAANRQKSKKYYEKCKDSINRRRRRKYARIQ QKRIETARKAQAVEQEPVSKSKPPAATWLDEVLRVYRRFNTFIKSDPIAHTEAICLQF ISNQDMHELSESVDKIEHFLGPISRYKNHIYMCSGVGPEWNKTVALAKLLEKTQSWLQ EIELTAMEDLEFVERNYNAKSFEFQKVSQ JR316_0011699 MAKVKQRRRRTDNFKGPSAVTIEPGIRPVTLDTKSKQDKFLADA GPYYRQAKSKGEKEEFLHEISQLWFRIWPEDPLNTADIDFARHRQKNIMKKIRTRLLL LGAFGIVEGDTLWRDFIAAKMHELHPDKLPSV JR316_0011700 MDRRYGGGHRGRDPLDDYGGAPGSTPIRGPHGSREHHDPYSHSP LPPSFPTSYSGQPPSSGSSSSQQSQESPTRLQLRAEVSSLKRLNEDLTAKLGAKRHRP WAALQDDSDGHAEGRCLRKAVSLFDSIETLHLAQDVHHLDPETLSMTASQITNRYIDF VFSHIRNFQSFKLLLSYVPTLRPILSPTFDWRVKSDNLAFLRNVEKKGNAARSDNVRR MKEEVATFLNQMYNPSQHFFTKSRDQRGLQNTITGRLLCPIMHDWSNEIVRAKVCAGD VDLKPRLRKTCFLGALYPRNHCLDGHSLDYLFLRSTLLVKTYCALFTGPASAEAFHPD DTVGVGAMRLANFRRSRKATKRSVAAIIGLDGRVTPRTIAYAAVILIFNLTDATQWQD EYYYINFSRLYNFVVDYFEDTRQHSEEHKKHITELLKWWNDMVFPNGPVTTEVGEDSD DEDSPFSMLRESRAVHAGEGSA JR316_0011701 MPRGISTNVYPVILSSRTRTIRDEVIESSQVEECELHIPPASVG DDFDIHSEMGPAVVADCSITSNTLDSDDGIVIFEDHSNGAVERAVEEEDEINRSIERV VEEESQLTVDWSEESMERHMANLFGLDTQEHMAQLSQEIREAVEQEMAANSSACSMDP AQASETENKSDHNNQRPKIIPIYHFTPVDFPEPPAPLRLGTPRCNAGKAARKARKIAA EIATLEGVLNDLRKRHRRYLRESYL JR316_0011702 MSLGKGGLMHIFIPSIVGAQVPNGAGVSFAQKYMGEKTCTFALY DDGASNRGQVFEAYNMAKFTGMGTSAARSSSNTEYFKRGDKIPGLQVNRMDILATKHA VEYARKWTVDNQNGPLLLEFVTYRYGGHSMFDPGTTYRTREEVQRMRSTQDPICSLQK YIEEWGVANEQELKQLDRKAKAEVDATVEEAKASPEPLLTFAVLH JR316_0011703 MHMKSCPHLRTTRTRAHCHSESYQTNEDEAPFSGYGDESLDLGL ESPNPQQRRSRRLANRKPMQNMEPIPIAPPPAAPHNPQPVPRCVILHVLDRYDTPMDT FRVWRHYPRRPTRDPDFCIRPSDLAKQPPIMLLLHTVQDTFHSYMEGLAPTVRRLMTW ANNGQTTKSHEQINELVHDVLLADDFNPKDLIDFEARNQNAKLDQAIAGSALRSQFTE SSVDILVPSGTVSRPPVSYTIPGLLHRSITFIISEFFTGPLGHLVHYSPFVLKQQSAS NREERLFSEVYNSDAFLEKHGLLPPGQEDCQLERVVAAVMPLSDATHFTNFGNAKAWP IYLMLGNISKYVRAVPGSGALQHLAYIPSLPDSFKDFAAEHNTKWKTQKSQILTHSRR ELMHSIWCHLLDDEFVYAYKYGMVIRCIDGIMRRIYPRIFTYSADYPEKVLLATIRDK GMFPCPRCMVHRSKLDLMGLYRDLQTRVKQLRTFMVEKVKAARDLIYRQGYGIKSQQV EDRLQEFSGVPTQNAFVERLNLDPSPMLAPDILHEFELGVWKSLFTHLIRILYAASKG SDDLVSELDKRYRLLSTFGQGTIRNFSNNSSEMKKLAGRDFEDLLQCAVPVFEGLLPE ADDYRLRKLLYRTAEWHGLAKMRIHTEGTLTELEKVTTELGKLMRGFRDLTCSHYDTH ELPREIEARTRREGRKSAHASTAAVLPSSSEVSIPTIVPKPTRKPIKLNLFTIKWHFL GDYVEYIRRFGTTDSYSTQLGELAHRLIKSFYRLTNKKDANKQISKKYNRMNALQSVD ASQIKSEKNEADAAAQDNKTHYISKSQNQHPAKVNFLPKLQNHLLARLLGQKFDGDTD EMFTNEDRYTVRIVNNTMYRVNTLRVNFTTYDMQRSYDTVSRNQPFIMLHSPETEPGT HPFWYASVMGVFHAQVQHIGPLSTDLAPQRMEFLWVRWLGIEPGYKFGRKQAKLPKVG FVPDCDEMAYGFLDPSLVIRGCHLLPSFVDGRTNNLLTITTPTEARCIGETDDWCNYY IGIFVDRDMLSRHFGTGVGHTNRTGAADIDDHDNDDDDETMEDCSGMGEFDSMVEVDT NSSDESESGGENSESDTDLSEGDNGYDDL JR316_0011704 MTIITELTTLVATYPVYATVIGALAYTLVVKKLPWKWLNRNPKR LPSPPGPKGYPLIGSVFEMPTERSWIVYDQWFKKYGDMVYFEALGQPFLVLGSLKRTG DLLEKRSSKYSDRLWLPMLIELMESDFNFGMLPYGQWWRRHRRSFKDHFNPEAIVNYR PIQLRSARSFLHRLLISPERFLDHITHTFGATIMSVAYGITVKESGDPYLATGHESQK GAAAAGIPGSFLVDMVPALKYVPSWFPGAGFKKKAAHWRQANQDLARIPFKYVEQQME NGTAVPCVATKLIEKLPDKSDPKYAEERKLAEDVAAVSYVGGADTTISTVQAFFMAMA LYPEAQKKAQAQLDSVLGGKRLPDFNDRPSLPYINAMIKESLRWNQVAPLAVAHVSSE DDEYDGYFIPRGTIVMGNGWTILHDPEEFQDPYTYNPDRYLKDGQLNPNVRGPEAAAF GFGRRLWVNLTFGSMCPGRHMNDSGLYCLFSSILSVFDIKPPVDESGNPVQLKPEFTS DYLTWLVPVQDKDWT JR316_0011705 MHPHSIPLSTILLTILSSSFAATIAHSAELTTRTYDYIIVGAGT AGLVLASRLTEDPKITVLVLEAGGSDANNTAISTPFLAASLTPNTMVDWNYTVTPQVG MENRTFAYPRGRVLGGCSSVNYLFHQYGSDEDWNRLASLSDDPGWSWNNMKRYVQKHE RFVPPVDGHNTTGQFIPSLHGFNGMVPVSLPGNNQSVDSRVLAATGQSGPKFTYNQDM SGGGHSLLGIGFLQSSAGGGVRSSSSTTYLAKADKRPNLTVLINSVVTKLVQTGNAHS GHKSFRSVEFSASPGTALSSTGPGNKPDTVTARREVILAAGAVNTPQILQLSGIGDSR DLHELEINAIIDNPSVGANLSDHTLLPNIFTVNPQHESGTFDGVLRDPIQLNARIVQW QTNKTGLLVNNIANNLGFLRVPSNNPIFKMIKDPAPGPKSPHWEMIVSNFFLSPGVPI PPSGGFMTIVNVLISPTSRGTVKLRSNNPFDKPLIDPNYLRTEFDIVTMRESVKSTLD FASAPAFADYISGRYGTAFQQATTDDTIDAYVRSLTTTIFHPFGTAAMSRRSAQFGVV NPDLTVKGADGLRIVDASVIPYVPSTHTQGPVYLLAERAADIIKESFHETG JR316_0011706 MKSDFNFSLIPYGQWWRRHRRLFNEHFHHKAVVNYQPIQLREAR ALLHRLLLSPEHFMDHIHHSFGAAIMTVAYGIKVKESGDPYLATGHESLKGLAAAGIP GSFLVDLVPALKYVPSWFPGAGFKKKAAHWRRANEDLSQIPFKYVEQAMVCDTLNPSG YALFTKSSEQENGTAVPCLATKLIEGLPDKNNPTYPEDRELAESIAGVSYVGGADTTV STVQTFFIAMALYPEVQKKAQTELDAVLGGKRLPDFDDRPSLPYINAMVKESMRWHQV VPLVCGEIHPMSHAAVGHVSSSDDEYDGYFIPRGTIVLGNAWFDQDISIRSAKLMSKQ DHIA JR316_0011707 MYHGTWGTAGLTWLCAAVGHVSSEDDEYDGYFIPRGTVVLGNAW TILHDPEEFKDPLEFNPDRYLKDGKLDPNIAQPLALDDERLHITTNSICPGRHMSDNG LYCIISSVLSVFEIKPFIDDGGNPVIPKPEFTSGMLS JR316_0011708 MPNPGGFQGLRKAFLLEQKPLYARAVEQQLVSETLADISRRFLK RFPLDKPESWEPTEDELAAVKDDEAEEEIPECDPETLSPEEQEILGKQKKERQHRLQT FRGTPKASSANNDAQNLFTLLLQQLVGKEPNRPRLKTPVNTWRKEKANRDAIEAEIES MDPLVPVKLLAKTRDEIARRLFQELTSEEKRKWTKIASDEHSDAMAKYNADIDISGES LTPEQRQRAIEGIGPFMTTILDSLCHITGWKCTFVAGGPEPANGGMLGTISVHSGVTC GDIQMNFGRAERFRYKTIIIPIFGDFLRKCYSKTQYKEWALPQDQEHLPMARSEAFRN EDESIQAIPIIGSENMPVSESVARTQKKKSRKRRNAVPTLRQVSPAQLSPTAENEDLV DVDSQSHLKGHNPFPEDWPYTLEADDDNVSGNEGSNGENSPQPSPPPSPAAPPSVPPS PSIPRSVPPSPSACVSATQSPKANLEHGTNRPMVEKVVSPLENTSTPTSISPPVGLPP RTNHPSVAVTNPTNAASTPTLVTNTPNTISRNTMGVTTAIEAVSKTSSKRKRDSKSKI SSKKRKANTRSTTQTSAPPPTSAPQPTSAPPPTSAPPQTQRLTRSTAPTAAPAQAKLP PMPTTSVVLTRNGKPVKSSKFWVYAEDDS JR316_0011709 MNPELAFHRQSHNPGYLQFLNDLPNVFIFIVRAPLHVIINEYRS IGVDSLLPLAERDLEEFLLSRQDSGDVHFIHPPHPDLSGPPRRITPVLVVVRGPIDNM LRRSTDFMVEHVLSVPKDVLNNFLDEHRKTGALQLVPAQSWSADYESAPSTRLRRLPD TVYVRWPYFGRLSAASTRIRVGRNPRQQAAGSIDADGVAIVRSSTHGQHANAALNSDN DNDIGNTSSDSSDLRESISVQPRRASARLKASTTASTTASTSATSDTRRSQRLENLRL VSSCPNCKGKKAHRH JR316_0011710 MPPTLRTDFSIDVALEEWTDLCGQTDGLFSLSPLTSPEPSPPAS PVISAKISLPEHGTPSLKCQDPSPTHDHAHDMLLPPPIFPLHISTSYSSSPPFSQCTI PSEEMVAQRISSTFVTEKGRAARTGFIGINDRSKSSRMYTLEELTGPTSEHKFKLVKW DGKKPMFVSDSSGRAILILAGHPDDGQWHNLMGLAVDALEIARTRIIPPKKPNRRGSF DSLHCGVSFGGGQTSPSNLRNNKVNQVLLDWLNGLEPFKRLAGFASGAMHTWAKDLFD AYASNLKELHKDPGLKHVFPSSIFSAATYNLGPCTVCHRHKDFSNLPYGYCAVTALGN FDPTQGGHLILWELGLVIEFPPGSTILLPSALISHSNVPISSNERRYSFTQYTAGGIF RWVAHKCQTKAKYLATLSPDGLAAYAQEESLRWIKGVNLIPVVLRE JR316_0011711 MTNALKRRRIGASSSTETYRDAIPLPDSFATIRSSEGTAEGRVN YSTILSAGAWDEATSWIPQDDNMYSLMPDSTGFDLAVEGDFMDGEDDDIVVPNAEGEQ QQDEIQSIPITPAVPGVKAKQSLVSQWNGSSFVDVTLKELGLIIQLNHAGAYCDNPIP CHSKMLILHTNGIHSCNIQYCGCSRAIPPHLQLLRRRIYPATQLAMKSCATFELLRHL HRLALTTKASTYDFYRCLEKSTTNLGIDVPKSRYRALMRMVLQWRHLQMLKWAGRGHD ERGAAGTLPGELMVKCPSCPHPGINLPDNWRDAPDNMKFLYAVMICLDANFRLKNQMV SNYSQDPGLGLGLAYMIHRTKYEAYVKSKANENDISTCVGFQALAKANSKFSKGLRYT GVGMGVCGRSEMILGVGNLHKDERYANMDYIFGTILKALTVVSVLASYDIACQWFLNL LRRMQEDWPPEIKPSSDMRFTPAIPKLHASMHKQSHANHDVYSLNLIPGAGQSDGECP ERVWGPHNPVGNATKTMGPGARQDTLDDHFGFWNWLKYTSMRITLLKRYRRAVADRNA QVEGHRGLTESVKKENPQLIDVWEKMCIEWELDKFPKDKKKNPYYMPSITLSEAQVKK DLAEKEAKFLAQGGSFQHATTSSMFISLGLELEEAQRRVRRLAKGVGPHSTIRQAGSL TEQRNILSTRIRAWEQLLPVYIPGIVQYQTDHPITTKTSYAEDAVLYLPSSIPEPCRS RICTPGLANIEKELRFAQMSDSLASIRQILIIKSRMIDFKNKNIRGQRDGTRSTTVID RVHERARFAASKYRSARAAHLALAGDGDWENTYRVLEDKDVRGYQDPDRLRPRVGRQG IYEDGHEPSLNVEVDEDDGINLVNQLRNRRDGTGQTRRTLSWIWTVTMGVRTEEDHDD ILRVEWAKSCARVMRAKEEVLLLKEEMRRTLAFLKYKSDWWVEQQSALPGAPKDLVEG ISAFAISQAEIQTSLANHFRKLWAAPFSENVEEDVDEDEDEVEEVEDVPLDEDEEDEF ENETEEPL JR316_0011712 MLIPTTLGTLFATYPIYATVIGTVAYAYVVKKLQAKLRNRNPNR LPLPPGPKGYPIIGSVLQVPHKNPWLVYDQWFKKYGDMVYFEALGQPFLVLGSLKRTN DLFEKRSSNYSDRLRLPMLIELMESDFNFAMQPYGQWWRRHRRLFNEHFHRKAIANYL PIQLHETRVLLQRLLFSPEGFMKHIELAFGATIMTVAYGIKVKESGDPYLATGHESLN GLAAAGIPGSFLVDMIPSLKYIPSWFPGAGFKKKAAHWRKVNEDLAKVPFKHVEQEME KGDAVPCLTTALIEGLPDKRDPSYAEARKIAENISAVSYVGGADTTVSTVQAFIMAMV LYPEVQKKAHAELDAVLGGKRLPDFDDRPSLPYINAMVMESMRWNQVTPLGMVLLHIQ VVHKYSH JR316_0011713 MSFDTLHWSSPTRKNNNEILSPIPNEIYLEILSYVRDSSFASRT LLKLVRVCRFFGVIVIPWLFERVVVKPSGENDAYSPQNCAEFCRSIHNGDEHAKTLAQ YVKTCVMVNWDTRKEDTGRWIAPLFALYTKSIQTMTNIQELDICLTHITKHVLKAMSC LPRLESLKLTECSLDPHVDIKHLAKLSSLKLKKISFIGIPPMAQELLDDFASIGILGS NPESVHPLLDHLNWEYITDLNTTNWYLVDLLSAVKGDLRLESMSLYPYFMEDNLDLGA LVSILVRAPALRDLRIVDPPSNFSTTLPEPLHLPALNILEAPPTLMASIVPGKPVEAV ELHDKTLSKHPDYILDALALSSKPIVKVRTTPEFYKAVPFWKYFPNLTTLGLTIELSH PSLNSLEKVSCLFSELGYTSDVHVQALSDTLCAWPRHPGVRSFHTRANMGDDSDAFLD LIRQNAWIKDIIFPQISSLRNCQFFYCLSWFYVEEDDHWKPEIIPSHAQEVVGRLLVA EYKDYDNCFETLLLHSKG JR316_0011714 MQITVQSIFVAAAIATSAGASVISSSAFAETTFFATSSAFGAPP EATVSANATVIATSAFFSDNSTFPTSSAFSFNHTFPTSSVFSYNGSFPTSSAFSDNSS FPTSTAYPITLSSYSAAPTTFIQPSYTSSGVQNATSTAVFTFTYVPIATVTVTKYKTK YITLYPPITASSFSGFPISSVSVSAFPTFSVSASAVFPTISVTAHPGFPTVSGSAHPG FPTVSFSANPGFPTFSVSANPSDDISSPPSATVTA JR316_0011715 MAPNPQCAALAAAKEKKGYSYGQIASGIGASEQRVIDIVTGAAK PTEAEFNAIANVLGVSSSAVPHTGVHSTA JR316_0011716 MMLRVLGKQVVSNTFQCLSTPRLPNWPCSSYKTSSLCFPLRKRH AGEISSQARSWPARHDEPEHAATTRPLSLPADPRVGLLSRLGSLTASISPYPTHELVA ALPDSKTPRFLLKSSIHPCTSNCVRHEINTHEVTSLNKAFLHFRMVCPLKTMRVKTH JR316_0011717 MYGHSEPKLAFTDNVASDKAFLEDAWPSLRESVVPVEKYPDLEP LAVPTPPIAIFVKSTASAIDAAMASILDDLDPSHPDKKIVIGFDSEWNVEVSSNGHIL HRGQTAIIQIAYKSSIYILQIGDMLAGGTLPSQLRILLENPNIVKAGRLVEADLKHLQ TASQSPKPFVGALDLAKYAKDKMVISSARCSLADLCALVLGKRLNKNVPERISSAWEN EILTRDQLAYAACDAYACICIYNKLSTLETPQVLPSQFNAGIPVLLFNTDKASVIARG KISSHKDDRQLDGINITTTRTAVDIEEVFVPGALISSHRNCSLASFGTPPFTLVCLRS HLKTFSPTFWTHHSSQSDSSSRAQTSPMSLDQSSNQDLLTASLAELDDNDLSLDATFD DDSIPSIGNLVVENHRPTSAPPITTDHPRNIDPESASLGQQVLDQYPNTWDSTIRSRV LKDVFHVFNMFHISSTHGLRAEFARQLRDAIFIPDGEDRAKISAWGAMQTPPLTFEQI QKLRPRWLWKRCKRIIPPADILHGLLKKVFETFGPLKDATTGAPLFNFNDWKTSGHIL DLTRRGFISDPPGIPLYAAIGIDSAAPSLTIYRCFRGTNMTEGGVHTHLRSHLPSSGA SVQHVYSCLADFTLHHNLVVGTFNSTGQKYRSHFSIWLTNSIQERLSFLHDVLINPVE ITGWVNGNLYIPTTETLGILPIPTSIRIATGMSEFIPSIDKKQRHAFLAQMQHTRKPI LPIHNDIEKQLFRDLMQANPDYNSLTSGPIWKKAVKVWNHYADINSEISYKLIEQLKS YYAHWKTNLNVKESLFTTYDIRKPLAAILSNPSRSVTAPRVPEKTLKPLSVDDGLLPT SVSVGTFNTNRSEVHGNNKDTPVHDDQQTPLQSANSDLLLPHSTTRKTFTTATNLAVH NRVNREALSEKRIRENGLVPVQNAAPHNVRVANGSSIATTVVVIVEMSNAKVGTPSDL TSLVMWDG JR316_0011718 MQRPHHDQQSQQQSRVYASFTESISNPSSTHSSPRTFPSDLDAF YPAHQLGINSGSGGSNVGTSSSSSGSGMHSHISHLQHSQTYPLPAGTQMHPYIPAHQR RPPLPHQNTHPHAPFHHLHQGQGQQYSQTHMQPSRSSSYSSTYTAPMPLQVSTSSSSV TQSEHDPNERTARPGNAHVHTQFHQALSAAAAAASGSGGGISVTGAGAVDAEGAGAGS GAGMAQERAEDGGGRGGSSAEICAETNQISSSSRPSSSHSRPSTSHSRSSSSASPPQA QQQQQQDYTASTPGLSAGLSRPLKPIEQERLAHLDRLKFFLATAPSSWDAAAKASGSS SGSSSSEGEFAYGGGGNGGFSGMGGALSMNSNLGLGMPLVEPAYHHAPPHPALNRFLL PNQEFVTCVLWNGLYHITGTDIVRALVFRFEAFGRPVRNMKKFEEGVFSDLRNLKPGV DACLEEPKSPFLDLLFKYQCIRTQKKQKVFYWFSVPHDRLFLDALERDLKREKMGLEP TTQVVGEPALSFTYDSKRSLYEQFSKAQGVRDGEGELETSLRIAEDGSGVGGQGVGAG ASDGAEGSGSVSGDNSDAHMHDESESESVDDSGMTGGEESDAAGSSGAHRTLKRRSGA PNGHPFFTNIPLFEGSPTYKQRRKKGTKGGSMLRKGSEDYPDEFERGRSVGLGGVGVG LGAGIGTDRMGSVSRERGARPPKHPSMLHEFGPGSEDAKAQEMAEMSAADMFLKQARG QLVPGDGVVRKPKPQYVAGNVSVVYHHDGSVQQAQQQMQRGQGQQQHARRASADVGSP DFSDPDGSEGPISASYMQTTFDPSTIAPPATGQTQQQQQGLTSISQYDAASPDGKVRA FVCPLYSCQRLFKRMEHLKRHLRTHTLERPFSCTRCGKKFSRSDNLTQHLRTHERTGH GAADSVAGGAGAGAGGDPINWMEPENPVVEGEGSTGGSPGQSMPGGEDDGAMPDMLDF GAGGNGIVMFGGEEAGLGRMVGIDMNVPYDIDMHGFSSDQLDERMCEVEVPGGVRDVQ GDEEGEVMRMGGVEPSLVFRQQQQQMPGSEFPFVSQPSSDFSDGQWATRPTSTHGSPA GGFARMYHTHSSSSSQSSNFGEDFGLASLSAPSHRQSFDHAGLYPPNLLEGGGIGPVR RHRSMTPSLARNGEPIRRPMTANSSDLQGVGGGSPGSVSSMNSNGGAGARGYHPYYSN NNSRANSTHNSPQVHSIPLGGELAAGRPGSRGSSYGGVSGLHEQMRQMMSMDGGGSGA GATGSVFGENAFRTGSPASFHQTESPGTFSIDLPLQYTGSPGFNPAQQQVLHAATMPQ FGSSQQQQYDGYYHNVQQPHATL JR316_0011719 MALVNSSPTSPKTQRSDSFGDEKGIVEEKGSKGSVEIAGSIQGD VYDDIRAIDMGEDGKERPIETDIDVATRLISLEDDPTLPAFTFRMWLLGLGLSCFGAV LGQIFYFRPQTVYVSPLFLQILAYLLGLVLEEIIPGPHNVRESMRTKDTAFWRFMNPG PFNIKEHVAITIFSTTAAESALAISIFAADELYYKLEPNVGVGIFTLIGSQLMGYGLG GIMRAFLVYPTYIVFPNLLPTVQLFDALHRGKKIFLQKKRVKFFWSVFVAIFVWEWFP EFIAPTLTGISIFCLAKRDSAWVSRIFGGAAGNEGLGMFSLCLDWNYVGSGGGSLGAL FTPLSTQLSLYFGTMVCIIAFCACYAANTWNGQNFPWLSQDLFYENGTTYDQLAILDE NFRLDPAKLAEVGLPWFSSSQVLTKIGSNLAIGATIMHVIIWYGRDIIEVVRKYRAGE NYDPHLAKMKVYPEVPMWWWGLIVSLLIAAIFLPFVVTVYAITGFVPNMQNLVQILGA AMIPGSPQTNMYFTLYGFNTVDQARGLIRDLKMGQYTKLPPRVTFVVQSIGAIVGGLL NFIIMKILIASHREILLDVQGSNILVPFSILIGLAVPIPFWILHKFFPKLGANRVVTP VLCWTLGYLSVGINSSVFTTFCLAVFSQYYLRRYRPGWFRKYNFLLSAALDGGTQVMV FVFTFAVGGGSGKVINMPNWALNPVGNPDYCQRLT JR316_0011720 MTSDGQAFTTVVTFTTVIQPGTVISTSPKALSSGHGTDVGPIVG GVVGGVAGLAVLIAFLLFLLRRRRTKNEFDGNFDPAYVSGRAGGVEGGGGTLPRIPLS DEDLGDDGMGGRLNSGVSGGGIISPYAYNPNANAGGGGGMSQVQPLLAGAAVGAGAAA YGHHHQHHNQGNAGPGARTSSSYYPATATSEGGHGGVGAQPPTPTSDVYTASSGSYYP NNVNPYAPPVGRGPSPGQSAAPSILSSSEHSSAGYGAGGVGAAGVYNPRSAKEIEALG LRAQQQQQYRPHVMNPDDPMQARHNAYLQYGPGGVPQQQMSFGAAGASSSGQEQGQVL PDMLRPGAGSPVHGDAHGRSTSVSSSAGAAGSAVVVHQDGGRVVMRKGEAVREGEGED EVDEAGRMKEIPPTYDSLVGAGVKGREEEEGRSR JR316_0011721 MAALISQVVSAIVGFKYGLKHSIASGCWITERVSAYVGSVCGEV FRYIEERSDTPVMVRGRNRAQITDVEAQNLRTTDADCNADFEEIEDLLRIRQEIMDLH TLLDNKRALTRPMTPEAHNINIDRFALDHRHRLNDIAIEAQIRAKYPSRNEYLAICKK YEVSPFRT JR316_0011722 MDVHDLSPYTKLNITGLMNRFVLLLYRQLLRGCREFVNEYGTGN SIATPAEFTPRKGHLDPQLPDSPIIRAVDYQRVTRRANLFH JR316_0011723 MERMFAKPLVDSLEGHIDAVEVLYRRPGSLTGVASGSWDGGIIL HNLATRKPIAKIPQAHKGKRQGYASLRMVKGFLAAVSIPLNVFPGKLRLSEDQSIHLL TFPTSTETITSVRFNERIIGARKYRIRRDIHAVRYQDWKG JR316_0011724 MECMNENAAFPLDFTYVLVECSRLVCGFMSSAGGGSRPNFPTCR TRRHQRQEEFGTAVASTTFSLAGGMPLPLRRDEHGMPISSVAPVGTSDASRESMDERG LLMEAVVVLVSVDDFLVGCRGGGECVCECGWACACGEGEGEEEDGGCERDDEDGGCVS EEASGIKDGSKGDAFSFGESTERGSGGSGGEVGNPFACSCISFERGLPSSTTPAPAVT SFSLSLSLSDEAEAAPETTDKSPDDLTVRIVSLDPFLRSVATLELDAELLVFLCSLPD PDPVPVPVLCFYLYCYQQRNSRVAEQRDGSGRVNVALSGGP JR316_0011725 MNLNSSFVLAKELKNPGIEHVDHLLFSSNGKFLVAAGDRDKVFI WNTETFRTEQVLENKQWGQVSCLAWAYTELPSREPSTVLCVGNVFGGMSMFLMDSRSV KPFTERGTVIQLFTPNDIVENLVFDKINGRLIASSHSGAVKMYSVDAVAGSANLVWEA PSNRPGIPTSVLFFGSTNEKLLSFGLENGDVCCRDASNGEVLWTKKLASGIGSAAISS DQTVIIVNNLNNGNFDVYQTPDMSPLQTLAVGDSVTTHKYFIKQCRFIEGTKLSTLTS SPKEAHMTQAIAVTMAESRKVCIAASSNGFIYLWEKRSQPFVGVGETQTQPANTLLHY ARTNGPFIAFVMACTYANWAPYLFALFAFLTSKAQYYGLLNANEGNLENLEL JR316_0011726 MENTTRQASSSGSLVEPVPMAVSEPYIGFHHPMVTSHINFTPVS RSAPPVPKTAMRINWEPRRSSADLPDDNDDPSLAPAPANPSQSTSSSANSPNPDPPNP AATAGPSQTTLPAQGVATAGPSSAPVGTSKVIIIRKPPGEPGRPGSGGFNLEDVLVGE HDWALSDVETLQDWLRREATKTLRLDASYRSQNPRLIERICNKAMRPEHWPVLANYDN CWPVKSALKLILKYKSEASRRVEGRKMAVRVRKAINNQEGSHESSSEGGSGSDEEA JR316_0011727 MSSTRRETLPLKERIVALLTPSATGSSTDQEPSSMDTSPDYPTE RLEQVEQGGAGATLSNATPSNVAVSFPIPPPGPAADEHGARTASIAPSVSQPTNGASL TNQVPVNAVILLPPRLAADDQGAQGSSVPNRVAPSVSQPSNGASLTNQAPVNAVTLLP PRLAADDQGAQGSSVPDRVAPSVSQPTNGASSIDQAPFRFATDVRGAQGSSIANSVSR PSAGHIPRIGSSDVLFPGHFRQNESSANTNPPPNPETSMDIDPTLPTSSNPSSTSTFP RFFIPVLSGNLFNFTRSDPNQSNQSKAKKRRAAPEDHTTKVQVIEQKDVDIAELSKQQ ARYPKSTFLIKQREEVLKKIIDDERAELTKSKNAIKNAEKKAERSKKEVEAVRSQYTK LSKAMRTEKESSKGLEETVKTQQGDLSSALIKLRRAESLSKAYDRQRLEAQERHEKTN ADLEAAVASRGQQLFAQISDLENDIACLQQEKSSEVSTLQRQLQQANSRIEDLSASQN TAALAQEQISSLQQQLRDANSTIENLNASQRAAATLQQQLQQANSRIEDLSASQNTAA LAQEQINSLQQQLRDANSTIENLNASQRAAATLQQQLQAANSRIESLSASQNTAALAQ EQINSLQQQLRDANSTIANLNTSQSAAHAQDQINALQQQLERANTTRVEDQQTITGLN ERLRVASNEITRLTAQLQNQREESQKQYHALERDLKSQMDLHQQKSADAENALKAEQT NRMAEMNQMKATYEDTVSSLRLQVSNLAQQCQANQGRLNELESSNTEFGNTTSALEDI LKNERIKNEVNLFPSLSIFDSDHTSKVLQARINSVMKNRTHNTEDDYEPTEIRRLDAR RRLIGINKRNAGFSIHSRIAQRGQSAVPPSNPPQNEASRDEDDEMVDSSPLRNSPRAP NSSPPLDSDDEHMEDGTTHQRPVPRSASPRRYIIPGFFPTRYTTIPPSQRRRDSNRAT PIPPTGQSATERYFAAAMQRLGRLPASNSTIAPTSATSAVNDPYRAAYQARHATRPTV SPVVDRTVPAMPTTPTTPRHSASEVRTSNTSVPPNSSTANPQLAAYQVRHANRSGAST NPQLAAYQARHAERSGASAQRAKSPATAQPAPPMANTPRHPTSTVTAPNMPSDDDQHP SPRPNTDNMLIECIQTMTASVNGLRDDLANGRGPRVRQTPTKVKSPFKEKAPARPRTI DRTQLTQAVRLHLRKCLDLPADHRDSQIYSDLDNIHIADEVEVDRLERRRRDPPTMTP FQLYFDRVNTQWNRHMANLFADSLVRQYPEYRGQEEEIEQCFLRRLTTLRDYMSNAMP RGDETEQDAGNRLLMEKEGRDRFKRVRRRQTKLYETRVDSAQQANMPNHARTIVTLGD DGMSSDESDSEERGIRSVRILYWRNPRLLNTVTDADNALPATTAYGGRRPGGQPDVRQ RLRQGQNYSTRPAPTRLPINYYNPVWLNTLSDLELRLLDPQAAQPFPGEDDEVNPAIY T JR316_0011728 MTLNARYYAVDANRAVTKKIHTLANASGRSPRLDLLMGGKSLQP HIHDGVVSIVNKGRTTRFHIFVKNHKFLPRNKSLRRWGNARWRGDVVVFRKGLSLDLV NFTIRDGKLADHAVKSTSSVAQTRVFRENRNEEDPRIRQFLDTEAQVDDEISEEDEQT GSGEIDREDGFIDDDTPPDVGHSVLPTQLSVHRHTEGALERLISRIESRINAAGDGGF AIPEDPDDTLHDNGLLYIPRADDYPLWRVECRVGIEEQAVMSLLSTVSEVHQVRSAFT RGSTQGSIYIECKMNQALVDLLLRTPGVLRNGLGIKRQLIDSSEYSQVLGMRDGPVDV GAWVVIKKGLYKGDVGVVSQKSFQHARILLIPRLHTTPQNPLKRKSSTVKPAAKLFDP DHFRQLFPTDVQSRGPDCYCFRDMDFEQGLLAQNLDHRSFTVNVKDIPHDFYTMFRMS QHPAVNRSHMPRPREWTLKEGDAVLICSTPSTSTSFFAPAILKVMDTYYAEVLEIGTH GVPLADNPTKRVPWQEIRKDVKIGQHVCVRGGHHTGKTGWVVALKDDRVHFVSKKFEG EIPTYFRDGDEVIESTEVFVNFVDIAKEPVVLHHKHEPEQTAIIPYVRQPESGPLPKT PPHPWCGVKVKISKQHHPRKGEYGVIQDVKENLDDNTITLHMQLTRYDPNAPFHRISV RYDDVVEFASSLELVLFLDPGEGHIRPTPTQTLSTPQPEQGHDTSAHHPYLMERPSGS ATPLPTPAPNNLSSPPSPAWDPSSRTPLSDSVASDPLWMPPAPPPHVLLNPKLVGVKL NAVVDGGDFSKQLIAVSIELHGNEVVLRHKKYHTWISLEPRWVTPKYPHPIHDNNLLV VIKGDHSGKYVRRIHHRLDQLTKKINIILSVVARTVGSPDSLTGEQLELDPQFLCTVP ETKKERELNWRVMNTTRAMHNRGPSQNQ JR316_0011729 MDDVDVSRIMHEEARIEIAPPMHPGAAPLFPPIYHQEQLRQLEQ LYFFSRRAAYCFIRPFHESQSHGQVNLRSKGEVVFEAASNYLKTTIDGMDTG JR316_0011730 MRGRISRLSTLCKCFPAVVRRCDVERFLNIGRLYPEIDPPEKRI DMHIDLLRRGEFRDMECVNDIVKIEAQSDQLAETYFDGFDTDLARKLVYVTAFDHNLD RRF JR316_0011731 MDGVVVQRRDTRSRADNTYERPNDPVVDSKDRADGAVAGAVKKQ ADNWPVEEAGASIEARLGGAPTGTDGAIAIHGDEAYVPGPDDVLVCAEGGGPVCSECE AGGVKWSPKRTTTTTTLQPS JR316_0011732 MAPLVSTLFDTDMEKTTPVTTTLSRKSSKRSKAVSRKTVDFSAE PVPPVSPPRLADPVKKETTAIVRATKLEVIELDEAFIDFWSDSLLDPITATWPTFIIC KFKSTVVPQLLYGPVQEGGKQKTLKWLVLEQAFTVRPAPPPSLSAVPRPESARPVSPA LSTSGRNRFSFWSMSRTASSSSQSSQKGKKKERALNVGEMGEIIEEPAEQAKQEVVQL KAAPSKSKSSKAEKAQMPIEKPVEAVKQLADTSDTPDLETAAIVTGAAITDAIAGAAA AAPAAKIPEPAVEIQAEAPAPAVEEPATVSETPAAVVAESVAPAAEVSPPVTEALVIS EPEAPVLDAPVEAEREAAVEEIQVQAPVSEPQPSTDAPSVVEAQETADASTDDDAAPV EASVSESQVAVPEAPSASFVAEVDESAPEPKAPTAEAIAPARGNRSKDPVLKQVSEER ASAIVAEAQEPVVESVPPLATAAKEPLVAAPAEVAEVLVESNRPPLLTTYRLQQRKPP PSPTKSQLRMSKNLRLFWQQILPRHQLRLPKLPQLMLTPRRRALRKNHGLWTTPVAEV SGASQPENLSQVLVSDVPVAVELQPFKADYQAPIVEAPAAEPQPQENLSALP JR316_0011733 MAALISQVVSAIVGFKYGLKHSIASGCWITERVSAYVGSVCGEV FRYIEERSDTPVMVRGRNRAQITDVEAQNLRTTDADCNADFEEIEDLLRIRQEIMDLH TLLDNKRALTRPMTPEAHNINIDRFALDHRHRLNDIAIEAQIRAKYPSRNEYLAICKK YEVSPFRT JR316_0011734 MSSTPLESTNHFLFTAFPVWSHVRSFCTLAARLVREYNSVVVTI ILSPDLLGKGQAEVDAELGSEVSESTRRRIRILATFQSKSTDIFSLFQPLAETYPAAY KTLFDGKSISCSVKGTLFDPVPAPRAVILDPLALAQIASTRAISGNNVPIFSWLVGHA ATIIRLFGPEKYGGLDDIKAKTEAEAVRRGVSPEEIGDSIITHTEGKILKIPGAPEMY DWEAMPQPPPFKSNMTPILNMQYQGMRQTDGAFLVTAYAFEKESIEALKSWHSEINKE VFVVGPLLPSIGGAVSDTTRGSSETELFLQNAQAKYGEHSVIFISFGTIHWPQNHEYI EEVVEALIEKNIPFIFAYASPIAQLSEELKARLEASGLGLISKWLPQQYILNHPATGW FVTHCGQNSVLEALGGGTPMICWPAGADQPIAAQQIESVLKVGIELIEVRLGEEGMKP LLRNGRKAQGTRKAVGKEFRDVLDLCRSEEGEDLRKNVLAIKAQFSECWGPTGASRRE YESFLKKYGVGF JR316_0011735 MKFHAPRSVSPSPERVTRRPRGTSTFGLYSDSESEEYNSEVEDS FAHSDTESASSSSANGSTFELVSDINKLSLRPRHIQRTPLEERQIEETISAIRLRARY QDPYEEWEKGVRRDALNTARKQFTEYEAQRNSEQEEKRRVQLEQLSSRYAAEAASIHQ RSEEMRMRALREETVLKEQWQKREKELWDRIEAVIKAEEDKLAKKLEEERRVREEEEK KRKEEELRRRLIEEKKLKEEAERLKAEAEKKRAEEEQLQREKEEEEQRKKLEEFKSKE GDAENKLREALQLAPAEEDWRVARTNLQNLKTGPIAFVKSNKEYKAECGRLRRLITPR IGQLTNDAESINRITKELLQIMRPPSGRPHEPTIYATLCSALAKAILLQAETEVTAEP RIAEALGQVAFTLCETLDNFASIFFAKLVQRCGGWPIGIIPPSHTLDNKPWESREDYT KASGWRKSMVAEGLESVKDRSQRIAAMMRVYFCVIKITPMQKPLDSMFQITRAWTWFA RITSDTRLLQDPVAPWLIFTALDVLGLEACNVWGKQWIKMLMLIYEGITTGYENGKLI GGDSTESAHARTRITFTLGNIINGCFREIVPTWVMLASSSGMSLTSATVSLLLVNFTV LVAIVLISSSKSLVKMASIKLFFNKLFQPILLATSIPPPLFSDSGSFAQSEFPRHSFP LEIKDWRDILVFLYTHGDAQTRQHADQYKVIDISRYAFKEAPEHQIIIAEVETGKGPR KLLRIERDTGAKDVSLDQSIISAPALQDTPIPDPTKWASTQKPGISFEVSHPSTSIYA AGCRPNSNAATSFVDSVQTTFKSDLTNYSLVDNVNLSSSFNLHHFAMLLVEVQSYTFQ QLNQQQEQTCALFAGIVMRVVADALGTGASTSVSPSAGLDISSETLKQIQAAFQRRRA AVDLQIKTGMSTEGSLPEHSQFTKRDIEHAEYSQ JR316_0011736 MSESLLYDRFPKSLPPYIGAVMLETFLYGLYVILFAICAYVLVR RNKNLHWILLSFAVAMFAIASADIIYTYYILFCKALKGGLTFNHLKPKYLMYVTNNVL ADTLLLYRCYVVWEYRKTIVVGPCILLIAGTVCGYIFEGSSSELFNLAYIYLILTLIL NVILTCLTAGRIWWLARKARLVLGAGLLQRYNATTTILRRGSIESGLVYSIYIILNLA LRNTKVVNIILDAGLIQVVGIMPTLIIVQVGLGRAVYDIEANQAIARLETNKGTIMMN RSYSDVIHCVRSREYHQTSSDNFAVAESEATLPLP JR316_0011737 MTHIFFSAFPAWGHLRALCILAARLVKENKNLTVTLLLPPNHLK KAVAEITAEFGGESSENNWKRLRAFSPFKLESEHPLELVQLMVACYPTVYQQLLNSQS ITCSITGDVFDSILPPDMVILDFFAHPQLLATREATGTKIPIAVFVCAHAATILRTLG PEHYGGRGDLNAKIEAEAARRGITPLEVGDSVYSHTDGTIIKIAGIPDMYDWEYFPQL LPFDLPVSQIMIQAYRGLRDSDVIISGSAQDFEPETLEAFKSWFTEWNKKVYVVGPLI PSKPTTFVIPSNDAQNTGLVETFLDKALAEHGRLSTVLLSFGSLFWPHEQGYLEEVIE ALIEKKFPFIVSYASPFAKISDDLLERVQSSGYGLIARWLPQLYVLNHPATGWFITHS GQNGVLEALGTGVPMICWPFEADQPAAAAHLSENLKVAFELLEVRTGERGLKPLLRNG RQAKGTREAVGIEIRDVLDACRGEKGAELRKNAQAIKAKFDKTWQADGISRKDFNACL EQYGIHLS JR316_0011738 MPGLTSPVSVTTTLHYFTPPAGGERAYQKALVDPVTGERTAEKN FDTEERPNIVIENLRGREDSVSLDKTGFQYFIHPPKHTSFTNDDEIKAEYYPESIELI KKLTGATRVVIFDHTLRRRIPGQKSPFNAPVAQVHVDQSSKASIARVHRHLPPSDVPQ LLEKRFQIINLWRPIKHAALDWPLALCDHTSVDPADIVPVALIYPDREGETLGVKYNP NHKWKYLRGMTPEEGVLIKCFDSIQDGSVAVFTPHTGFEDPSTPEGAPFRESIEIRTL VFYD JR316_0011739 MRYHLGLLLSLWTFLAYLVHAAPILKFGNSCTTNEDVSEICRRV NLIPVGHGVRHPQDDPAHPHHAHGGFTPLPPGTYVETHNHVYVDEQAIQYSHTEVNAA AHRLVHDAIPNAPTYTSNRMRKGIRTYPKASSGFRPTEHAHDPAHGHDLAYHYPFHGR PGTPHQNPQGQNSMKVGSDRIMAWRNHGDSHFNIGVSYHDPKRPIPNNANARNHPFSH APVKKGNATKVKALKAKKAVQRAWRKLKGNGGH JR316_0011740 MSASQNESDWKTSWASALVAEPYSPENVEQRLQDLLDGKTVLGV TGSDKKNAKNVLEGFVQGADWTFKPSAFDDVWHAVFKIAKRLGCTERIREATYFWTEA FLLDEAWDVDPPEEADKDHPIYGGEYDNSWISASLGDARLFSLGYGYSAFAHNAFLDG LGLGGEKSNSDSMRIASCAQLLAAGQRLKLHFHGGGDSHDTPGFAGRYLKARPPKEQE TAKKEGEAFWREVLKALEDQQSQARPRAAALLKLTLDHIKSDSPDKSSAEVAKIVWTS EQS JR316_0011741 MARQFFVGGNFKLNPASLQASTSLIETLNKADLDPATEVVIAPP ALYVIPVQQIVRKDVKVAAQNCYFKESGAFTGEISPKQLVDAGIPYVILGHSERRTLF HETSELVATKTRAAIDAGLKVIFCIGETLEQRESGQTAAVCEEQLKAFVDVAKEVDWS KIVIAYEPVWAIGTGKVATSAQAQETHKDIRAYLSTAVSPAVANSVQILYGGSVTAGN CKELAQQPDVDGFLVGGASLKPEFADIINARK JR316_0011742 MYSDAERAFWIQEAIAEVTATTSLHYLKHLYNTANVFLGLVPAS EFRELSTNANLLKVVIETKDSAHQTQYQLTTDLTNTIGRLTNHIAVLHKSLEDVDAKQ KFIEDNVAVMMRRDPNVAEFLAAYMILDADTSLLAVPLKEEVIQLRRKRQELLHNSPL PDIRVQPASNPATPEYDREVPHQAGTKDASHSLHAPRSDRDAFPIDFDELDSSVPKLV PSSPTDSDSSPPSPNYILSSVPDPTDEGAGPNHQGQHIINNSNYRDPVYRPRALYPGS SNSSTVRFQIPESHEQADQESLITAPNSPAITEADSMATSLIGLLRTPVHEEERTRFD LASRLTSPNPSTINDCSADADVLSYEAFVSSLGRRMSSTPPPSPQSGAEEEEDTGDRA HVTGSDASTVSARTQSGDGAASEAGSARSSSDTLLRAQGARASGAGNVQTSHALVRSR STPSLRHSSSASSSSSALPSVPDFVMLRSHRRLTRIATVLMEVLIHCITGPAGDLDWQ KIESSIHEGILEGDMRVTRRVLGSTARDGMDASQSLQDALPGSLLAVDGDAQPGSPLG SAPASDSSARSLSPDAHAPERPWTPS JR316_0011743 MAASLYDILPTSYGGRSAFARQDIPKGTSVLSCSGPYASVIFWK FRREVCAMCFAYAFESGKSKWSIKLGEDSKGAGGVWFCSEKCRDACLREYELFEGQGI GWWTQINAAFERLVNQMAKPSKPKTSTKIAPAARLAFLEDISAADVTQEFVDKAWMLA EDVSLEESKLGWVEVLTEFEMDTARFVLDGIVRKVAEDVNPAFPALDRVSSGRDGYCL GAGRWVDMLELQDNELSLVISKPYILTSRIRIYRFLRHLATSLSSQRKSTSGSSTPRG GANSTPPLLPFASITDKLRDCLSTSAEARAIMARDHGNVFGIWDMTTEDEGSEMLGWG AYVFGSYFNHGESFLDFGVSRIVNRLFSTDCAPNLKKFRNGRAIQFYSLRDIRAGEEL CISYVDETNPSVLERARMLGADWFFDCRCARCVQELEALAIAG JR316_0011744 MANLWELPDSRLTATLTDAMEQNFYSRCPSEKRPRHMRDLVDSS IESEKEDTADPAKNSSQPGNSPVYDESVFKALHQTFFRRIWWSGLLLLASDTLKTTTP LVNKVLLTWLTESYVFSKLTQPERDAAVSAGFSPPKGVGYGIGLAFAIFIMQEASSLM ANHYVLSQYIYTIDYPDAHLWLAAMTTGLYVRTAIIGNIFRKSLRLSGKSRTEHSVGK ITTLISTDATRMDGLSYIGHQVWEAPVQLILGIGLLIGNIGYSALVGVAVFVVSLPVQ TILVAIMYNQARKGIKITDQRVRLTTEVLQGIRLIKVYGWQKFYIDGIVKLRQQEIRR VGKSSIAVALLLAMFSFVPELAAVLSFITYALTKHDLNIAIIFSSLQLFNIIRIPLLL LPFAFSTVAEAAVALNRFTEFLTADELGEPYLIDPTQPLALKLDASFEWDTVPSLLDD DAKKEDDKDDPQKALAKVVEEKKKRKEEAKKKKQDAKKKKSGKTGEDELPVVDPKDQP DNASSEEKPFGLENLHIEIQKGSFVAIVGRVGSGKSSVLQAMIGEMKKTNGDVTFGGT IAYVPQSPWIRNATVRENIVFGCKDDDERFEEVIRACSLEHDLQILPHGERTEIGEKG ITLSGGQKARVSLARAAYSQSDIVLLDDPLSAVDAYVGKNILEQCLLQGPLATRTRIL VTHALHVLHTTDYIYVMDHGKIVEQGTYNDLVANSTVFSHLIEEYGNDLSGENRNKTS TNITQAGTGKSNKTIKDDVDDALMQAEERNTGAVSWEVWKKYLEMAVYEHFGIGNRNY WSCVLRLPVSRYYIRSLGNIVLRCLGLLSTLISGGQTSRFTVTVVALQRIYWLECIFK LRGFKSDLWNLYAETLTGLSTIRAYGEQNRSIKDAQHGLDMENRAFIMTIAMQRWLAL RLEFFGNILVLGIGLFGAGLRTSVTPSKISVVMTYTLSVTQVFSEMISLFAQSEQNMN AVERVLHYTELPSERDDAEPIEPPESWPAEGIITFRDVKMAYRDNLPLVLKGISFKTT AGEKIGIVGRTGSGKSSLIQALLRLVEPQEGEIEIDGVDILRLHFNTLRTRIAFVPQD TTLFLGLRTDAELISILQRAWLLPKDGPVDPSVEAKFSLDSVVGDEGSNYSVGERQLL ALCRALVKNSRIIILDEATSSVDAETDSKLQRTIQHEFASSTLLCIAHRLNTIAHYDR IIVMDDGRIAEFDTVLNLFDRADSIFRSLCEEAHLRRDDILKLRQEHFSK JR316_0011745 MSDSDASPRGSAVAKPPGAQIRRRAAGSAQVSRPNSTRAAGAGG SSNTMLKLYTDDSPGLRVDPFIVLVLSLSFIGSIFFLHISAKVIRALTK JR316_0011746 MLSVGPSSSCDVCFESFDTNLKAPTSITCGHVFCNQCVLQFRAA QNNTCPMCRTTYDFRYCAKLHIDADSLASEQQKAGCPPEDLALAARLHRAISAIADEG ISEQDLRQLIMDAKAFLHTQPRNLHKDLRIAYRMISFLCDTKSAFRTQTKEVHALKKE VKQLEECRAEIEKLRAENENLSQEVANLESEQTRLQKELDECQSTRTMERDTADAVEE SLRRHIERIRADAAAARDAYLGLVRMVRVCFLAIQTEFNLINIYLPQSNRLSTQYEEL RNQLSQRTVNAGQYSETYKSSNYVYSNSSSDLVNPLLDRRALQGVAMQDPKTPYLISP LPELTTALSDVPLPELDEDNEEDDEEEEEEEEDGLSTPDSDHPNEREFRTQLPPSAEM AQPLRSALRPSYEPSGLSRTAPISEYQGRARSSSNPIEHVSRSHSPAESIVNSTPPTS PPEDANRTRQHQFSDHHLHPTYNYYQASRGQPIPYSRNQRTESVTSSTPSSSSSPSHR VQEEEQQHTSRFPSPSLTTTPQPNAYQSRSTAEQRAAPAQQDNVSSLARLQSILTDTH SSSYSTSSISASMPALNSGRHFPPSFSISKGIGSSSSSSSSSTAVREAAVQREQRPSP PLRTDSSASTSSYSKMSNQNTYAKAQSSTSDQFSHLLASHYQSSSSYTPMPSTSPNTQ KMSTASAQAQKLEAERRARRIAEEEERAERHRAEQEPPPPQKLYSASSAAAAAASARK EQQQQYYSSSSSNNRSLQSNGLPASNSYSRSYDQGIFS JR316_0011747 MADDSAGRRMPFAFLTDLQRKFISAPSSSSIDEVPAYGLQGSFG PTIASLMNTYNTDPPVDELTRAHTELNQVKDIMVQNVEQILSRGERIELLVDKTDVMA GQATAFRRGARTVRRQMWWKNKKILALCVLVGLFLFWVLLAQVCGAGLNQCGSSPSKT JR316_0011748 MKKLHVDRPENIDDHKEIDLLQRLAISWETAPEQLEEVTTEVDV WLRDRADDACIALRKARAALAKTQKLEQSEASLQNTVAHMQERMQILHDTLIKERTLA IVQTEGYKTMIDGLTKFVSCLIQVCIQVVALKVQLEGAQALTHRYTHNPLPRPPEPIP TDQVPTFEQAVSESGMGMRVIELPSSPRRYPEPIASPHRYPYEMDSKSHRKGKSRSKH YDEASYRSSPSIQQQQQQQQTVHNPQNGSSSYVPAYLSSSRASTLQSRLDNTAQPLDQ FSLMGMYLKEFSAGFVNGQQVAARSRTSTAASPQEYPGRSYTALPAEAGPSRHSSVQP TAAYESSRHGSANSMYQHRHHQSSDLEYSRRSETTRPYRQEAPPSIISSSTSSLHHDR SRSRSPHHQSRPRSRTGTGASASAAMSPAPPVGQVQPLTIVPPPASMYSTPPPDPPVR TPSRRESRESAGPASPVLLLSPTVPQSQLQVTQAETQQRAPSIVSVRTHRTVLSSDTW GTAPLSNLESGSLISGLRAFAAGAEPVMLGGQEAPVFGELGSDGASVRTRASFSEPSG GPGAGAGDTAGLGLEMSPPREIVTMVGVLPPEEDPAVASYTNSSSRLTAANDAAYRSP TAVDPRAQYGWGMQQNSDSVIRSGSGRSRNGFGSASGYQTDSGDRSSYRHQRQHEQSA SGQWNISSSRRDDRPSSGTYRSPTSADHYRDHRGELLYPRERERERERERERSSHAPA ASSAYSSRNDDDRRHSSSHSHSHSRSQSYYRHDDSRSISSSDRYSRSSGASRAPPIHT RGHHLPLPEESPFPLTVESTDDDDDEEEEDEEQEEDEEGELGRRHAALDRQQQHRTNR HRSHRDSRGSGSRSGRGSAPAIDASSGTVESESFGNALGLQLTAGSAPLSAPSPNVAS LRSWNREH JR316_0011749 MELKLVYRVLRKISDWTVAGYYSETCVEGQENIPAHGPLIIAAT HHNEMIDIATLAATMPHRRRVAFWAKSTMFANPVSGAILSSSGAIPVRRNPNNGSNGN GNGNGSAASGSSGASSEAGSSTGAANQDKAAELAQRSVLFRDTSKALAADGVVGVFPE GTSYTQASIVQVMPGAAWAAVEFARYMQRGEGGTDVQVGKGIRLGKEDGDVKGKGKEK EVEEVKTGLRIVPVSVVYTDKSKYQSRIAVRYGKPILMDSYLDELLEGDPDEASRAVV KKIMGQVEKQLREMTINAPDWYANTYLNMGNDFDPMLGLQGYSMCCLDGKEHFMGRRK ECASKCMGGSFAKMFDLPPDCPPSEVESVRELKKALTKYYALLHYSGIKHSILSSLLP LSSSSSLLDVPPPHALPLQGILLRPTLSILKSLPLSLIRLVLFIPPLLLHFPGYITGK LSQRLATKGEEESPAQFKAIGGGVGIGANIALSLGVLWKQNKLGTLSALLGLGDWTQD DGRIAYLKRLLGVVGTVYCSVLVLVRWHKLLVNGVLQRIMTFCKLVSAFAFSRAADTL SATALEPYTTPPLPAVNVFIKRIKKEGLTSSSSSDTSLVVNGDSEVSTQRKQPAPIAA RKLVRHLLHARTGACVALSSHLKTLEDKTLLKYLTSKGAKLSV JR316_0011750 MPPKKVKAPVENTVSLGPQVAEGETVFGVAHIFASFNDTFVHIT DLSGKETITRVTGGMKVKADRDESSPYAAMLAAQDAAARCREVGINALHIKLRATGGT GTKTPGPGAQSALRALARAGMGIGRIEDVTPVPTDSTRRKGGRRGRRL JR316_0011751 MMLRVLGKQVVSNTFQCLSTPRLPNWPCSSYKTSSLCFPLRKRH AGEISSQARSWPARHDEPEHAATTRPLSLPADPRVGLLSRLGSLTASISPYPTHELVA ALPDSKTPRFLLKSSIHPCTSNCVRHEINTHEVTSLNKAFLHFRMVCPLKTMRVKTH JR316_0011752 MDLESSYPQQSTRLGGPLLSHVSERTFDVPMPSQPREKEVHTLF NVTTPAHFHESGSATPYPVDLISRPRKRGRPLGSKSKSRAVGEDTDSSAHRRPVGRPK GSGTKAQQTPVVKKAVGRPRKSKPQISIEFGRVTIPGTFQPSRPPSGLPFPLHRGNSM PNLSGGSPLVSVDPSTRQTLPNPLFAQNPVLPNVSEPTSLSTIEIIPDEDPQRPVELA DEDQDEDEETGMSGEGIGVDVGFEENQDEKGDELDPVDENVEDSEYQENTGRRIRHAR PVWLLEAFEAKVLESAPEKRDKHGLPPLYSKNCSFWFPRPAIYFILQRNKPSPHELWD IRFYLWDPQALFKRIPCPICKSTLQRHANISYPRRCIDIDSEFYLIGYRYRCSVCTHP KSGKHTVTFNSWDSRILSALPQDLAAEFPAILSHRSAISKRLFQYVRSSFQNGLGAKQ ISDTIRVHHLLKYDELHLQYLNQLAGRKLDKWREEKYMSFPRFDDISPHGRHGFTPSA QWIRNMYDRFIMEHIHDFNQHMSMLSAEICALDHSHKITKHIAKVNGEEIFTGLLTVT NERGEIRSCSLVATKSHSQYQLALKQMLHSLKMYGHSEPKLAFTDNVASDKAFLEDAW PSLRESVVPVEKYPDLEPLAVPTPPIAIFVKSTASAIDAAMASILDDLDPSHPDKKIV IGFDSEWNVEVSSNGHILHRGQTAIIQIAYKSSIYILQIGDMLAGGTLPSQLRILLEN PNIVKAGRLVEADLKHLQTASQSPKPFVGALDLAKYAKDKMVISSARCSLADLCALVL GKRLNKNVPERISSAWENEILTRDQLAYAACDAYACICIYNKLSTLETPQVLPSQFNA GIPVLLFNTDKASVIARGKISSHKDDRQLDGINITTTRTAVDIEEVFVPGALISSHRN CSLASFGTPPFTLVCLRSHLKTFSPTFWTHHSSQSDSSSRAQTSPMSLDQSSNQDLLT ASLAELDDNDLSLDATFDDDSIPSIGNLVVENHRPTSAPPITTDHPRNIDPESASLGQ QVLDQYPNTWDSTIRSRVLKDVFHVFNMFHISSTHGLRAEFARQLRDAIFIPDGEDRA KISAWGAMQTPPLTFEQIQKLRPRWLWKRCKRIIPPADILHGLLKKVFETFGPLKDAT TGAPLFNFNDWKTSGHILDLTRRGFISDPPGIPLYAAIGIDSAAPSLTIYRCFRGTNM TEGGVHTHLRSHLPSSGASVQHVYSCLADFTLHHNLVVGTFNSTGQKYRSHFSIWLTN SIQERLSFLHDVLINPVEITGWVNGNLYIPTTETLGILPIPTSIRIATGMSEFIPSID KKQRHAFLAQMQHTRKPILPIHNDIEKQLFRDLMQANPDYNSLTSGPIWKKAVKVWNH YADINSEISYKLIEQLKSYYAHWKTNLNVKESLFTTYDIRKPLAAILSNPSRSVTAPR VPEKTLKPLSVDDGLLPTSVSVGTFNTNRSEVHGNNKDTPVHDDQQTPLQSANSDLLL PHSTTRKTFTTATNLAVHNRVNREALSEKRIRENGLVPVQNAAPHNVRVANGSSIATT VVVIVEMSNAKVGTPSDLTSLVMWDG JR316_0011753 MDLESSYPQQSTRLGGPLLSHVSERTFDVPMPSQPREKEVHTLF NVTTPAHFHESGSATPYPVDLISRPRKRGRPLGSKSKSRAVGEDTDSSAHRRPVGRPK GSGTKAQQTPVVKKAVGRPRKSKPQISIEFGRVTIPGTFQPSRPPSGLPFPLHRGNSM PNLSGGSPLVSVDPSTRQTLPNPLFAQNPVLPNVSEPTSLSTIEIIPDEDPQRPVELA DEDQDEDEETGMSGEGIGVDVGFEENQDEKGDELDPVDENVEDSEYQENTGRRIRHAR PVWLLEAFEAKVLESAPEKRDKHGLPPLYSKNYQQYISFFNATNRLPMSCGISAFICG TLKHYSNAFPVQFASQHYNVTQIYHILADALILIPNSILLDIAIVAVSALIQSLESTL JR316_0011754 MKKIDPRIRQFLDTEAQVDDEISEEDEQTGSGEIDREDGFIDDD TPPDVGHSVLPTQLSVHRHTEGALERLISRIESRINAAGDGGFAIPEDPDDTLHDNGL LYIPRADDYPLWRVECRVGIEEQAVMSLLSTVSEVHQVRSAFTRGSTQGSIYIECKMN QALVDLLLRTPGVLRNGLGIKRQLIDSSEYSQVLGMRDGPVDVGAWVVIKKGLYKGDV GVVSQKSFQHARILLIPRLHTTPQNPLKRKSSTVKPAAKLFDPDHFRQLFPTDVQSRG PDCYCFRDMDFEQGLLAQNLDHRSFTVNVKDIPHDFYTMFRMSQHPAVNRSHMPRPRE WTLKEGDAVLICSTPSTSTSFFAPAILKVMDTYYAEVLEIGTHGVPLADNPTKRVPWQ EIRKDVKIGQHVCVRGGHHTGKTGWVVALKDDRVHFVSKKFEGEIPTYFRDGDEVIES TEVFVNFVDIAKEPVVLHHKHEPEQTAIIPYVRQPESGPLPKTPPHPWCGVKVKISKQ HHPRKGEYGVIQDVKENLDDNTITLHMQLTRYDPNAPFHRISVRYDDVVEFASSLELV LFLDPGEGHIRPTPTQTLSTPQPEQGHDTSAHHPYLMERPSGSATPLPTPAPNNLSSP PSPAWDPSSRTPLSDSVASDPLWMPPAPPPHVLLNPKLVGVKLNAVVDGGDFSKQLIA VSIELHGNEVVLRHKKYHTWISLEPRWVTPKYPHPIHDNNLLVVIKGDHSGKYVRRIH HRLDQLTKKINIILSVVARTVGSPDSLTGEQLELDPQFLCTVPETKKERELNWRVMNT TRAMHNRGPSQNQ JR316_0011755 MVSSLMTFRSTTRDLHMTLNARYYAVDANRAVTKKIHTLANASG RSPRLDLLMGGKSLQPHIHDGVVSIVNKGRTTRFHIFVKNHKFLPRNKSLRRWGNARW RGDVVVFRKGLSLDLVNFTIRDGKLADHAVKR JR316_0011756 MSSTRRETLPLKERIVALLTPSATGSSTDQEPSSMDTSPDYPTE RLEQVEQGGAGATLSNATPSNVAVSFPIPPPGPAADEHGARTASIAPSVSQPTNGASL TNQVPVNAVILLPPRLAADDQGAQGSSVPNRVAPSVSQPSNGASLTNQAPVNAVTLLP PRLAADDQGAQGSSVPDRVAPSVSQPTNGASSIDQAPFRFATDVRGAQGSSIANSVSR PSAGHIPRIGSSDVLFPGHFRQNESSANTNPPPNPETSMDIDPTLPTSSNPSSTSTFP RFFIPVLSGNLFNFTRSDPNQSNQSKAKKRRAAPEDHTTKVQVIEQKDVDIAELSKQQ ARYPKSTFLIKQREEVLKKIIDDERAELTKSKNAIKNAEKKAERSKKEVEAVRSQYTK LSKAMRTEKESSKGLEETVKTQQGDLSSALIKLRRAESLSKAYDRQRLEAQERHEKTN ADLEAAVASRGQQLFAQISDLENDIARLQQEKSSEVSTLQRQLQQANSRIEDLSASQN TAALAQEQISSLQQQLRDANSTIENLNASQRAAATLQQQLQQANSRIEDLSASQNTAA LAQEQINSLQQQLRDANSTIENLNASQRAAATLQQQLQAANSRIESLSASQNTAALAQ EQINSLQQQLRDANSTIANLNTSQSAAHAQDQINALQQQLERANTTRVEDQQTITGLN ERLRVASNEITRLTAQLQNQREESQKQYHALERDLKSQMDLHQQKSADAENALKAEQT NRMAEMNQMKATYEDTVSSLRLQVSNLAQQCQANQGRLNELESSNTEFGNTTSALEDI LKNERIKNEVNLFPSLSIFDSDHTSKVLQARINSVMKNRTHNTEDDYEPTEIRRLDAR RRLIGINKRNAGFSIHSRIAQRGQSAVPPSNPPQNEASRDEDDEMVDSSPLRNSPRAP NSSPPLDSDDEHMEDGTTHQRPVPRSASPRRYIIPGFFPTRYTTIPPSQRRRDSNRAT PIPPTGQSATERYFAAAMQRLGRLPASNSTIAPTSATSAVNDPYRAAYQARHATRPTV SPVVDRTVPAMPTTPTTPRHSASEVRTSNTSVPPNSSTANPQLAAYQVRHANRSGAST NPQLAAYQARHAERSGASAQRAKSPATAQPAPPMANTPRHPTSTVTAPNMPSDDDQHP SPRPNTDNMLIECIQTMTASVNGLRDDLANGRGPRVRQTPTKVKSPFKEKAPARPRTI DRTQLTQAVRLHLRKCLDLPADHRDSQIYSDLDNIHIADEVEVDRLERRRRDPPTMTP FQLYFDRVNTQWNRHMANLFADSLVRQYPEYRGQEEEIEQCFLRRLTTLRDYMSNAMP RGDETEQDAGNRLLMEKEGRDRFKRVRRRQTKLYETRVDSAQQANMPNHARTIVTLGD DGMSSDESDSEERGIRSVRILYWRNPRLLNTVTDADNALPATTAYGGRRPGGQPDVRQ RLRQGQNYSTRPAPTRLPINYYNPVWLNTLSDLELRLLDPQAAQPFPGEDDEVNPAIY T JR316_0011757 MENTTRQASSSGSLVEPVPMAVSEPYIGFHHPMVTSHINFTPVS RSAPPVPKTAMRINWEPRRSSADLPDDNDDPSLAPAPANPSQSTSSSANSPNPDPPNP AATAGPSQTTLPAQGVATAGPSSAPVGTSKVIIIRKPPGEPGRPGSGGFNLEDVLVGE HDWALSDVETLQDWLRREATKTLRLDASYRSQNPRLIERICNKAMRPEHWPVLANYDN CWPVKSALKLILKYKSEASRRVEGRKMAVRVRKAINNQEGSHESSSEGGSGSDEEA JR316_0011758 MNLNSSFVLAKELKNPGIEHVDHLLFSSNGKFLVAAGDRDKVFI WNTETFRTEQVLENKQWGQVSCLAWAYTELPSREPSTVLCVGNVFGGMSMFLMDSRSV KPFTERGTVIQLFTPNDIVENLVFDKINGRLIASSHSGAVKMYSVDAVAGSANLVWEA PSNRPGIPTSVLFFGSTNEKLLSFGLENGDVCCRDASNGEVLWTKKLASGIGSAAISS DQTVIIVNNLNNGNFDVYQTPDMSPLQTLAVGDSVTTHKYFIKQCRFIEGTKLSVCGS HTNKTLTSSPKEAHMTQAIAVTMAESRKVCIAASSNGFIYLWEKRSQPFVGVGETQTQ PANTLLHYARTNGPFIAFVMACTYANWAPYLFALFAFLTSKAQYYGLLNANEGNLENL EL JR316_0011759 MSPGLAKSVNAGKTLSQRSARVYRVHGVHERERCISSRLYLQFG TAVASTTFSLAGGMPLPLRRDEHGMPISSVAPVGTSDASRESMDERGLLMEAVVVLVS VDDFLVGCRGGGECVCECGWACACGEGEGEEEDGGCERDDEDGGCVSEEASGIKDGSK GDAFSFGESTERGSGGSGGEVGNPFACSCISFERGLPSSTTPAPAVTSFSLSLSLSDE AEAAPETTDKSPDDLTVRIVSLDPFLRSVATLELDAELLVFLCSLPDPNPVPVPVLCF YLYCYQQRNSRVAEQRDGSGRVNVALSGGP JR316_0011760 MERMFAKPLVDSLEGHIDAVEVLYRRPGFLTGVASGSWDGGIIL HNLATRKPIAKIPQAHKGKRQGYASLRMVKGFLAAVSIVT JR316_0011761 MDVHDLSPYTKLNITGLMNRFVLLLYRQLLRGCREFVNEYGTGN SIATPAEFTPRKGHLDPQLPDSPIIRAVDYQRVTRRANLFH JR316_0011762 MAALISQVVSAIVGFKYGLKHSIASGCWITERVSAYVGSVCGEV FRYIEERSDTPVMVRGRNRAQITDVEAQNLRTTDADCNADFEEIEDLLRIRQEIMDLH TLLDNKRALTRPMTPEAHNINIDRFALDHRHRLNDIAIEAQIRAKYPSRNEYLAICKK YEVSPFRT JR316_0011763 MQIFAPTSILLEGGQSYGSTGGHIVKVPGLPDMYDWEFFPQEVP LEIDVSVIIKMANEGLEGSDAAFITTGYAAEEVATDALKAWYAKRQQGCYVIGPLVPP KTSNPAEGMTGSAETEEFLNKMLQSHGEKSVVYMSFGTVFWPTKQDYIGEVINAFIEK NMPFIICYASPFASLSEDLIVKINSSGIGLVSKWVPQQYILNHPATGWFVTHGGHNSV MEALGSGIPMICWPFEGDQPAAAAYLSVNLKVAFELLEVRTGEKGLKPLLRSGKQAKG TKEAVAFEIREVIDACRGPEGKALRNNAEKIKDRLKKAWETGGSSRSELQSFCEKFNV DLIFFRQSALRVEASR JR316_0011764 MDFMSPAFDQESLSEDEISVGTVAQNYVKWQSSQSHNPALNQLI KSSAKDPIYSKENFSSSRVWQRQSSFEQIPGFSLLTTSDHSVPPVSSASASSSKPLSS SRPLTSRTRPSEYKARRKSSTVEAPSTDSSVSSTIPFLDKTNPTAFLDILKGVSARVE ANVGLRSRGSVLDPFHPSRIEKAKKRVRDGSVGSSAEAQSLKAKKVRIESLRQVMDKG KGRAADEEDLEGSERSYMLSIGSTSRTTSTASKSLSDGSFMDVDDCDKSMADSHADTA SPLLSRPGLTKTPSQAKMPPPPAPMTKHPTVSNITPSRISTSLYSSSSQLSKSCHKLP QQDHNGHGSRPPKYHPLLLQQQATTTTSSEHSQARNDVLQPQPIAVKTSSINETPKAE SRYDSSAMDVETIFPSSTPLAGSQQVRPPALGMRRTHTFPASGMVPSMQKSGVLPTKQ KGFKPPLLPSSQPQSSTSNSSASGTKGSTSSVGHNQPQNQPHRTHYATSRAPSGGSNS STSSSDSPTARVHSSSSSFSTSTSTSFNTSTPISSSSSSSHKTRPRTPASIAREEAIA KALAEAAGTAQAPPRNRGPHPQPQSRSRAVAPPPAPAYKAAPAHHPPLPPQPVRAAEP VAIPAPASPVLPEPANGDGDSSFGDMSFDMDALEETMRQYD JR316_0011765 MSSNCPPQLQLSIQPNTTTFKTSFEQFGFHLDSPVGGTDAGGSA ADTGNERNKRARSSSSLSQSSDTSDASRNTASTLASGSGSSSESSRAESSAITNEFPV ILPTARSTIDPPPRLPTPVIQDIDMAEVLPENDHESTGAPLPSPQQTEDNFRLSMARF NAFESEIALLRGSQPLSPSRTRSPTPPPTLPPLSIVSSSDQHVHQHQTTYHLPRATPP DLNFFRHLPPRSPSPFLDHFLNETESTPSIISDDVAGGEEISAGEHHDLQEGSSFQNA MYHLRTNSQPTSALRRDQNQQRPLRGADESAEDDNQVFSGFRDRLNTALQRLRPASPL VPGLEDSVDGVGNEVEEQALQEMEDEADGIEGETRNSEQDTSASSYRSTVHILPPDPP TLPPIPPIAADTDADDWHERPLIDDSMETYIPSSAPSQGELEVRARREAAMQRNVRHF LSLSSSSISGLSPPSSSSSSISGNPSLRDLPLPSPILRDLHSWMQERGPFGESSTGDA SNTSTPERLAASATVSQSNATNSHADQRQPIPTGAQPSQLSTSSGGVARVNEWNAYSS FSPTSASGSSGRASAEGSSSSASVSGTAQEPTGSSSFTTMDTSSSNSDSLANTTSVSS SRNTTDEAAVRSLDHGVGVGLGFGPGSARPMPSVMSSGQSPTPSRNEASDSEQTPRND SSTLTSTANGLGQPPIQRPITRVSSGSWPSWARESGMGPLPDLDLDFSSMAGTAGSSL SSPSMSDDWRSDAFTAAPPGDRPAAVRGSPPTTTTSISLDDSFLESTMTSLDIFPTTA APGPGPRPVNPSNSANNFRQAWSELHASPSSSSSSSHEHQHLLLSRLRHCPHNPRCVY IPGAQSSHTGAGSSTTPNPSTSEFQRPHVEPRRRLDADRHQEQHRRWLMDSDSDSDSI PLPNRIPSNSTSLLNRLHNINRAMDSTIPNPSQYRRLSSSAVRTESNQPQPNIPHPRL RTSHSHARLGSTTSLASETASERRRLDDHAAIARARAIRALSSGSRALDAESAANAAN TRSGVVDDGEVDERGLRRVQEAWDEIRAMHRQLHRNVSGDRSQNAEMNASDTRSSFDR LVQNAWQSLDNPDISLSSPEDEHSAWLARSPPTPTTTAPSAASEDVDMSFTGGADAHD AYWRTFLRELGIENPFDPGHRPSSSINQNRREGRGTDPYGLGWPDIALNNDNRSSGTA SSSTSPTDEGSPFTSIRMSPYATLAERNLPTFSTRDASDANIDSQPRRYPFTNYGRIP SLPSPDLGSSFSAEQAAQTVLASGLLTSRHLSGMLNRNSNFATPGNHGIGPSHYQHQS AAPSNSIPNGNHPRHVRPPRHVPLAAAHVPPTEPAPEWESMMDTTEDNSTDNGLFASM LEDREHIAQLTARHQARIANLRRANNLAEFAPGPFRNTLQHSLDQHHASRRRVGVAPS IPPSIPPLSFEDNNLASLRNPGPSSDLRTPEEGGLSPIGIPFQTGREMPARREPIRPP RPGPPAAPDAYSHPATSRSFASARAEAHRARAQNELFLLNRQMRMEASRSGDPSTQRG SAANSSLDLGDMEPAPFRRQTIEAMRNRYRSRYGTVAENLAHANRSPVYPGPLDEEEM MRDAATFSARVRRNRIQFPHEMIIFARGGGAARRGRPLGDFMRDEDFDESYESLLSLA QTLGDVKPRSTPAEVIEKMEKAQYKDWATEDSDQRCPICLDDIPFSNSATVPTGFTKS VCSNGFKRPIRAPCVANLSAPVQDSAVVILTPITIELIPSGVSQDENLLLLLLVRIQV PVKEDLLQTQTGVITRLHLPPIQVINVSIIIVIIIMASLRRHGGGVHLQHNLRITHTP SK JR316_0011766 MATTATKKTVHFVFSAFPAWGHAKSFCVLATRLVEENENVVITL ILAPNLLDKAETLINTELDFLNASDETRKRIRLLSTFKPDSPDLFSMLKPLVETYAKT YGTLVASKPITCAITGKVFEAVSTPDIVVLDMLATRAITGKKVPIVTWVTGHVSHSLR LFGPASHGGAFEDLWDRVEAEVARTGKTPQEIGDSVYHQTEGKISKIAGVPDMYDWEV FPQTLLLEVPLTEIIKTAQGGLKESDAVFATTAYGFEEESILAFKSWFASTSKEAYVI GPILSNATVKDSPGSTETKDFLDNAYAKYGKNSVLLISFGTIFWTAEQSYIEEVIEAL IEKKFPFILSYASPWAKMSDALIEKIKATGLGLISKWVPQQYILSHPATGWFMTHGGH NSVIETLGSGIPVICWPFEADQPVAAAHMTENLKVAFELIEVRTGANGMKPLLRNGRQ AKGTREAVGVEIREVIDACRSEKGDELRRNAQAIKAKFAEAWTENGVSRKEFRAFVEK FGLDIS JR316_0011767 MLILNSNSETATGAQLDLDVIGKIVHYITFFSDREKRTTLRSMS ELSRDFRYATKPLLFSSVTWPLSKHQDAGSGYSFPPEILWPYFQTFILDWPDDWRDDS LDIIDKGLLYLTGSHFPKDLVKLEQALPKMENMQTFRITCPFYLPDSLYLAILRSTSI KDLQILDTPFKNGPPPNSVPSNFNVQRLSFTPVGETNRVGEGPVDRRYRNMIYYTRPY RKNYWDHIGDLFHSRSVLGWVRGTIFRLACIETLTYLHVSGRYCWVEDLIELWWPSLE TLILTGLESVSYRTSLADIVGSMPKLEDLRVLFNELRPSGARRKLGFKIAPHARHRSR PTIFSTIRHFAASTTACNMDSALQFFYNLESLALIAIAKHPSLPIAYSLEYVDSLLDH MEVTGCSKHLKVLRIMLEDEPSPVLYRRIAVVCPMLESLEVEICGYHARAEEGHIGRW KEYEYAFERYEHIQRLRIAIPFQEAYVYHKDAVDPNDCMKEPRRQLGLHLASRLHTLS SVGFEYRMQVESHKFEDRWLDFSIQRIWDGSIELHELEQSWYPFPEVWTTVPLDD JR316_0011768 MTCPFYPPKSFMLAIVGCSTIKDLRVLDTPIKAGLSPSTLPSAF NLDRLSFVPVGETNRVGEGPFDKKYHDIVYYTRSYRKRHSTDTSNGGFFPRIMPGWAL VNIFCLAKPESLKYIQISGSYCSLHDFNGTWWPNLETLVLTGPEAIPDSTNLADVVGR MPKLWDLRVLFNKALASPARNIHSNQRPCFRIVEPGRDAEYAARSVQHVSEPTVFGQI KYFAASNVCSLDSAFKYFTSLEGLALIAVINHPRVPIARGEEETRSLLADLETTGSGK RLKVLRVMVECGITPSLISKLGTVCPLLENLEVEICGYRDGPSDLYMDMTEEWANALQ KYQHIEQLRVGMVFCQHDDVELDYDTEILQQREDRIECAKPPYITMPSGTPSSTDVHL LFSCVPAWGMYMPPDYYQAKATISTELCGCIDNDETIRRRLRILSTFKSDSSNLFSLL DPLIRSYETIYRDLWNSRPITCSMTAQIFDAVCKPDVVILDFFAHQQMLATRAVSGRA IPIVAWVTGHIAHISHIFGPTRLGGSDVGFTARIEEEMKRTGLAATEVGDIVYKRTDG TIVNVPGAPAMYDWEFFPQLLPFNAPQWVEIVIAAEAGLKECDAIFSTSAHAFEEESL AAFKSWFSTQQKEVHVIGPFLSSKKTVDESLYAAARDFLLHAQENYDENSVLLISFGT IFWPTVHGYIEEVIEALVEKKFPFIITHASPFAKISENLVQKVQATGIGLISKWVPQQ YILDHPATGWFMTHGGQNSVLEALGSGIPMICWPFEADQPIAAAHMSENLNVAFELVE VRTGEHGMKPLLRRNGRKAKGMRAAVGAEIREVIDACRGLRGAELRRNSEALKAKLAK AWGDEGAGRKELRAFLMKHGHEISCRPLQNGDICKTCLQNLLR JR316_0011769 MLHSPTGPSSWLRVSPLEVLITRACEPSLHEPNYALHLEVAEYI NQKKANNPREAAMMLARLANHRNPHVAILALSLLDTLVQSCGYPFHLQVSTKEFLNEL VRRFPERPPPFPGPVMSRILELINGWKEGICVESRWKEDLGNIRDMHRLLTFKGYRFR DAPRASNAAANATANLKSAEELEEEDRAAQSAKLQELIRRGTPRDLAAAQELMKSLAG ANPDAKPDYRSQALTELNKLEQKVILLNEMLDNVDAERGERFVTGDAYDQVSSILITA RPKLQKWISDAETEDPESLDTFLQINDQINTVLSRYEAFKKGDYTFASNPIPQELSNG GSSSNAGVSLIDFDDAAPSTSSQPVSNDLSGLFSSPPIQPLQQQPLSVGGSFGLQQQQ QQQPFQTHSPTPLFHNGAGFVRPASMSPPNAQSATPPASIVLPGTPQPAPSQLHMPSQ SPNYFSNPVNTGKAPIYSGMGMTPGTTVGGVGFGGMGGQPQQPFGSFGLNPQPQPHVQ FHAQQPIQPTQTSNTASTSSSGTAAPAAGQQAKDPFADLAGLF JR316_0011770 MPAVRTARKARKARSANAKPRKKPPPSDPCPKCGRIMSRASDLP RHMATHGRSKGIKVPCPYCGKALGDASSVTRHMKRWHPQYKPAQRAKTIQIVGSVSAQ RERQRYSTSAPVSNTVALINTQHADQGRSASSNVKARISHPPLIACHQTSTPAANVGR ERGSESLQLKVKEGFPSRWKTEDIIIMSLKDCY JR316_0011771 MGKFLQEIPDHLIEWILQQEMFWVATAPLSSDGHVNISPKGIKG TFHVVNSRRVWYEDLSGTGAETVAHIRENGRITILFHAFEGPARIVRLYGKGFFYELG TPEYEALVTSEQRLAGSRAVIGLDITKVGTTCGYAVPYYQFISHRTQLMDWAARKESV DQDDSANVPSGILPPSIYAQGEESTVNPKGMRYWWQQHNLVSLDGLPAFSINFGLGFP FVFSLGRLRASKIAIVGSNPNHSVAASISPATRLAIHGAEASKAPLSVGVDDSRYNTR SFLESFLSFLPFFLTLLIGVIIGRTYDKYAHVLSSTLSVGRF JR316_0011772 MKIPFGDLFKPSPAPPGFGEGKILPEGTSPLLSKLIFQWLGPFL EVGYSRPLEKEDFWELPKARLTATITDDVERNFYARCPPEKRPRHIRARETTPGEKSV EEHGGLTPPADILTAVSTQTTVPPDAELDKELAAKPSVIPPTRSFVKPWTWFSRKKEA KPEFDESLFKAIHTTFFVRIWVGGALKLFSDTLKTTTPLVTKVLLTWLTESYIYVRAT DAEREAFGLNKPRGIGYGIGVAIGLFVMQEAASLMTNHYQQTALTTGLSVRTGIIGSV FRKSLRLSGKARVNHSVGQITTMISTDATRLDRFSAFCHNLWVSPIQLAIGIGLLLGN LGYSALVGLGVLMIGFPLQFALVKVMFTQRKKGVKITDKRQRLTTEVLQGIRLIKFYA WEEFYSQQIGELRRQEIKTIRKSAIARSLLIAIVVFIPVLASTLSFITYALSGHDLNI AIIFSSLQLFNIIRAPLIFFPFVFSALSDALVALGRIGTFLTSEDLPEPYPIDSDAKA AIEVDGDFAWETVFNPSKADEGKFGKGAGGRPGAGGPGAGRGKGSGGKDGGKARTKPK AKPQKDESAGGGKWWQKSAKKGGKGGDVLPSTTKDLEKDSETGSMEKDTSSDDGKAKK KDKEEEKPFELNNLKFTVPQGSFVGIVGRVGCGKSSVLQALIGEMRRTRGTVRFGGNV SYAPQTPWIRNATLRENILFGQPDDEDRFRNVISACCLDHDLEVLPNGEQTEIGEKGI NLSGGQKARVSLARAAYSDSDIVLLDDPLSAVDAYVGKSILENCLLSGPLSDRTRVLV THALHVLDKTDYIYVMDNGVIIEQGTYQDLMMNSQIFSRLMDEYGNLEVEEEEQAKSD AKKKNKGDKSANGGTEGGGMKKGNPALMQLEERNTGAVTWSVYKKYLGFAGGVVWAPV IVLLLTLTQGAQVGNNLFLGFWTANSIHGFKQGDYMAVYAALGMAQAVFQFILSFSFA IASLIASLNLFRTALRRVLRSPISFFDTTPMGRILSRLSKDQDTLDTELSMTLMQFLS TFSSVIGTVALVFYTFPYLGIIFAPLSILYYIVASYYRRSSVETKRLDSLMRSILYGS FTETLTGLATIRAYREQERSIKDAERGLDLENRAYYMTISIQRWLAVRLDVFGNVLIL GIGLFAAGFRHSVNPSKIGVVLSYTLSITQSFSDMVSQFAQNEQNMNAVERVLHYSEL EPEGDLTTPNDPPPSWPSEGGIRFEDVELAYREGLPLVLKGISFQIKPGEKVGIIGRT GAGKSSLLQALFRTVELKGGKIEIDGRNIREVGLDVLRSRLALVPQDGTLFLGTLREN IDPQGLRTDAELISALQRSWLLPKSGPPDPVAEAKFSLDSTVGDEGSANFSAGEKQLL ALCRALVKNSKIIVLDEATSNVDVETDAKLQRTIQVEFASSTLLCIAHRLNTIAYYDR VIVMDEGKVAEFDTVLNLFDNETSIFRSLCDEANLQRADIVRIRAEHDIMIQS JR316_0011773 MKLVYASILLSLALPILAQSGCSTLPATINASNSKLNSPFVFLN GIQVVTKADFACRQQEISALFQRYELGTLPPKPQTVTGSYSGNTLTITVGNGGSTISF SVTISGNSGSSPVPAIIALGGSSVPSQSGVATITYNNNDIADQQGRSSRGRGKFYTLY GSSHSAGALIAWTWGISRILDALSTTTGHNIDVNRIGVTGCSRNGKGTYVATAFEPRI ALGIVQESGSGGAGCWRISDAMLRAGTNTQTASQIVDENVWFSPNFNQYVNSVNNLPF DHHLLAALVAPRGLLIIENTSIDWLGPQSAWGCQTTGAAAYEALGVTDRMGITQQGNH DHCVLPSAQGPDVAAFVNRFLKGQSANTNIVKTDGRNNVGFVKSNWVDWSIPALSEGG GGSSSTLGPITSISSSTSVTSIIDPRTSTTPTTTASPPTQTKYVEDKAGMGLRFASLD LLAHLSTTGTANACSLHKS JR316_0011774 MAITLDITGVLQAAAFWVSNKGGSNGWKLFLYFYMMLTALSVCL GNDPVILSGTAFLVYYTKVNELNPIAWLMSEFAAANTASMVLFVGNPTNVVVCEGFRI NNAAFTVYTILPFLACSITCFVALAAQFHKSKYLPKDLNVAGDLDVRSVLLDPRGAWV GSILLGTCLVVIIVVSFFHVDVWKISLPFAVAKFIYDIGWDHYRFLHKIPTGHDKAKR SDEDLANVEEDIVLDQLGHRRENPSGNVPKLLAETPRAVTFEDTGNLPSLMPSSTQTR NNTRSNTMNTTRTIVETKPGHREDSRKHTLSFPFLTRLRLVLLSYQNGMSARFPTLYT AFPRLPFALIPFAFSQFILIEGLAHQGWIDIFARWLVIATGRQMYPTIWLVGVLGVIL CNLSGTNIGATILLTKVVRSALVSAPPDSDVNLEAFSRAAGIALAVASNIGAVSFTFS ASLAGLLWKQILNQKGIFIKQTTFAWWNLAPLAVMTGVGLAVVCAEMAVLF JR316_0011775 MNGFEVKDDIPPLDEYVLRDAEKSIDHHADSASSYSGRGSGILS LFDPNYDSNSVLEDDSPYPEVRSAVANFDDPDMPASTLRAWVLGIAWAILLPGMNQFF YFRYPSVAIGGLVAQLLVFPVGRAWVRICPQWSLFGTELNPGPFTIKEHVLVTIMASV GAQSAYATDIVAVQRVSYGQAWSFACNCLQLGLLDLARKRRSRSGSSRDANRHQKVNQ IFGYATLTFATSALPLTKHFRYKSGLGFSLITLDWNQIAFIGSPLSTPWWAEANVMIG FFFFYWFLTPLLYYKNVWHSQYMPISSYNAYDNTGAIYNITRVVNPDATLNREGYSQY SPLYLPHHPATITHGEGYPSNAVQDEY JR316_0011776 MSLAIIHFYKPIRLQFGRSMREQPDIHARLMSQYPQGIVFFHSV TVLLLMARSNLWPSGMTIWALVVALLISVVYVVPIGMIQAVTNRQVGLNVITELIVGF MIPGKPNAMMIFKTYGYITMAQAMQFTADFKLGHYMKVPPRPMFWCQIVATVIAGTVQ LGVQSWMFSNIEDICTSTQKNNFTCASTQVFSTASVIVSFAST JR316_0011777 MTSISFFHAAAFFLVVLLVYSALRRRRVHRLANPRNLPYPPGPK PLPIIGNLFDIARDNETATYQALARQYGDLVFLSALGKHILFVNSFETANQLFEKRSA NYSDRIQSTMSHELMGWGFSLGHMRYGDRWRKHRRMFHHQFQQSVSPSFWPIQQREAH SLLRRLLHSPDRLDHHLRHNAAAVIMSVTYGITIESTDDKYIALAEKALEGMAKSAGP GAFLVDLMPSRTEWVPGASFKRKAREWRAAVTGMREAPFATVVADMASGKAPPSFVSN LLNDIVSEKIIEDDEIETIKNCAGIAYAASFILAVLTHPEVQEKAQKELDDVVGRERL PHFNDRRLLPYTSAVVKETLRGEFIIYIEVGILWHLSPLEGLPHMVTHDDEFNGGILN DPNLYPNPRRFDPDRFMNEGDKGPTQHLSPTDTLSVAFGYGRRFCPGRHMGEAQVWIS VACILSAFNITPALDENGRPIEVVPAFSSGMIW JR316_0011778 MAPPQLTRCAATILFLSFLSLFPLTLAQTGPSKVACPRNFIRPA GPVAAPALSAEERAYVSGRTANVLPDAWKTYLASVRAALPPGTDLPSYVSEILDPKPP KRPYIPSRPADLPKLGIALSGGGLRATYFAAGVLTSIDGRNTTHPTGSNGLLQAATYL AGLSGGAWFTTALAQANFPTVPELVFPSNAPASNDHFGGFLSELDITAPGADELQNAG YFQAVLSELVPKVVAGFPVTLADGWTRMIARHFVNGTTADTILNPGPHGDGITFSGLK DLPSIKAHAQPFPIVVWNAIPPALVENPNDPKQLADIVPGNSVPVGSEIWEVNMFETG SWDPSLASFIPTRLLGSTPAFGTGPNKVDAQCFEGVDQAAYVAGISSSVFNYLNGTTN LLPYTQEGALINVINATFPSPVSVRLDSAALPNPFKGVNPRTYPSSSESYLSLVDGGS NGEVLPLQPLIMRSRGVDVIYAVDAPADLPNSYTNGSDIVNTAARAKRFSSGKLYPFP RVPASPQTFIDKGLTLRPTIFGCLPEDERNGAPIVVYVANGGASAEKRAKGEAGLTGT PTVQTTYSKEMAQAFMDESFAIATQGLGLNSTSVAPGQQWSTCLACAVVDRARARRGE RRQGVCAECFNNYCWDGRE JR316_0011779 MLYQRRISRNTNPGRIPYPPGPKPLPLVGNFFDIPRTNESATFQ DLSKKYGDLVYMSTMGVNILLVSSFKTAGDLFEKRSANYSDRFQSPMSHDLMGWDFSL SNMPYGDRWRTHRRVFHHEFQPSMAPSYFPIQTREAHGLLRRFLRYPESLEYNLRQNA ASLVMKVTYGIQIADINDPYVSIARTALEGAAVATSPGAFLVNFIPIHFEYSEWIPKA SFKRKAREWRDAVNRMRNDPFKTVVDGMKAGTAEPCFVSNLLNDLDSRGEVIEDEQLV VKDCAALVYAASAESTVSTLATFVLAMLTHPEIQARAQKELDTVIGRDRLPEAIDRES LPFISAIVKEVFRWNPVAPLGLPHVATNADVYNGYYIPAGTIVIGNTWGIFNDEETYP EPRRFNPDRFMGDADKGLQQLSPMDPLSAAFGYGRRACPGKYMGEFQVWISIASILSV YDIRPALDDMGRPIHVTPEFSKKGMVCHPLPFKYSIKPRDDAARRLIEQTELN JR316_0011780 MAKNLKRKVAGPSSQREKSPRIEEDDAMDQDQDSVMDDSEVEGS DEPSDAEMHGLDVGEEEEEWKGIGSTMDTLPDTHPTGKKSHKPPTGEELRAIRDASDL FKSGSFKLQVDALLPNVRPKASRVPPLERFLFQLHTFIKDIPSVSPAHPLEAARKLMK KGVAVPYSLPLPTEDTNWKVAYEPPTEITLVGSWGNKISVKAKDGQPFGVDLALEMPN TLFQEKDYLNGRYFHKRSFYLANIAAAIQKSKTLNVDVSYESLQGDPRLTKLVLTPKK DDSATDFTKLNAKICILPVLSQTSPIPLHRLSPSHSNIRVSAASETAHDDTTHPTHLP TPLYNTALLQTLLPKYQLIVVHALQNEVPAFSDALTLLRVWANQRGYSEGTKMCIQGF EGAGPWWWSLLALLLNGEEARPNAPKSSRRRSVGKGLSSYQLFKAALEFLAKHDFEKD SVFVKAAEGHKFPPEEYKEHTGAVFVDSLSLTNVLARVPLGSLELLRYDATKALEALN QTTFSGDPFNGVFLKDCRDLSTRFDVILRVDLTSAKPRNTSVHSTLDIGSPANALLSS ISTIVRQGLGDRSRAVALLHPSSLSRSVSQAHPSSPDTIFIGIIHNPEHAFRLVDHGP AADANDQTALETFRAFWGSKSELRRFKDGRIAESVVWDVKTADEKAHVPAMIVRHLLG WHFGLGEKEVGTWDMAYDALIRLPPVVAGEIVAAKVQTGVKGAMMAFDALVKEIKKLD DELPLALLNVSPIAEALRYTSVFSPVAMPPSLAQRLPPTARYAPPIEVVLEFEKSARW PDDVRAVQVVKMAFMERLGSALMESVQGMQARVITGHPTDSELVDRAVLELITPEGWA FHARIWYDREVNLLDNIIGGRAALQPHIKTKDKEKERKGPDYHAALKAKEVYTRLFVH APRHHRAIAALAHRYGAFAGTVRLAKRWLAAHWVLGAHVGEEVVEVICASLFVGAGAG SGGVGVGGVGTGVGEGVEQTVRHLVPGSKERGFALFVQFLKEWKWEEGLFVPLYGGSN VPAATTTAAAAATSKASTASVAGPNKSVWKVSTEHDPSGHVWTYKGPDLVVAHRVRAL ASATYAYLGTLESGQLDIRGMFIHPTDDYDFVLCLDPGVIPRYVHNVGVDVEVLLGRG GKYANRTREEEEESVRVMPGFDPARMYFDDLQRIYMDTCKLFYDPLGGTQIGGVWDPT VREPRAFRVLGGFSCAPVKRASTEDASKSKSKEKDKNLVVLNQDSVLAEMERMGTGMV KKIVVVK JR316_0011781 MTEARQYGELATQLVTESRRSTQTDTLLKYNDSLVRSLLREQRS LESALSTLLIDGHAPPPALLIIQTAINRNKRCLLAYHAHRTDRLRDMYWAAGGALPHV LGNQETRAKMSPYEVDYLRAYHASVMEFRAEFAYELDITASIVDPPKDLQVLVRVVRD CGMIQTELGVIDFQKGQRFMVRRADIEHLIVQGYLEEV JR316_0011782 MAALYTDLKVQNVKMTIDTPTPSRSPAPPAPLNEKRGEGEVGAG RREKSVILRQVVTGLARG JR316_0011783 MFEAVPDGGLLVYYENRGAVDASNGGPGLRAFPPGLRMVSGDPQ RRARRYTTGEGSQAELAERAVEWECLRYTTGGAAAAGYNSVDNGESCRLRARLVWEWV VGISVDTDGAVGVLAIQDQGSRTPIARLVVLAIK JR316_0011784 MPLWGHSRAPCVLAARLVKEHESLVVSMIIPPNYFKNAEAEISA EFDDAPEETRRRLRVFSPYKSDKDDIFFNITALFGSFSTTYKSLLEANAITCAQTGQV FDSAPSPCAVFLDVYLSPLMFAVRAMSGSTVPIVGLCPLHASFLVHLYGPERMDGLGD LDAKIEAEAARLGVTAKDIGDTLHTMPVVSDFLKLAYKGFETSNAIFSFSSYNLEKQS IDAMRSWFSEDWNKEVYTVGPLFPSKPTTYSISSKTASGSSQVEEFLETSLKKHGENS LVLISFGSLFWPIISEYIEEVLEALVEKNIPFILSCASIYATVSDELVSRIHATESGL ICKWVPQKYVLNHPATGWFMTHAGQSGVLEALDSGTPMICWPFEFDQPCAAAHLSENL HVAFELVQVRTGVHGMKPLYRHGMQAKGTREAVGVEIREVLDACRGDKGAELRKNALE IKAQFSESWKANGVSRKDMHLFLEKFNIRL JR316_0011785 MPRKRQYHFLFSAFPAWGHTRAFCILAARVVKETENITFTLLLS PNHLAKARAEMTAELGYEMPDDRLRVLSPCKTDSDDAFILIPLMVQTYPEAYRALSEA KPGVCAMTGKIFNAIDAPDIVFLDVYTSPQMLATRAITGQKVPIIASVPLHASCVIHL YGPERLGGNGDLSGKIEAEATRRGVTPREIGDSIFYHTEGKVIKVPGLPDMYDWEHFP QLPDAFQNTVSDVIKLAYKGLQECNSVFSLTAEDFEPVSVDALKSWILEEWDKEVFVV GPLLPSKPTTYGIESDGGGHESSEIQDFLTKTLKLHGEKSLVLISFGTLFWPSIVEYL EEVVEALIEKDFPFIISYASPFGKISDSLIDKIRLAGYGLISKWIPQKFVLDHPATGW FVTHAGQSGVLESLDSGVPMICWPFEFDQPLASAHLSENLDIAFELIEVRTGEHGIKP LMRNGRVPKRSRDAVGIEIRDILEKCRGPKGAVLRENAQNMKARLKQAWEPDGVARRD FNTFLKKYGINT JR316_0011786 MSNFDVDIGAMDEVIFRLQGIVSDKMLPPMAKPASRDRTVKQQP YLRTAIAITGLGDIVFNKVMEKLEEVFLRFANNFPADSVSGYDPVLHKDTGFNVFHAH SQYFTKVSAYQDKSDNIGFHPLVDPDNMLASMVGDSFIHAINNKVQFLRREILPDGTA RYYSYNPASIRIGDIVEISVAFVAFPAQGNKYKFVVALRGILVLDQEAREKADILRMR SRYTPAKRQVAVLCRTKRQLYKGQIDIEDTQQRMARMRLNEDTVHNSNTMSQD JR316_0011787 MSSTDKHPLHQHYNTTGVVVNLWCQGSFVQLITTCQSVVECILG YHSTSVMNFVTFEKVYSLYPNATFGHQVSLLQPSADLKRAQKFLAKYHSHGLKFVFSI PSQTLKMDRHIQSRIQSIRDNVNVGYSSSRGHVLIVLDYDPYPELFSPGIRRVGDRHC WVYSLPLLPKANQTSFVEANLWALLLNEFDCLHFGVRRISGIALDFHYTAADVYQLHK RVKKAIKSWERGIRQKDDRVHATVLYLLSRKADIFWLHCPQPQSLLWNGYL JR316_0011788 MSYPTPTSPRRSRPYNDENSSSPLMIRTPNNPFRSPLTDLSSQT SPNFSTPGVSHFSQPLLYTFVSTPAIATPKPRKKRRVAQNVSPNTRRRLTEDWQERNS ASVEMQKEEEDRDNRAKKTRMLDEAFKSIRKAGFPTFRHFLEDALTAHDPSQASQISQ LVKNHGPALFSLGRKLQPAIVDDWIISSHRELVSMQCKALAELFQPPQLSRVTDTLSH FSIKQYLADAERVAPLICDILRQIGYPNGARESKYKNRELIIATALCMLAKSRNEHAT EFQTTMGMYFLASGTQSSLFAVLNHAGISLSYTQVILKLKQLSQERLKLAQTIARLQA FMLIWDNLNFRFIVAEQRHDAKSHFDSGTTATMVPLYGFKHGELSYSLKPPRLRHVLE LDIKAEDLLPSAEEALRVQQAQIWHISDILYDAFPDLRKRIAKTIPPFPSVLQIPLHK TEQYPLPAMHIDESSLDGTLQVFNSILKDSLKLTEEELKAHGLIICAGDQLSLSLLDK VSAIRRDDTDFMDNIGKYTLGQDGLLHVKFSHTRMVANEYWGKPNSKSPWSLWRINTL LGRKPVCAGWTAKSPAPFRPIWELILNLTLPAHILDAFRIFCSEETVEAWVKKVDHHD TIALVAQKIHDELCSGHRVAQLRQERAIKRDVPLENIILFNRDALHLRQLKYAIKQGD VGAVLDLITHLMLAFRGTGHTPKYADALFHIVINLKRMDPLLRRAWLFNWLANLTGKP NGFKEMDLLQEHLNFWLKVIYSAKGVNRTWAWLSMVSVCIFALRDVIRNVREEFSIPF NSIRHAMPSTSTDVNTIRTYLQAHRLQEFCPARENNAAAVEARDLMVIGAHYANKPSA FRNFRHTKFKTKNHGNAGEPDCVSADEAEDEEAQCLGNIDVGEDLETGLEDLLLDEEE YPEGLDAENVFAAVNEIINEMRSLD JR316_0011789 MGCDYRNISRVIVWGLSLTFCGFVQEAGRAARDLDTQGEAIMII PQSVMKENVSNSPAEINSIFELEVAEPETIEHNEVTEDVDEEGIRQEKSTAPAKRLKR FGKETNIHERRALLLYAQTKLCRRIIWNRFFQNSKKPHIDQETMYAVVTTGLKRGKKK KVPDEEAAYIRKKLTEWRDTILFGKVYPGITSLSGSLILSDKTIATISTCGHRLESVC DYTTLCAHVRWNRGHNEETGGPNELGRMLIQQLEVIYEVLDQQNQPIEARKHLQRKQQ QPTSTNSLKWKKPKTAHVFSDALPQVPLIWCTVATEFNMNTIYESDESGNMSDSS JR316_0011790 MASALSPRFQQVFPAPMDDVYMDQMDLKTLYRFSWTCKELNNCI SGYMRRAFCPKNLFVPIFKPNEHLLFRLLQFKTGLVISGSTVLHFTCFSGPTQSVA JR316_0011791 MSLNGRKMHHFIFSPLPLWGNVLHPSSNIVLLRYTILGHLRAPS ILAARLVKEHDNLIVSIITSPSYFKNAEAEILSEFGEVPDTTRRRVRLFSIYKSDTDN VFTHIRLLFQTYSVAYQTLLDAKPITCAATGMIFEAAPRPSALFLDVYASPLMQITRA LSGTTVPVIALCAIHVSFILHLYGPQSMGGEGDLASRIEAEAARRGQPVSSELGDEVF YHTEGKVTKVPGLPPMYDWEYFPQKLSPTPNVSDFLKLAYQGLASCDAAFSFSAYDLE KDSIDAMRSWFSEEWNKKLYTVGPLFASNPTTYGMVSDSNNSSSDIEAFLDKSLKERG KNSLVLISFGSLFWPIVQEYIEEVIDALIEKGFTFIVSYAPQFAKISQDLIDKVQAAE TGLICKWIPQKFILNHPATGWFVTHAGQSGVMEALDSGTPMICWPFEFDQPCASAHLS ENLNVAFDLIQIRTGEKGMKPLYRNGLKAEGTREAVGIEIRKILDECRGPRGEELRRN AQAIKTRFADCWKVDGVSRKDFNSFLEKYKIDLS JR316_0011792 MPGLTSPATVTTTLTYFTPPTDGERAYQKILGPVNGERELLKNW DSEERHNITIENVRGKEDTVSLDKTGFQFFQHTSKHTAFTNDEDIKAEYYPESIELIK KLTGASRVVLFDHTIRRHRPGTKSLNQPVSQVHVDQTNKAAINQVHRNLPAEEVPELL KKRFQIINLWRPIGTPALEWPLAMCDYRSVAPGDAVPVALIYPEREGETFMVKYNPDH KWKYLRGMTPEEIVLIKCFDSLQDGSVAVFTPHTGFKDPSTPEGTPHRESIELRSIVF YD JR316_0011793 MRPTVACFPVVLFYTSFLLTGVYKAFSAENVTTLFAVQPNGTPA PTLPSGYSVEDDGTTVFTPEASGVYVAEVLHSRIVVHGPSTALTLLWLLTCSSGVPEV TFSEDASSLRIDTRPQIGAHADIGSLECVLDKNSSTGRCSTYTQFTTFSGNLVPIATV VVSSAGESVGKVAPCCLSALVKSIGVLYAFTLMMSLVH JR316_0011794 MSTVEATTTALVTESAPDNKTRRYDRQLRLWAASGQSALENARI LVVSGGATATSILKNLVLPGVGHFTILDPLPVTPEDAGNNFFLDGLNSVGKSRAEEGV RLLLEMNDGVDGKADTRSLEEVLDTPGGKEWLGEFTLVIAVNLEKGPLERLAAVLWEE ESFPPLVVVRPAGFLAEFYIQYHEHTVIESHPETAQSLQIDRPFPALLDYAMSLDFEN MDVTDHGHVPYVVILVRVLEEWKKTHDGLPPQNAAEKVQFKKNILAMRKKPDEENFEE AEAQAYRAWTKTVVPSETRALFDDAKVISPSSVEAPFYKLVRALKKFVEGSGALPLTS TLPDMKASTSAYIDLQKLYKTRAEEEKEVFRGCLSEACGGDIKAIGEDMIDAFVKNSH ALKLLRGKRWAALDEDHEALVLATQTSSKQLAVHLALSALSNFLAKSKTSGQTLSAEA LTAEAQKLLPDGTELPEEFEDCVGEVVRSPTAELPNTAALLGGLVAQEAIKMITRQYV PINGYCIVDLVETWTGIL JR316_0011795 MAAALRICDDITILCASAGQFKPSIFLIFLQTNTPPAALLRFRT MKVSVQYVIIVTLMAVTSQGATIPRALSSLDEPNESSVSNAGSASIGGSYASSSFLFF SSASVSSAASHFPTGSPSSFSAFPSGSAASISAFPSGSAASFSAFPSGSAASFSAFPS GSAASVSALPSGSAASISVFPSGSAASFSAFPSGSAASFSQVPTSIAYSAL JR316_0011796 MTPAPWTLRSHACFFVLFLLLNRFFLPTGAIIITLPLQFNTSVY DYVIVGGGTAGLVIANRLTENSSITVLVLEAGINDQKNVPLQVPFLGPILAPNTEFDW NTTTVVQPGLNNRTVPFNRGRVLGGSSSINFMFHQYGTMDDWNRLASVVGDPGWNWTN IRQYIAKHDKIVQPADAHDTTGQIVTADHGTAGEVPISLPGSNLSIDSRVLSTTQQLS AEFPFNEDTAGGNHTMLGLGFIQSSIGDGTRSSSSSTYLAGANDRPNLVVLVNATAVV LLQTGMNASSGEPVYGKLQFVQSPPPGNLTLGTKHTVTAMKSIILSAGTVGTSQLLQL SGIGDSTALTRLQIDTTIHNPSVGANLSDHILIPNIFQVTTNDTLDSLLRNTSGAAAA AMAQWKLNKTGDFANTVANNYGFVKIPDNSTIFKMAIDTAAGPNSPHWEIIFSNLFFQ PGVEVPPTGNFLTVVTIIVAPKSRGTVALQSANPLIPPLVDPQYISAEFDKLAAIESV HGVQRFLAAPAWAGYISAPFGQTFAAALTGNDTLIEAYVRSVALSAFHGVGTAAMAPV GATWGVVNPDLTLKGAGGSVRIVDASVFPFTPSCHTQGPVYLLAERAADLIKAAQ JR316_0011797 MEAGLNILLSNVSKLYSTFELEYVRSKTTTTTTSLLHLPLLLLF FFLTSFLPYTHAQSRPLLPLNRVSSFTSSRLSPASRSFAIPKQDADGEYGVSVALCSS ESSANVDKNTNLRFFVTNASNWDTQDDPGPSSPGFQDTWEIELKDGVGSWRGMFPNGG VLAVEGDEDGVDFDVGVAEGDPIHQTLDALPLLGDTTSNQALIFSAPFLALPDNTDLN RRPTYPNYTLPAANMSQPPLPAGVSPPNMTLLITVTNPNNVELRTGCALLTREDSVGT IASETVWARGDALGWRMQWVVEGLTPSTNYTAYVVVDETKVSGPVYFATKSAAFTCPL VHSLPYCPSISYAVPLPPPSNGDTYTDAASTLPSTLTTPLLSYFANFTAVLTTHACGR DWYSPVVGCDDCERAYRAWLCAVSFVRCGEEPASGASLAEGASGPQKRQSQQQKPLTI PTPPRPALQSIPPSSTPRNPFLPVLQTGYTALLPCVEQCYAADRACPPFVGFRCPGVV EFGTTTVGAKASYGVGYLDGEDGEEGAGATRVAQDRWGNVWCNYV JR316_0011798 MTTLANKTVVVVGGSSGIGFAVALGALQSQASVVIIASSAQSRV DDALARLRSYNLPGEVRGEVLDAKDSNAVKEFAISLGTVDHIVWTSADVPKAEQGTGT FPFSNADTVEQGQGSFTVKFWGPFILSKHAKFHPGGSLTLTSGLAGFKPFPGGSLGSG VSTALEGLTRGLAVDLAPVRVNLISPGLLVEKIFGQHKDQAVSTLSEKTVLKRGGSPS ECAEAYLFVMKCGYITGQNICVEGGYLLT JR316_0011799 MVKENERLRQLLYYRDTTRKKTYSSSNPRHMTSTENLNELAEAE WRQGWKAMLKEAGKILKARRKAIDDAEKEAALEVRMAEQASKRAEREAEKQRRDTEKE VEKEKKKKEREAKAAEKAAEKVKRAEEIAAAKVRAQVHIRGRGGGRKRVTRRAVTETH IDDDADSDQGLATVAFDEEWKRIRMIVYIAPEEPQPPPAHHQPNPTSLRNNNDAQAEP PTVEAADPPLINLEDVGARRYPRRKTHK JR316_0011800 MLSQSGLSYKQIREIWACADRDGRGQVAQMELAAILRLAGWVQA GRDVRESLLGKGPLPTIKGISDKYPPIPKPVTIVLPPVTADVLEGLKEYFLECVPVSG ALEKREVMAVYMQCKPDLSLEALYRVMQLVDPKNDMCDFRGFATGLHVVQNLDNATLC SSDLPDLVKSCKGLAHISDTTEIYQSSTTGNSESRKAPTAAVLNTPSPRSMSGAGPNA NIPHPRASNEPLVDVKLRPSVDLLQQIREDLSLVTSQLQTAVRGQAQHISEVDKTVLS LTQENAQLKQECYMLRAQLANKDTEYLNMVKFLNEHMTTLQSTVDAIMGSQEATYESE GPTRRDVNDVPFVTEYSPTNQPFKNDRKGPANQDSRISIVALTDETQLGKIKNSRYWP PVTDPDIETANPQIYMPHQPNKPPPSLPLLLDGNAPTRPRFGPRLKSNTTSPQLHLHG LDRLNHSKTPEKDEDNEGPSQIAMVSLGSNGHTQSTQPNAAVLPALNSLSNPDYVTKK FMTSPLDPSLPYIPQSDKDMESIRLHVQHKGNIDLYGIEMSERCSSKNGLDVSVQSPM DSSFFQQHVSTSLESTSHSVPDNHPPVSSDIPVSSGVSTPPISEFVSSWHGKEALRFF VFVLRKFRGLDFPFSPPSPISDRCIQSCNSPVLVVP JR316_0011801 MPDKKNYHFLFTYLPLWGHTRSCCVLAARMAKEHRNLTVTLFLP PTFIKQAEAEVLAELSYGDEVKEVLQDLRRRVRIVVLYKSSSMDLMEQMTSMFEAYPA TYKTLVDGEPVTCASTGRVFEGVGAPDIVFLDVFASPLMAITRTVTGLSVPIIGFIPV HASYALHICLPAHMGGLGDLGVMIEDEARRLGVTSREIGDTVYYRTEGRINKIPGLPA MYDWEHFPQVLVTSGSTAIISDLIKLAVQGIKDCTAGFSLTPYDFEPESVDTLRSWFV DDWKKELYVVGPLLASKPTRFGVTDGTSALGADVSSTESPEVQRFLDNAMQEYGKNSV VLISFGSIFWPTVNEYIDEVLEALIEKKFPFILSCASPFAHIAAEVLEKITASKCGLV SKWVPQKFVLDHPATGWFISHAGQSGVHESLDSGVPMICWPFEFDQPLASAHLTQNLN AAFELVEVRTGEAGLKPILSLGGRAPKGTRAAVGAEMRAVLDACRGPEGEEKRRNMEE LRRKVRGAWGRYVKGRSRRDFEAFLEKFGFDLDEVDV JR316_0011802 MATIANSTYPEIPADVAARTGPRLLGFMFHWGLFGALCVQIYIY HLAFQKDPIQNKALVYTVFVIELAQTVFFTQSAFYIFASGYGDFADFEQIRFTWFSAP LLTGIVAFIAQSFYAYRIRVLSRSYIVAGITAFLALVQLGGAISTAVVQKHTGLITLL LGHNYSISAGIWNGTSALCDVIIASSMTYYLSRRGTEAMTPTKMILKRITALVIETGT ITAMVAIVNLVLSVLPSKPSYFLASSLTLGKVYSNSMMAVLNGRMRVDELTDGTTLAS PSGNAGTSSATAVRYRNGVVSHPGLEEAYEMSKAADGIKVTREELVFPDPDRASDTRN EELDHGGAKGFLV JR316_0011803 MLSDRYGRKWPLVFNLILVSVLELGAGFTQTFHQFLAVRSLFGI GMGGVWGLATATALENLPVEARGIASGILQEGYAIGYLIAAVINLWLVPEVSAGWRSL FWTASGISLFAAFVRVLLPESEVFLRAKNSDGNKEKTAAAKTKIFIRETNTMLKKHWM LCIYAVVLMTGFNFLSHGTQDLYPTYLTATKGFSSHSATVATIIGNCGAIAGGVFGGW LSQHIGRRLTMIIHVFVIAAFIPLWILPSTFGPLSAGAFFLQFGVQGAWGVIPIHLAE MSPPAFRATFPGLAYQLGNMASSASAQIEATGGEHLRTTIVQKGKSVNVPDYASVQGI FIGAVAAFVILMILIGPEHLGSHFEKHKTAFEEGQESEINDNDPHVATLKRDNSQRSS EVDEKKSEEQV JR316_0011804 MELLVLMGNPAFYNRSSDKQMAVIGATNAPWLISRPILRRFLNR RYCPLPSVDARKRILEIGLGDKVPSDLSPVDYDTLALMTNGHTGATISRLIKQALAQA SERHASSIHFKTTNVSAEDSDLVECMPCFPDNSGVSGVSGPQIRQLDAVVLPPVTLTD FLIVLNDADVGEKIPEFDTEKYEQWKV JR316_0011805 MRYALTTAAFIASAAAHATFQQLWINNVDAGSSCVRLPNSNSPI TSVTGSDIACNVAGSSRGVCPVNAGDQLTVEMHQQPGDRSCNSEAIGGDHFGPVQVYM AAVSDATTAVGSSQNWFKVAGLGMVSRSPNYFGTQVLNDNCGHFTFTVPRDIAPGNYL VRAEVIALHVASQPGGAQFYVSCYQINVSGSGSAKPPTVKFPGAYSANDPGILVNIHQ SLSTYIVPGPTPYGTTIPPVASTPYPTTATWNTANQPKTVPTVVPGSPATTVSTGQGG PTSVSTSFTTPTVTPTSTPGGSPLWGQCGGVNRMDWRHFLCTGNVQSTQRLLSSVRKL GRIRSAYLCH JR316_0011806 MAISNITEATHIVFTAFAAWGHVRPFCILAARLVQANENVVVTM ILVPPFLKKAHAEISAEFRDTASESARSRLRVLAPFKSDTENPFDLMPLITENYGRVY QAVVDGKPVSCATTGKSFEAVPPPKLSVIDFFAHPLMLVTRSITGPSVPIVAWISGHM GTLIRFWGPERFGGGGDIAKKVQEEVARTGAPVHEVEERLYSFAKISIGKVVSIPGVP DMYDWEFFPQALAFDLPFAEVIKWGQAGMKEADSIFLTSTYSLEPITLDAARSWYKEW NKEVYVIGPLLPTGYGTMRQSDRGSSEVSEFLDKALVEHGEKSVTLISFGTVFWPKEQ DYIEEVLEAFIEKKAPFIICYASMFASMSDNILQKIKDSDLGLISRWIPQQYILSHKA TGWFITHGGQNSVMESLGCGIPLICWPFEADQPASAAVLSKNLQVAIELIEVRTGEKG FKPLLRLGHGPKGTREAVGEEIREVIDSCRGEKGALLRENALKVKEAFAKTWEEGGDA INEFRKFASAYNLQLL JR316_0011807 MTISTISRTFTTARLVCRPVGSNLEKIRIPSRQLTRRGFLTVIQ QGHEGWRLSLGRDPVKLTPGLNIKIPLYHTLTVLDIRESSVNIPNLPGYTADNVPVLC SGSLFYRINDSYKACFEVSEVEKNVQNIGTSAMRSVLGSFTYDQVIADRNELNRKLNV TIGNSISNWGVECTRFEVQSFQPANREVERQLELQMEAERNRRKQLLDTQAQINIAEG QKQRVILESEGHLEAKSNEADAKYKTVFREAEARQQQALMEASALSQQVENIARSLAP NSNPDEVTSEHRKLALATLVELKRLEQLRAIAESKSNSTYFFGDKAAGLGSPNDAFNI DYAQNVKAAVSDGGRASKNTSSNPITSGAIL JR316_0011808 MASPTDFSSSHILFSAAPAWGHVRPFCVLAARLVKENNTVVTTL LLSPNLLKNAKAEIDSEFRGQETQSSRQRVRVLSAYASDAEDPFSLIAPLIQTYPAVY QSLVESKSVSCSVTGTVFNPVSPPNAVVLDFFCHPQMLATRAITGNSVPIISWFTGHV ATGIRFWGPKTLGGYGDIEKQVDEEAARTGRSPKEISDELYNFPKRANGEIVRVPGVP EMYDWEYFPQNLPFEMPFGEFMKIAVNGLREADACFVTSSYLFEADSINALKTYFSEL KQDVFVIGPLLPSGYGIVSESARGSRETQEFLDKTQLRFGERSVTLISFGTVFWPTVQ GYIEEVIEAFIEKNAPFILCYASPFANISENLKQRIARCGLGLISKWLPQQYILNHPA TGWFMTHGGHNSVLESLGSGIPMICWPFEADQPAGAAHMSINLKVAFELIEVRTGPNG MKPLLRSGHKASGTREAVGVEIRRVIDLCRGDQGKELRQNAMELKTELSKSWDENGIS KKEMKRFAETYNLHLF JR316_0011809 MALASRLLEMNTGSWNPSEYLGVETAIGLFKQSGLSFKQIRDIW SIADKDGTGKLTKPELAVVIRLAGWVQAGEILDEKLLKTEGPLPKIKGISDAKAPSIE QTLPPVTSADVAEFKEAFFSRSPVDGLLPKRSVMSLYMASDPDLSFELLYRVMKLIDT KTGFYDFKSFAVGLHTVRSLNKALISSVPSSISSEDLESMEQIFETWDREGVSDHTEE GFDLSPQADSDIRNFIEDIQSCRHISGKMALDFRLKYNVHPTELSQLWHQSNVESGPE LSTARMACFEKLLRKRVAKQIPTPESPALSRRDSSRFPCPVDQSVTVETIQRPSDSSS SSVNRAANIDPIPTSPDQASQNPPSYAFFTTPHENIIQTFKDDIGQLASHFETIATDR KWAHAELQKNFDALGRENLHLKQQQKVLEYRLASKDESGRKAEKVINSIKLDLLEQQV LSKEHEEEAINAHNEAGRLWNIIETQSRQITTLLELVADMKTTITELNVKPDSSDLRL RFLRATARESEAAATKAVEEAVALREIIKARDEEIVKLRRSLNVNHTQQAPADSPTAI QLLREDQEDLKLQVENMQRLLEHIMTTRPSPGTVEDEETLVQPSAPLNSVVKPESSCV KLSEASSQHSKILSKEHDYEMPSVPPSISPKSPTSSRYSTRPSSLACMSASNVSPFQL FRKISAASSLVRSCSGTTTPISVKVDNMPQDISQLENDLFLFRLHIQRKGYIGIEMVE RFISNYDIDVHSLDEIGRFVGLDASVKRINQAVDLIRQAVIGARDPNLSVTPLPTTPK RPASPVQAMPETMLSNAARRNQQNSSTTSQPSHAQFYNPPDPSSSFSRRNISIPKSIT SSGIASITSILSTVNSSVQSTRAVCNSS JR316_0011810 MFENSQNLLITGGTFTAQTIFPSRNEGHEGLTSIQDKIAVGAFH NSAERYDPPKCHPNTREIVLKKIMDWVRDANNFFMWLYGPAGAGKSAIAQTIADMCYT EGLLVASFFFSRNSVNRKDETLLITTIAYQLSIAIPETRERIGKAVELDPMILSKSLE AQIQSLIVEPLNEAALEDRSTTSRPRLIIIDGLDECGVPKVQRYILDTLLSASQKLCL PLLFLIASRPDPHIRDAFNQDLLNSLTTRIVLDDSYQPDDDIRVFLLSRFNDVKRKHP TLMHRRPPWPAPMDVELLVQKSSGQFIYASTVMKYVDSHHHWPPDRLDIAFGLSMTDD NTPLAELDRFYHHILSSVADIGRVIDFFMFLLLVQFWTKTRNIVEDFLFLRRGELDIV LCDLHSLVSIPEPEDESTELRVFHASFPDFLLDRSRSGKFHLNAASASARIVRYCIRH FKDPNVMCQDGINHHNIRSLFMLQILTAEITTQLLDDVCDVDMGMQLSFWTSDDNVIG VYEHDNKRLISFLMWLASQHHRGMSLLRFFNGPVDQWILSRIRDYPHQYLFNLLLTAG TLTNFTTTDIFTILGPESVATMDINSSIQKPRRFDPLQIYSPKDEYKPFYSILTEFLR DASRSKDFYVGENHYATLSIYVAVFLIHNIMRPPPVNTNPQTIHPRASQEAQKLGCYL LTESLLKSPNSPDLARVLQNYNPRIESIAPLNEDIEKSVGAAFEYLRSTYRDSKTEAI RTRSSTLLTRT JR316_0011811 MSAANDTPAFTTAKLLYFVEPEGGVRAFQHINADSATGERKKNF TREEKEMVIENLRGKEDTVSLDTTGFQYFRHTSKHTSFANDEEIYSQYYPESIELIKK LTGASRVELFDHTIRRRRPGQVDDHPDRRQPVAQVHVDQTTRSSIARVHRHLPASDAP KLLEKRFQIINLWRPIGHPAIDWPLALCDYRSVEPNDTVPVALVYDDREGETLGVKYN PNHKWKYLHGMTPEEIVLIKCFDSVQDGSVAIFTPHTGFSDPNTPEGTPLRESIELRA LVFYD JR316_0011812 MSASVIPTALEPREVFWRDNQPWLLSCGYQLRPRFQPDWIPSWI TNPNSKKSLLDTEDYIRRAHTPVMDAIRLTDKKGVMMKKVKKQDNKWDTELAIAQYVS SPELLSHPDNHCVPIYEVLDIPSDNDHVIIVMPLLYPFHLTRFDTIGECLDFFHQIFK GLQFLHHHHIAHRDCTENNVMMDPTEMYPEGFHPVEYQSNRDLTGRAYQKYTRTERPP KYYWIDFGLSVRFDKSDKFPQAITLRGGDKSAPEFRDLANVYTKRDPFPTDIYYLGNL IRMFFTEGHPLIIYGFKPGLHFMKPLVAAMVQENMSKRPTIDQCVTHLEEIIRSQSAC TLRSQVWHSNDDVFEYLIRFFPHWARRLKFMITRTPPVPSWNRRPRSTRKET JR316_0011813 MINSCHPVLYEAWMKSRYATLEIVKRDKEMKSDPHVAIHVKKVE IHPWLVQPRTKSPRSRTENFIVQFLELLDPYYTKKKADQRLQKRLNKDLIRINAAFKQ MTQVAEYAIDWDDSLGYHPELYTAFLAPVLESWSSHLVKLTLKVPPSMLNSLARVRLP KLDTFAFHFSTGSLSFREINGLYDGFVVFLNNLKDSLSSLTFLSTHSSQELDVSRVFR KMGRFPLLKKVCLSIPFDGGHLSDPNTFVHFLKRHRATLNELDLFSSRCTPRTKAGDP DLINWIQRILNSIHTPFPQLRRIGMSLRPLRAPLDTFIRFIDMHSSTLDSLTLTDRVL SYSEVWDVLRSSSITASLQQSSITDLNMRLYTFSVQLIVDFAFLLPSLKTLKIDCMRI SADRGESEISHILLQNQEVLASWRLQRLMLQIPDCHLSLAERIKQDICVYLSDLSVTI NRS JR316_0011814 MELDSEETFWRDLQPWLFSRGYQLRARYQPDWIPSWVTNPPAER YEVRQREDNVRRVMDAVRARDDKPVMLKKVRKAGNEYDWELAISQYVSSPELMKDSDN HCVPVYEVLDIPNDPEHSIIVMPLLYPFHITRFDTIGECLDFFYQIFKGIQFLHRHHI AHRTLTNAILRDCTANNVMMDPSEIYPEGFHPVKYRMNRDYTGPASPKCTRTQCPPKY YWIDYGLSVHFEESYKFPRAVTLRGGDKSAPEFQDIKHLHTARDPFPTDIYYLGNLIR IYFTEGHPDNIDGYIYGLGFMKPLVDAMVQEDPSKRPTIDQCVIHLEEIICSRNPSTL RSQTRAIRASTGNFELQKDTLLLLSLTLQPPERMVEDALQKDMAADSVNRIWGRMSMM SDLRRLDVFLQDNALNAAEIFE JR316_0011815 MSDALHVELAGGIVSMGSTYHNKIFKSSVADRCIEDFISRTPYY DQGRWHTIPKKPNDEKALYTPFIELLGHILAYFKYNGRGRKLVDTHNIDIPHKDLNRH GSADDSSRCRTSPDFMILGSGANLNTEENNNEIRASYALCASPGEIKTERNQKDMMNT DQVAVYARQCFIEQHHRRFVYSIILTEKKARLFLFDRSGAIQSQRFNIHEQPGNFVRI VLGFCSTNNQKIGFDTNIFWRNNSRYITLHNHWEVKGLGMREYRVAEGSSAIAFSRNT MRGRGTVCWNVVDCDGTPFLIKDSWRSAGREPECKLLAEVAGVAGVGQMVTYQDNGQD DVAQFRDVPNCDLTESLSHNRIFSRIVLEAYGKPLDYFNNRRHLLFAFRDAVNGHRNL WRDKHILHRDVSINNILHGKEGSVEGSRGVLIDLDMAICLTRQAPLTGTFRTGTRAFQ SITVLRSEKNGEHARPHDYLDDLESFFYVFAWICSTYTGPHERLESEPRALVAWQEDV VVAIGMKTAFLYDPTTELTPYFECFSALLTSLGNFLIKHATRKEKECRSKAPKVPLMD LLAPSDAEYDTFLGFVDDAIAALEPEETDPVVKASPSILKPEPITPPHSLQSQDTPFH TPATPRTPGTARKRRTEEVDNSPTAGKKNKFSSGIPESPSVGQNR JR316_0011816 MDAIRLKDKKPVMLKQVYKHNNGFDQELAISQYVSSPDLLKDPD NHCIPIYEVLDIPGDSEYVIIVMPLLHPFNATRFDTIGECLDFFHQIFKGLQFLHKHH IAHRNCTQNNVMMDPSEIYPDGFHPVKYNMSRDLAGPAFQKYTRTQRPPKYYWIDFGL SVQFKESDKFPQAMSIRGGDKSPPEFRDMAQLHTRRNPFPTDIYYLGNLIRIHFTEGR GNDIEGFKFGLSFMKPLVAAMVHEDMSKRPTIDQCVVHLEDIIQAQSTCTLRAQVWHS TDSIFGFLYRFFPHWARRITYILTQTPAIPHLTPERRRHNHSIP JR316_0011817 MEIAMSNARQQKIEGGIVDDNYKKLQKLLKTVIREKNIDAFIQQ TPHYQEGHWQVDGVQNRRVLIDSGPGTSIYSQREPSHFVTFQTASSALACIGTHLFQS INVIQKERYGEHARPHDYLDDFESFFYMILWICNMYHRPNQSIKDGPGVLVYWDSPDP IIAASVKTKFLMYPTVNIAPYFHTLLGMIKDMAMFLFKHAIQKEDESISKEPRKPFLS LLEASNADYNTFIGFIEKAIDNCELEDFDLSVSSPQPKPITPPHHS JR316_0011818 MSKRQFNDDIWYNVVRFLSPEDLRQANSCHHVLFEEWMRYRYTS VKFLDRDGSIRLLEHLCDTDSTAAAYIRKVEIRPWLVQPLAHSIDSSVKFPNLLQSSR SSKAAKERIQKQVNEDVFWVNAAFRKMPNVVEYSIDWDGSFRYHRELYQACLTAGLDG WSDNLIKLTVKVPPAMLNSFVGIELPKLQSFNWHLYTGSLSPKEINMATDGFMVFLNN LKDSLRSLCIVSTHSSHDLDLSRIFRKMGRFPLLSKIALTLPADGQHLSEPATFVRFL EKHRSSLEEISLRSGSAPSCNQLANSGKVLDREDRNRSLNLLSMQSSLPHLRVFSRFM DRQCSKLKEMSFLSARAVLVNKAGGGQITDWILQLAEDINIPFPQLRSLSVSMFLSGA CSDPRVRLVHLHCPTLDSLSLVDFALSHDDILSILRPSPIRAETLLQISTLSLRIHRF SSQLLIDLALLLPELKTARIECNTVVSVLLRILQGTSTKCYVDSLVGY JR316_0011819 MDSANSSTTSMPNNFLPPPPGLNYIAAIQPSLIFLMIGTSWGGI LLPLLVALFYFSTKELRRKPVFILNVLAILLGLFLSIMNAVIEVIPELHYPFRLWTMV VFTIGDSFTPWLVELILVLRLVTVYPISRTPRRTWCAIFIPLGLIKIGRMINITIYCA QYTKLLQNPNFQNPISVGQSSWHSQPGTKIEWTLQVVDNTVTSALFIWRLRSGLHVPG VVESDINYRSYASKVKALFWIAVSNFIFPVILSIFQLIMLFRDPDFLIGSYTYLTNDF VEIVGVMLATVWASSSNWSSTNSASLAHPTITSSAPQFARNIHLENFQAFDSIAREEN TGTASHLELNSLKSGHDIEFGPVESHLEFSSNDEEKAPVK JR316_0011820 MAARHGQRQAQPRSRQYTIIMAESAHLLWKLRCDRVINREGETL NSTEIKNKFIAMMNQRLRLDVAMCNPRYGKKATSTWMVQETWRGTLKNETKLPWEWIK NSRGVLVGIDPVKDDGRARGRTNYHTPIT JR316_0011821 MSSEAESQKYPELIRDTHSLQAYLEKLVDAYGWRPSEYMTELCV VKVSLMKNVKTKSRHESLLVELRDPNGGAHYLYFERARDVNDGKRSSSGPAQPPPDTE QLSAGPTPSYSPSVSSRKKNRRQKSLLRRQSELLLNQTISSLSTASSSASQSVSDSSM GTACDTVTCVPDGRRSGEKCMCRLEFEREGTGNVPGVLHARPSVYDIALIADILNKEV PRYHLFKSNCYHFAGLLYDTLNKLYNPSETILEKLNGKWKRFPLYAKDPEQTIASIID QYRDARQQFHTKIENLHVARDKAKREAEERAQEADERARSEAHRADTEAQRADTEAQE KKEAKDLARSEAQRAESEAQRAESEAQQRRELEKRLQMEGNQKKEANYRALNETRLKQ EERGRREEAERRAQNEARLREEEARRREEAERRAQNEARLREEEARRREEEARLREEA ERRAQNEARLREEEARRREEADRRAQNEARLREQVEEELKRYKNMLKESPPGVKDSAR MSIVLPTQPSGKPEQPNNKTQVNYCPTSSRVRESLKLGLPRLKNRALSSA JR316_0011822 MAKDNYQATPPQARPIRLHKRSAPQRKQPSVYQIAVLANTLHGL QSQYHLWDANRYHFSGMLYTILKELYHPHETVMRVRYEIWKRTGIPLFSPPPIETILS VIDDYRVDLENFEAEVQERHNKNQVEAEVRAEQAEARVKALEEELKAYKNAQK JR316_0011823 MSLKAKPQTYPELVPEREMDVKAYLAHLIEAYGGRSKEDMTALR VVKVSLMKNIKATSAHESLLVELRDPKGETHFLYFERGREDEDKYFGSGGDTPVGELP GAFSSSTLDGRASDTVTLAPRGQRSGEECMCHLEFENDGTGNLQRTPDKRPSVYNIAL IANILNKKGPRYHLWNSNCFHFAGLFYDTLKKLYTPSETVFRVLDGKWRALALYVGNP EAQIMSVIEDYHDALHEFEAEIAEHHLAKDKKRREAEEHAEREAEQRQRAEERAEREA EQRQRAEEHAEHEAQQRQEVEARFKELEEELKKYKNMHKEIL JR316_0011824 MDYAFELTQSLSNYMKAKIASRLWHAELPNQIRENFSAETMFEC NLAVEVILQAFENQEYTTWDAEEYLTHLSARCTGQNSTVEARLKDKFSLVHSALQYQT LPGTVVDSAGNILVWYLPGILSETRVESVWNSLRDIETMIHKAVPLATSWRVNDSYFR HEPGWVQPGNINFSPAWFQQGHETSNPLEVSLDLCNPIGQEFIRDMTTSSALLGAILS IIHPEQYWAGMKFLQRLAAEPELVHKAEILKQILTIWSSPFGVMTVISNRDTPYHRDN GSCYSWYDFLMPLGKGEHGRLELPGLGLRYKYDPMTLVAITGRLLQHGAVCEGDRAVI VYYMRRTVFKELGVQEAGWSTTYNLFANLPATNTFDFEI JR316_0011825 MGFKKVPDRGSDEEFPNVREASWSSGAKKRKRGRPRIHKLPAES SSTNLPQTPTYSFQNHEHNILEEEIPHYPGDLTDQQVLDNLRQLDDDRTGGKSQNDYL REWLPKREVYLGTMIGGEAPDPDLNGRCQQCQGMSGVWRCCQCFGSRILCSECLRRNH QFTPFHRVEKWTGLYFRPGALWEVGVKLYLGHNGKRCPYPTDPSHLGCDGGNNSSGSH GHGGNNSPIFEDEHGLDLDPLPASNDQESFTAQLLADPEVPHLVELDDGDDEDDDLFE EVDTTYLDQPRPKAADNNGIPFKAIVHTSGVHYLPVRTCTCRSVRLPSIDLQYLEMGL FAASFENVQTVFTVEVLEDFRMDNLECKTSAYQYYQKLRRLTSPAFPKKVLNRYRELR RLSREYRDLVLRRQYGEGHTREAVVPYYHHCHDSSPERMGINVSDPIDGGSDSPSQEV GMSTDPSLGHGGLDGPPAPQMTRTKDHPKVEDRRGKLALFCPACPQPGINLPDTWIDD ADRQVLVILQGYVADGNFKADHLNQKNEGDDVWLSVGEGYMTALGPYKEHIKEAISLA PRYKRTECLYNYASTYVPGVGIIDGEILEPLWSVLNDTSRSTRSATTAHRAEVLDDHM GDSNWKKTINMAATIAAKFKRAREQSGITDRFYRGITDQQDSGLINTWEDEISKAEAD REQGVADAVGKVMASKVKTAAGRQEIELHLSNMELTSNGATGKAAWISSGLKLEQAQL ELRDHVRKLGKHPSTAQKLDLVNKRRSMRTRVEAFCRSAMTFMGEDVLEDIQGDIAPI LDYEVSDNDNPDLGNVNITCADPEQQPLPFPSAVKQDFFDGLDAGTNLILKGLRKLEL QIRHGHAEDCLEAVRSALIQLSWQYKYQGFLVFRLNWLRARAQRNRWQEELALTKKEM EWTVRFYVYMAKTWRARHDFVPDRANAQKQIAMWNDLGRAADKVFRQINPEYPLTSSL NILVVSHLVEFMHLPRLFKPPVEDKHHLLTYDERRALAKVHVHICGARIRARYRLFIA NEDSVSSVRRQKWMYLLDLEVKFSIRAWSAWEDDEILNQTKSWGYWWRDGFAEGDEWQ VAFVTVESQAREFWNKVVLPEYQQEVLRLNNQRKEAKEGASSSTSGPPRNQENNPKGK GKVAERTSVPTSGKHGSALTGKHGSAFSPPTGKPNPPTRVANTRDETSPIAISDHRPP YCPRCGQPILTGVMRELAHLRRNVADKMKNAHKAVTTSSAALGRYSVLEKMWIDSKEL PFSNGKGLSAKYKFQHPVHPSPNSWGAITAQARSFEVSKLKVASFYINDIFYSFIAVK ELPFHPLWYHSPPSNTANIHLPTDTLPTPGSVSPPSNAHTSNAFLFNARSRPVFPGEE DDIDSTSDTTESSTPATFLEHLAQDFEEEADEESSAGDTTEESDASGNSEELSEDVSE TPWDEES JR316_0011826 MPTNASPDADPLPSTANATTHNGPRSGQTAEGDFPPEESNIWTT KEMRVLKKHVQPYKDTSKSSKADYIQNTVIPELQATWDHRYSRRARKEDKQLHKEWKV KKHTVFREEKSAEIDSEVALLSGNAARGSKDWMKFYQQGRKQVEARLTQEEWDRFMEI LEEWNKKGVSKSMKAKTAARQGRKILRQMEKLKWQRMGMRSITFEGHYDIEGKIEYSM TQTSNLALDNVRIPSFGQLFPSELAAFRRAFVQYLVHISEIEKGVANPALPDASFHEK SLKFSSNGFPIVPSPIYGSTGREVAYAQKSIIRIYMNKVYALAKDRPGSSVPWDALER RSAEMIDPEYWPSSIPVTDLSRLRLESTSAILKLWRDRQAQGDIPFKFSKVFGNGYDI MEPLYPSNLFDGLEAHPIPPPPAAITKRRLRQKAIRLQTQSSSDSESSNLSEFDNGRG SPASAMPRTTARFEVTPETDETPTDAPSRSSKPPSLPSRSSPTIVNPSSSPTPEVEEA PPKPARKIMPRKRTKPYVGTAEMDGPNEESSVPPPVKPKPTRRIQPRKRTKPYSDPLD TVEEDGVQTGTTQDTDNTRAGRDPHS JR316_0011827 MAASSSTTATFAQRLADWEKKFTECYRNGESAFNAELEQLYRDL VPLCQEHVRDAARFQLIDYVASPVVYSYKVIQGKDGENIYRFEVDWATLKHQVANFKA YQEGQEAQRKRREEEEQEKRREKEEQEEEERQRVEERRKREVRRKREEKKKREEEEER QREEEEERQREEERRKREEEKQKVEERRKDERRKREQERRTREQERQKAEERRKREQE QEQEQEQETDEERNKEETEKRRAKKGKGKAVEPPVEDGPVTDAHKDKGKRKAADPVES IQLAPADYRGPRTRKGEIIPHITASNMPGHPAYREKLERLAKSKQGKFRSKAIIGSHT DVDEDDEGDNKGAPPTTPTATPTRKMRTRSVKKDTEDDVPPIRKARSRSQKVRQVPEG MVDMVERCTACIKFKVPCYVKGETGTEPLVPVKHQSCESCKSRKIHCSFYPGRNTFVG QFLTTPLGSYGEALKLEEGEDVPAKGKAEEGSFPEDVGELLVQLFERQGRIMERLDGL SVSMTAINARIATFAKTNLAVENRMKSIEDGIQEVKAEWTMAKEQVAGSTSLSVTMFN DITKRINHVRDVVDDLLEQDEQRNPAPKQAAEPSKTEVEQESGPSRTREPTSVPQSPS APPPPAPLPSPPPPPAAPILPSPPPPPPAPVLPSPPPPPPAPVLPAVSAPPTALFLPG STLEAPSPPPAGRPSLPPVPPILSLSPPPPLPAPRPRSSTSKAAPLSKGAPSSKAAPS SKAGPSSKAKPLSKAKPSSKAGPSGNGHSSELSDPSDSDEVEIVEEEDVEIVASTLPA SNIATKTRAGQKRKAETTLAEALRSPKKSKAQKK JR316_0011828 MSSNISNSKESKRTARTDDLAPPPMPVIPDNLAPPPMPVIPDNL APPPMPVISSNLAPPPMPVIRKDLAPPPMPVIPANLAPPPMPVIPANLAPPPMPVIPA NLAPPPMPVIPANLAPPPMPVILANLAPPPMPVIRNDTAPLPMPVIRNDTAPPPMPVI RNDTAPPPMPIIPDNLAPPPMPVIPPTLAPPPMPVIPPTLAPPPMPVIPDTLDPPPMP VIRDDVAPPLMAAHVSYTSVVDKIIMDSRPAISSERKQGRYSPPPEACHLLLQNPYFR TYGRFDPMGGYPGSPPTQSDIEFEDVTDQTAYFNPPFLYNYTEQQLRATYDQIIDSSV GDNSYDSKVTTFKNLRLHQLQSEILTYNALEEIDAGLAGIESSLEKHLYTGRDE JR316_0011829 MSPETGSMTRRGTELMCDLPMDVNAYLYDITDLYNWAPPRIMTE LRVVKVSLMKNVRRKSKHESLLVELRDPDGGTHYLYFERRGRNYNDKSRPSDPAQPER HAEQLSASTTGSPRNPSSGKSNQRDTVMRAPKDRRPGEKCMCQLEFKSEGSGDLPRAP NEGLFVYNIAVLADTLSKQGPHYDVFNSNGNCYSFGGLLYDMLKKLYHPSETIVRKRR FSLYSHDPEDKIASLVRHYETALEEYQAKIARRHMERNKEVEERVRLANERTREAQER TREAQERTREAQERTREAQEGAREARERAREAQERARKEKERSREIEEMIQKEKERTR EIEEIIQKEKERSREFDEMIQKEKERTRVDNEGARDIVEMIQMERKRLEQLEEELKNM LQLEGYPATLVASSPPVLYSRPIFAADNVHLEIHMKASAKHATRSTYGGALEASATHD SRLDEK JR316_0011830 MTIAALKSLHAIIGDAIADIERVYAAHRGGDAASGVMSGSGFSS PCSRTPEPQLDWEERMDGGCLKSKSDLKEHELENENEDQDRDDGDADGVDVDMDMDAN LGLGRDDGARTRGIDDASSTFTATTIIPTTTTTTPTPTIPPPGTPGRTHSHPRMRVLV PSPSPSSVAIPAPGSGSASVPVHAHTHTQEHSHRYVAHRHSNSNSQAYVSPPPSPSTY TYTHTSADADATTSSGVGGGVETPAKNAKNKKEGDGNENGNGDAILENPRVVTTECTP PGTGTLHALSTSPSRRAVPPFSPVSAPLTCEAISKSLPQPVTAPAPLPHSNPPSHSNP PPPHSNPNPNLNPPPPPNPHTPDFPSLDNPYNPTSLSEALTAHPAVQAAICRIVAAAG QLAASVQVPFLTLCDASMGYHLPSCMRLMEASHVVEILREAGEGGMHVDDISKRNGVQ ASKLAHVLRLLATHHILREISPDVFALNRISSMVDSGKSVEELRRFQENGVPEMKYRD TNGVAAFVGLCTDEIQKASAYLTETYYLSSSAQTRAGTEPGKAPFCFAFGMEKRGVGF FGWLEGQGGGAGLEEGDGNDGDGGHDEDDAVETGRGGVFPPVLLPSTNTSSPSAAAAT AAPTASDNNRTTLAPEPERPRKKDVMAFRHTNMPTVTKAESTSTLKNANAKIGKSQLT SRGYKVASVKLVDDQKSVQMSASAARSGSSTDSESASVLPSTGKRSYEKVDGAHGDLN DNPNRFRLERFGKAMSGTDGWEAPGAALNGFDWSSLPRGSVVVDVGGGIGSTSMLLAT AFSSYSTTTTSSSSDHAAQQTSGQVQVAEDEPEGPMLRFVIQDRPVVCEMGEKVGLRD GLWVYLGVDFVSKAWKAKCPELLKSTARFQVHDFFTPQPITNAAVFLLRVVLHDWPDV FARKILLRLREAARAETKLVIADFVLPLACEEELGVCSDAGVGAEGFGAEKGEGGGGG EAKGLLDGIEGARTEPAPRPLLANLGKASANVYWMDLTMQVMFNSQERTLRELALLAL SAGWKIVKVTRTSGSLFGYLVAVPVDIPAQYQDIDIEEKTHVPVKREVEDDYERRRYR DKEDMEMIERASSRCGTPTFGSNTRLSSVEEALARLGGGIMRAKTMGNSARQLPTITP LKPALSLSTTVGAKAKVKKKPSPLSVPLLRSGSSPSPSPVASPPPSSRNVGFKSTLGL PGSSQAANMQTTPPSRHTHSHSQSHLLQLPPRSPGARVIPRRMSLACLKVQSSSQTQT TTNPVQTLLASPQRQLLPSPLSPSYTHSSQAEANAQTITSPKAQGGPAKMKRRASYAH LSHTHTSSVSPGFFPPTSMIPVRVQGELHSQPPVSPGTGTSTGISARYMTPSAASTLA STSSASSSASASTASSIAGSPLPFANPPSHSHTPLPRGSKTLGPARRASNAHLTSMFA ASALSPGGGVGRAGGATYRKRSGTVVVGPSISLRPGDAVVLRSAGDGEADVRDVDETE DERSARECGNLRGGTASSTISIRDMDASLHSTP JR316_0011831 MQFSSLKNYVFSLVHKLQRMFRTSSDSQAYLYPELYFGSHLAPK RGDDGVARGEGISYEETTFGDVRKWIPPAGTQRPQWMTTLPLFRTTRRRHRRFHKSEV PEQIQSDAELPPSSTTSLHHPSSPQNSHRQLSSNTSSSSPHLSSCHYLLKEGDALDTV TCARNGPRRSEVCMRSLDFKSEATGDSTNVTQEQPSVYQIAVLANTLHGLQSQYHLWD ANRYHFSGMLYTILKELYHPRETVMRVRDGIWKRTGIPLFSPPPIETILSIIDDYRVD LENFEAEVQERHNKKQVEAEVRAEQAEARVKALEEELKAYKNAQK JR316_0011832 MDLHLLISDDDDDANANRDAELKRGQILMKWTRAPVPARGCIGA NGERSAKAFNSKFAAAETPVNESGGGNAKSQSVTAHPAVQAVTCRIGAAAGQLVASV JR316_0011833 MSSEAESTRYPELIDRSTMSSKGYLDFLIDIYGSRSKEDMTALR VVKVSLMKNVKTKSRHESLLVELRDPNGGAHYLYFERTRDVNDGEPRSSGPAQPPPDT EQLSAGPTPSYSPSVSSRKKNRRQKSLLRRQSELLLNQTISSLSTASSSASDSVSNSC AKDSTASDTVTCVPDGRRLGEKCMCRLEFEREGTGNVPGVPHERPSVYDIALIAEILT KEAPRYHLFKSNCYHFAGLLYDTLNKLYNPSETILEKLNGKWKRFPLYAKDPAQTIAS IIDQYRDARKEFHTKVRVTIFNNLLVVWTSMLTVAQD JR316_0011834 MTISALKSLDAIIGDTIADIERVYAAYRGGEAASGSGVMSGSGI SSPRSRTPEPQHDWEARMDGGSLKSKTDLEDEIELENKNENENENENDGDTDRVHENE GEGEDANLGLGQKDGARARGIHDASSTCTATTTTTIPTTPTTTATITIPPAGHARTNA VSPAYAYVSPPPLPSAYTYIYIYMSADAAADAATATSLEVGVETPAKNAENKKEGDGK ENGNGDGIFENPRIVTTECTPPGTGILHAVSTSTSRRVSPVSAPLTCEPTASLPQHVP VPVPPPAPPPPPPTSNPPSPPPPNPHTPDFPSLDNPYNPSSLSEALTAHPAVQAAICR IVAAAGQLAASVQVPFLTLCDAGLRYHLPSCMRLVEGSHVVEILREAGERGMHVDGIS KRNGVQASNLAHVLRLLATHLILREISPDVFALDRISSMVDSGKSVEELRRFQENGGA DLDTPTRLI JR316_0011835 MTCNEANFEDVFKLPMYAYVQRKVPNRKDQYALSDSSETTPAAT PCSFNIQPSKFLLSVMLAPKDQRSGEECMCCLEFENEGTGNLQCTPHKQLSVYDYNIQ VALLANTLNKQCHLAKDKEKREAEERARSEAEQRQQAEERA JR316_0011836 MSGSTNRHHHSGSFSGHTAGPTQQQPSASHHALESHEGKDFSKR PVPQVPPPATHKPSDHDFYVYDGGERKVNHEYLKKHFYREGRLTEAQALYIIEHVTNI FSREPNMVPLKSPVTICGDIHGQYYDLMKMFEVGGNLQDSLYLFLGDYVDRGDFGIEC LLYLYALKISSPSRIVLLRGNHECRHLTEYFTFKRECLHKYSEKVYEACLRSFCALPI SALVDGKFFCVHGGISPELIKLSDLDHINRFTEPGSHGLLCDLLWSDPIVNFGHENEP APTGQGVTPGTTFMHNNTRGCSYFYTYEAVCQFLERNNLLTVIRGHEAQDAGYTMHRK TPKRNFPSVITIFSAPNYLDVYHNRGAILKYANKNITIRQYNSTAHPFWLPNFMDAFT WSLPFVGQKITEMLLAILSICSNDELAESDSDGEEAQAAPADLAARRQLIKNKILAVG RMQKVFQLLREEAENATELDGVTATSTAVSKPGADALSVQGARLNKSIRTFADARRSD MANERLPEFNEQQKPTIFPVPSMRNTSRRSSAEGLDMEDLIKRALEDDSVVDDGGVVE MLAEKIARGRSVTGRPGALKRHETT JR316_0011837 MPMATSEYTTAALATNKEGWVRLQSTDGFSYLVKRKVAQASGTI RNMLDPEGGYAEALNGVCEIQERGIIVEKLVEYMSFKTYYESINTKDEIPLNEFLERI PPEIILELYVSRLDVL JR316_0011838 MPLRLIDDEDRNGYRIEALAIVVSGIAYGIVLMLFFNTFWLVVR TKEQRMRTYMLAYTCVMVALSTGAMIQEVIYLMRDVLSTSAERGDAQSLMVVLASLKG IPLTLPFTMWGADGVLIWRCIILYRGISSTSKYILYGTLAIISLATIGGDIIILSPLH LGKISRGTGVSIIAMSTVLCNSVLSGLVALRIIHYDRALRQTGMANHESLNSNYNRIV TICVESSILIVLVGTIFISCPRQGIFFNQFG JR316_0011839 MSTFAKSTFNALVYSASRPTYPTELFEHIFAFHRAGKNAQWERA ADLGCGTGQATLQLHPFKEVLGVEPSAGMLEKARAYAASKVADPSKFKFVQGSAEDTS KAIAENSADLIVAAQAAHWFDWSKVWPETYRALRPGGTVAFWIYAEFRLPQFPTLGEK ITAYAQGTDPKASVGSHFQRPGRTILERHLVDVPEPSEFGVKLEPLHRVFFCGDEVPP FVPKESPIHPVLMRTEMRWRDLLAYFRTWSALHTYHERYPEDLTSPEDTRFLEEDLSS DSEDTSKCLVVFVICMSADSCKGDVRGGDIAIRFWKDLREGAAKTSPGATVGINDVVR VEWPVALIMTRKSC JR316_0011840 MLHLKRVAQKNLARAAKNKSVTLRGLATAAEPYDVVVIGGGPGG YVAAIKAAQLGLRTACVEKRGALGGTCLNVGCIPSKAMLNNSHLYHQAQHDFARRGID ATVSLNLPKMLEAKTNAVTGLTKGIEMLFRQNKVDYIKGSASFVSPTRLSITPITEGA EVSEVEAKNIIIATGSEVAPFPGGAIEIDEKQIVSSTGALELQQVPKKMVVIGGGIIG LEMGSVWSRLGAEVTVVEFLGAIGGAGIDSEIAKSFQKILQKQGLKFKLNTKVLSAEK KDGQVILQAEPAKGGAAETLEADVVLVAVGRRPYTEGLNIQAAGLELDNKGRVIIDDQ FTTSQKHIKCIGDVTFGPMLAHKAEEEGIAAVEFIKNGHGHVNYAAIPSVVYTHPEVA WVGKSEQDLKAEGVKYKVGKFSFAANSRAKTNLDTEGFIKVLTEAETDRILGAHIIGP NAGEMIAEGVLALEYGASAEDVARTCHAHPTLSEAFKEAAMAAYSKPIHM JR316_0011841 MFGATMRSLCILAFAAHTAFAGVVTVYLVDPPRPTTTEPDISVV TYLGTTHVSAVGTGEGGRTKYEMDAVQSLLVADIRGSTVTVLSEPTTNKFHFEENQTE MKLHQIVTEYDPPVTALSQNCKLDIEKQSGECVQKHIYRFEYRTDSAGSTTQTRTYES TSTFTGTLFPIATLTTSGAKQAISGNIGIVATMLFATAFARYL JR316_0011842 MLSFNFFVVLVICFLSQYSRYTKASLYPTKPISSTTYTAGQPAE VTWIEDGRKPFLNTTIGFKIDLYAGNKTYIATLSKDADPRSLFTTVYIPMSVPANFHH FNLRFITTQPPQIIYTADFNILANPYVAPPSPTSRNAVSSSKPVSIITVTSTVISRIS GSQSGVLPSSTSKSSKGVPRMVRALKGRRLRTAHGYSERSFYFDLEMIKFRLVFMVWP AIVGFSMAF JR316_0011843 MAQYDSEAESIQSVASPSQKFVPEEDDDENLWEVECITAERNNM FKVKWVGLDPMTGKPWAQSWVPKKDCTPDLVLAWKLKKKQEERRKSTASTKGRSSAAS SSTAAPRASTSKLAEARQSTSTTREATTARRGRSSGVSIPTTNSPDNVTKSKPKVAPL PIAEADVEMESEQEREDIKSKGKRATTTHGKKHKLNEAQSVNNSARMQSKSKGTPDVE MESEQEQEDVKSKGKGATTTQGKKRKLHEARSANNSAHTPTVNVNINAGIDNEQEPPT TRVVSRPKKKRKVEVDTVKPKVAEKEEEEESTQEAEAYSSRVANRKELVESEEEEERL VEEVLSQGIPTPPRHDSDSSVQIVDVGGKGDHNEDEIDELADDDSVIIVSQPPPKAKS TTKPTNPSPKEAPSPPRKPSPKPRPETSAASTLKATHTKRVWSGQAMQVNTTPRRLRV VDYSNRSESSKSDSEESESTSSKSRSERTDSQSRSRSSRRSKPSNSENDDDSSHSDHP QHSSLAKPRYGPPTEERSVFWEGPVDRDALPDEERLTPRSLARLKQFDREMEALEKEE ELNRRRLRKEPSAVQGLLKGAGTSKSSVAQPSTSGHKQAVPSSSKGKWKDRIVELINV DTESEKDLVPPTKQPMSVPDKSSTLMNPSSSNEAVEKPHSKPDSTHPITTSLMREAGD ADIRPIASKASKQTDGSSKLTNATKQRVHKPPSNSYEHETIPETEAEESQSQEKGKGK ARDIDLTPPGQTYPTLLQPPTPSTPGGRATLRSKMRPKTPLSRGQSMSVTGKPLGPIP QLTPSIFYPHLPRAQQPASSLPESISDVVDSVKHPSSKISTQIDNDGDVQMESIEEFD SPEKPLRPAAAAGSGSGANGHRVGSAEQIWESEVVKRGQEIAEAAKRKAAAEAGLPAP KPKKTLDDIRAAHSRRNSNTSDTHGQRAGPGGLVHQTIVEEDENIQDASTIAIPPASG PRMEDESATLREMEAAYVDLDGGDSQPVPPHVALRQEEEESTQDAMQTRMDDALFQVS KTSSSENAVSQLVLGSDQETNDGGLENIPKIVVQDPSRPASPRPYSDDGLQMANMRTT RSRSRSQTPAVVPQPFKGRGKGKAKATPANSRAGSLAPPSKASSSTSQHRDDLQNRLD TALALVETKSQEIKNLQAQLVSERTASAAEVERLKALNETQENMIASSASRMTDAQEE FKQQLAEAETRNSQLLAEKEEWAAEKLRLLAQLDAATKSRESADKDREFFREQYAQAS GYVTSVREDNKELEKRIKIAEEQAQSGVALIKATFEMRVKNLETDLKSWRRMAEFLIE KDKRTDNDELRRRAAEYPELASRCERQKNSIEVLEEEYDDLKEEMDRERAADRMHIER LTKQVTRLNVELNEALTKLDRLGRANAEDESADSNPSANEFVYPCQWRDYDGVESTPC LEVFPTISVCSV JR316_0011844 MAQVSKRQFKVPYVRLGNSGLKVSKIILGTMQYGTSKWADWVLD EDAALEHIKAAYEAGIQTFDTANVYSYGESERILGKVIKKLNLPRDEIVILTKLHGVV AREFGVSYWGEGNKADSDGYVNQHGLSRKHIFESVKHSLERLQLDYVDVLQCHRFDPE TPIAETMQALHDVVQAGYVRYIGMSSCHAWQYAAILDYAINNKLTPFISMQNHYSLLY REEEREMFPTLKLFGVGSIPWSPIARGVLTRPFGETTKRMNSDLATGRYLKMQSTPEI LKRVEEVSKKLGISMAQVSVAWILSKEGVSAPIVGTTSLKNLEEIIAAVDVKLTEEDI KYLEEPYVPQEIFGHF JR316_0011847 MSLDQERRPVRLDSDATKIEDQEEFASVNEHGYPEEKYRGISFT QSRPSIQDHPYNPAPRRQSRIPNLKGSSPIPGAQSEYQEQFGNVPWRCSDPHRYNIPK KDDSWKKCHSIVEKYDNEMCDAWKDEVDKLLIFAGLFSATITAFTIESYKWLSEDNDD VSLRLLAFMAQQASNATVSVPSDLLPSPFSVTSSDVRINAVWFLSLTLALTTVLIGIL CLQWLREYQRDASLPHKDAVALRQMRYEGLLYWRVPDILTALPILLQTSLILFFVGLL DLLWARNIAVAACVTGAVGVVMLFVGITTALPAMQHAFSKDKHLRVPQCPYKSPQSWL FYLTGHTLFWIFSSFNLPWAELDAPRFHRLLKSAGDLNWMSFDMRWRQFRDAQEVVRG TARVLKDSADLIHGLQWINSTFSQNVDAVYPIYHSLADMDVITAATTISGFYLDGLLD NATMRVMLDDRFSPTVPQKRDIVSAYYLHVHQDTHPILKTAYVESVIRILNSQDVPQP FYDWLSEILQDLASTSPSSASPSSLALLEPEITVQILLCVKSLLPRKYGLQIHDIVVA WALLHRLISPALSAASQDDRGGVAAMVVNLDHLKLACSMFEEFEQWMTRGKEIGRLDR VKLCAEGMLTLFPPSVNLQWLEGVCPDMMKARSLVHSLEDQVETLGGPSAVLLREQWW LDYWEVYSEKDWRWLLNNFNKISQE JR316_0011848 MKVDETFEGLQLNLSFHAELEEEWEQVHLSRVSLNFSIILIFIS LTTQTSQRYRPSKSLKNTFKLISASKNRSKLQSIVFNRVLNLKFQRFETLVEMTTSSK HAQEKQKIVDQDDDLDDLDDVLSEFNSSSQSPPPSAHPIAPHGRPRNNTRVDAPPPSI PGSGSALDPTSEADEDALSSEFAKELALGMESLMREITGEVSKSDVDADGSETTEDER ARAFKAAWEAMLIEGMDANIAGAGDASAKKESSSTSTAGGFQDKIKQAMEKLKESETK LGGSTSGAGAPSDPESLEALLKSLGDLGLGEGEDDEKELAGFLENMMGQLMSKDVLYE PLKELADGFPPYLEKPPSPLSAEDRKRYESQLVCVRQILAVFEKDGYSDTNAECNKQI VDLMSEMQSYGSPPSEIMGPLPAGLDGAGGIPGLNDENCTIA JR316_0011849 MSSTSVPLSRTLLLTVTWCIAVVASSVGLNALIKSNQDKSRLKK LAPPPSVVEINTDSIYNAGIVATTASLLIAVILSKLIIAPYLPFTKSFAARTLRAQSI ILGLASLFLLGSLIPFVVFFATGEADVKAFIGSVQLPDSAVKAVEANSGSTRVYKISD IKQNAVAVTEQEPSSPSKASMNEKETISENEKSSAV JR316_0011850 MSKTVPNARIGTPTPMTPVRAITSTISTRSTPSVPPATEAYEPL LKSLFQHRLKFVLLFTAAVTWLIDGFWAWWQLGSPKIWVIATLPLSPWIVFLALSWFT VALPTTVLRKVFLRAQRSGAASPIDIIKMSSSQKSMKVASIVYFLSALSALIIHTVMA YYYESDIRGDPKLSIFVKSKKHPYYLNGRLVFLFFSQASTALAFSLRGAMIDRFAYRW SHSSHSGHTVQFFTVAMAIIVSTVFSTMAISTASLLFAIARLCLPILIRIPLVSLLLR PFTAHFLKGQWSILLPLIHIPLLVRAWILAFTTLVTWEIADDLFEHVVSEPAHVSQVT ADANTTIVSGISSSDRIFKYFAYSELRDLAKDQSTSASTQRTALFGDQQSSLNLWNFL VRESLALLESDYQLFLRRGQPAPPAPVPAPVTPKVTVSPNIATPTPLLRQRIFKSTPE SPGQAALDALSSDGPIAKVVDVGADATHMPELFRSLETQVISSPIAAEAKKNVETAAG LGSRIKSRVVSSTTSLWSSYAPETVKDSVATVVAWAAKKRLSKEVEASLPFRELDLVV IEALSYLISSSLTEDRYGTVQRDIPKVLEIMVSFLSAVEEYQIKISTQQKPLSQPPVS RREKQQHESLAIEIHKSQEVLGSMGDGLKEGLARIVRTFGDKLFAFKFPPRIGHKLQE FLDYST JR316_0011851 MRFNSVYLFATGILNATFGHTQTTNSSASALRTCTLLQSSLGPE IVQLSGAEYLASASNSWSLFNAGNKPTCIIFPEETSHVQVAMASIFRDKIHYAVQAGG HTAMTGWNTVQDGILFFFSHMKNVSYDATKDTITLQPGIHWGEALTALEPLGVAPLGG RLGDVGTGLLLGGGLSYLSGEYGFSSDAYVELDVVLVTGQLVTANATNEFSDLFRALK GGANRFGIVTRYEVQAIHTGTNDDKNWFGGLILYPNSSAEALINATHRYVTTVNDPRA SILMAFSSTINGTDIVPSHILTLFFRGSALPPAIFGEFLSIPSTFQQLSAVSYLEANN ILGDGSDRGFGQLFGASVFNGTVDQYMNAFRAWSEYTASIKDSLFGTVLAFTPIQKSQ ILAGRARGSNIMDPPLENYAAVQIQTQTQSGLLRLSAEVDSARQTLFNSIPPSPGLPL YINECDAQQNVFATYGRYNELRNTYAKYDPTRFNVGYTEGPIGL JR316_0011852 MLSAPLSPSASHAIIPKTPMRRLLYKFDSHKPNMALGKRTRHLH HASTGVSEANNEAQAATLTANSSSDYLDTAGLAHSVTGTQETNTERARLAFQLHDRPL DAVVITTLPRYPFCCHEDLITMSRQQLVDVATLFNAQLPKTIQIDLSDGVSAAHIRHC IESLVGIIPNIPGAPKAAKSRQSGRVGPISDLFSEDSQLDIVPSPPTSPLAVRYTRKH EVSKMSSSSVLLESLEEEDENILFSKKRACKKRKLSDSLDIPTRYAYDTEGDDITPIR PLRLSPKEELSSSPDIHAQEGDSTGLLSPLLARLQKRSARDSSKSSESALHLKTRLRP RPGMSRYSRDTRAQQVHAIGMYEMETSFHSLGNDNDACMDLS JR316_0011853 MDVPEQHSFLSLSTSFVFDISLIILSITLSVINSTHSRTGQYRC PMSAKVFYHKTPSERPILNHIPTEIWIRIMEYAGGSGLGLYDPNMTASRAFSFIRANE RRETKELRSSLIMRSRMSRVSKAWYTMACPLLFQYIHLKKTKGVDSLRNALESTPDND SQECALGWWTRRLDINLCDNEYNIKTVLQNQGHIADLVGFLPRLEILTFSTVAQGYIT HQSSQILDSLSCRKSLKVVIWYTNCLPPSDKSWTHFLERHDQLEMIRGPASIEPTNGV QLNALKILHPLLIRVYPQDLRSVNLTQVDLPSLKWISYVVEELPRVSLRTIRTTIFDL LGEKLVAVQILHYTVHPTIARNHITSVMDKMRDTCHNLLQLNLVLRNWSSFINSTGSL LDMPDSVTKLCIRTLLSQVSNSHLKQLLHDTLPAVKSRNPNLVVVQLLDEANIEKLYF HFRALEDGLKNLKGLGIMIQDHNGQNLTPKMRDT JR316_0011854 MPLPSLQIIESEEESSLHPRAIWNHWKRNIIWDPRSYYGALEFS GLLVLYFSYMNITQNIQYNVLYTLAKFTSGLFLLIPIAILHWYSNHRQSNSILTLFLS QLIGLGVHQKCYSQCEFITSVDILLWITIPIPKNARKDSVPPEDPSPAVIDSYPEDLD GSQRAWGDSTIADGIEDDSVPQQGQIRL JR316_0011855 MTVSKQDGRSTWRFYKLARSVFSGFTLLYLLAVLLVMTPFIQTH VLYAHHIDFWWNNKFDHPEHHGLAPGKTVNLKLQSADNTTLGAWFIFADSIHRQQPFP PPSRTDFALSAAQNISDALHTRPTILFLHGNTGTRALPLRTVVYTALTGRLDANILAI DYRGFGDSQGHPSVQGVGMDARAGWDYLKSQGAKDEDVLIVGHSLGTAIAGLLAAELG REGIRPRGTVLMSPFSSVRTLIDQYYLFGFLPLLKPVSMIPLAPRLVTWSLVHRFDTL TLVPDIKSSVLIVHADDDVDIPSTHASTLFEAFLEPHLPAHPTPPPNPFSHEKWDNFT SQESQRIHARQEIVKTIEVDGFGLYEEMNQDGRKVALLKTEKGGHDIGRVEGVQDAIG RMFGFH JR316_0011856 MRYSLTTAAFIASAAAHATFQQLWINNVDAGSSCVRLPNSNSPI TSVTGSDIACNVAGSSRGVCPVNAGDQLTVEMHQQPGDRSCKNEAIGGDHFGPVQVYM AAVSDATTAVGSSQNWFKVAGLGMVSRSPNYFGTQVLNDNCGHFTFTVPKDIAPGNYL VRAEVIALHVASSAGGAQFYVSCYQINVSGSGSAKPPTVKFPGAYSANDPGILVNIHQ SLSTYIVPGPTPYGTTIPPVASTPYPTTATWNTANQPKTVPTVVPGSPATTVSTGQGG PTSVSTSFTTPTVTPTSTPGGSPLWGQCGGGTCKILNDYYYQCVN JR316_0011857 MTMPPMAANYVIRGNPKARLEVVQPPEEALSKLNDCLSKQRAKD GAALAKVKYELDLKHAEREDREKRNECEEEEYNDRKMQANREDKWNGLHRIHMMWEST KIPIARAKGERIFAEHFDAD JR316_0011859 MSTLPALFQPIRVGDLDLSHRVVLAPLTRFRADDKHVPLPDLVA EYYAQRASIAGTLLITEATFIAPKAGGYPYVPGIWSEDQIKAWKKVTDAVHAKGSYIY LQLWALGRTASPKTLQDELGTSPDAPSPYVSASAIPLSTRPATDPLPRPLTESEITEY TELYAQAAENAVKLAGFDGVEIHGANGYLIDQFLQDVTNQRTDKYGGSEENRARFALE VIDAVVKRIGATKTALRLSPWNPYSDMGMKDPVPTFSYLISQIVEKHPDLAYIHAVEK RLINADQAQAIASTAEYKSEGAENDFIRKIWSSDGKRRFITAGGYTLETGLKTAEQKG DLVAFGRHYISNPDLPFRLKNALEIQKGDRSTYYSQGKTDPKGYTDYPFSAEFLKENP QAITVSNGGQS JR316_0011860 MDGRIQTGNESPPTRTNILGSFLRTSRPRNSSQSHIQVNTDINL PQRDLSPTPPQPPSPNALSARRRTIVTGVASLAGQTSNNSASNSGLGITSMLRRRRSA GNVAQNAPATPPVITTSATAAAALGMSNFPGRTPPQASYATTPITQQNTNATPAASGG PSHASHRIRLVPHLDTRRSLRFDAISRDLKEGDPALRIGRFTDRSGLGLAAVNALGSN KLAFRSKVVSRAHAEIWVENGGKFFLKDTKSSSGTFLNHVRLSPANTESRPFQIKDGD ILQLGVDYQGGAEDIYKSVKIRVELGREWQSAPNAFNTNALKNLKSLALPEPSGKKAA TATVKLPVAGKSQIPDCCICLFGVTIRQALFIAPCSHTFHYKCIRPLLESHHPAFSCP LCRTFADLEEDVEVEIEYEEEADAEEAIIAAAIAEGKDPAEDPDVGNESPPQSDRERE YEHVQEQDLLDDNSPASRSNSGLGVRGTGAEAGAETEVEGDGSGLRIMSRIRAARRGG GAGGSTSAGGGDRASPLLDLADEADEMLVDVGEVGMSIGVVDANGDPVDVDGTVGGKR KR JR316_0011861 MSANISSSTGSSTPALFQPIHLGRLELAHRVVMAPLTRFRADSA HVPLPGLVAEYYAQRASVPGTLLISEATFIAPRAGGYAYAPGIWSQEQIRAWQEVTDA VHKKGSFIYLQLWALGRAAVPRQLEKELNVRADPSSASSPYVSASAIPLSTRSPKDPA PRALSVAEIAEYVELYAQAAENAVEKAGFDGVEIHGANGYLIDQFLQDVSNKRTDAYG GSIENRSRFALEVLDAVVKRVGANRTGLRLSPWSPHQDMGMKDPIPTYTYLLNQIIQR HPDLAYIHTIERRINPFKPGEAVASSDEFAVEGTDNDFMRRLWSSEGKRLITAGGYTR ETGIRVAERKGDLIAYGRLFISNPDLPYRLKKGLPVEKGDRGTYYSKGKLDPKGYTDY AFSEQFERETPPVNVRSRL JR316_0011862 MQSLLTAGRSAHLALGKRAFTSSARRWQAVPQEKPVLMKEFKIY RWNPDQPEKKPELQSYKIDLNQTGPMVLDALIKIKNEIDPTLTFRRSCREGICGSCAM NIDGQNTLACLCRIDREGSKNTKIYPLPHMYIVKDLVPDMTLFYKQYKSIQPWLQNDN PPEKGEHLQSPEDRRKLDGMYECILCACCSTSCPSYWWNQDQYLGPATLMQAYRWIAD SRDTQGAERKERLQNEMSLYRCHTIFNCTRTCPKGLNPAAAIAKIKLELAVD JR316_0011863 MHSATPADRFGPDVKWNTIVFFHKLVLEQWERIEWLSPFIISQD FINGVEAAMQRKAPYLRSFALPIFYYPDDSSTGTMFSNDAPRLRSFRATNGKCNFDPV WMSNLNTLCLGGEITSKEVCSIIVHLPNLKSLELERHKVIKGFRIPKIYLPKLRTLIL DECLYLRRITKPPGCSLVLRGWDQKPIDLFDECRDRIADTIIHSLSLSPTRFMALDFQ SHFKLRMWDRQFPYRNPEAEFFDIQLFRRGPIYLQETIFPGLLYRLTSIFSTVNTLLI RFNEGSSSAAFESKHTLKRFITFFSSVEKLYISEKDLGILLEDTVELDESPYLPSLQT LALFGEWDPSKATYTRRFLRWRQTKDAQISTLDLSRCTILDTACHLCMLDKFAGIKLV WTKDGGKSEYKCGSWHASCTVPVSLREQLQEVWMLGNTMFKYFSANDSIS JR316_0011864 MSLFKRNQVVDEPDTDEENDETIDPELRLRTVRTAASAIAESIR SEQRTERRKSRRFFRRHTAEKKQHQQLAAPPEVAPTAAPKVPGARRNVYVNHPLSAME VDAEGEPKARYVRNKVRTTKYTLITFIPKNLYEQFRRVANLFFLSLVILQLFPIFGAA AGSIAVLPLAFILTVTAIKDGIEDYRRGTLDEEVNTSAATKLGGGFRNVNQPRDPRNW LEKLLGLNAPGKVTKGVRKLRDREAGAAGQDIRVILNKGGDDSSSVLTHDVSQSSVDL TRGARGAGGRRLEDIQSVDSHSYPPATLNDLSKTSLSEGSINLPKGTPEWSQFGSLAN YQQSVHSQSNIGVIDWRKRTSGSSRWERTLWKKLEVGDIVLLRDNDQVPADIVVLSTS DPENMCYLETKNLDGETNLKPRKSVRATSSISSEDDVDRSSFYLDSEPPHQNLYHYHA VLRYTDPATGEKKQEPVSINELLLRGCAIRNTAWIIGLVVFTGGDTKIMLNGGETPSK RSKIEKETNFNVIVNFVVLTLMCLIAAIFSGLEDAKTGTSSQFYEIDTDPTSSLIVNA VITFVSCLIAFQNIVPISLYISIEIVKTIQAYFISQDVEMYYKPYDTPCVPKTWNISD DLGQIEYVFSDKTGTLTQNIMEFQKCSVHGVTYGEGITEAQRGAATREGKGDTLNPDE INAKLEKLKTQMVNTMARAFKNRYMQVDKLTLVSPKFADDLIDRSGEQRTHMIAFFRA LALCHSVLADKPEPQASPFLINYKAESPDEAALVSAARDAGFPFLGKSKDTLEIEVMG QSEKYTLLKLLEFNSTRKRMSVVLRCPDGKLIMYCKGADSVIYERLAKDHDPELKERT SKDMEMFANNGLRTLCISYRYLEEEEYMNWSRIYDLATNAIENREEEIDKANALIEHS LTILGATALEDKLQEGVPEAIEMLHRAGIKLWILTGDKLQTAIEIGYSCNLLKNDMDL MILSAATLEQTRAQLEAGLNKIASVLGPPTWDLRRRGFVPGAQASFAVVIDGDTLRHA LTPELKPLFLNLGTQCETVVCCRVSPAQKALTVKLVKEGRNAMTLSIGDGANDVAMIQ EANIGCGLFGLEGSQAAMSADYAFGQFRFLTKLLLVHGRWSYQRIADMHSNFFYKNVV WTFAMFWYLPFNSFNATYLYHYTFVLLCNLVFTSLPVIVLGAFDQDINAKAALAFPQL YVRGIRGLEYTRTKFWMYMTDGLYQSAVVYFFPYFVWTIGLPISWNGKGVDSLADFGT TVAVAAIMSANAYVGLNTHYWTIMTFIVVIGSTLVMLLWIVIYSFFPSADFVDEVTIL FSTIYFWASVFLATTVCLAPRFIVKYWSTVYQPLDKDIVREMWVKGDLKDQLGLSHRK NRKQKSPQNLEAAPMFTEQHARSLSEISSIHNVYEPAHLSSPAPNATPRQTYLDTPPM NENLELPPREPGVQYAQVRNQPVSDTHLSPLPLGARFDNTPSPQPSYYSASDLPPASP LPSPKYRYPNGEITSTPPSRRTSLATSRAASVSARGAPQSPMPTAPLPPQPHSPNALL VPGSPYGPGQMTDAAQYEMQVRSGQQQQYQHNQPYSAASVVPPSPYGSVNLAQSEISH ATYATAADDFYDAQEDVRGTNLGYLTPEQDVYGSQQAQPMAYSPQYGSNHPQPQQQQY QQHQHLQPAVLDVDEINDGDGSTLRHHRPVSAVSNASTWEGGRAL JR316_0011865 MPPVTTADTQEQQAASSSSTSILKERRFKLSRACDRCRRRRIKC DEGHPCQACLTANSSCTFEEPGKRTHPHKSKRTATLEDRMHHLETLIQAIPPAVFAAG GAPSIPSSSDVASSPVVPFMYPDGMPSGVPPPSLHVFPLMNPSNHFTREHKVDERHHS PHHSFSSLLSGGAFNLPQEEPSRLSLTASYLYFDDEGYTRWQGETSGLPVLDLLVERH SAPPARDISGRSVADSNAAKMASANAEWFPDRQPRRTDDYGNPQKWGEPGFASFIVAV CCLASRHMDDPRVRADPNDGISAGTQWFELFGRLRTLPISDRPTLYNIQANLIAAVYA VGLGKLSKAAALLAEAVTMSIDAGLHRSADSYDLFDAIEDEVRKRTFWCVYIWDKQLG AHFGRPSMLRLRDCDVSEPSPVDDEFITRDAINTPPPGTESRMSAFIVSLRIMVVLEA VLDVPPARQSEDPTSFLLNATKVLSGTKRFKEMREEEALLDDIHRKIPAYWSHSPETL NSDDTIRLTQAERLHCAEQFVRLLIYRHRFSELVAERTSGPIAEEQSEAEQGALIAAH NSALQIVSAHVHIAKKGLMTYYGVHVIHQLTQAGRTLVAVLLCCKTDALQHLIPPGLD ALRSCIGLLRRFSGRYVCGLRSGDLMEEFCRLTNIPLETARQDGSASATRPPWIRPVR KKAPSVARSNQSGDSPSHHSSPEAFSPSDFFAEPLKTASAFPSGPPLPGPGPASSSSV ASPPQYAAQPVQTNGNNNSSFMDTSGMEMMRDDSHMYMSQEMMALFNDGGVDVQHLFS SDFMQPISPQQAQQQQQQQQQQHHIGNGNDSSTPSAGFAGPNFLKMNGLATSP JR316_0011866 MYASYFNRDFSSSPIGKLPVELLSEIFTLCSLPSGQPSPISDDE GSYLPVINSETVRAPFILSRVNRRWRDIILSQSSLWASLCITAELIQETDCRGKGSST KLKTAHIASSLQRSRRAPLNILIDARDPEWNFSEAGVGPDDADGPPIPTLFSPDHMAI VMSLLVPHLSRWRHLTILTDTWVPMHVALSAINPAITSLGAPCLESLTLMRCNDFVSF SPQFEPRDLKTPAFLSPLSPDAACQDLSILPKLKQLSLRGVHVDWDSLAAAMRSSVVG LSSLELASHCSDVRPSNDQFHSLLQSVPTLQRLVITGSGPEIPEEMEDAPPDHDPVPL HHLQNITIGYRTALEGRTVLQQLDAPNVKTLSLEDATYPGDPEEINGGSLLAYVGCRK FKGNDSEFRLEYPPPQLAVASGSKSSTMIHRRRSSTVLQSQESNAAFPLLENVTLKCV KSSPWPLRTFFNALPKLQHLELIGMSMQAVQALVPSSPGSMPTCPCPQLRSLCIRDSE QLQVCDLDFIIGNLGLERQSKGACRLSDVELHLDSARAACVAASPGSPGTRVNIYSDD EDDSDDDCDYMGDEDDMDLDPFSPGGAFNDPVFDSYYSHQILSQ JR316_0011867 MLSPPYYLRLATVLLFAHVVWGLGTSCSAPLGRGTAKSTDPFWM ESIKHQGKSAFNSNPNTYTVFRNVKDYGARGDGVTDDTVAINRAITSQNRCGGGQCRS STITPAVVYFPKGTYLISAPIIPYYYTQLIGDAKTPPTLLASASFDGLAIRCVDADPY IPGGGGAQYWTNQNNFHRSVRNFVIDVRRVPATKIQGTALHWQVAQATSLLNIVVHMS TASNTAHRGIYMENGSGGYMGDLVFNGGKYGIYGGNQQFTVKNVTFNNAQTGVYSTWN WGWTYQGVFFNNCQVGFDLLTGGLTTNTQTTGAQAIIDAVVTNTPIFIRTSKSSNGSL GGSLVLNNIKLNNVPVAVGVVGGGVVLRGGTTTIASWGQGNVYRGSDPTGIFSQGYIP APNKNPSLLDGSGRIFSRMHPQYADYAVSQIVSVRDNGAVGDGVTDDTAALKNIFKKY SGCKIIFFDAGTYVVSDTVTIPAGTQMTGEAWTVLAGKGKAFQDQSNPRPVFRVGEVG SEGILEISDFVFTTIGPAAGAIIVEWNVRQPCDFQGGAGMWDTHIRTGGVAGSNLEGN KCPSNGSGGYTACYAAYLSLHLTPLSSAYIEGAWVWLADHDLDYAGEKQLNIYSGRGV LSESQGPVWLVGTGKLHHVIYQYNIVNAANHYMGLIQTESPYYQPSPPSPTPFSISLA MKDPAPYNPNPSAWAVSITNSHNILIFGAGLYSFFSSYSQNCINTRNCQAQLVNIDTA STGIDIYSLSTVATTYQVSVNGVGVINQSQNVNGFASTVTSWNRDSLILVCAM JR316_0011868 MTLPEFKIVFPPPPPTTNELTAHQRTQLVRKTRKIEQLLGTTPR LLDTSTRPGSPIHVSFPHSLPQRRLTKTRRSSIDSTSSASSSGSGCVNRSSSLRVSRS NSNLRPINGFKHLSSEASIAELFSAYEYDNTAPLLRLAMESMSLDTIPASPDPTRESF AVSEERPASTVFTTDDASSSPRNSLVLEPPPSANSLRKQKMDRLRKKLGSDVPFDLVF PNSRESSSENTPPRTRDKACPPLPAPSPRPAKRRIASSRDSISESVTIHRAARRQPAR PHSPSTSVRDNREPLTSTRHKKTRSEPQAPPSLPPLNFKRNLSFIIESPEEHGAGCTE EFGISHTSSKSEKTDVKAGWVVQAYNADDAEFKLWSTRKGYEGWNEKKANLAPISTIY FPSSNDNDSSPSSASSSPRSSTTPDAELKRRSSSYRKPAPTIPIELF JR316_0011869 MSWLYWSAYKRLEDYIKLLSLIAVMYIRLSSSFRLSGAFAQPSR LYLKPSLNKFSLRAFATVMSKYQITNHVGGALIDAVADDHQEMYTYYDQYVKSTGDVD AQERWANQFTWEVARHAVGEELVIYPLMEKHLGEKGKQLADNDRSDHQEVKNLLYQLE SLKPGTSQHADLLKNIVDHLKPHNDSEEQEDLPLLQKAIGEQGSQDAAASFKRTKKFV PTRSHPSAPDQPPFETLAGLMAAPIDKLKDMFTKFPTEEQKASVKN JR316_0011870 MSHPPTPPDANTATNSTRSSFTILEPSAPQAFRDSDEKLKSNAE SSVASPSKDEESPKVAVVEVKEVGKKMPLPVPNRDPNMVTWDGPEDPTNPQNWSIARK WLITISCIIMTVNVTFASSAPSSAAHEIMAEFNTSKEVSYLITTVFLLGYVFGPSFWG PGSELVGRRPIFIGAMIAYTLCILGQALAKNMATLLVTRFLSGFFAVGPMNNAGGLIA DIWSAVGRGPATSLYTASVFLGPVMGPIVSGYIVDSPASWRWIFWVMFFFAGACTLII IPVLPETYAPVILLKKTQRLRKEDPEGSKDLYAEHEKQDWSFKGVVQRTLFRPFSMLL GEPILMLITVYLSIVYGLLYALFQAFPVVFVARRGFTIAEDGLMFIGVGIGTTIGSAI NFWASAHYPELIKKWKGFPPPEDRLYGAMIGSPVLVVGIFWLGWTGEYSSIPWYVPGL STILVGTGISLIFMSFLSYVVDTYLMYSASAFAANTMVRSAVAAAFPMFTTQMFTKLG VNWSCTLLGLIGLLFVPSPFLFYKFGPTIRSHSKYAPCIDLKIAAAMKAAESEKQAGI P JR316_0011871 MNTTYTSTSLRDRDNAIHDKYQSQIRELEAKVERLKHQNDKHLK TIFDYRNTGQRLAQSLGYSDVLEAQVAIDSADYPMTLLEAFKRLEEVEAQLAVYKADT EEIRAKLKGAEEKRKETELENRKLVKEHAQLQKQYDALVVIRERATKRYQSDFKNWKK TYRLHHPEDIRSRQYEYEPGISLEEQQRRMLLIDEHRTRLRKMIADRKDAIDSPRVKK EDEHDKENQNTPVATTRKRRFGSESPTPSKAAQALPLRKSLLSTVTNMTAPSASPTVF NVHRSANIPTTPLAAQPMPDAIQVKPEPSSSPINLNRSSSPSIEPHIQASSETEDDSQ AIPIEKTNSRTGEITITKIPAPTPIAGSSRVSGFGTDFVQAIRTIEPFQLHRESERPN KLRRLSENSHELRREPSALTDVSRISHSDPRPSSKEKAKESDNAIVTPLTTRNRGQKH LEDYSAFKGRGRYSKPTEGPQDTSINALYEIDPAQNAGKNFQYDEVVRNRDERRKLNA GDCECCREYYENVGPLPSRLKQPLWRSPPNTPVKPCPRHSHLSSSPEEHRRRAHSPSN VSGSVLRQSDIDSHKKAISRHRHNWDRAATPPAYWDIGFPSTQEASDINKKAKEMHER KKDKIAKEAAVEGGRYRRK JR316_0011872 MFFDLNVPIKKTQQRANASKKGKQPQQEIPASWTPSEISDLESR IDLLIHLGYSVIGLSQTVHKKVDPKTHVNVLDSLLGRLQTRPGIVFLKRLNIVLDSES EKGFGLINANVALFNGYDLIALVPTTHNTLSLACLTHSMPTPLTAHIISLPLTLPRLP YHLKHTLIRTALKNGAVFEINYVGALGGENDPVLVDANVAESGASAKRNWWASTRELV RVTKGKGLIVSGGVIAEADLRSPRDIGNIISLLGLSQDAAHDALTKTPKSLIIRAETR KTYRAVLSEPKVVFPPHMEPMGLPTPQDTTEVNTLKRAREDNSLTVLPPSTSAAKKKK RKPNKEVA JR316_0011873 MLFFDFLHDIFTRQRNSHTSFSELPDEKSSSHHNSHVYHVNTEA NFTSALAPITRARPSAIYDGGYGEQAERRGVCLRIANGGAGQTGLIGAWADAFIRYMV SKKGVEPFQVAWYLGDTTESLAYLVAGDVDIAVTYNPAAESQVVRSGDATDIAYVFRD HFMLVGPPSNPAGLNDSDDIQTMFSKIVASGNADIAVPPRSRPPTRFLSRYDKSATNI KESLIFATIGQVPWALDYSKWYHQYPRFPKESLEAAADLSEYTLTDKGTWLDAAQCVT SQLKTFKIGSDNATDLLLNPAHALAGKRVSAANSDTCKAFMKWVTSANGGQKVIEQFE KHGQVLYSKAP JR316_0011874 MTATTTNESGGPGLLRLRMKRLLNVLCQRWLYIGIAIFLATLNL LLGILTLSYLHPKKDVLLFGIVCFAIILALGHFASCIYLIQRAKDESSDPTLVDAACS AGVVALFALSGVVLTIKLKGRCFSGHNEMFTAIGQGCCNGVTAMAAISWIGVVIMIIA SVIILIAALRAIEEAKQPPPVFPSGAEAPVMRWLDRNDPFLAVTERRQQYV JR316_0011875 MTDYYETRQPYRGDLAAEEDAMRRTMTRGSHQSQASVSTGSWVA QNQLYPPGPPPESSRFSADLTPLRAPRPLPVPESQLYQSRTAFQYAGTQEEEEDYMTA QPHPERQFAYRQQHDDVQRRDEANMNVIPLPTSPTPGSPLSSPKARPGRSLMGGFFSG LKRLPKLVLKGGGEEKRQLKHKGTFNTDEGTSTSVTGMTRGNTLPRYLSNPSIRPSNP QFAHRLSMAVANGSLPPGSTPATVYHLRTNTAGPQFPIVTITPASGSEGIAEEEQAHF YDGPPGIEPHQSMYREDAEYDRHRLNDRTTVMMYNTDSQAPSRTQSASVPPPPRQPTP GPRVSYQTQLPTRANRQGSQTEQGPSNGPVNAAPSAHTGILNNTTQPSAAMTNSMMPG DVLSSPRSPSSYTISAVPSLYDPSFASDLTPIEKFFKGLYNLPWIAQERVTIDYRPGD SDRARAKVKAMKKTKPMASWYRAVMSRRGSRDLDLLSSGSPSSPRTTMSFGNTLSPIE SPISRETGRWQNLGAHNHSKSKRHRQKRHRGTWSTMRSGEDATGRENVPYMPQRSTSP IIPTMYPYAYPGHPAYAPYTAYGIPAAYPAPMPVPHTVPRGPRKHRSKSKMHSNKYHY GYPPYQPMTLPPVGAMGPPPIYYIAPSPPQNQTTMPSDPNMTQTQTQSPPVQGHPPPP GTLQVSPVIMHFVPGAFGNQNQNHNLNPRDPAMLSPPLTPQRQRGYDS JR316_0011876 MAARHEPAYIEDATLSQCQLVLDEMNENTVEQIRDAVFQATTSS TRPGGSSIENWFKKVSQGNTAYRVTMKNSGLKTRIIAWKKGTNFGAANLYFRTVSPIF AFSGMHIKAFGIFSTTKQKWTKPNPGFNSKSSEFHGGTRFFRPTCRTTDNVQLFDMLI MRNMLDFDGADIPIIFVDWSSEQLDIALGYWEELSKDNWSRDEQRKRCKFIQNCQLCL GFGYSDVL JR316_0011877 MPSLAAIRASNAAFAHSSTYTPVAVFVGGTSGIGEGMVRTFAEN TGGKSNIVIVGRNRGAADRILDSLPKPSSDGDKKFTREFVQCDATLMKNVQNATQEIL SRHPKINYLIMSPGFATLSGRDETPEGIDKKLAVHYYARWKFTYDLLPALTKAKDSDE KVAAMSVLGAGNGGTILEDDLHLKKNYSISNAAAAAQAYSDMMIESFSERAPGLTFIH SSPGFVRTAIGASSPSTALRWSMKVLGPLTRPFSVSADECSQYMWHAIYSTANKPGPW RIGPSGEDLEKKNYVGSDKQRKLVWDHTEEVINSALAM JR316_0011878 MGASESKPSSNSQSTLPESYDGLNFYEILCVPVDTTNEDIRRAY YKRAKSTHPDKDSTPGAKERFQKLNEAYETLSNPEKRAVYDLEQENEMEEKTKTEFGE FGSSSAMPGEWEASELPTGTQTPGWFEWLFLGRSTSFSEPFARYNPERYANYHKHLPL SRGISAKDIAEHFNFCIHNAMWKENGKDLNLCTVLRNFFECIAYDEKRCGYNQPIPGF GRINSFWCPDDGYGQDYAQDFYMFWLNFDPLKTFEWAAVELMLAGDPRVATHIVMNHF KGLPQISMDEFCRRFIKQIRQKRKSLQVLLYKPLPPTLKLEGRVTIPQSEMMPHTRFM PLVYFILLSAYTNVAAEPATLFHVTTATPTTSSLPYSLIQSGTTIVRPIGTGTDGKTT YVQENVVSLVVAQFPAANGAQPSQSTLLSTPLTLQATFAEDKNGYHATRSLSLPSPEG TGSYEPGLDEKCVFNSDGTGSCVRIDITLDQQGSTRRTTTTYSGQLQAWHTVGETGGT TPSVTGNSAKRGGLAIDGGALGMIAMTMSVFVSVLSGLGVLGFGIW JR316_0011879 MSLSWHQRKNRLASLLKTDGGDEDAEGLALDRLMHGQNVIGKTA KTTEVDALRFKDRDLDVVGTLEYGQFGVIDVVTCKLDNCVYVRKSIQKKFALRTRDQC SPQFERDILLQALKTDTPWVPHLLCAFQTPTHLSLVMDYAEGGTLWDVLESSPHDGRI LESDMMWWIPQIVSAIHWCHLQGFVHRDIKPHNFVLTPDAHLQLIDFGSSAPLLPPNP DGSQLIAKRYCLVPCGTCDYISPEILQAHEEALLALEMEDEDEPIKFGETKETEGYGL ETDWWSLGVMLYEMVYGVAPFFANDIRQTYTRIMNHEKSLRFDQKVDVSHEYQHFLRR LLTHAKQRLGRRNVMEITDHPLFNGVNWTTLSTVPAPADLHLPQFTYASPEQPAQPDE IPMNEPYEESASLSQGFAFSAFFQPSSNISPGLSVLRPSPGSTSKHLNTPLAVSTEGA SNSATSFIGFSWGPNRDAFPDETPAATSVLYTSQSSETQPTPRPLIRTPLRPQQVHQT PGPFNHTHNTLSVPPTWGPGTFSTPGPFHAFSTPVKAYAVSPYATLPRTSTIRRTAPR RNVSDREAMKQLVDCVGMSARKKVLESGRKPKVLNIFGSKGANSGRRRSGSMTGTMSK GTDGTRKELRFDRFTTPIPRPDYSGVSSIGRSAVIPELKFIIDEHHDASDVSYPYPPQ QIYNEDTDQYVSSETSDSEGGGPPSPSPSPRPGSAMSMMSMSRRSATPTVSGCFSSTG MLSRKRSMSGSNLVPLADRSVTATTTTTSSGLLSIPSTGAINLDFKIGKPPPPLAQVS PMNMPLGEPMKIVERTFSRPEVTKAQAQDSDPQVSLDNKSSFPPLLLPRPPAQTHRRR HSVGTKKQVNSSGCDTDSTRPVSFLEEDDKSLDRMPPQTQTHRRRHSVGTTNRGYNMA EQSSKEWYDELERRHATIMEDIESLENRFYELSRVVGN JR316_0011881 MAQSSLEDNRKVWLITGASSGFGKRLVTSILARGDLVIATARSL DKLESVISELDSQYMERLRTLQLDVTDGEEKIKTKIDQAITFWKRIDVLVNNAGFGVP GMVEEGGTKILRRQFETNVFGTLDVTTATLPYLRESNGCVVVIGSRSAWKAELPGLGF YAASKAAIHAITEAFMSELAQFNIKVLLVAPGSFRTEGIYGHSYYTDNPIPAYDKLRG ISKTRFESVGGTEKGDPDKAVKAIIEVVTGEGRAKDRPWPNYLILGEDAEADVRNKCR RWLTVLDEWSDVTRAVNFDS JR316_0011882 MSTGLRIAIIGAGIGGLSLSAALGFMSQRDDLQIDIYENAPCIS DIGAGISIWPRTWVVAKAMGLQERLLSFLPAPPNDSPSCIMRVRKSDQAQGFHVRDIL QNGGAMRFHRADLQHVLKESMYGQLHLSHTLTSYEDKGDEVLLKFANGTIATCDFLIG FDGINSVVRKGLVHNRGGIDLPSLNPVWSGSIAYRALIQMDKLKEVSPDHNALSVPML YSGKSKHIVTYPISQDRILNIVAYDTDVSKIGTTYNGATALPSTPAELLSVYREWEEE VQTILQCVSSVTKWIVRDLVPLNHYSFGRVAIAGDAAHAMTPHQGAGAGQAIEVGNRF LLFLMTINQIAIKDAFILASLIADPKCTRNNIHQVSEIYDIVSCPRGNRAMTLSRLTG ELCDLIAPGLEDSHDDILETAFQRYEEGLRWTREDIPYENQEQALNMLHILLKDVSAL EATATVASSLLSAPASMPLTAPPSPVSTSSPHTSSTPAPIISGVSFPPVGSIPKDFSP AGLERLWDVVGPVEPPPFTTTRVPKTPVVLPSAPPALYPSWFSPAPADILTNLTLPKG FLFGVATAAYQVEGAAKSEGKGPTLWDWNSRQPNGVADNTTGDIVDLQYFLYKEDVAR VAALGVNAHSFSISWARIFPFGTADSPVNQEGLKHYSDLIDYHIASGIAPVATLFHWD TPLALQAYYGGFTSPKIVDDFVNYAKTVFKAYNGRVKTWYTFNEPRVFCSQVAGYPFN ISFAPGVNASTAPYQCSYNLLRAHAGAVKAFRELGIQGEISFKNDDFVGIPWRANNTE DVQAVERHAAFRIGAFSNPVYTTGDWPEIMTDTLPPSFLPRFTEQEKKDIKGTADFFA TDAYRTQYVVAPPDGLAACISNSSHPLWPECHDVVEFDSNAGWAAGVSPDPASSWLQA TPNFLRASLKDLQARWPTKKMYISEFGFVEPFEQLRTELFRITEDVARTNYFMSYLGE VLLAIHEDNLPIAGIFAWAMIDNAEWSSGTSAKFGIQYVNYTTLERQYKRSALSLAEF FKGRLQK JR316_0011883 MSDSPIRVAIIGAGIGGLTLSSALRQSVKNGDMKVVVYEAAAEI SEIGAGINFWPRSWNIFKDLGLDQSLLQILPKEPDDSTRVVFNIRKGDQDQGINIDDL TMKGGGIRFHRAELQKVLLDNSSGDLFLSHRFVDYEEADDEIRVRFENGYTTTCDLLV GMDGIKSSVRRSFLLKCGMPNSPSLEPVCSGDIAYRGLIPVQSLEKEYPGHRAINGAT MYLGKSKLIITYPISRDTFINFVSFDTDILNEGSYYHGPTTTPCSREEIISIFSMWEP EVQALVRCIAKPTKWVIRFLKPMDRYTQGRVILAGDAAHAMTPHLGSGAGRAVEDAYI LASLLRDRLSTRAMLPRVAEIFNEICCPAGKRLTERSRLGGQLCQLVAPGFEDVHEGD NSVPREKLLNLVRQFDRELEFVWKESAEEDKRRALDMLRMS JR316_0011884 MSTPPSLLKGLTDAHRKLSDYFHTFDESPFYLWSSLLDPRISYD ALRDDFQDDPQLSAELESAKSNLRRYFDENYPPLLSNGGKGEKLNFRDFIAWLVIYYP YLDQQLQSRGFFPVAVTLSRFGALVLNQRRFDA JR316_0011885 MSNDILKADQIAFHFYTKLFYAVNHARATEEPSTNPKPDKWFNL ETPDSDLFTKEAREPYRSISLAPLPGPPTLELQVLLSIPDLTNNQVLVYMSPDSSRVR IEPTPKFILLETWSLGMTLHRPGHQPGDNTDVALPIIYKQGIILFRSVFSLLRVLPVW KFYKRLKRKVGGINRNGHLGIKVRVRPHGEDENDTRILGFDARLSPTYRTPLPTSTHN FHPVPHLFGTFNLSTTYLNTPNFQLDELESLLSSRFISLDLEGFIPTLDKNRQRDSMS GSSLPTSSGIRSSVSRSPPRAIGKTTSGGSGADSVSVAERFILPSRVSSMGAPSLGMT ASSGTSALIPPPRPFPSTNPSSNTPHAIPSQPIPASGLAVNRLRKESLNSSSSSLLST RDLPLGPPAGTSSLSSSPVSGALPIRRPNIGQVHPFKSNTFSSNSGSSPSLSIRQGAG GSGSPAGGPSVLSGATHSPIGNPARLPPSPMSTGFVYPSPPAGATTFAPSSLGDRRPG TSGSGASSERDRDRRMSVNSFGQGSGIGMAGGGNGEVEEGRAPVPVPMPTRKRYSSSF GHRYAGSVGSGTGIPAPGSANSGGAVVGGLDGRNVGGSGSTPGSGNASGRGTPASLGA GSGQEGKREVSHFLLFVLAVDVILVQFAVEPCIDVISFLNTRTDDDDISIFVQDIEER KPLTGRTKEREKQDRDRVQLEQQRKYGWHYEERGHGLVPSESSSAKGKEKERSGWSLE STETDQRRRRLDGTAGAYVGEDAYTTSPPPLDDSPTFDVADLDDRLASTAERAAQLST SPNRGPMLTSQNEVDERLKQMNERFLKSLEGLGGGSTRRKKNSSATQGSISSATSVSQ ARDDTSTSGSSTSRPSRGLSLGFPSRESSEKDLDPNRLPYPPYFYTSGSGQGVGRGRH SSTSSSTMGTSEGGASQGSEEVIGRMELYEDKRKGRYQG JR316_0011886 MRLINTKTFQIEDFWSNIPVYAILSHTWEEEEVTFQEMQALSRW IKRKKGFKKIQKTCEQALADGFTYAWVDTCCIDKTSSSELSEAINSMYKWYQQAEVCY AYLTDVSASDNPFNQKSQFRECRWFTRGWTLQELIAPLSVVFYDKDWIEIGTKSSLCK IITEITNISKQVLLVNHGGEISIAARMSWASNRKTTKVEDMAYCLLGLFGINMPILYG EGQDAFSRLQREIIGTSDDQTIFAWAGGHGGTGVAGLLAKSPKDFAPSSHLSHIKVDT NRSPYSITNVGLSIELPLQPVSGNANQYKVLLNCLSGGSLVGIYLIKDKEGQYVRTRT TETFTDTADNLQRYKRERIYIKEPVPSRFDVTQWMKPRRDYEFFIKSMPSAQVHGFTA TQFYPPPGTNAVEWSALPKSQHRLTIGHSGTSGGILFESKTGRYERFVVMLGLHNYNV WCDVYTNVGQSDTLEGIAKSYYKDNQVKLWDNLDRACKQLTLHDKYVVLSARKGVKPD KQGEFQYTVDITVTSNPPPVGSIGRSGGLELGMSNPAYVFHVMFDPTWEVTSLPAFES IAWHRQKDKSLTLALKNSGISGIVVLRDSVTQAVVAILLGIHNYKPWSDIVTTIKNDE GVEDEAKNVRKLYYTNVPGNLNHRLWAWDTDLEKSVNKNLSVRVVTKEDAKDSFATNI QITRL JR316_0011887 MSEVAYTARSETDRETATTTTRVERERDSANLKLPWIKRRVFFL FVAFAFCAAGAGVGLNAIIKLNNQVDAQGRLVSTTDMGVTIDINNVYVTGMLSVVACF GIVVTTAGFIAYTVWPRRSYGQYIMSQAVFLMAWTGWLFITLVAETAFYNTGRPRVVI SGDVGTLRIDDLRAAYRPIKYLRNSIILLWFSWLAVFVCTSALFIAASQVKMSRSPGP KKYGLTAEELAALPKRDPGHRHDPDGLVPRKEKVPRPPPSALSGKDSSPVMSDTADVE KAPAAAEVVQ JR316_0011888 MPRKAAAAATTESGDAVAPRRSSRIKEQPKEEPAPKKAPAKPRA KKVAKDDETKEDKPKSAKGTKRKASDEQNGAAEGAEEPAAKKAKPASKAKPASSKPAS AAAAKPSSKASAKPASKASVKPASKATKPASRAASAKPPSRAGSKKPASKAEAAPTTA AAKVDETIAEEPEAEAAAAEAVPEPTPAPAAEVCNHF JR316_0011889 MSVVKNEKDVDSSSAASGPGAKYEFYDPSKESIWTRLGVNLESF KRAPGTTGGQVIAGGNNVGDIEQIMADSPMLQQKMKPRHLQMIAVGGSIGTGLFVGSG SALRNGGPAGILIAWAIIGVMLINVTQAIGEMSILYPVSGGFYTLAVRFLDPSFAFAM GWNYVFQWAVVLPLEITVAGTTVQYWGKDIMPLAGWITIFFIAIIIVCVFGTLGYAEE EFWSSVLKLFVVVMFIFIGIVCIAGGGPSNGDYASYLGGLHWSDPGAFANGFKGVCAV FVTAAFSFAGTELVGLAASETPNPRATMPAAVKGTFWRITLIYITSLTIIGLLIPYTD NRLLGGSGADASPFVIALDKAKIKGLNHLVNVTICISVLSIGLACVYAGSRTLTALAE TGYAPKIFTYVDKSSRPLFSVLAILAFGPVAYANCASDGAGDVVFNWLLALSGLSTLF SWLSICLCHIRFRKAWIVQGHSLEELPYRALGGVYGSWLGVTLIVIVLIAQFYIALWP IGGMSPDPKAVAEGFFSAYLAFPIMILFYVVGYAWKRTLPQRSHEIDLDTGRKSWLTV EEMREYRAERARAPLHVRIYRMLFTN JR316_0011890 MFRTAATKIAHNSTIPALGGNQDLRPLQDLITAEKAVLISLQKL SVDYSKASEALRTWGLNEGDDLGDILSASTTILNHFSAALSQYATHGHSMRDQLKAIR TREESLADLKQRRRTVVRKAEDAEKKLSKMSPEHKNLAMQTDLLNRLRDEIRTMDSDI MTEEAALGDFKRSATRGWMGLKFGGLLECCEKGTIAAEFGKMIISEISEEITQPGLPR SLYYGQSKTENLVAEAGRCINEVVLSTVPSVGARDRRHYEQQQQQDLPPQPSSPTAGW ETKPQPLQPTTSDYLGNSQRAFGNETPASPIGVIGQSFTDQPYAPQHRQQLSGGSGFQ SPPMLPEIQSEFADRPPQITDDFGMNTRTAGLMDTSNAVGGRFATFPVKTRAPGSTGG YSLQDPPRQHGQDTSFSASVAEALDGKMVPENQSSFGGRNATGQPPWSSPGAASFEFS PPPPAPSMLPPASPAASSHPPPPPPGAAPPNLTNSWREDTMQAPGHNRGFSANDDALL AYMMTPSASDDLQTEDGTTNVSTHDNNTLVAHNHEETEQQRISRHVRFGEVQDVVQEM EKKKSLEKERQAQIGVAEPEPIPIPTQQDSYTTEGNNKSDTGNGESTSPTNKPPSYRI PAPPYVSDDDEKALNAAAARDVAREMESLNSQTNLSNMNQQSETDSIVERGRSPPASQ GFNEKTSIERDRSPLAPPKAPFAGRAVSPHPYAELNANAPAPTPYGGPLSAAQSYAQS YQDQGSPYGSPSLNSPAQAYAQPYQASSAYSQPTSTDNLHANSSLPPRFQALNRSSDN QVPPRFQSTPGSPGTTHQLPPRFQVGGSSQIPPAGISLPGQQQFDTVRKDPNSEATTP YRTPPEYPRALGVSTSSFTRSTSSLNAAAGAASSPSGTGPSASGPRTISAAAFKRPRN ASTDTGDYVKKSLPSSPYPLRDQGERGPSLSGATAVAPPPTTGANPQPVGRTQADVED DYDYISAYVNSSNPSSPIRGDFPSNAPGQGTSLPAGLGGKAGGYGDGRFTTDLEGGSS LR JR316_0011891 MASTSSFTKPSQIKKGSTPKAPQTPSIHIATDLPCPADKDTGPM PRPSYSVSDICRVQNILLHFVPVELADVIIDLAEYWPWVAVSRNSFNSAYSALEAPDN NAQWCFLVTPKVPSIERAGVSVPTMVKMVKFFIKSYESCYEKTKESRAASDVTSSYTT WFESMILRHGESIPTYESPIRPNNWFSGLAAPHPKYLNDFQECELAGAPIANPMDDQK RWHVATSSPSANPGSTGDNSQGWHEVTWKYNDPVPTEAVIESSNPTARASTGAGFVNS LSVGDQIVLMARALSPGWINTVFNVKVEVYYAFSSSYF JR316_0011892 MTETIIDDQDLSHVHYNGTWIKGGSTIEHDETVASSTVVGDSFS VDFFGTSITVYGTIDITSSGVLSNYSVDGAPPEQMSSQAGQGDTPNQQFWKSPTLNVG QHHLQVTMVKVNTNAGPGEGTIWFDYFRVTDPTIRSPSASSHKHRIGAIVGGVVGGVV LTLVVLHFIIFRGRKKRASQKPLPFPRSIEPIDAESPSCKQRFTPFPFTPTRTVENSS PTSPSESVASTSPNTLHSRKLMALHQTQVPTHSTSGPLTAVANSTNSSLPLSHEENRR LSTIQETIQSAVARHNPGVINIIIPQATTPPSTVSSAELLSQPVQHIDSGVRAINIQP EDALAGGVELPPIYSPV JR316_0011893 MSSIIIDDSDLSAVKYVGNGWTKGGTSHENAGTVTSSTEVGDSF SVTFTGTRITVFGTIDSTSQGVVTSYSVDGAPPQQFTSPAGSGDTYKQPFWQSPQLSA QQHNLVVTMIKVNPVPDQGEGTVWFDFFQVDAISQTSNTPSGSSTSSPSTSSALTLTP SNPPNETSSNLPAASPTNKKGNIAPAVGGIVAAIALLALFIIYLIVRRRRRNFRHEVT RPPKPEIDASFTQTPGYMPLPLGNSQANFAPTMNGTGLQTNSPSSYRPPPPSSIPRSS ISPSNYSVPAGQSLLYYNSEAHASSLAVNQRPSMSSAVSTSHPPTVNSRPSISKDTKT TNPSLTMAWVPEPIQHVDSGLRVVNVPKPEELPPVYSAQ JR316_0011894 MPLKTVLRKWQSIASPGPRSSDENQGRSTTSSSPFWMEKIKHQG ISPFNANPSTYKVFRNVKDYGAVGDGIHDDTMAINRAIREQNRCGGGTCPSSTVSPAV VYFPQGIYLISAPIIPYYYTQLIGDAKVPPTLLAAKSFNGFAVIDANPYIPGGGGAQY FQATNNFHRSIRNFVIDVRQVPPEKQQGTGIHWQVAQATSLINIVFEMSTAPNTAHQG IWMENGSGGFMGDLVFNGGKFGIWGGNQQFTVRNITINNAQIGVYSLWNWGWTYQDLK INNCQVGFDIATGGVTTEAQTTGAQAIIDAVVTNTPCFVRTSQPSNGSLAGSLVISNA KFTDVPVAVGVLNGSTVLEGTSGAEKTKIIETWVQGNVYKSGNGKGIFLKGTVPSLVK ASSLLDDEGKIVSRGHPQYEEYDASDFVSARDHGAKGDGITDDTEALQSLFNKFSDQK IIFLDAGFYIVTSTLTIPAGTRLVGECWSVLAGKGPLFQNQDAPQVVFRVGERGQEPA TGVTEITDVVFTTVGPAPGAVVVEWNVREPEGMKAAAGMWDTHIRLAGSSGTNLEGTT CPKSGERGYDSCYAAFLALHITPLATGYFEGTWVWLADHDLDLSGEKQITVYAGRGIL SESQGPVWMVGTACRRLHSILPT JR316_0011895 MASYSSGSTGPHENRSSLRSDSAPTLPGEVVPEDVNDVEVVMGF KNKGEKERESREVDSNDDVLWVNWDGPSDPLNPKNWSYKKKWAATIVVSSFTFISPVS SSMVAPATEQVAQKFGITSTVLIAMTTSVFVLGYAVGPLFLGPLSEIYGRSRVLQWSN LFYLIWNIACGFAQSKNQLIIFRLLAGLGGSAPLSIGGGVLGDIWHAEERGRAIAIYS LAPLLGPVIGPVCGGWIAERSTWRWVFWSTSIVDVLVQLSGLFFLRETFAPFILEQKA KAIRQEMEQGARAHREVRTIFESAESRSWQRIFAKALTRPFQLFAFETIVQILGVYMA FVYGIFYLFLTTIPVIFRNNYHEGPGIGGLHYIALGLGLSGASQLNARFMDRIYVHFK KRNNGVGEPEFRLPTTIPGSLILPFGLLLSGWAAEKQLHWVAVDIGTACVGGGMILVF QGIQTYVVDTFTLHAASALAAVSMLRSLAGFGFPLFAPAMYAKLGYGKGNTILAALAI VLGCPAPLLLWKYGKRIRMSSRYASKQTHPRSPPISAGPTLEAPQHDHNHNEKKSAV JR316_0011896 MPSDGCSNADTVSSSRPPKTVGTRTVKAADAPTGEHGSASENDA KYLENGDKEQTEEDMVIVDWDGPSDTSNPKNWPYRTKWVATLVVSSFTFISPVSSAMI APASDQVAHDFGITNDVLIAMTTSVFLLGYAWNTGCGFAKNATQLLLFRFLAGVGGGA PLAIGGGVLGDIWLSEERGKAIAVYSLAPLLGPAIGPVCGGWIAERSTWRWVFWSTSL VDVIVQVTGLFYLRESYAPVLLERKADQIRKRMDIEREPQRLVRTVFDSAGDSRT JR316_0011897 MQSDSKPQPPIPRPIISKPQPPSPPLPPPPIPDMGVAYSYLDAE VLPSSYKDFSTPQLISVANGTPSMFNNYDSGEIKPRTHQQSNSRSVSPPLPPGAAQPA NFQTSPSKHQHMGSVRVHPPGFYPPSQSQVPMTQPFNNTQVPVQQPTPVMLQKSPSVQ TTSAPLRGKPRIFAAMEAQENGTSYSQDDHAPMAQQDMTQHALPQIPPQPEAFYRPPA NQHTSPQHQNQPQLLPPVEFTSPQLQTTLLPNSYVNGVDPQSMPASITPPSPKAHDTN YISHQLDMESSQELDAPTVR JR316_0011898 MPDSPETPDATHPLNAEELEPGLLYAVLTYRGELASWNWAFFVP NPAVSPIGAAGTMFHVVDTDSVGLWKFEVEKQGVISSPLVVAIMRLADVGFLGGYDDV VGKDSLLPMFKTVAIPTQASTEFSSRTWFLEAICVLHDCGVVQCDDAWLLEREIRRCA FTAMDKYLENKGWTAYRAEHCS JR316_0011899 MAFLRKKKQPETPTQSPTKVTLAPSTSPPPLFARFSTTAQNNNV KEASPRIVSSPMMLTSQRKDRDMPQRVTSAKGFGHSTTSLAASNRDPNLSHRKVQENN QSSVVPINGSVHDLGPTSSQQLAKAPTRPASKVIVDKPLPPPSSAIINEHRARSLDTV NRRASANRPPGPPPSFQYPQPHVVRPRASLDMQDLEAKPLPQPGSTQASYQSAQGQDP RGPPPSTYTFSPSATTRRNASISTTSSSIIPHAGPPITSMNHKMQPSSFSYGRQVPPT TDQKIGSQTLSPPLAKRAVLGEDFSQNRVNGMEGVPSKRMSGVGLPQGRPQDVQRTPM SDRGIDLPPEAALFQEYSDAISIPGTPYASNARQLPPGSLKTTEQVSSSSIPRSSVYM QSDSKPQPPIPRPIISKPQPPSPPLPPPPIPDMGVAYSYLDAEVLPSSYKDFSTPQLI SVANGTPSMFNNYDSGEIKPRTHQQSNSRSVSPPLPPGAAQPANFQTSPSKHQHMGSV RVHPPGFYPPSQSQVPMTQPFNNTQVPVQQPTPVMLQKSPSVQTTSAPLRGKPRIFAA MEAQENGTSYSQDDHAPMAQQDMTQHALPQIPPQPEAFYRPPANQHTSPQHQNQPQLL PPVEFTSPQLQTTLLPNSYVNGVDPQSMPASITPPSPKAHDTNYISHQLDMESSQELD APFITPKSTRSLSLPRQEEPRQQQLQSPQATPRAKKLSKTRHHVDNASISTFSNGSNG TLQSSPETARARKRSASKSRPNTPMTTSKLLSSVDPALQVEQTDVGDVGIPLDDDPFA RVEGVKMLPNSTQAGGTKERNASRHRNKGSVSVKESSIMDSKGENAPQDSPPEMENHS SIPLTPVSPEDLRKAKKDKKSKKTTEAPPTVAETIAALESPPPEPVTMVQILSDPQLL SNILSYLSFYDWCILSSISKEIRILFVRTPTLRETILETFLRTVGYCRWTWDDKEPLS LSLQDLNDYMRGVSIPNHEYARIAAMHVHSLSIHPNHRDPSLIDTVHAMTACTRAYSR VLLRLRAQAEKEASILALSNPLPPPSATSSKSAAGGSRGHTSRVSSRAPSPTTSTYSH PTGGHHAPTYNAPSSSQTSLTFRSPLFRLRRAPLLRVFVPSPEGDWLSDKSVLECEAE CKRAGIMHLLRLGDVVWDVAVGDEGNVGRLVWDGSYLIDLDYTYSPVGDLPKYLPTLA FPPSYFHRVIRTGPNITNPIIHIDISPWGEEIAMNLQLLQDRVRTETPPRGPNRYNKG APFNGRIPIPDSNNLFVDSGWYGTIVVETEGTNEALADLQDRCGPGAFPPRPRGVTGQ ISQAQIENRKVFRILREKSRPGEIWIKAVGVKERLL JR316_0011900 MSSSRARVEDVYESQNDQRLDELHSKIRTLRGITTDIHGDVESQ NLMLDETGDRFSSFGASLMQTSKRAGQAFGIGSGSLKPWRIAMLIVGVFLAFWLISKI LSWWWGSPAV JR316_0011901 MLSLRALGAATLVLACTSAVLGLGSSCSGPIGPGTSGQNDPFWM ESIKHQGKSAFNPNPNVYSVFRNVKDFGARGDGVTDDTAAINAAITSGGRCGGGGCRS STLTPAVVYFPKGTYLVSAPIIPYYYTQLIGDAKNFPTILASASFDGMAVIDANPYIP GGGGAQYWTNQNNFHKSIRNFVIDVRRVPADKPQGTGIHWQVAQATSLMNIVIQMSAE PNTAHQGIWMENGSGGYMGDLVFNGGKFGIWGGNQQFTVKNVTFNNVQTAVYSLWNWG WTYQGVTINNAQVGFDIASGGLTIDTQTTGAQAIIDAVVTNTQIFVRNSQPSNGRLAG SLVLNNVRLNNVPVAVGVVGGAVVLNGGSTTISSWGQGNIYRGTNPSGSFIQGNIPAP NKDASLLDSAGRIVARTHPQYAEYAVNQFISVKDYGAVGDGFTDDTAALTSIFNRFSG CKIIFFDAGTYVVSNTITIPAGTQMTGEAWTVLAGKGPAFQDQNNPRPVFRIGETGSQ GVLEISDIVFSTIGPAAGAIIVEWNVRQPSGFQAGAGMWDSHVRTAGAAGTNLEGNRC PKSGAGGFDNCFAAYMALHLTPRSTAYIEGAWVWLADHDLDFPGEGQISVYSGRGILS ESQGPVWLIGTAEHHVLYQYNLVNAANHYMGLIQTESPYYQPAPVSPSPFTINRTMKD PTPYSGNPSAWAVSITQSRNILIFGAGLYSFFDNYSQACIDSRNCQSQLLNIDTASTN INIYSLSTVATMFQVSVNGAGIVNQAGNVNGFASTVTSWSQ JR316_0011902 MDDASSYQTIRGSLTKNGRRRSLKTLFQLPLSSRTSIYGSISGT LPVGSIPADIVREIVDLLAPSDILNFSLASKHLRAMLLPALYETVTLKSSKNCRVTLS MLKSRKDICGYVRKLAVRPNYYLAWPRPDEQLSEEWVVSMIEDISMDLISLHTFDWDG LELPDDSLWHTLRSNCPELKSVFSNVGTRPLDPSSTLFDFSNLTSFSLIVRHGLGGSD LFPELEALPPRLWDMLRTRCPDLQELAICSFSSSARVFDFDPITDGHWPKLHTLTLGS FGYQQDFSLGPPALNNNSLSSFLDSHTNLKYIRFLWNFKRWMSPDNIPMHLSEKSLPA LDTFIGVYQQLAELPNPQVVETLDLTCEPVYESRLEAVCPVLRTLTNLTSLDIWTHVF DTSRDHSLFFFSILTSCPKLTDFHFMCTTSFTVKPLKQLITQLYLLPSLKRFSLTKGH KYVDESMLSTALRILKYNPALKQINIRWAREKCPNHLKQEGCYDVVVDKHGRPEALAV VERGIPLWGVPFLRRYRHRLDKGGHSEFRRWVRGKNVRVIPL JR316_0011903 MIFRILCLFTLLQLALCEQQVLTEQSNFGLLRRFSSVQEALDVA QARNLDVWHQTKTFVDIYFPPDELHLPDELKVLPHNDTLISAIPPRRMGLGGFAPFAN SSFHDVYHPLDELTSFIHELANTHPNITRITNLGTSAEGRDVLALTISTGPYSKKMEL KKGGKKKRPSGPAGDKLGFVIVGAQHAREWIATATSVYLAHALVADASEPHSLSPLLT HFDFHIVPVPNPDGYEFTWSTDRFWYKNRQILGPHEKCIGLDMNRNWGYKWKREALDR GLQETKPRVPTNPCSHWYPGTRAFEAPEVNNLANWVATLPNVVAFIDLRSYGQMLSSP YSYTCKKQPKDAEDQVEAALGASQALKSVHGTHFQTGKLCSMLYAAPGNILDWMYARV GIKYSYVAHLRDTGTYGFALPEKWIRPTGEETASLVDYLSRFIAKQAKSS JR316_0011904 MSLHRIVVDDTSPSIRYSSGWTNRLLSISISGDGPALFNTAHAA SDAQNFTFAFNGSDFSVWGLLLNFLTPKWTCIVDGLQIPTRPFPTSGTHLVEICSPVQ GQFSLTDGPHSLFVSVDLGGADGALAWFDYITYTPSARVLTGDFMFTATDPSMVYSGQ DWQLSSIGTFTDQKGAKLSFDFFGSSIAWIGTYNNSLARGASTGTYRIDGGTPVNFTI NNVASISTPVLFNQIFFQASQDTVGEHHLEVEFQGADPNSGTGLIIGSSTGTPLSLSY ILVRNAKNVDSPLISPTSSASGPSVSNTASATPGSLPGGHNSTSPIIGAVVGSIVAVV AFALLLLGAFLWKRRRRNMKDSPPKVEKDQEPSQMDITPFTDPPSERSLFSEVQTPSK RDMIASQQASSSNLQSIVSRPSKAQMLRSTSSHVEASSPNTIRTPSMSASTHSSQRDS RVSPTSPQVATSNTVVIEVPPNYTAT JR316_0011905 MQAVNSTIVDDTDIAIQYSDGWISDLQNSISPNIGAIGDPIYGT LHGAITSKSSFTYIFNGGFTGTIVVTGTYTRIPTSWTCTLDGTRMSDSTSTWNGLSVD ANRQTACYATDLTLSDGQHEISVSVNGTKDNPIWFDFIYFTPSTNSVSTSFGDTQVDW TSHQIRYSDGWWGDLDNKQSGTTGFLDGATLDFDFYGKSITWFGYANTSRFDDSVRGT YSVDGEPPIEFTYRKPTVNLVNGPQTISNQVFFQTKQYPSGKHSLRVINKSSTTPLSL LNILIQNSTLDFALSPVPPLQSQTSPTDTSSISSLPSSSPGNRQEQGRPLGAIIGGCV AALAIISCLVCFLLFQRSKKRRRAQNNMTGAHGYTYGLPGARDDEEKTRQEPAHSPVS DPTDASPSISPQPISFPTSVQEHSLPRETVVVHQDSGIRYPHAFDQPESSATDNPPQY NDTMKMP JR316_0011906 MPRVQAPIGADTVEVRATIGTIAFKETQTTGVGIVREENDPENI KLRLPKGAEHTNRVGELVATKLLADRTLSSEKLTVELDSLHTVKDLTINVSENELRGY LGVPNEDLVRATVASLRYLRHEPVIVWKGDRSPNQRDEAASALADEGALQQDTVEINT EIANGWTLSGANLSCLTQATAYKLVRRHKSKGYAERRQTTVNLKRIEAMAQEKGEANL KATQIWGSIRSKDITRSIRNFLWLVIHDGYMVGDNWLKPGFNAEYQERSKCRQCGQGT ETMTHIIEKCSASGQAQVWNLARELWGMRTNKPWPNATLEEIILSTRPTRREKPKGKM LDNAEGEARLLRILMTESAYLIWKIRCDAVIKHEGQQQATGIEIHNKWLKTINSRLEL DSILTRPKYGKKALKKKVVLNTWRGTLRDEGNLPRDWTGRYGVLVGIEPRSKDGRRRG R JR316_0011907 MTRQYFIPDLLATWPWPRSINASLSEVEEEANAWVQSLELFDPS QFKKFKACNFNLLGALIGPLRSKDHLRISCDLMNFYFAFDEYTDLANREEALQISKDV MDAFRDTSKPSDSKLIEMARQFFQRTVDVVGDDRPGFERFIADFDAYTTSIIQEADDR SVGHVRSVEDYLILRRDTCGAKPSFSFYALGLNLPNDVFDNSLVASMLEAATDLIAIT NDMHSYGLEHSRGLDGHNVVTAIMKEYNLDLQEALYWLSGYATKTISKFNSDRRKLPS WGPEVDAGVHEFFDLVGRCVRGYDAWSYETKRYYGDKGMLIQKTRKITLQPRDAAYIT REQLKVSITA JR316_0011908 MSSTEFVIPDLLANWPWKRIIDPNLEEVTNEANQWVESLDLFDP SQFKKFKGCDFNRLGALVGHLQGKDHLRISCDLMNFYFAFDEYTDLADADEAMKIAKD VMNAFHHTDVPFDNKLIEMARQFFKRTIDVVGEDKPGFERFIADFDAYTRSIIQEADD RVEGYIRSVEDYFILRRDTCGALPSFSFHGLGLKIPNEVFAHPLVISMMEGATDLIAI TNDMHSYGLEYSRGLDGHNVITAIMKEYRVDLQAALYWLSGYATKTISKFLSDKQKLP SWGPDVDAAVYEFFERVGRCVRGYDAWSYETNRYYGENGLKVQETRKITLQPRDGAYI TKEQLQSSLA JR316_0011909 MDSLPSRVWLVTGTSSGFGKHFVLAALARGDRVIAAARSLKKLE AFTSTINAKDLKRLRTLQLDLTDGPEEIERKIGHAFTFWNQIDVLVNNAGSFTGGMME EGGSKLLRRQFDTNVFGTLDVTTATLPYLRQSKDACVVTIGSRSAWKAELPLLFEATI TESFMSELAQFNIKVLLVEPGSFRTEGIQNQVFSVDNPIPIYDDLRKQTKARLQSVGP GGMISGDPRKGVDIIMDVVTNGGVAKGRAWPGYLVLGNDADYDVRKKCEKVLTALDDW SDAVKAVY JR316_0011910 MKSEAFPSIVRLPLEVLEVFIDFSSVSTQLSISRVSRLFNSLTL RALYRNISLYSPAVVVACCQTLASNRNAATTVRSFLITYNHYSPALLASYYTIIKKAL CSLTNLHTLKLLVNDPHFVTLLNRCNFHSLRHFECYLTPSAPLIDFLNRHPRIYYLQV SPNENTADPLPDDVGNINLPTLVLPRLQYFSGNVQSVPFLSRTNSLRAAILSWNAMET DPDMAFQALQRSCCDGLNLLSCRRRGWNQDLIESISIRLPDLVSLLISNVLLVDEPLS DDYLQAIEACLPRFTQLQRLHIVCIDYFEMGDISSPIDKELSIVTTWGTACPSLAEIS LPHSKGLCWYKIAENVWIPDPKHTLGGKWLYETVVLKRHPGWKTIVDNLDDNHPSNSA GIVSGGAYTNTIAVVKRHLDGLIKKEGSVQKSTAHISRTIHEDLPSTNTSPHEENSER JR316_0011911 MSRIVITGVTGGSPNRLEINDFVKNEKFFSLYIQALQIMASGTS QSDFQSFFQIGGIHGLPNKPWDGAVGSQPWDPNTQWGGYCTHGSVLFPTWHRPYVMLY EQIMQKHAAEVAAKYTVDTASWVEAAANIRQPYWDWAANAVPPDQVIAMKQVTITGPN GNKITVDNPLYHYKFNPIDSSFPRPYSRWPTTLRQPTSTRPDATDNVTRLKNVLRAAQ SDITMSTYSMLTRVHTWTAFSNHTVGDGGSTSNSLEAIHDGIHVDVGGNGQMADPSVA AFDPIFFLHHCNVDRLLSLWSALNPGVWVSKGDSEFGSFTMPPEIPVDETTPLTPFWD SQTSFWASSSTQDTTKLGYTYPEFNGLDMGNASAVKTAIGNIVNRLYGTSVFGSFAAA APSSFGTTAAFAIPASLPAPEQSPPATAEKATEQHVLSAPSASRDIKEAESGHGPALH THHIIPPSQGLYDWTARIECKKYEIGTSFSVLIFLGTVPEDPNDWLVDPHFVGAHHAF VNSSAGECANCRNQSDLVIEGFVHLNRAIVKHSGLSSLAPDAVVPYLSKELHFRAQKV NGEVVELQSLEVSVFGTPLTYPPGAIFPVAGTPKRYGGITYGRPGGSRHA JR316_0011912 MQIFARLNLLAVLGAILAANYALASPLPNDGLQVMARSDAVEAT VYTVDAADLDALMNDPDFSISDFVSKVKSAANTVKSAANTVKEGIKTAATVAKPIVDT AAQIAQFTPLAPEAAIITKVVEYVSLT JR316_0011913 MHVFTKLHFIAALGSILVVNFTMAAPLSSDSLEVMERSEGVSSD VYAIDGIDAADLENLEMEEDLSISELAHKGKEKAKSVAKKVESGAKKAKSGVKKVATV AKPFIDTAADIAQFTPFAPEAAIIHTIVEYVVFGRVEYADIMEWKSVELN JR316_0011914 MPDPIDSHTPQSARTRTGFDGHDYELVFSDEFETDGRTFYPGDD PFWEAVDLWYGATQDLEWYDPAQVTTRGGALVITMDSVNTTEKGVTPGSTAPFTLADN HNMPYRSGMVQTWNKFCFTTGYIEVSVSFPGPDQQTQGYWPGAWTMGNLARPGYPATT DGLWPYTYDSCDVGTFPNQTNPDGQTPIAAVHSDASRPNYNYDLSWLPGQRLSACSCP GSDHPGPTVSRGRGSPEIDIFEAERDKNFDVGHVVSQSAQFAPFNADYKYSNDSGGWT NFDPTRTRANTYRGSAIQQSVSGLTRTPTDMFQGSGANFKTFGFEYWSDMNDRSAGSI TWQVDGQRSHRVLASAVGPDPEENGGSGVGQRIIPEEPMSIVLNLGISNNWQKIDTNS MLFPGEMKIDYVRVYQRKGQTNTGCDPKDYPTADYINRHLEAYTDVNMTTWKWEKPRN SKSEVNATAATSAPFVVGVKLEINATHRECGNPYQLESGIIQKSTNLWKG JR316_0011915 MAQQRQQQPTASSPPSSSLTHSTSSASSSSHSHAHAQAQYAEYT PAALQHQHQLRSPGTPGTPLHMQREDTRLLASQPTSPALPTHEFGALGAIAMGLGSGP VRNNSLGALASPQAQQQLFAPQAQGPGLAATPNPFATPNSTISVSRVGSVTPNTPSRP VSRGSVAPFPAYNVSNPQPQHHSPHSHSHSHYHPTSASASAPTSPMRFGPGAAAGGGV LADESVFATLGLGPNSSGFPTSMGAKGAGSMVLYRLADSSSSTSKDKDKDGVLVPPRF PGSPNGSNAPSPSPSLLNAHSGTHRNSYSSTADAESILSVAESKYPLTGVGYGGGGRP FSGATGASMGTTSSVRGLIPYVYDPMLDEAEPLDEEDLLHDPNPRAWDSGRYDALKAS RGHPPSSSSSSSSKLTSKRPHHPGSSSSSEKHRNSKHPNPQRTKSTFEKTHFPWRGIL NIGIKRGMRGLRGM JR316_0011916 MPSATDAAKTLIPHGPFQYPPTQGPKHTTSRLAAPLKPITSSSA KGRHYRILPLDKQGCAGSGAPFTTQRNATQRSAPDRGGGTAQVYPTFVSPSFCIRCSG AGYNTMHLLPFALIAAQVAATLARPLEARHRAFNAVKNGATKTKALTSAAASPSATAP PANAEGDKNELEIEGKFGTAVALGGGDIKTDVLFPKGTVGVFEVEFQDKNANTVTVTE NKTPGFAPAGFKFLDPSSYKVALGKSADNLTLQKIDFIFDAILPALKDVDTSKSRVGK LCTETNTFVISEALGEEEFEVEENEVTLTVKSLNGEWAVFIPTAQAATGDAKEEKDET IIESTFDKATATPAGNKKTDILFPANAAGQFEVEVNATAANAITVKTNPNPVAPPKGF LFVDPVSYQISTSSKTSTATDKVKVDYFFSAAVRAAADIKQGVIGKLDAASGQFVVDV KALGAGFEFEVEDEENEWTLTVPDLNGEWAILIPQAAVLKGPTTLTI JR316_0011917 MGQFTTYNARIASNPYVVGSFACIGGGLFGLDISSMSGVLNNPS YLSTFNFPGPSAQGGIVAAMPAGSLVGSLAVTQLADRIGRKKTVILAGLIWVIGSIIQ CASVDRGMLVVGRIISGISVGLSSAVVPIYQSEITAPAIRGRMVSLQQWSITWGILIQ YFIQFGCSYIHGVASFRIPWGLQMIPAIILSLGMTVFPESPRWLFDHGYEEEALQVLA DLHGGGNTKNELVVLEYEEIKQQVYFERTEGAKSYLDLLKGGNPRRVMLGMSLQMWSQ LCGMNIMMYYIIYVFEGAGLTGIRTNLIADSVQYVLNVALTVPAIIYIDKWGRRPMLL VGTLLMGFWMFLVGGLQGRFGHWSTPDSNGSSVWVIDGNQAATKAVIVCSYLFVCSFA ITMGPVSWTYPAELYSLKVRGKAVSLSTASNWAFNTALAFAVPPGLNSIAWKTYFIFG TFNFAAFLHVFFMFPETVGRSLEEVEEIFQQGHTFTAWRIGKDVGKKTLAEVVEKSRA ISGAKGDDYKEEKHSEEHVA JR316_0011918 MFRSLLVLPALVASSYALVSAVDSSQLVSTATYSKALGEGFTKA IIRGYEEACGVGGEVDPNFVSSYNNARAAGYTDIDTYWFPCNGSGNNCKSYATQLAEL GATFNAHSMKIGTIWIDLEKDSVCNNWNYGTAGNQAQAQSLIAAMKATGFNFGIYSTP GEWSSMFGSTSFVLDNAAPLWFATFNNVQSLTLGTPFGGWTSAVGHQYTDQSASGLFD LSVFAH JR316_0011919 MSQAQPSTPNDSPERTAKRARVDSKPGDFDQSSNATNKGNHSDR FWFDDGNVVLQVESTLFRVHRGVLARHSPVFRDMFKLPQPPLSQEEMVDGCPSVELPG DRRGDWVNIFTLIYDHETSYNNKDNFNLPVLTSMLRLGRKYQLDVIYKAAVSRLKAVV PTTFQELTKNTSNPHALLKADDNLFNLLSVVLELGIQRFMPMLFYYCISEFSLEQILK QHSGPNSKLPYDCIVKLVLGRESLISSINNNHLSWALAADITAGGKCKSMSMQYYGSS NPCKLFRANAATAIVIKSVTPDVRLALMPGDADYLRLFEVTDGQAANRNHQARPCEEC VKEIFRLQNAYRSRVWDNLPAFFRLPKWESLEAL JR316_0011920 MAASRVAVLGTEAETAKSATAAESARAAESTKSAESAKSASATA SASATKSAPSLEQEQEQERGEGEGEGEGEGDPLLNLGSKTRLGLGFGLGMGFGRGLGL GLFLEEEEESQEEREEEEEQGQEEGEREQGREEQEEEEEEEGDEEEEPERERERGSSA RIPTTMDLPVGVSISEREQEIGIGREAGHAIGLDMGKTRGDSDAVPISMAVAQAQGEK EDDYDDDEEDEEDEVEEDDDDDEEENEGDGGDGAILDERAAIIGSDHMNLQMPPPIPP KDEEDRVLPPLPECEGEGGSASAMEKGSENKSKKKKKKNILSFRLGHGHGDGDSDGDG DGGAGSVGSGSVGSPCMPSRTVPSASPSAAGRSRSRRTSAKAGVRAARGYVTSLLSQV PVSAPSSSSFRFRVPFSFPYTSTSACISAEESCTANADPDPRDPDSPARFDHNHNHDH EHEHVADSLLVERPGAAPSSSSTPSSTTSIPFPPHPPPCSRPRRSSVAAPSSSSAAPP HTPAPTPTPTAPRHSHAHTHIHTHASFRSSPLAQAASPSSPSSEAASPRTPSPSPSSS ASHSHSPSPSPSPSPSPPLAAPPHTPGPGLNPGMPTSTPSKPHSQAHYFPSAASTSTT GLISAFASSSGLGSGASSPPTLSTSTSTSVTGSANSNSNLIPALASQQGNMQRQVQGN GAGSGPGPGAPMSALQNQHQNQNQNQNQHPNAPYQQQQRPQQFPPSPSSPQQQQPFGR QPSRTAGPPPNAYPASNHPNHPNNYPHPQHPPQGPGPQGYPQGPQGQGQQGHGQRGPS EESGQSTPHPHPHPHPHHGHAHIAPGYAGLGGNTVNSNGGNGGNGLHGGNGHGGPSGP GLREPTLPHSHSRGSMILYRLSATEDGMMLPPPGVPGGVGGGGGGTGSMGNNRRASMY SNSGDSVASFGGESKYPLVGGGGGGGLPPYAAAPGSGTRTPGTPGRPGTPGTPASAAG SIGNRGGEHSLAEIGRVQGGLVAYVYDPGEDMDADELDEEEEEWVRGVGGYVPYALFS KVHGAGGGGGGGDKGSVGSMAKSKGGSSIAKVRSQRNAHHIPPVSISSKPSGVGVLSK PGTSKHAHPHAHPRIPTTTPTRPTKSSGVSLRGLVNVGTLIILLIGLLLLFLLYPIYS AYNNDGVEQLIIGNARINSTGQAVVEVGGGVVGGGVGGGDVGGVGGGGGVGGVKGRGV GKEVKEDGNGQGNGKREWTWTGFEGVP JR316_0011921 MPDLFIPPPGFYFRLFNHESGKVLYSRNGPDPEMWHWFMSTDSD DQLFELIHGTGNRAGQYAIKGKVSGKVLFSRRSPDPCIGHITGDGYYDDNWFTFELGT GNFSSLFRINCPISSTVWVSRNTAQPGVCNYPTGGVHYPDQYFMFVFEDTEIDHVEYH IDQGKILNSTPMVIATQTLENKTDVSQTLEASVNTSVTETSTFQFALGFSVKVGTSFE AGVPGIADGKIGVDVTSTSAFTWGSSTAKTKTYTARFPVNAPAHTTVTAKSSVTQSEM NVPITVYSKSKATGVVVKTEGTYYGVSTWNLRHTVANQ JR316_0011922 MSQAQPSTPNDSPERRAVKRARMDSKPGDDDQTSLNTSNKGNNS DRFWFDDGNVVLLVEGTLFRVHRGVLARHSPVFRDMFKLPQPPLSQEDMVDGCPTVEF HGDRKEDWVNVFTLIYDHETSYKNKDNFNLPVLTSMLRLGRKYQIEIIYKAAISRLRA IVPTTFQEMTKNKSSPHPLLKADDTLFSLLSVVLELGIQRFMPMLFYYCISEYSLEQI IKRHSGPNSELPYDCIAKLVLGREDLMSSINKNHLAWALAADVTAGGKCKSMNVHYYG TSNPCNLFRANAATAIVIKSVTPDVRLALMPGNADYLRLFDSTDGQAANRNNQVKPCE ECAKEIIRLQNAYRSRVWDNLPAFFRLPKWESLEAL JR316_0011923 MERSYHSTIAEDAQDVPPSEARLKNPPKLMTRSPSSTVSVSSTV ARASTPTSSPKSTKNQVHQSGNASSISDRDPDADSTSVAPQNPTSHPFAHTTAKLVLI PSVSTVDGIDVEEEARLYDELSRTYEDETDDFTRSNTPVRTARPAKQSSSSRPRPRSL PPESIFSADIFLADNTGNTPAGAAPLFAQDVRIAGWSTVGDGGGKFGSSKTIGGSGAY VVYDCVITTREGTTMHVLKRYSAFEELRSSLKRTLPSSLLPLIPSLPPKSALGRFRPA FLDSRRKLLQFFLASVLLHPEIGGRDVISSSTIAYDNIKMPESKII JR316_0011924 MLCSALAFVSRSLQRPKDALTETQGKEPRSAPIFKLNADLLHLI FRMCTDLDEGAGENALGGSKEYRAMVTVRHCSQVCRFWRHSILSAPSIWGRILDLQQL DQENDLWREEVIRRTGDAFLHITGIVRGFNHISKQFFYNLIINHWGRVRVMDVDVYHP HVFKPETWNFLIRPSPFLQSLKLRFHPRTISPTSILPHGSIFANDAPSLRQFCVEQLL FDTRISWFSQLRRFGIFPSPRQPVTVCEIFEMLAQMPLLESLTIGDVRNDVASGELNF EHSSIELPSLQEIVFTDVEMKVCTDILQRISPAPTCALDIFCKQRMQHGVGSSNNTED NLGRAIFIRYFKAFFDNHLISTLTLRQWNGVYTLESDVPKPKFQKASVLHHRRFRVSI DHHNPSIVFDIFGACQYRNAKTLKVILDVTKPSPIHAPYMAQFIKSLGHVETVVVNRP ALRFLQDISKDISVIFPSMRTFDVQRTPLSMLPYVTDFQSDSDGLAVSEALPHDYVRL LNDKRSGFVLGVVIFVICSILSYSL JR316_0011925 MNGGSLLSQYVTPYATYLLKAVQGFQSQGFSVYAISIQNEPQNS NPTYPTCTMSVDIEGQIGTTLRSLLNNNSLSSVKIVGYEHNWDNAGTYPVQLMSSYGS AFSGAAFHCYAGSVGNQDQLHNAYPSKEIYFTECSGTIGSDWWSDIKWYIDNLWVGSL EHNARSGLMWNIALDGNGNPKTPGTNSCGGPGCRAIVTVNSDGSYSFNQEFYSMAQAS KAIIPKDPGGPFGQRIGVSVGGSLNWALRVGAYVTGRVSSSDWLRYSIVVLNWNDNAS SSWNPQPVKTTIEFRGMQATYTFPVGVTTLWWFAPATGSNAREINVQTYSNGTNTTMA I JR316_0011926 MSTIVSNIFSTIVSFVNGIKYGFASGCEIVEWLCTYGGPAFGAI ARYINKNSEAPVVLRNRSGGKLISDMEAQHSPANDADFEELIRVRQEIKTLKKILDNR SARTRPGTSEAHEIQLDRFELERKKVLNDIAIEAQIRATYPARALYLAMCETYDVAPV RTD JR316_0011927 MDTFLDTEPVLGEFTEEDLEQEQDTYQKPQTDADRTDGEGYETN TAPSQSRSSSIRMVQSQSQLQQGQGQD JR316_0011928 MYHRRLADKQTGKTSIGNIFIAGLGAGVTEAVAIVTPMEVVKIP LQAQQHSLADPLEAPRYRNAGHAVYTIIREEGISTLYRGVSLTPLRQATNQGANFTAY QEIKKLAHKYQPDLVELPSYQHMMIGLISGAMGPFSNAPIDTIKTRLQKAKATPGQSS FQRIFAIAADMWKMEGVRSFYKGITPACCAWHPGRRSCSRFMNA JR316_0011929 MRVRLDEVRQKMDAEEQARDVFRWNGQIPVSEVLYSIHLAEPPH SIHPNTRRHRNILLALLPTLSEQVLRAMDVDAVKRELQARSRVGKGNREQQVLGIGNG NTPAQAPANKRSVYPLAPYPSTPPPPQPRQTPPQQAQAQPQPHQTLHAPAHHHPHQRP HRSHSRRPHPCSCRAPRRCIPRRCTRSPVSLHSPYLSLSGTESESISISHDTQEISSS FVSDNGSVSRSWVVEGSGEGSEQGGAGERAPSPALSSVSGVSRFAFEFDG JR316_0011930 MHRPPSPVITNTTLHTPAPTHNLTLIRTAAELIELDVKLRSTHP GLKIPSLPIDPAGLPLAQQKKGKEKKRKSTFLNTLLRLASPGGGKGMGMSMGIGIGKK GGNTPLVMAPANGSIGGDVNGWSAAPSMTNLASTALSTDALDRDGVISTDREKEDY JR316_0011931 MYEISTLASFSADTGQRTRHRKSFEACLTHTILTQVVRFTISFL LFYTAHLLSRTLTIPTDISGWLPLHLGYFYNCFTSVSRRSGTEKTRALSSMTLSDIFG VLDYLSWSLFTAAFDEERSDSLVRNIAMHNSVDVFSMGESAPWAAIQQHFFSKVSSHP AWLAPSADIFTGLIIASLIVLIFVAVFLLREWMSQNARLGVFEEEELPDLPPVHAPPP QPQLQHQPRLVRHFAPLDPASLNLERGGNAPLSMSVRSRLYVWRQCVRIWTQTSPWTP TDGEQTPK JR316_0011932 MDDASMENLSLPGAFNSSPTEQMDITSSDDVTRNHPGPSQTPQS PTEQMDITSSDDDARNHPGPSQTPQLQSQILKPQESGKRKRSDHEEISSRKLIAVEPE YLQELEFAVDELTKKLKSKDKEIKRLQSIEPSVNDLKDAEIRHLRVVEQKVETLIIGK IYISKEKSASDGAYKTLQSQFEDYKRVRFPGFMLSYLRLSIEQDVDRQHKEASRAKEH EMSELEAKFKQQIEELQIHHDRTVEELNKKVESQSLQISSLVEDSLEESETAKILLNE PDGEGSNILEEDMSHIKELEDLNMAFTERITAYEAQIAKLNADLEEQKAESSKLTRAV QELEGQSVDGISKQERITELEALVRAKTTELEELKHSTSHAQDLENRINELQARLGEF EREREGQSIDGISKQERITELEALVRAKTTELEELKHSTSHAQDLENRINELQGSLQE SDSEREKLIQAQQVSSHRENVLASELQTVREKRSEQIKTLTAQVRLLENELSGNQTAL KDQGQELERLRAASTQRRTADEAEIAKLNADLKALKDSQQSSADRGALDADHIQKLEA QLTAQTNRVQQLEIMNAASIENASAYETRVKQLESDLEAQKAESSKLTRAVQELEGQS VDGISKQERITELEALVRAKTTELEELKHSTSHAQDLENRINELQGCLQESDSEREKL IQAQQVSSHRENVLASELQTMREKRSEQIKTLTAQVRLLENELSGNQTALKDQGQELE RLRAASTQRRTADEAEIAKLNADLKALKDSQQSSADRGALDADHIQKLEAQLTAQTNR VQQLEIMNAASIENASAYETRVKQLESDLEAQKAESSKLTRAVQELEGQSVDGISKQE RITELEALVRAKTTELEELKHSTSHAQDLENRINELQGCLQESDSEREKLIQAQQVSS HRENVLASELQTMREKRSEQIKTLTAQVRLLENELSGNQTALKDQGQELERLRAASTQ RRTADEAEIAKLNADLKALKDSQQSSADRGALDADHIQKLEAQLTAQTNRVQQLEIMN AASIENASAYETRVKQLESDLEAQKAESSKLTRAVQELEGQSVDGISKQERITELEAL VRAKTTELEELKHSTSHAQDLENRINELQARLGEFEREREGQSIDGISKQERITELEA LVRAKTTELEELKHSTSHAQDLENRINELQARLQESDSEREKLIQAQQVSSHRENVLA SELQTVREKRSEQIKTLTAQVRLLENELSGNQTALKDQGQELERLRAASTQRRTADEA EIAKLNADLKDQKAESSRLTRAVQELEGQLTDGIDRENRIVQLNNDLDAKTAELKKSR EESTSHAQQLENRIGDLQARLQESDSKREKLIQAQQLSSHRENVFASELQTVREKRSE QIKTLNARVRDLEKELEQAATNSRDSLSKERERYQQMSVEFQKQQAALSQTTEDLSTV KAALEKEFEDHGNTKSLLELLQSDLVDSQKAISILNSQLEATMADHIEFGEEAQDSDS AMDPDAPESTPSATGKSQKAAGKRRQMSMMPDTTLYTLMNHRNGDLQVNMEPENSAER PTFSNFFNQTEFTPTLSTSANRSSPVRDGDLASSSNPGPSIPNRFSKPGHYRRGRATH QSPPPPSSAIPRSGRDDVRGVEASTAFHADTPATVADPSVVPPHSVFSSTPPVTPVPP VASVSAAERAFQERILNTLSTLSSDVRGVVSDVQELRSNANTQPATPRRRIPNRKPYS PYKQAGPNASRQLQQYLLGISEDDDIFIMDSIHIASPAEVDKFENSLRDPPPLEPLQL HFDQVKVKWNAYLADLFAEQFLRLHSNLNATADQIKEHFMARVQMFREKLISIVPRPG ETSEQCFDRVREERLNANRRKRRRTRQRNKLYVDRYSNCIDAGRHDIADVVLTLGEDG MSEDETDGEDSTVVLLTQWRNPKLLTPLKIADSLRPATSVNGTRRPGSRPLKRRRLQS AREGYQPAPDQRPENYYYSSWRACLSRYDIALLKMKDAKPFFGE JR316_0011933 MSSLRLQNGTLKASCSECCDRLDLTVFTDAFINDGAEVDGEDHI GSMAFHRPEDDSEEDSFNQLLARLEAQAKGPRQPRPIIRLEEEDRITMLQEKIARLPL ENDYPLWRVGCRIGSEDAAVLSLLQTAREIHHIRSAFTRGSIRGSIYVEGIMDPALVN LLLSTPGILRNHLGVKREIVDRNHQHELLTMRDVKKDFEVGTWVLVKKGIYKGDVGLI SATFSWGAQVLLIPRLNSQSAKSQKRKSSVLVPPAKLFDPEEARKLISTPIIRNADGS YTLGLLKFDHGLLEKDFDYTSIANSVMDIPYSHFSMFRSTNHPDIMRARMPRPREWCL GLEEEVLFRTPDVANRSAKWEPAVLKKLDTYDVEAEQSTIEGEREIRYSVRGTWLDIL KSPKIGQFVRVVSGPYFDHRGWVVGIHGDHALITKSSVHGRISIVETNAETSSTPTNG KEQYPVPTGNDDLRKGSAETDLGTAVEAQMMPGGIMVHSDIALTLDESAATLDEEEMS MPGGSSCVTGVGKPTAAQESYNQGAVVSTTAASNQFNSGVGDDNKVEIVEHFAVHVNL LDTSFTEPLPLVDVTLLSESDPVHTKFLRHPWTGLEVIIQKHLHPRKGETGRIKDVLH HTDNAGLQLVIQLTRFNPFAPFQTIVVDYDDVVELSTFNELVLFLDPGPKFFRPIPKS SMKHVRVLPGVPQTIASASGTPMYSEPTATPAWDPSSRTPIGTPQSITPAWDPSSRTP DPTAHSPTSLALSDVSTSVSSSSETNCHTTSSSVCEHVLLNPKLVDISLNVVVNGGQF SNKTLVASTVWDANNLVLRCKKYSSWTMVDPAWVTPKYANRIHDNGPLVVIKGEHCGK FVRRIHHEGTSDNPTVLVAVVTRSKDRVDVLTGERFILSTDFLCSVPESKKDRDLNSN VMTQLKDQYKKKIL JR316_0011934 MASAKGVTMTSGGAGGKVLKEQELSPVVNDSNHQHPPWQENFAI FRTYKLPSILPINTLLFSEDARLLISGGDDETVYVLDTKTGECIQTLEDDNWGQITTV VWGQQELPNRENGVVLCIGTGRGCLALVALDYDATEPFPVGANTSPVFAFNDSVEKMA FDKLNNRLAVTSHSGEIKVFAVNNSKYSSSLRLLWADNIGKVITSGLFFFGGSNQSLL TIGLETSEMKCLDASKRGPPLWTKHLIGGIGSASLSSDETLLLVDNLATGNFDVYQIA DNSPLRSLPIGSTRRFSKQCAFFEGSKIAVCGSDTNKVFIVDVANNYVVQTLTTCRGT YMTQTVCVTPSSSRNVFVAAACRGYVYIWEKSTPQVQVNVRADDPPPSRYRRVGSAIL PYLGFAVAGTVGHWLPHAWKLGQDIIMKTADYLIQNGADRTMELPREAVDKIFEMAAA ASRALDMSSALVSSRTLDMAAIATTTYTAATATATMTSPSATSLFDMAAAAAGH JR316_0011935 MSSSHPSHEYIRHLPLITPRIEFLLPSQEPLPKITPRIQWTPEL LQRHAIPRGAAPQPSSVAAPGPEELIQAEGPSGQDTVPVPPIGPSIATPATHVQVDPG QPIDPAMILQVAGPSHPRVTSPVPGGEMYKKPKGEPGRRGSGGFNIQTVLRDDFHWSE EDIGDMVGYITREARRSLDMSKSYRSQKKEKIEAICQEATRRWPVLRDYDKCWPVHSV LKLKLKYRAEAHRRVEGRRESARVRAALANIAGVNDAE JR316_0011936 MSASTLTIHFVPPTPTSSSSSSSAASSASLSTLPTSSNPTPARR LSPPPPPPPPPPPPPPPPPPPPAPIFLSLPPPPRPPRTYSHAPTTITSPTHNLTLIRT AAELIELDVKLRSTHPGLKIPSLPIDPAGLPLAQQKKGKEKKRKSTFLNTLSRLASPG GGKGMGMSMGIGIGKKGGNTPLVMAPAK JR316_0011937 MRVRLDEVRQKMDAEEQARDVHRNILLALLPTLSEQVLRAMDVD AVKRELQARSRVGKGNREQQVLGIGNGNTPAQAPANKRSVYPLAPYPSTPPPPQPRQT PPQQAQAQPQPHQTLHAPAHHHPHQRPHRSHSRRPHPCSCRAPRRCIPRRCTRSPVSL HSPYLSLSGTESESISISHDTQEISSSFVSDNGSVSRSWVVEGSGEGSEQGGAGERAP SPALSSVSGVSRFAFEFDG JR316_0011938 MYHRRLADKQTGKTSIGNIFIAGLGAGVTEAVAIVTPMEVVKIP LQAQQHSLADPLEAPRYRNAGHAVYTIIREEGISTLYRGVSLTPLRQATNQGANFTAY QEIKKLAHKYQPDLVELPSYQHMMIGLISGAMGPFSNAPIDTIKTRLQKAKATPGQSS FQRIFAIAADMWKMEGVRSFYKGITPACCAWHPGRRSCSRFMNA JR316_0011939 MDTFLDTEPVLGEFTEEDLEQEQDTYQKPQTDADRTDGEGYETN TAPSQSRSSSIRMVQSQSQLQQGQGQD JR316_0011940 MSTIVSNIFSTIVSFVNGIKYGFASGCEIVEWLCTYGGPAFGAI ARYINKNSEAPVVLRNRSGGKLISDMEAQHSPANDADFEELIRVRQEIKTLKKILDNR SARTRPGTSEAHEIQLDRFELERKKVLNDIAIEAQIRATYPARALYLAMCETYDVAPV RTD JR316_0011941 MARPPTKLDLASGQELIVPPNGPLPKFYPPPRGIKIIPIYDDER TFPWSHHEYRPQTSERERSRSRSHSRSHRRDRSKSRVVYTDSEYSHSGSYVSSSRTSS HGRGRSPLPSERYREDRYEEPHRGHSRSRYTASEVGAGSLHHHHQNDRNSDFLYNLHH GRRPESVAPSGRSGSTVRGHRYGSRSNSDIPDIRNFLEDVQKYLKILPPAKDFCYSRC TGRKKAVCIGINYVGQKDELRGCANDARNMRKFIMDNYGFQSQDILLIVDDGHANSSL RPTRKEMFNAMRWLVKGAQMHDSLFFHYSGHGGQSPDASGREADGMDEVIYPVDYDSS GDIIDDELHQELVAPLPPGCRLTVTFRGTVLDLPYLHSAHGRLRGLRHVSKRCQKRGV APGADVISFSACKDDETSADTFSGGVATGAMSHAFVQSLEGNPNQTYEELLQHLRDIL IPKYHQKAQLSGTHPIDLNRTFIL JR316_0011942 MNPDNLPPDPTISPIGLCPGRSNRPCGRPMPPIAIYKATGSPSK RHLKGSLCQTGYPRTLRPYISISNTANHSSSQPNQTQQRRAQSNSTGVIPIPISDKLL CTVSTCFTKSGTRTQGSRTCIENKCKTCCTKACKDAISKQAFRKACHAHRQPENVPYA PDISTPASPERISQVHSPPLPPLSTQSVTQNISISPPPSPFNISASQVSPNQSPNQDM VISTPPATQFGLGQVIPRGLAQPVGGTWAQKRTGLLSHTRNLKSLKVKQHEMDEQRKR TYSAELMKNLELQPTTKLDYWKGEWVTLTMESVLTVEKGQRLILKIRPSLRETLEHCP GIEDELKLQPKTSQALLAQQHTTLVSPIRQSAIQEASSLTITHSTSRNRKKRPHGYRT PNSSDSDLPPPPKVLKKSHNLPPPPKVLKKSHNSTKQWPFDFKVYQIHNGFLQMQETL SKSQTSRSNPYMKSSKKSALSIRPRSRISVKDAFYAAFPDASFHKSTFYEHKSYWKTY DKDIVGYFVDMGNSKQATYRSLQAALKNPQDIPDTFSESSSSDSLDDSSSSENISPSA RVSPLSHISLPHIAQSNVNGGLLTKEKISSLRHQIQGDKELLCYVLMDPEESMFFCNS RKTFEASCSQTPDKSKNQLLLDCIAYYGPEIQALIISELREMFPEDSEDIDMSILQPL TYDSVIEEVLFPETVTLLIQEDLRISMLEVMQLLQDKHAITKDAAISTPTIKEEKSDS ITLTGSAKGKERAVISGDIIDLTVDSPGQKPIKQDVSAHPGIAFGTWEIIDLTLEPDS L JR316_0011943 MTFDDVRRLRVAVFGAGMGGLTCALSLAHEGFLYIDVYETAPNL GFVGAGIQLAPNMARILDKLGVWKKIESEAVLVKSTSIRQGTTDKELGFVEFDSVKDK YGYAHMVGHRASLAGSLYEGCKAQSAITFHFSTAVSEVNFGGDDRKPSFLATPLVGPA VRVEADIILAADGIKSLTRAAMLKELGSTDHVVDSGQAAYRIMLTREQMKDDPELLEL IDADRVTRWIGEKRLLINELADVMGALTRTPTDSELSAILFSVQLKSGIQNRSLPFCD SRSILSPQCCPQPHNLISLINRTNVSQLDLSVPE JR316_0011944 MSSSSSAYSSSTLQSILQIQASIAKYLDFEGCLKFIELIQLLKP TICLSQQPGLAESDAGEDKCPARLRLNVHTFLAQSLSVDHEAMKIIWRAMANIAWNFR VDESCVHSFGQRHIQCFLDYGRQNGIAFYHLMPPVRHCLDPRCVIKPKTSKKDELHRR PLKEAHSRSVTVFTQSFGPIPGISTSMFCSGCQTRYYPNYWVDRAQSTRTFYRIHRTF LHVTEGIFMDIATLELFTTMMLTSWTSASNCARIYNEAIASKSLSSSLPAAYSKSMVL EHNDVWNGLSLFWLLEDSEEEDEVLQIDHVAPSQAIRLRKALKRRNLRMAGTGQEAWN HVCDLCCWYNDLPDGTQTFLRSVVTDGITIGRPTCSIHDCDIPLDSVKHRFCPTHKDQ NLICAVTSCSAPIDEGYQTCSLKDHRALEAYNDIHNKAMFQLKLRLARLKTSQPTDAF STDDGQSTIFGDEEVLIDANGVCDEKSEKGNQTLRARFGRRRTHNEELCVASCGVILG RATFYGSEAPNGVRTFWKTLFPTQKSLPGVLWHDNNCRIMLMLEKEKDTYFSHSALPV DVFHFKCKHKAQDDKCNANCNPAKWPELMTPDGKWRFNSSAAEQANAWLGGYQAIVRE MQADRYEFFLDELIKRRNRNIIKDLEKKGKNPHEIPRDFLLKPDTPRVD JR316_0011945 MFKRLALSSTFVLLALQAFASEVEVEKRACPNIHVFGARETTAP PGYGTAGVVVNLVLNAHPGATAEAINYPACGGQSSCGGVSYANSAVQGVKAVASAVNS FNSQCPDTQLVLVGYSQGGQIMDDAFCGGGDTNEGLTDTSIPIQASAIKKIAAAIFMG DPRHIPGLSYNVGTCNASGFAPRPAGFQCPNASKIKSYCDAADPYCCNGNDANTHQGY GTEYGQQALAFINSQLTATAGSGGGSSPSSSSSAPSSTSTGNPSGGTAAHYGQCGGIG WTGPTVCASPYTCQVSNAYYSQCL JR316_0011946 MSVIRTLMPTKYLQTSGKAALEGKNKVVLIVGASRGIGFNVLKQ YANDPDTVIIAASKSIESIRKAVIDLGDTPAIIQCAEIDLTASKKQLVDSIKSLDKQY GPITHLYEVSGISNHLKDSSAWGLDVTSEMINVNVSGTVTSVLTMYELMKSRGYGKIC VVGSVAGLYSPANMISYASTKAFINTFSTSLRVLAAPCGVDVVTVQPGFIDTRMTKMM RGQGSTVPGREFASAAGMAKCMKDAVEHGGVGVVSWPVRQSVQMYALKAVNPICEEFG KWASMKLGMSGKKIT JR316_0011947 MAEAYEPTERSKVNRYKTRANYDYDTIHGIIDTCPVLHVSFNPS SMDDDPFPTILPMIGCTGSYTPPNAAETSAVCVYLHGHSSSRFMKLPAVQSESDDPVY SSLPGTPACIAATHMDGVVLALTPFNHSCNYRSAVIHGYANIVTDSAEKMYALQLITD SLIPSRWDHSRVPPTPSEMNSTSVLRVDIVSASAKIRAGPPGNDRADLDDVGMRQRVW TGVLPAWGVWGPPVAAPENLVPDVPGYISDFVKEQNDKGERYATEIAGKALTSKKQA JR316_0011948 MTVYQVAIIGGGVSGCATALSLCRNLPEVSCLVIDNANPLMFKI GESLPPESGRILQYLDPSLTDSLSRMVSRGEHTVCTGNASAWSSPILEERHAIMNPFG HGLHLNRAAFDELLRNSVRDVGSRKRSASAFTIATFKGVRKGSDGIWNIEIDVDGEKD LVRAEWVIDATGRKASVATKVDSRINSRSPLLAFYGIFISESYEEVQGNDNDRRTLIE AAIDGWWYSSLICHNPCTRIVVFHTLPTHPSAKSSRRSAGFLDQLQASSTHISDIITK WDYRLQKGYPCCTAAGSSTLDRAYNSSQRWAAVGDAAMAFDPLSSQGMMTALEMGTYI GLQLAQRLSKEITDDEFDRNLGETYAQVQAEYEKNRTYYYSIVKRFSEEMFWKNVVGD TATN JR316_0011949 MALPSGWASLRIYPPIGFARVGNSTLDDGWFYGPEVPGYFPEPN NGFKDINGAVKRQAARFRIYAVANDGKVLEITKKNGWDIAWKIQVMNKKASWYTFMGK TQDGHFESGYTTLRNPSVQAKLPPDQRDKLIVDSGIQSVSGCNAKSVPLAGKFYGSKT EPTDVYLGEARTDEQGRLVVLAGRGLSKSIAKEDDPYPYIMTDFDSPDWIDDTCDGWI TATITSTASGKSVDAQGKARVIGTTPKFANGIYAPTSLYDLMEEVYERKKRAAQGPNS AGNFFDEGWQNSLSDKSKVHEAIRKGVIMRMRLPETNKKYDSARAGQAYPYFMPWLSG DGGRTTAGDPSTFASVTELQYDRLIKWSEGSFDTTAVKTYQNFDDIPVEEQPSALTKA ALEATIGAPLYPGIEMSWNAEDDKIYDFNTPFTIKPEVNAGDLTRFLSLPWQSDFYMC RSYWWPSARPDTVVTEEDYNIVSQSVTPANIAKKLNDRKPWERGLHQNYTDVYSDQPL FANTDMAKNWHKLGFIVQRPSFGPEPIFVETQRGIIHRPGKETLPAQHTPAKSGVVGG PLKLPQPDSKNQPITTIESLRTHLQTAMAIELATIPLYLFGMYSVKIPDEYANDPRYY DPVIGAIRGVVAEEMLHLSLAGNVLLAVGGNPKLYDPRYIPSYPAFMPGRVPKLWLQL RKASKANIQTFIDVEKREKTDAPPESDEYETLGQFYDAIKIGLKYLSSQNHKLFYPES AAFQFAPGLGYQAKERDAGGSVVVTDLDTALEALSIIVAQGEGSPGPYDDPDKLEKDH YDVFMDLRFGPAQWEVYPVVENPVTPDYFKIDRRIYQVSLTFDAAYCFLLRTIETLWH VEKYSNRQNLVLKNMYGIMMGVLAPLAKFLVSQPISNTERAAPCFGYYEFKQGVSELK QVQDEMAAAINAYVSVTKETPDQVAVHDYGAMLETLLPIQTTINNLVDLNSFEKLDVG PTKKFKLPGVENRGAKGFAKGA JR316_0011950 MSNSKLFQPITVGNAKLEHRVVLAPLSRFRWTQREHLPVFPIVN TYYEQRSRRPGTLLITEATPVARHAGGYYNVPGIWNQDQINGWKLITDTVHKNGSFIF MQIWAHGRAADPKVLAEDGFDFVAPSPIPITGRATPRELTIQEIEEYPGLFAKAAKNA VEAGFDGVEIHNANGYLLDQFVQDVSNHRTDKYGGNIENRNKLSLMVVDAVVDAIGPE KVGIRISPWMVYQNMHMIDPVPQFTHLVESIVNKHPDMAYLHVVEPDKGAPETESNDF LRDIWAPRPFISCGDYTRETAIKRADETGNLIAFGRYFISNPDLPTRIEHNIPFNEYD RKTFYGPKGHLLGAEAGYIDYPFADEKHEKKRGNNTGEDWDVIYRA JR316_0011951 MDTKVLPLCDVCQTLDLQKTNTNREPTKYHLGNLGDIHRKGSGP QACPCCSLLIPFLTHYGSYDDDYEVSIEWKKKGGFFFSTEGDNLAFLNEDIATSPYGS ARAVHNVIDPNLIKKWLAICERDHGDPCNPQGRVIKSSSDDDGVAVLRLVDVIDLCIV EASPGDCYVALSYIWGPSLPDIRLTKGTLSDLTTKGSLSSFFPIMPKTIVDAIDLVRR IGERYLWVDMLCLLQDDDEDMLDGIAHMDLVYQCAACTIIAAYGEDFNAGLPGLNAES RVVDQQITEVLPGIRMTSTTGVYNDMQSIYTTRGWTLQELVLSHRTLVFTKNRIYFRC RSNCMSEDTIYDNFPSAVNTVLHSGSGISFLADSEPSPLHAYTSQLFRYADRELSKLS DTIHAFTGILRFLSVQAKSGILEGLFTSSFDASVLFWDNFPLANHAPGRRDGFPSWSW AGWTRMRDGYSRFCTSPATANAWLRSDTYIVWYKRRYPNAELELIWDLDSQLKHGKPE DGDVGYRPTSEDPYGRDPGNWNVEGRQTKPDTSNGHCEGLIREEMKKREYSFLHFFAY TVLVCGFGTPPESSNWAKTHPILGKDGAECGGLTFDDLNARESADGPSELVILSKVDK YDDFFNDSVTHERPCYWVMLIERMLDGREDSVLAERRGIGFLFEDCMEHVLEPGKVWK EIVLT JR316_0011952 MATLQNKTVVVVGGSSGIGFAVAIGALQSLASVVIIASSNKSRI DDAIARLKAYNLPGEVRGEVLDAKDSNAVKTFAVAIGTVDHIVWTSGDVPKDEHGSGV LPYSNVGSVDQGQGIFTVRFWGPFILAKHAKFHPGLVGQRPAPGSTLASSVTTALEGL TRGLALDLAPVRVNLICPGLLTDNMFGERKEQVIKTYSEKILLKRGGDPSECAEAYLF VMKCGYITGQTINIEGGYLLL JR316_0011953 MHYMRSSMPVQHRVVAWHCLALVAGNQRPMINQCYEYYLQNYGQ NFTEPPMIIFSTMPFLQGGDQLAFDLMEYIIRIVGLIMGEPGKWHEYQTNAVDVLGLK RDYGAQQERMSSINRVIIELLYHIMKTLPARFRALIKCDWFPKEFSTEGFEDGVTWAD AKTVFQKGFGKKESSYVAYRLPKRPAYIPA JR316_0011954 MATLQNKKVVVVGGSSGIGFAVALGALQSLASLVIIASSNKARV EDAVARLKAHNLPGEIRGEVLDAKDSDAVKAFAVALGAVDHIVWTSGDVPKAELGGPS GPFSIADSVDKGQGIAGQRPFPGGALGSSVATALEGLTRGLAVDIAPVRVNLICPGLI NTELIEKMFGEHKDHITKTYVEKILMKRGGDPSECAEAYLFVMKCGYITGQKIDVEGG YLLI JR316_0011955 MRCSEHMQAVITVTRSSECLNTSIQIQLSKFAVRILTSSSFTPA HFFRQFKNQVMVKVKSSYVKVKGIDPEIRICKLFSRISRRPSNSD JR316_0011956 MSPIIFWVALPQGLEEVPLGFCVALPSILSTRLILAIRKMAVGK EGYGWSEGDMTTFEVRRDLTVTTFDVGSASVSGSASASAYVPASSSLGDGCGSGCGSG GELTPTARGDKYTDV JR316_0011957 MRIHVLFVLRREVMVCGAEGWSRLPDARHSTRNRSDTMTCRLES RVQIETLVDRHDRIESPSRPIAVESKRDVLCAQRDMTMATRDTLHPTPELKHMTSNSA LVSPRRTSQHLRTSDARLAAGSRSPSSIPSSPTSIHSSSSAIFERDIEPLVPPSPPLA SHHHHHHPLKDPHRTARAKGSEALEQSVPSVLDSAAAVLSTLELEPIPGLPSSSSTSP SALSSPSSTSADPHHQHSHSHSHHLSDGGSATHLFADQIAVVAPAPPSTTSFALFGGA NPAGPGIGGGGGGSASGFASPIGSFRSRSPSPLGGSRIAIASPTSVLGLQLNSTAATS APGSVAGSPPRINSLADARHAGDANNNHNGNTAAAPSIKVDDAPSSISSASEAEAEVR STSPPALIPMQQSSSTSSTASAASTTTITTTSAASSPSSAYTPLPVPLSPLSPLSPLS PLTPPTSTSTSASTSAMPSTSQPLSPSSPSSSSQHTLAHAHAQALTAPRTRLSFMSYT DLLSATPAATTSLVALTSGASVVEPPPRIVGVGVGVGGILSGAGSIHGVGAGEDKHLQ GQGQGQGSRTPSVINLPLLTHSPSAAVPLGLPLPVPLPLPLSHPVPSGSPPHPHSQAH SHISKKDRDAALPILEDMTMTGREWERAGLGRGLEERLEAIEALEVGGVGEVREVREG VEV JR316_0011958 MYNWIIHLKDFGPDRSQPISNDAMFRVNNFSMDYFADAFPLPNQ QRGVRYFDWQAWSSFVISAMTEAILIAAPGGFACIPLKSTHNFYVFWIPILCFEALLC ALADGRGVYVSRTSAVAVAVAGGAGASSGNAVARDQAAREGLGLPSLRRRGGDLVQVL YRGSVVYFASIAMTYMAPIIFWVALPQGLSEVPLGFCVALPSVLSARLILGIRRTAAA KDRLGWSLGTGVGDLTTFEVRRDLTAFDVQVHVHRVGSGCTGIGVGAGEEHMHTVYA JR316_0011959 MATLQHKTVVVVGSLSGIGFAVALGTLQLLASLVIIASSNKACL KDTVARLKAHNLPGKIRGEVLDAKDSDAVESFTVALETVDHIVWTSGNIPKSKQGEGV LLFSNVESVEREQGVTGQRPFPGAALATSVLTTLERLTRGLAVDLAPVCVNLICPGLL IQKMFGEHKEHFTKAYIEKILLKRGADPSECAEAYLFVMKTPFCSSQADEEAHRSNVC ASMRIASMANSPPRRSPLPSASSLHLVQIIPPQHIPPQRSRQIVNTNAIAGPSLLPKI RQPQQFSQFGTVTVTPAPSRLNESAVNLSPSASSRHQRIAGLENRTTSQARRVASRLF HHQQEHTIPAPGNNVVEDDLDGSENSNIEGEDEDLKSPMSTLYKSMILV JR316_0011960 MEPYVGAIIKTEPNTKAPPCPRANFYCEHPEPPQGNTIWKKKPV PYNNWFVAVHGFLTDVIIKDDDTNEIEFFKVIVDSIEFLGGEPSIANTSIVANKLDAA LPSPRSKGFGKMKGKAPATPLKHE JR316_0011961 MKNPQLVSSVVFAVIGWLVYKLINIGRRDKTLPPGPPTAPLVGN AHLLPSKFAFLKFSEWGRQYGGIFSIKLANATMIVVSDMKVVKELLDDRSSETSSRPF SFAVHSISKGYFALTSSDNPLWKASRKSIQPFLSAGAIQSHVSISDKETTQLLYDILN TPESLCAHVFRCTFSSAASLAFGRRVLRHDSSEAILFEQYLRNFVKTVSPESAPVDLI PILRYIPESIAPWGKVWRETRQQQQSIYYFFFEHTLREVNAGNKKGTIIEAIVKNQEE LGLTQDAIAYIGGMLLDAGSETSATTIQSLILSLLKFPACLKKAQEEIDELIGDSRLP ISTDIDELPYVQAVIKEGHRLRPAVPCGIPHAALNDCHYRGYTIPKGSPILINIWGIL HDPELFDRPDEFRPERYLETPDGTIPGLKKDFNIRLNLPFGSGKRLCPGMNFSNIFLN LAVMRLLWAFDFTPYDDLTTNHDTWDIEKEYSEGITLTPKNLRCKITPRNEKRARIIQ NSYKEA JR316_0011962 MALPSGWASLRIYPPIGFARVGNSTLDDGWFYGPEVPGYFPEPN NGFKDINGAVKRQAARFRIYAVANDGKVLEINKKSGWDIAWKIQVMNKKASWYTFMGK TQDGHFKPGYTTLRNPSVQADLPPDQRDKLIVDSGIQSVSGCNAKSVPLAGKFYGSKT EPTDVYLGEARTDDQGRLVVLAGRGLSKSIAKEDDPYPYIMTDFDSPDWIDDTCDGWI TATITSTASGKSVDAQGKARVIGTTPKFANGIYAPTSLYDLMEEVYERKKRAAQGDSY NVGDVSWYKHIWPLLQRPPLLSWVNGQANGGHGPNSAGNFFDEGWQHSLSDKSKVNEA IRKGVIMRMRLPETNKKYDSARAGQAYPYFMPWLSGDGGRTTAGDPSTFASVTELQYD RLIQWSEGSFDTTAVKTYQNFDDIPVEEQPSALTKAALEATIGAPLYPGIEMSWNAED DKIYDFNTPFTIKPEVNAGDLTRFLSLPWQSDFYMCRSYWWPSARPDTVVTEEDYNIV SQSVTPANIAKKLNDRKPWERGLHQNYTDVYSDQPLFANTDMAKNWHKLGFIVQRPSF GPEPIFVETQRGIIHRPGKETLPAQHTPAKSGIVGGPLKLPQPDSKNQPITTIESLRT HLQTAMAIELATIPLYLFGMYSVKIPDEYANDPRYYDPVIGAIRGVVAEEMLHLSLAG NVLLAVGGNPKLYDPRYIPSYPTFMPGRVPKLWLQLRKASKANIQTFIDVEKREKTDT PPESDEYETLGQFYDAIKIGLKYLSSQNHKLFYPETAAFQFAPGLGYQAKERDAGGSV VVTDLDTALEALSIIVVQGEGSPGPYDDPDKLEKDHYDVFMDLRFGPAQWEVYPVVEN PVTPDYFKIDRRLYQVSLTFDAAYCFLLRTIETLWHVEKYSNRQNLVLKNMYGIMMGV LAPLAKFLVSQPISNTERAAPCFGYYEFKQGISELKQVQDEMAAAINAYVSVTKETPD QVAVHGYSAMLETLLPIQTTINNLVDINSFEKLDVGPTKKFKLPGVENRGAKGFAKGA JR316_0011963 MSNSKLFQPITVGNAKLEHRVVLAPLSRFRWTQREHLPVFPIVN TYYEQRSRRPGTLLITEATPVARHAGGYYNVPGIWNQDQINGWKSIWAHGRAADPKVL AEDGFDFVAPSPIPITGRATPRELTIQEIEKYPGLFAKAAKNAVEAGFDGVEIHNANG YLLDQFVQDVSNHRTDKYGGNIENRNRLSLMVVDAVVDAIGPEKVGIRISPWMVYQNM HMIDPVPQFTHLVESIVNKHPDMAYLHVVEPDKGAPETESNDFLRDIWAPRPFISCGD YTRETAIKRADETGNLIAFGRYFISNPDLPTRIEHNIPFNEYDRKTFYGPKGHLLGAE AGYIDYPFADEKHEKKRGNNTGEDWDVIYRA JR316_0011964 MDFLKKVANEVESATKNQAGSTSESNGKTENQSNPTTAVLGALN NALGGGAQGEKKEAIDLVQEHVLKAGDQSNESAIEQAKDAQIASAIRTGYKTVTGKEF PISESQKS JR316_0011965 MGVCWAIFKLLRIGRREDTLPPGPPTVPILGNAHLLPTKYPFVK LAEWGKDYDGIFSLKVANGTAEFASRPAFSGMDAVTGGRYFLTASPGTYIELHGSQKI LKPSG JR316_0011966 MNKAFYDHITRLTLSFMTSIVFGQRTPRHDSPVAVAFRKYIEQF FRVFSPEAPPVDFIPALMYVPERWAPYKKLWRESRIMQRNLYFGLLIEAEKRKNEGLN ECFVTSLLDQQIELGLDRETIVYIGGALLDGGAETTSSFLQNFVLCLVKFPAVLRKAQ LEVDTVVGERIPDYNDIKSLPYVQAIIKETHRLLPIAPTAMPHASPNDCEYRGFVIPK YTPVLFNVFGICRDPNLYERPDEFWPERYILSPDGTKPGLPEDMSHVRTSVPFGSGKV SLNFNTRSRILNIPGFIVGDKKTKSFFQGRQLQWLAEMAKDYDGIFSLKVTNGTIVVV SNMKTCKELLDDRSAEFSSRPAFAGMDIVTGGRYFATAPALSPQGVQMYHPLADEETT QLLYDILHNPECFYDHITRSTLSFITSVVFGQRTPKYNSPVAVSFMKYMEVFKKVFSP ESAPVDFIPALLYVPERWAPWKKMWKETRELQQSLYFNMFKDVIQGQRNGMYGDCFVA KVIEQQEELGLDQETMAYIGGILLDGGAETTASLIQNLVLCVTKFPAALQKAQMEIDS VVGERLPDFRDIKDLPYVQALIRETHRLLPTAPTAVPHASVNDCENFMTDQTNSGQTD TYCLLMERDPDYLRNLAIMRLLWAFDFAAADGSLSMTPQLNIEKEHCDIQTTFRE JR316_0011967 MLRMGESKNENLLATPRAYVTRLSTGWNLAWKKMWKKTQAMQCA LYFKLFREVERRRRAGMIDECFAAKMLEQQEDLALERDTIVYICGVLLDGGAETTASF LQNLVLCLVKYPSVLKKAQAEVDNAVGDRLPDSNDIKSMPYVQAIIKETHRFLPTAPT SIPHASVDDSEYREYIIPRKTPILINVFGICRDPKLYERPEEFWPERYLLSPDGTMSG LPDGTGYTRTTLPFGSGKNLAVMRLLWAFDFVSIDSPAPSTPKWDIENEFVDGITLSA KPFQCKITPRSTRKASIIEKSYKSLRDSFGGQLQ JR316_0011968 MTIKVTNGTIVVVSDMRTVKELLDDRSAEFASRPAFSGMDAVTG GRYFVTAPAESHYWKISRKVIQPLVSPQAVQKYHPLADMETTQLLYDILHKPEAFYDH ITRSTLSFITSVVFGQRTPKHDSPIALSFREYIEQFSRVFSPESAPVDFIPALMYVPE RWAPWKKMWKETQAMQHALYFKLFGEVEKRKRAGMNDECFVANMLERQEELALERDTI AYICGVLLDGGAETTASFLQNLILCLVKSPAVLKKAQMEVDSVVGDRLPDFNDIKSMP YVQAVIKETHRFLPTAPTAIPHASVDDSEYRGYIIPKKTPVLINVYLYERPEDFWPER YLLAPDGTISGLPDGMGYARTTLSFGSGKRLCPGMYLANTNTNLAVMRLLWAFDFASI DFPAPSTPKWDIENEFIDRYLSRESDLSFL JR316_0011969 MTWDLVSSKVNLRGYLSFPSDVQFWLNSTNTYRVVFIQACIPIQ ASWFVVTGSDFEIDAHNTGGIQGNGQTWWSYFATHTREDGDGRPIALTVFNATRATIK NFRIESPPFWSSAVAQSQDVVFDGMYINATNEDPLYIGKK JR316_0011970 MYTSKLVAIFILITWLLFKLSRIGKRAANLPPGPPTVPLLGNIH LLPTRFSFVKFTEWAKEYGGIMSLKVASGTIIVLSDMQSVKDILDDRSSETAFRPSLH AADVVTEKKYIPLANPDNHVWRMGRRAIQPLVSHQAVQEYLPVAELETTQLLHDIIHN PDGLCHHISRYTFSFIASVVFGKAAPTSDSPELAHFNNYMRHQSSTVSPEAAPVDLIP ILKYVPERWAPWKQLWTETRRLQRSLYYSFLEQSERRIESGAKSGAFIERIMDRQEEL KLTREMVA JR316_0011971 CTVTNMAVLTVDGFLIFRCWQVCERAYKMVISPIVLWIGGAACT VLQIYWQIVQSAEIHKAWTPINMTVGPGTILTPFWGCSIAVNVYSTGVILHRIWRHVQ GQKRFSTAMSSSTRDLRFVMRVLVESGALYLLITIPHFIVWWTPSSTAILILAWTNLP AVGCTFNLIVIRTSQRRVERDKDCERNRAFLSAVMDFLPSDTAGSGDVHTTDHFSSGR TSSTYENDQ JR316_0011972 MAIGCAVTSSVDLWFLMTSIPKVQVVNVPGGTYCVPMEGQFRTF ARFWAPPLAFETLLCAMAVYKAYRESESLPEATLRIRGQSLLRIMVRDSVMYFICIAA AYSICILWWLYAP JR316_0011973 MAIGCAVTSSVDLWFLMTSIPKVQVVNVPGGTYCVPMEGQFRTF ARFWAPPLAFETLLCAMAVYKAYRESESLPEATLRIRGQSLLRIMVRDSVMYFIWCV JR316_0011974 MASVTQSSLIFRLNEDVLRYIFSLNANVPESYNTTLWWRKSDAP LTVTRCLDLELLEGGTNEWRNEVVRRTGNCLLTVIGPMPPIPRHNESMLEFLDLFMDT NWTRIRRLVVDEFLYDNWEELLKRPAPNLEQLCIENEDIDKLFYDINGGTLFSGTSPR SLRKVQICFRVTIDPFHPWYSNLRHFDDFCVDKFSASQLLHALQLLTHLETLEIRNNL SDYHVDQSLIPVNMPNLTSLIIESNIMTVIPLLRYIVTGPYHSFLFEDLDRNDHLSPE VVTSYMDIGGALSTFLRRQSYQHRTFPHFFYDEKSDIGQFYIGDGSGLFYIDLVVNAI IGSNDGDNWPDIASQFNAALLSGLAGSVLATTTLSLEMCRLPSHVDSMLAFFKQFRNV INLETDAKTLKIIREAEKTHQGGDLGSTILFPSVRLVKLSYQPIFNEIEIKELFLFVK ARKDNALPLDKISICYPISKTMKEDTLWRDLASEYDIQVEFRP JR316_0011975 MFVVARWECMVFLTTILVPLAVNAFTFTNTNPSQCDDLTIQWTG GQPPFNLLVIPPTLRLQNISIPSSAFSHNTGTFTTQLRLAENQHVIFALSDASGITAG GITAVLEVGPSISGAQCDITNHVPDFFFSTDQELTQCQSYPFTQYPDAIQPVTIIGFI PQGSSLIFNPPEGPSFSWNPVNLTEGTSIVFYMTDSQGRNGGTGPVDAVARSDDQSCL ETASSSGNPLHSGAPGATSNSHTPLVAGVVAVVATLILVLTFSFFYIRRRRNQRPTVK MGPRKPIDPPVYEHARSPALEGDSYLMVPTKYTDVHVSEVESTTAHARKQQMILEYRV ENKSFHSGLSRNHSMTQYPPSASEPTLYFDTSSHSTLRSYSSMVATSEDSDLRKQYKS PPRLIVHTDIAELEVEALEIPPQYSEGRVPIPGLVTSESQDTT JR316_0011976 MPATRSRTARRATRGGKKSKTGLSDPCIKCGKVLSRQSDMDRHM LTHGEKVFKCTECTFETRSACALKVHIRSQHTDEKDLCPFDDCLFATADPSLMSRHKR SVHPEFVPARRARTIPIGQVAPAPRSDETSPGPSRSTYRRRSTRRKAARPIDTLTDLS VHTSLPVLDAGSPKSSSSFYPNIPSSSTPSSSKARASDVPAQEMFPVPYASHEEMSGP MLPYNIYEEQVPYQSTNPFTHYIYQPFPGELTADQACYSKQPQYPNTTPFSQFSYQTH PGYEAIGFPAQSASNQDFNSFIFPPLHSEDVDLTSAANGGLSIDPSLLAVDEYLQAAS MFNALEETSPFNKGWCSEVPYLGSWADSIQLPLVPSGNVAGFGSLDGPSFLRSMDGFN SQSW JR316_0011977 MIPAFGDEHKRKINLGGSGALSSSSAADIFSRVQAERQARREAK RREAAAVRVQAVWRGQLAVLLLREVADSPRSDNARVYLQVLNMLLAPSVTQTDKAAEV CRTLTGYLIDHGFYPFLGRAIANIPVSAKNSPSLPALLELVTIPLSTFPAPPSTQTQQ KTTTTSSTATSESRQCGEQELQHAYILASIFASILTIPLLPNRLPLDLLARFVHSLPL ARLDALDPLLGVVLAPSPSPSLSSSPPLSQSQSASRSQVEPAESTSTGIHPIRPLSVE AKVHLAATLYTFVAPNYKALPPLAMRTYLALETGLLGAFPVGLVLSEDGGGGAGGEGG SGEGSKEKETEVGKEKGKASKRRKVKGGSAGGDGDEQRSRSASRDARSPSAIPQPTRV TVVSSFTPQHEHDTPSPSTSTAPPKPIIIDTKTSKRLLNLRAPSHLTTLLGVTYAKRA LFEGVVEFLFALGNAFGGVVYGGGGGKDKDGERERGVGGGTGVGAGAGAGAGGDVEER VLSAVLAAGGTGGGGGGAGGIVRALYRDLVRGSVLGREEGGVGVLLGSSLRSTEYEET VVLFCASDSDASAVMGG JR316_0011978 MGDDEFFGSSSSSSSSSSSSSTYGRQYSQRPGHGYGPGQGYGQG YGQGQGYGQGQGQTQLARNPLTLDELVSFSKQLLNIAVVMYWRADDFAGAAAASSSSS SASGSSSASGSSGSRGGSGGGSGNANAFVSPFVSTWSSPSPSPSNPNSMQSTQPTQST HQISIPPPPPSGCACTWTTVRDKVTRCLLAIHARDSRRRFVPEGHWLVQGIMEGYGSV GAFVEAAVLEEQNVLDAAEGSAVSSSHPMHPHAHSHTRLGLPSSSRSPFPFTSSFSSS SSPSSSALSTSTSTSHMKRQQRHLANLSPRLGVLNNVPFAVPFEVRVAIFRGFVMHDV VRRREGGSGAGFGAQRYGNFGYQTRPRVQVRRGMVAQDGFDRLGEVDLKAPVEIAFID QFGQEEAGIDGGGVFKEFFTSLCQEVFDTDRGLWLANKKNELYPNPHAYATEPHSLNW YRFIGRILGKAMYEGILVDVAFAGFFLAKWLGRQSFLDDLASLDPELYNGLIFLKHYT GDPEELALNFTVAIDEFGVTKSIDLIPNGSNVPVTRENRLLYIYLVSHFRLNKQIRLQ SEAFFEGLMEIVEARWLRMFNQQEVQILIGGVNSPIDLVDLRRHTNYGGLYDDDHPTI VAFWNVVNSLDQEQRRALLRFVTSCSRPPLLGFKELNPNFCIRDSGSDQHRLPTSSTC VNLLKLPRYDSERTLREKLLQAINSGAGFDLS JR316_0011979 MPATRNTRRATPETSRNVTWETSSWAIEDTDEQTPKPRRSDPCI YCGKVLSRQSDMKRHMARYGPKNFKCPECPFASHTSCGLRVHYRTKHTDEKDDCPFDD CPYGSGDPSSLHRHKRSAHPEFVPAPRAATIPISQPDIVQRGSTYPQHHQYSVFRAWP RPSDTSSTSIARLPSLHELDIWIAAQHEKDRRARANC JR316_0011980 MTPVARSLPFLHLNEDILFYIFSLNANSADSFIPGRPRLVCHKW RRLILSSPTLWANSLDLELLAGGNDNWRNEVVKRTDKECMRDFLEVFLDENWARIRRL LINDYFYDAADWEELLQRPAPNLEQLCIQGDVPFEDMDDGILFSGTSPRALREVQLRF RLTVDPAHPWYNNLRHFHDLCVDKFTASQFLRGLKLLPHLETLGITNTFFHYEVDQSL IPVQIPNLSRLSITESNVMTIILLLKYIVPGPHCSLIFNDWDESRDLSSDIVTNFLDI GAALSTFLRHQSYQHRTFPNFHYYEMSDHGHFNIHDGRQFLDITLEDIKTILSNNGNN WPGFVAQVNAALLSGLVDPISTTTKLHLAVHQLPSPSDSMLSFLNHFKNVNTLETDAK TLQIIREAEQIHQEGNLGSLNLFPSVCNIVVHDWQRYEPLDSQEIYQFVRSRKDHAMP IKKVSFESRDISIMSVEEAASWRALASELDFEVEFESGAIHDDLANISDSDI JR316_0011981 MDYAFELTQSLSNYMKAQIASRLWHAELPNQIRENFSAETMFEC NLAVEVILQAFENQEYTTWDAEEYLTHLSARCTGQNFTVEARLKDKFSPVHSALQYQT LPGTVVDSAGNILVWYLPGILSETRVESVWNSLRDIETMIHKAVPLATSWRVNDSYFR HEPGWVQPGNINFSPAWFQQGHETSNPLEVSLDLCNPIGQEFIRDTTTSSALLGAILS IIHPEQYRAGMKFLQRLAAEPELVHKAEILKQILTIWSSPFGVMTVISNRDTPYHRDN GSCYSWYDFLMPLGKGEHGRLELPGLGLRYKYDPMTLVAITGRLLQHGAVCDGDRAVI VYYMRRTVFEELGVQEAGWSTTYDLFANLPATNAFDFEI JR316_0011982 MHLPRLFKPPVEDEHHLLTYDERRALAKVHVHICGARIRAGYRL FIANGDSVSSVRRQKWMYLLDLEVAILLRVLGYCYVNGFQVDIPFFISEILNQSVHAV LNRTPHHRAVLFESLNQSWSAWEDDEILNQTKSWGYWWRDGFAEGDEWQVAFVTVESQ AREFWNKVVLPEYQQEVLRLNNQRKEAKEGASSSTSGPPRNQENNPKGKGKAAERTSV PTSGKHGSALTGKHGSAFSPPTGKPNPPTRVANTRDETSPIAISDHRPPYCPRCGQPI LTGVMRELAHLRRNVADKMKNAHKAVTTSSVALGRYSVLEKMWIDSKELPFSNGKGLS AKYKFQHPVHPSPDSWGAITAQARSFEVSKLKVASFYINDIFYSFIAVKELPFHPLWY HSPPSNTANIHLPTDTLPTPGSVSPPSNAHTSNAFLFNARSRPVFPGEEDDIDSTSDT TESSTPATFLEHLAQDFEEEADEESSAGDTTEESDASGNSEELSEDVSETPWDEES JR316_0011983 MGFKKVPDRGSDEEFPNVREASWSSGAKKRKRGRPRIHKLPAES SSTNLPQTTTYSFQNHEHNILEEEIPHYPGDLTDQQVLDNLRQLDDDRTGGKSQNDYL REWLPKREVYLGTMIGGEAPDPDLNGQCQQCQGMSGVWRCCQCFGSRILCSECLRRNH QFTPFHRVEKWTGLYFRPGALWEVGVKLYLGHNGKRCPYPTDPSHLGWDGGNNSSGSH GHGGNNSPVFEDEHGLDLDPLPASNDQESFTAQLLADPEVPHLVELDDGDDEDDDLFE EVDTTYLDQPRPKAADNNGIPFKAIVHTSGVHYLPVRTCTCRSVRLPSIDLQYLEMGL FAASFENVQTVFTVEVLEDFRMDNLECKTSAYQYYQKLRRLTSPAFPKKVLNRYRELR RLSREYRDLVLRRQYGEGHTREAVVPYYRHCHDSSPERMGINVSDPIDGGSDSPSQEV GMSTDPSLGHGGLDGPPVPQMTRTEDHPEVEDRRGKLALFCPACPQPGINLPDTWIDD ADSNLYIRSYVADGNFKADHLNQKNEGDDVWLSVGEGYMTAPGPYKEHIKEAISLAPR YKRKAENRVSPGKRVRGIGAHACARHGCFCPSSVVDFDKGEKQMHMDWSLTQARETTN TQGITKHLEIYDINCQYCVNLARRLSESTKMHWPPSVKMIFAIGLFHVHGHKTECLYN YASTYVPGVGIIDGEILEPLWSVLNDTSRKVLDDHMGDSNWKKTINMAATIAAKFKRA REQSGITDRFYRGITDQQDSGLINTWEDEISKAEADREQGVADAVGKVMASKVKTAAG RQEIELHLSNMELTSNGATGKAAWISSGLKLEQAQLELRDHVRKLGKHPSTAQKLDLV NKRRSMRTRVEAFCRSAMTFMGEDVLEDIQGDIAPILDYEVSDNDDPDLGNVNITRAD PERQPLPFPSAVKQDFFDGLDAGTNLILKGLRKLELQIRHGHAEDCLEAVRSALIQLS WQYKYQVRTADSVYMGTRAWDGVKLLNASWKLHRRLYNTNRQKMIYLSAGVRDEDNIR KQYPILQVHDCKHSNAVSDPNICGGSSDRLSWIWRSRQGLDNDNQLYVNEFFRLNWLR ARAQRNRWQEELALTKKEMEWTVRFYVYMAKTWRARHDFVPDRLVGHRANAQKQIAMW NDLGRAADKVFRQINPEYPSVWRFIITD JR316_0011984 MPTNASPDADPLPSTANATTHNGPRNGQTAEGDFPPEESNIWTT KEMRVLKKHVQPYKDTSKSSKADYIQNTVIPELQATWDHRYSRRARKEDKQLHKEWKV KKHRIFNWFRNHASNRIGVKLEGLNSRITFQTVFREEKSAEIDSEVALLSGNAARGSK DWMKFYQQGRKQVEARLTQEERDRFMEILEEWNKKGVSKSMKAKTAARQGRKILRQME KLKWQRMGMRSITFEGHYDIEGKIEYSMTQTSDLALDDVRIPSFGQLFPSELAAFRRA FVQYLVHISEIEKGVANPALPDASFHEKSLKFSSNGFPIVPSPIYGSTGREVAYAQKS IIRIYMNKVYALAKDRPGSSVPWDALERRSAEMIDPEYWPSSIPVTDPSRLRLESTSA ILKLWRDRQAQGDIPFKFSKVFGNGYDIMEPLYPSNLFDGLEAHPIPPPPAAITKRRL RQKAIRLQTQSSSDSESSNLSEFDNGRGSPASAMPRTTARFEVTPETDETPTDAPSRS SEPPSLPSRSSPTIVNPSSSPTPEVEEAPPKPARKIMPRKRTKPYVGTAEMDGPDEES SVPPPVKPKPTRRIQPRKRTKPYSDPLDTVEEDGVQTGTTQDTDNTRAGRDVTCDAFV ETVTSPDTDETRARRNVTSDALALQEASLLAVAGKRQRKKTLKA JR316_0011985 MASSSSTTATFAQRLADWEKTFTECYRNGESAFNAQLEQLYRDL VPLCQEHVRDAANFRLVDYVASPVVYSYKTSQGKDGKQVARFEVDWANLHHQVANFKA YQQGQEAQRKRREEEEQEKRREKEEQEEEERQRVEERRKREVRRKREEKKKREEEEER QREEEEERQREEERRKREEEKQKVEERRKEERRKREQERKTREQERQKAEERRKREQE QEQETDEERNKEETEKRRAEKGKGKAVEPPVEDGPVTDAHKDKGKRKAADPVESIQLA PADYRGPRTRKGEIIPHITASNMPGHPAYREKLERLAKSKQGKFKSKAIIGSHTDEDA DADVDEDDEGDDQEASPTTPTRKMLTRSAKKDANQDNIPPIRKARSRSEKARQVPEGM VDMVERCTGCTKFKVPCHVKGETGTEPLVPVKHQSCESCKSRKIHCSFYPGRFYPGRN TVAGQFNLSTPLGSYGEVLKLEEGEDVPAKGKAGEGSFPEDVGELLVQLFERQGRLME RMDGLSASMTAINARIATFAETNLAVEKRMKTVEDSFQELKAEWTTAKEQVAGNTSLS VTMFNNIKQAIQDVQYVVGVLLEQDEQRNPAPKQAAEPSKTEVEQESGPSRTREPTSA PQSPSPPPPPAPLPSPPPPPAAPILPSPPPPPPAPVLPSPPPPPPAPVFPAVSAPPIE LFLPGSTPEAPSPPPAGRPSLPPVPPVLSLSPPPPLPAPRPRSSTSKAAPLSKGAPSS KAAPSSSSKAGPSSKAKPLSKAKPSSKAGPSGNGHSSELSDPSDSDEVEIVEEDVEIV ASTLPASNIATKTRAGRKRKAETTLAEASCSPKKPKAQKK JR316_0011986 MSSNISNSKESKRTARTDDLAPPPMPVIPDNLAPPPMPVIPANL APPPMPVISSNLAPPPMPVIRKDLAPPPMPVIPANLAPPPMPVIPANLAPPPMPVIPA NLAPPPMPVIRKDLAPPPMPVIPASLAPPPMPVIRKDLAPPPMPVIPASLAPPPMPVI PANLAPPPMPVIWNDTAPPPMPVIRNDLAPPPMPIIPNNLAPPPMPVIPPTLDPPPMP VIPDTLDPPPMPVIRDDAAPPLMAAHVSYTSVVDKIIMDSRPAISSERKQGRYSPPPE ACHLLLQNPYFRTYGRFDPMGGYPGSPPTQSDIEFENVTDQTAYFNPPFLYNYTEQQL RATYDQIIDSSVGDSSYDGKVTTFKNLRLHQLQSEILTYNALEEIDAGLAGIESSLEK HLYTGRDE JR316_0011987 MFDENVPSLVSGNYNSAPIDYSSLPFQPDVFSSSSTSPSAPASA VPNLVVSPSDLDSPQLLKPSTSSNLSSSSSSSSVDIQVVPSTPLTSNPLPPPSTPIPQ RVLPPPRSSSCERHPSSRGILYSQLREAEKAKSESIIASQRATSAERLLSIQPSNEQG GDVENPFISLCSVGTLEPSPSQVIDTSLISLDVEDYLSRDKDAFFEAILLSVRSDRKR NPLSPNYDLKIPPANYQEAMLHPDADQW JR316_0011988 MSDIVTIAVFCGVSWLFFKVLRFGRREDTLPPGPPTVPILGNAH LLPTKFPYIKHWGTHYDGIFSLKVLNGAIVVVSDMKTVKELLDDRSAEFASRPVFLGM DAVTGGRYIVASPAESHFWKITRKVIQPLVSPQAVQKYCPLADMETTQLLYDILHEPE ALYNHITRSMLSFITTIVFGQRTSEHDSPIVIAFREYIEQIFRVFSPESVPVNFIPAL MYVPERWAPWKKMWKKIQAMQCSLYFKLFREVERRKRAGMIDECFAAKMLEQQEDLAL ERDTIVYICGVLLDGGAETTASFLQNLILCLVKYPAVLKKAQAEVDNAVGDRLPDSND IKSMPYVQAIIKETHRFLPTAPTSIPHASVDDSEYRGYIIPRKTPILINVYRDLELYE RPEAFWPERYLLSPDGTMSGLPDGTGYTRTTLPFGSGKRLCPGMHLANTNINLAVMRL LWAFDFASIDSTAPSTPKWDIENEFVDGITLSAKPFQCKITPRSTRKASIIEKSYKSL RDGFGGQLQ JR316_0011989 MPAKRTARRATRGGKKSKTGRSDPCIKCGKVLSRQSDMKRHMRR HGKRQFKCEECKFASHTPCGLKVHIRTQHTGEKDYCPFDGCLYATGDPALMYRHKRNV HPEFVPATRAATIPTAAAYSGNESFSYRHSSPFRPPIDTLSDLSALSPLTYSDAGSPG TLSSIHSEIPSSPNTNAMDIHQEERYRVAYASMEEMNRPRHSYMCEEQLQYPNTMPFN SFSYQTTPGYEAVGFPAEFHANQVCNSFMFPPLHTDDIDISSPAYGDLTVDPTLLGID EYLKAASMMDALDATSPFNKDWPSTLPQSQSLSSPYFDSWGRSVQSPFVPTGNIVEFD PLAVPCFSPSMDFFASSL JR316_0011990 MARYGLKNFKCPETKHTDEKDDCPFDDCPYGSGDPSSLHRHKRD AHPEFVPAPRAATIPIFELHIVHGGSASPSSIFRNSGTTTASSNYSHQLNLSYIRPYS AITLHKHSNNTVFNVLRHFVNVDDKTTFVS JR316_0011991 MDYAFELTQSLSNYMKAKIASRLWHAELPNQIRENFSAETMFEC NLAVEVILQAFENQEYTTWDAEEYLTHLSARCTGQNSAVEARLKDQFPPVHSTLQYQT LPGTVIDSAGNILVWYLPGILSETRVESVWNSLRDIETMIHKAAPLATSWRVNDSYFR HEPGWLQPGNLNFSPAWFQQGHETSNPLEVSLDLCNPIGQEFIRDMMTSSALLGAILS IIHPEQYRAGIKFLQRLAAEPELVHKAKILKQILTIWSSPFGVMTAISNRDTPYHRDN GSCYSWYDFLMPLGKGEHGRLELPGLGLRYKYDPMTLVAITGRLLQHGAVCEGDRAVI VYYMRRNVFKELGVQEAGWSTTYDLFANLPATNTFDFEI JR316_0011992 MGFKKVPDRGSDEEFPNVREASWSSGAKKRKRGRPRIHKLPAES SSTNLPQTTTYSFQNHEHNILEEEIPHYPGDLTDQQVLDNLRQLDDDRTGGKSQNDYL REWLPKREVYLGTMIGGEAPDPDLNGRNHQFTPFHRVEKWTGLYFRPGALWEVGVKLY LGHNGKRCPYPTDPSHLGCDGGNNSSGSHGHGGNNLPIFEDEHGLDLDPLPASNDQES FTAQLLADPEVPHLVELDDGDDEDDDLFEEVDTTYLDQPRPKAADNNGIPFKAIVHTS GVHYLPVRTCTCRSVRLPSINLQYLEMGLFAASFENVQTVFTVEVLEDFRMDNLECKT SAYQYYQKLRRLTLPAFPKKVLNRYRELRRLSREYRDLVLRRQYGEGHTREAVVPYYH HCHDSSPERMGINVSDPIDGGSDSPSQEVGMSTDPSLGHGGLDGPPAPQMTRTEDHPK VEDRRGKLALFCPACPQPGINLPDTWIDDADRQVLVILQGYVADGNFKADHLNQKNEG DDVWLSVGEGYMTAPGPYKEHIKEAISLAPRYKREQTCHNYHAQKAENRVSPGKRVRG IGAHACARHGCFCPSSVVDFDKGEKQMHMDWSLTQARETTNTQGITKHLEIYDINCQY CVNLARRLSESTKMHWPPSVKMIFAIGLFHVHGHKTECLYNYASTYVPGVGIIDGEIL EPLWSVLNDTSRSTRSATTAHRAEVLDDHMGDSNWKKTINMAATIAAKFKRAREQSGI TDRFYRGITDQQDSGLINTWEDEISKAEADREQGVADAVGKVMASKVKTAAGRQEIEL HLSNMELTSNGATGKAAWISSGLKLEQAQLELRDHVRKLGKHPSTAQKLDLVNKRRSM RTRVEAFCRSAMTFMGEDVLEDIQGDIAPILDYEVSDNDDPDLGNVNITRADPERQPL LFPSAVKQDFFDGLDAGTNLILKGLRKLELQIRHGHAEDCLEAVRSALIQLSWQYKYQ VRTADSIYMGTRAWDGVKLLNASWKLHRRLYNTNRQKMIYLSAGVRDEDNIRKQYPIL QVHDCKHSNAVSDPNIRGGSSDRLSWIWRSRQGLDNDNQLYVNEFFRLNWLRARAQRN RWQEELALTKKEMEWTVRFYVYMAKTWRARHDFVPDRANAQKQIAMWNDLGRAADKVF RQINPEYPLTSSLNILVVSHLVEFMHLPRLFKPPVEDEHHLLTYDERRALAKVHVHIC GARIHAGYRLFIANGDSVSSVRRQKWMYLLDLEVAILLRVLGYCYVNGFQVDIPFFIS EILNQSVHAVLNRTPHHRAVLFESLNQSWSAWEDDEILNQTKSWGYWWRDGFAEGDEW QVAFVTVESQAREFWNKVVLPEYQQEVLRLNNQRKEAKEGASSSTSGPPRNQENNPKG KGKAAERTSVPTLGKHGSALTGKHGSAFSPPTGKPNPPTRVANTRDETSPIAISDHRP PYCPHCGQPILTGVMRELAHLRRNVADKMKNAHKAVTTSSAALGRYSVLEKMWIDSKE HPFSNGKGLSAKYKFQHPVHPSPDSWGAITAQARSFEVSKLKVASFYINDIFYSFIAV KELPFHPLWYHSPPSNTANIHLPTDTLPTPGSVSPPSNAHTSNAFLFNARSRPVFPGE EDDIDSTSDTTESSTPATFLEHLAQDFEEEADEESSAGDTTEESDASGNSEELSEDVS ETPWDEES JR316_0011993 MPTNASPDADPLPSTANATTHNGPRSGQTAEGDFPPEESNIWTT KEMRVLKKHVQPYKDTSKSSKADYIQNTVIPELQATWDHRYSRRARKEDKQLHKEWKV KKHRIFNWFRNHASNRIGVKLEGLNSRITFQTVFREEKSAEIDSEVALLSGNAARGSK DWMKFYQQGRKQVEARLTQEERDRFMEILEEWNKKGVSKSMKAKTAARQGRKILRQME KLKWQRMGMRSITFEGHYDIEGKIEYSMTQTSDLALDDVRIPSFGQLFPSELAAFRRA FVQYLVHISEIEKGVANPALPDASFHEKSLKFSSNGFPIVPSPIYGSTGREVAYAQKS IIRIYMNKVYALAKDRPGSSVPWDALERRSAEMIDPEHWPSSIPVTDPSRLRLESTSA ILKLWRDRQAQGDIPFKFSKVFGNGYDIMEPLYPSNLFDGLEAHPIPPPPAAITKRRL RQKAIRLQTQSSSDSESSNLSEFDNGRGSPASAMPRTTARFEVTPETDETPTDAPSRS SEPPSLPSRSSPTIVNPSSSPTPEVEEAPPKPARKIMPRKRTKPYVGTAEMDGPDEES SVPPPVKPKPTRRIQPRKRTKPYSDPLDTVEEDGVQTGTTQDTDNTRAGRDVTCDAFV ETVTSPDTDETRARRNVTSDALALQEASLLAVAGKRQRKKTLKA JR316_0011994 MAASSSTTATFAQRLADWEKKFTECYRNGESAFNAELEQLYRDL VPLCQEHVRDAARFRLIDYVASPVVYSYKVIQGKDGENIYRFEVDWATLKHQVANFKA YQEGQEAQRKRREEEEQEKRREKEEQEEEERQRVEERRKREVRRKREEKKKREEEEER QREEEEERQREEERRKREEEKQKVEERRKDERRKREQERRTREQERQKAEERRKREQE QEQEQETDEERNKEETEKRRAEKGKGKAVKPPVEDGPVTDAHKDKGKRKAADPVESIQ LAPADYRGPRTKKGEIIPHITASNMPGHPAYREKLERLAKSKQGKFRSKAIIGSHTDE DADADVDEDDEGDNKGAPPTTPTATPTRKMRTRSVKKDAEDDVPPIRKARSRSQKVRQ VPEGMVDMVERCMACIKFKVPCYVKGETGTEPLVPVKHQSCESCKSRKIHCSFYPGRN TFVGQFLTTPLGSYGEALKLEEGEDVPAKGKAGEGSFPEDVGELLVQLFERQGRIMER LDGLSASMTAINARIATFAETNLAVENRMKSIEDGIQEVKAEWTMAKEQVAGSTSLSV TMFNDITKRINHVRDVVDDLLEQDEQRNPAPKQAAGPSKTEVEQESGPSRTREPTSVP QSPSAPPPPAPLPSPPPPPAAPILPSPPPPPPAPVLPSPPPPPPAPVLPAVSAPPTAL FLPGSTPEAPSPPPSWPTLAPTAPRPRSSTSKAAPLSKGAPSSKAAPSSKAGPSSKAK PLSKAKPSSKAGPSGNGHSSELSDPSDSDEVEIVEEEDVEIVASTLPASNIATKTRAG RKRKAETTLAEASRSPKKSKAQKK JR316_0011995 MSSNISNSKESKRTARTDDLAPPPMPVIPDNLAPPPMPVIPDNL APPPMPVISSNLAPPPMPVIRKDLAPPPMPVIPANLAPPPMPVIPANLAPPPMPVIPA NLAPPPMPVIPANLAPPPMPVIRNDTAPPPMPVIRNDTAPPPMPVIRNDTAPPPMPVI RNDTAPPPMPIIPDNLAPPPMPVIPPTLAPPPMPVIPDTLDPPPMPVIRDDVAPPLMA AHVSYTSVVDKIIMDSRPAISSERKQGRYSPPPEACHLLLQNPYFRTYGRFDPMGGYP GLPPTQSDIEFEDVTDQTAYFNPPFLYNYTEQQLRATYDQIIDSSVGDNSYDSKVTTF KNLRLHQLQSEILTYNALEEIDAGLAGIESSLEKHLYTGRDE JR316_0011996 MNTLLTSLVAISFSWIVYSLLQYGRREKSLPPGPPTIPILGNIH LVPIQFPFLKFTEWAKEYGGIMSIKVANGTAIVLSDMRIVKELLDDHSNETSSRPTLH AADSVTGKNYFALATSDNKLWQIGRKVIQPLVSSQAVQSHLPIAERETAQLLYDLLER PDDLCSHVSRATLSFVTSVVFGKPTPQYDSPEAELFRTYMRSFSATIAPAAAPVDLVP LLKYVPEICAPWKRLWKRTRNLQRSLYFSLLEFAESQVGMGHRNGTLMESILSKKDEL GLTREMVGYIGGIMLDGGAETSAALIQSLILCLLDSPESQKRAQQEIDSVVGLSRLPQ PSDIQDLPYVQAMIKEIHRLRPAGPLGIPHSAKEDFSYGQYIIPKGAPIFTNVWGILH DPNLFERPDEFFPERYLMSVDGTIPGLQKGYTIRTSLPFGSGKRLCPGMHLATTNISL AVMRLLWAFDFSPLKEKAHVLTSTAPSNLADHFIDGINLAPKPFRCKVTPRSTEKMTV IQDSYHRSQ JR316_0011997 MDFLKKVASEVENSTKNQSVNASEPSGNGDSNGANAILGAINSA LGGGEKGEKKEAIDLVQEHVFKAGDQSNESAIEQAKDAQIASAIRSGYKTVTGKDFPI AEKPS JR316_0011998 MVLAGLSIHELQTGGFNPNLFNGIESALAFTSVATTIVTTSLIG YRIQRHFRENRASGSNGTFKHILNIVIESAAIYSLLLLMDAISIIVPPFNELGTPWAN VEYYVESILIVVAGIAPTVIVARSSVARRAENDAFAITRVSGLRFESAGGRVHHGQNP STIGSGSSNDSEIIVLSSEDPEKQSNGTQSTL JR316_0011999 MAPQAVEEHLPIVEQETCQFLYDVLHNPKDFCLHASRFTLSTIT YVAYGKRTPRHDSPDAKLFVEYIRQLTRTVSPEAAPVDLVPLLKYIPERWAPWKQLWR ETRNLQRQLYFSLLEHTEDRVKSGKRTGSFIEAALDRQVELGLTRDMVA JR316_0012000 MAQTFGVPTLAIVGCVAWLVIKLIRIGQRDKTLPPGPPTVPLLG NIHLLPTKYSFVKFTEWAREYGGIISVRTMLA JR316_0012001 MLSLMQLGIISVLGWLAFKVLSIGKREANLPPGPPTIPVLGNIH LLPRKFMFVKFSEWAKEYGGIFSLKIANSTMIVLSDMRAVKEILDDHSIETSSRPFFY ALEAVTNGKFFLLASSDNESWKVGRKLTQLFMTSQAAHSHARIAEQESIQLLHDILES PLDICQHFTRYTFSAITSLTFGRREPNHDSPKIREFADYIRNFTNTISPEAAPVDLIP ILKYIPERFAPWKRLWKVTQAQQQALYYSLLEYTESKVRGGNGSGSFVETLLEKQAEL KLSRDMIAYIAGVLMDGGTESTATTLQSLILCLVKSPASYRKAQEEIDRVVGNGRLPV ASDINNLPYIQAILKEIQRLRPAGPTGIPHAATKNLQYGEYIIPKGVPIMINVWGILN DPDLYERPDEFWPERYMIAPDGTKPDIEKEYSIRASLPFGSGKRLCPGIHFANMNLNM ATMRFIWAFNLTAFDEQATSTDIWDFQEEYLGGVTFTPKPFQCKITPRSEERKQMIMA SYEASLN JR316_0012002 MKIIFSLIALAILIFFRSACGSAVPVQAMARTDEVNSSYISTQV SPDIQTCIIPLPFTLLEGGITNIETGEVTSISLSPIAEGVPELRSLDTSSAGSSLERR LGQLALTCYNEGTPVNRGALTTVIDYWCSNYMIGRTVSPGNEYWSRFYTDQGSVLVSL NMFTPSPSVTQIILLAS JR316_0012003 MKDTYTFATLFLAAVAWLTFKIARIGRRDGRLPPGPPTVPVLGN IHELPRKFAFLRFSEWGRKYGGIISIKLANATAIVVSDLKVVKELLDDRSSETSSRPY SYALEALSKGNFFVLASSESLVWRASRKSIQPFLAVQASDLHVPIVERESSALLHGIL HNPGAISSHILRYTFSSIAYFAFGKRVLRHDSPELQSYQTYIRNFCKAVSPEAAPVDL IPILRYVPDFLAPWMKLWRETRSQQLSLYNSFLEHAERVKEGEGRNRSIIQATLEQRH ELGLSRETVAYTGGVLLDAGTETLATTMLSLILCLMKSPAVLKKAQNEIDELIGSKRL PVANDIEELPYIQAIIKEVLRFRPSIPTGIPHAPLNDCHYGGYTIPKGSAIFINTWAI FHDPDLFERPDDFWPERYLLTPDGTKPGLNKDYNIRSSLSFGSGKRLCPGMNFARTSL NVAVMRLIWAFDFEPGDNSSRMDQSWSLEEHYFEGITLTPKEFSCRMTLRSADRAKAI EDSYILYENSNA JR316_0012004 MSNFDVDIGAMDEVIFRLQGIVSDKMLPPMAKPASTVKQQPYLR TTIAITGLGDIVFNKVMEKLEEVFLRFANNFPADSVSGYDPVLYKDTGFNVFHAHSQY FTKVSAYQNKSDNIGFHPLVDPDNVLASMVGDSFIHAIDNKVQFLRREILPDGTARYY SYNPASIRIGDIVEISVAFVAFPAQGNKYKFVVALCGILVLDQEAREKADILRMWSRY TPAKRQVAVLCRTKRQLYKGQIDIEDTQ JR316_0012005 MQNSCFVINRANIWIPGDMDMYVEHRYALPVLSWMMSIGFTIMP HPKYPDNTTAEKILKMEKIVLQKHPLYRHYNTTGVVVNLWCQGSFVQLITTCQLVVEC ILGYHSTGIMNFVTFEKVYSLYPNATFRHQVSLLQPSADLKRVQKFLAKYHSRGLKFV LSIPSQTLKMDHHIQSRIQSIRDNVNVGYSSSRGHVLVVLDYDPYPELFSPGIRRVGD RHCWVYSLPLLPKANQTSFVEANSWALLLNEFDCLHFGVRRISGIALDFHYTAADVYQ LHKRVKKAIKSWERGIRQKDDRVHATVLYLLSRKADIFWLHRPQPQSLLWNGYL JR316_0012006 MASALSPRFQQVFPPPMDDVYMDQMDLKTLYRFSWTCKELNDRV LGYMRRTFRPKNLFAPIFKSNEHLLFCLLQFKTGLVISGSTVLHFICFSGPTQSVA JR316_0012007 MGESRIVTLVLCTGLAWLGFKLLRIGRRDKTLPPGPRTIPVLGN AHLIPSKFSFLRFAEWGRKYGGIYSIKVANATVIVLSDMRVVKELLDDRSSEMSSRPY IYVADILSNGEPCVESESEALSSHITRYALSSIIDFAFGKRVLQYDGPEMQDFQAYIR NFSKALSPESAPVDLIPPLRYIPDFMAPWMKLWNETRAQQQSLYFSFLRCTEQGLDSG SRERCIIQAILARREELGLTREMIAYIGGALIDAGTETISTLMQSLILCLAKNPRCLK KAQEEIDDLIGDKRLPIASDIDELPYIQALIKELLRSIAFDLFYPLAFHMRLSMTVMG DIPQSRSVSSNNLPVSYLTENTPELFERPDEFWPERYLLTPDGTIPGLDKDYTIRPNL PFGSGKNILVMRLIWAFDLGLKEGYASENNMTWSLEEEYMDGLTLSPKKFDIAVTPRS SVRAKMIEDSYMKVGDNGADDEVAERLRKSMTLDESWWIE JR316_0012008 MGDARLYTFAFVVGVAWLVVKLMRIGRRDRALPPGPPTIPLLGN LHVLPRKFVFLKFSEWKKEYGGIYSLKLANATAIVLSDMRIVKELLDDRSSETSSRPY FYALEVLAKGFFFVLATSDNPVWRASRKSIQPFFSVQATDAHVRIMERESSVLLHDVL HNPNDLTSHIIRYTFSSITDLAFGKRVLKPDSPEILNFRSYIRTFAKAVSPEAAPVDL IPILRYVPDFLAPWMKLWCETQTQQRSLYFSFLDHAERTTGGGKEKSIIQTTLEQRRE LGLTREMVAYTGGALLDAGTETIATTTRSLLLCLMSRPACLKKAQEEIDDLIGDKRLP LASDIDALPYIQALIKERLCPGIHFAGTSLNVAVMRLIWAFDFSLGDDFSGADRPWML EDDYEDDMKGIALAPKEYFCKVTPRSAERAKVIEDCYNLYNNSDV JR316_0012009 MQIVKELLDNRASETSSRPSIHALDAICGGNFFALASSHTDLWK TGKKLIGPFMTPNAIKPRIPVVERESLQLLQDLVRSPENLCAHIFRFSLSSVTTIVYG ERVTTHDSVYSTEFSGYLEHFLKAISPESAPVDLIPLLRYIPARFAPWKGVWKETGLR QRRIYSSFFEDAEREIKSGRRSGNFIETILNRQEELGLSRDLAVYLGGSMLDAGTEST SAIVQSLILCLAQYPDVMKKAQDEIDSYVGDGRLPVPGDIDGLPYVQAVVKEVHRFRT AAPTGLPHAPTHDLEYRGCIIPKGATIMVNVWAILHDPGQYCGSLSIFFTRNSIKILD HSELFECPDTFWPERYLLNPNGTRPGLEKDYTVRTSLHFGSGKRLCPGMHFSNTLMGI VAMRLLWAFNFSPENGSAKPHNTLDIIDGYTEGIAFAPKDLKCKIVPRSQEKIDIITE YYDNQNRKI JR316_0012010 MPKHAKLAPLCNVCRQINLANTNNRKPLEYSLGTWEQVKKRATK HPKCPFCILIQSYIDNSGLAYLYQTGPASIEWREQGGFFFETDGSNLSFLNEDTATSP HGSARIVKDTIDPALMRKWISLCEEHHGEKCRPRNDVIKTAENPNGVKILRLIDTLDQ CIVEAKPGDQYLALSYVWGPVSPLIRLEQGTLDILTQKGAFKDHRDKVPVTVRDAMDL VQMIGQRYLWVDSLCLIQDNNEDMLDGVSHMDLVYQCSLCTIIASYGSDFNAGLPGVH PDSRDVYQEVFEVLPGINMTIINGVYNAMTGTHSKRAWTMQELVLSHRTLVFTEDRVF FRCRSNCWTEDTLYDCFPTAINKVLSSGGEIMFAEDNDKHPLASCIFHLFRYAPRKLT KITDTIHGFTGILQYVSRQAKSGILEGLFTSSFDISILSWDNFPRATTRPVRQEDFPS WSWAGWNGIKDGYARFCYDANSTNTWLKTKTYIIWYKRSPGTASLELVWDLSNEETHG APDEHTIAYRPTPSDPYGRIRPSFLDGLQTQPTLDDPRREEIIKSELTKRKYHFLHFF AFTVVVEALKKPPAGSELEMAMVYSLRGQRGKQCGGVKLDNPRSMQTVKGPHELILLS SMDKYDGYFNDTIKHKRPYYWAMLIAWVGEDKVIAERRGIGFIYNDCMDVILPPGRVW KEIVLA JR316_0012011 MIILPLILLLAETGLVITATVLSSLGTHLTSWADATLTNNIITS LTFISLATTVSATSLIAYRIVIVSNNHETHAHKAYSRIVSTIVESSAIYALTLLLLAL TEVIPVFYTLESPLIQAEYYTQTLVIFSSGMAPTVMVARLALSSTRDIKSSGTITHIT GFNFESQRDSCLSAHQEGTNGAGSRDQAQVREINQESICDSRFNPA JR316_0012012 MFTPPDSDDTRNMPPLVDTNQPFYPPPNGATNGGDPGFFDAFPG ASGGGGGNPHSSPWAPPGHTPYPAHPVHLSPWSPQPASLYPTPPHSAPVGGWPYGGYA SAGPPVWGPPPLASAGAAPGNPWGIGSGGGGQWAGGYTASPHPAFPGAAAGPSQPHSP YAAPGTPFDSSVGQPIADGWFGRREGGGGGGGGGGWGDNRRAWEAMGWDGRGKWDWDW DAEERHLKELKKEKKKKHRKSGEYGDDWDSWELLGWDLGGGSAMKRSSSHGPTQPHRR PSLHRSSSWGNKNQYRGQQYSPWAPNAHLDNGTPDYAQGDIFDENNLAKRPRDWRADY NPRPGLIPAVTMAMGAMGGLGRSRSTVKEFHDPIRRQIHPLFDLTDVSPALSFSLRAP PNPHSLSPPNLKFFRLSRPHNPIDLLQLATRPATPFMRLMHPKLPWYIDIHESRPNGV LVGDVLEQMHRQLHVSIRGRHYWNDVLGPEERREIARAFEERVRAYGEGEGMTPEERE REERERSVWADGAWGGVQAKGRERVGEVVKRGIIQVDFLGKKFWFEGPSSARDVRAGL EDVARVLNSLPSPVVITPQDVSNVHAVNHLIKRVKNPCALALLDEIESSPRVPLLHGR VKDNQPPTLDKVVRLRACLILVSIPAHRAALA JR316_0012013 MLPSSSFQTSKVFCVSLEQGNLGFHHSGRLPFTDLDIGVETKGL ADEAVLWFGYALDDGPLCLQAGAGASAASPTIFIVTRTQDLSERLMSLSLQADKAY JR316_0012014 MADGDQSYPSTSFTGVAPEDVQRDQYIDIVHGGIWFADADPSAQ VANTLTRAEMEDEERKRQLIPTRSSVEQLVSYSHRGSHSGTQANFNDRFHFNIGEKES TYTIGSMQITSNSEGSSGGNPVEPFAQLGHRDGGTTNHHSTAQNSDRQVASASKNSRK RRLSTEDKDGSTSAPAEKRPRKRSPRDPLRDQKVGKGRVARALTAAPTRRPHRKPATP RCMAGPVSEGHVVVHDRERHSKKGTEFTFQVMSAAQRP JR316_0012015 MSTFNVNIVHVHTSQSVPVSTPKSPQHAVNSSGAGAPAYVVAPH ATSSLNYTSGPWTEILSLNTNVGNATPNVGSPKLIRSSADVPVISGLPRVRDESQDDN DIANESESLTVDRLVVASLATGTVDPAVLIRTSRHSREKSSRGVMRNQTAGVLSNRHH PYNMANRPSRAAVPTNSGNEHANAFCRPSGETENTSMYTSQHRELHHGDGSGYNIPVE QMPRLAPSPNEDGRESEMTGSTGDGDTGEAEQQPRKMQGHRSDYDTCFRSREEICSQD KASVGKRKRRKR JR316_0012016 MSLPTRSAPAVFLSTNTRAIVIEYLINLCLSDVACSSAVLFMYC RHNEPRTVSQYLASLIAQMFKNYTGVSLIEKQVLKLYNVHKTQQSHPSKSQLVAILST ILLPFQNVRVVLDGLDELPDREQMDLVSILRGLTVSLFFTSRIMGFEVFGFPQDIIHM TIGDQNLGDIRLFLQKTIPQTTSVARILRRNEGFLEEICNKILNISGGMFLLAALRSQ SLQGYTTMTSLSNSLDGLSDDIQSMYYSLMDRIDAQKGDYPSFVKRAMTWIVHAPRPL TIAELEHALAIQEGSHSFNERNIPAQDMLTHHPVGLLKSSQEVELWSFSLSYAAFDAL VKSQDAPLLEYAYKNWPAHIGECNEESYPTETLHEFVLQTPNYPLLDSRIGFSWINPS HVIAYYGLRVPFFWDSCCNSRTVKKHTALTLAALKGHTTIVESLLACKDIDINAQTNS GDTALTLACKEGHKSVDSRGATALMHASHMGHVGAVHALLACSNIDVSATDMAGRTAL LYSCLKDHTGTACALIRAPGIDVNSQDDNGHTALLLAATKNSFQVLKALLSIPGLNPN LRDRNGLTALFVAMLRGHNNIVKALLPMSHIKADVPSLQGVTALVRATVEGYVPIVDG LLSSQNKHWEHAEGVTPLMLASSAGHTEVVKHLLTVPGIDVDLGNQPRYTLTIPTGES NDNPIMDSFLTGMDLKQTCFDCSGITALNFAAYHGHIDVLKLLLAVPGINGNSQDCWG FTPLILASDMGFDVVARLLSVPEIRVNTQNGSISIEFILVSCSPTFTYFQVGFTVLCR FPDFNCETSPTRFGLHK JR316_0012017 MDVHDLSPYTKLNITGLMNRFVLLLYRQLLRGCREFVNEYGTGN SIATPAEFTPRKGHLDPQLPDSPIIRAVDYQRVTRRANLFH JR316_0012018 MERMFAKPLVDSLEGHIDAVEVLYRRPGSLTGVASGSWDGGIIL HNLATRKPIAKIPQAHKGKRQGYASLRMVKGFLAAVSIPLNVFPGKLRLSEDQSIHLL TFPTSTETITSVRFNERIIGARKYRIRRDIHAVRYQDWKG JR316_0012019 MECMNENAAFPLDFTYVLVECSRLVCGFMSSAGGGSRPNFPTCR TRRHQRQEEFGTAVASTTFSLAGGMPLPLRRDEHGMPISSVAPVGTSDASRESMDERG LLMEAVVVLVSVDDFLVGCRGGGECVCECGWACACGEGEGEEEDGGCERDDEDGGCVS EEASGIKDGSKGDAFSFGESTERGSGGSGGEVGNPFACSCISFERGLPSSTTPAPAVT SFSLSLSLSDEAEAAPETTDKSPDDLTVRIVSLDPFLRSVATLELDAELLVFLCSLPD PDPVPVPVLCFYLYCYQQRNSRVAEQRDGSGRVNVALSGGP JR316_0012020 MENTTRQASSSGSLVEPVPMAVSEPYIGFHHPMVTSHINFTPVS RSAPPVPKTAMRINWEPRRSSADLPDDNDDPSLAPAPANPSQSTSSSANSPNPDPPNP AATAGPSQTTLPAQGVATAGPSSAPVGTSKVIIIRKPPGEPGRPGSGGFNLEDVLVGE HDWALSDVETLQDWLRREATKTLRLDASYRSQNPRLIERICNKAMRPEHWPVLANYDN CWPVKSALKLILKYKSEASRRVEGRKMAVRVRKAINNQEGSHESSSEGGSGSDEEA JR316_0012021 MSSTRRETLPLKERIVALLTPSATGSSTDQEPSSMDTSPDYPTE RLEQVEQGGAGATLSNATPSNVAVSFPIPPPGPAADEHGARTASIAPSVSQPTNGASL TNQVPVNAVILLPPRLAADDQGAQGSSVPNRVAPSVSQPSNGASLTNQAPVNAVTLLP PRLAADDQGAQGSSVPDRVAPSVSQPTNGASSIDQAPFRFATDVRGAQGSSIANSVSR PSAGHIPRIGSSDVLFPGHFRQNESSANTNPPPNPETSMDIDPTLPTSSNPSSTSTFP RFFIPVLSGNLFNFTRSDPNQSNQSKAKKRRAAPEDHTTKVQVIEQKDVDIAELSKQQ ARYPKSTFLIKQREEVLKKIIDDERAELTKSKNAIKNAEKKAERSKKEVEAVRSQYTK LSKAMRTEKESSKGLEETVKTQQGDLSSALIKLRRAESLSKAYDRQRLEAQERHEKTN ADLEAAVASRGQQLFAQISDLENDIARLQQEKSSEVSTLQRQLQQANSRIEDLSASQN TAALAQEQISSLQQQLRDANSTIENLNASQRAAATLQQQLQQANSRIEDLSASQNTAA LAQEQINSLQQQLRDANSTIENLNASQRAAATLQQQLQAANSRIESLSASQNTAALAQ EQINSLQQQLRDANSTIANLNTSQSAAHAQDQINALQQQLERANTTRVEDQQTITGLN ERLRVASNEITRLTAQLQNQREESQKQYHALERDLKSQMDLHQQKSADAENALKAEQT NRMAEMNQMKATYEDTVSSLRLQVSNLAQQCQANQGRLNELESSNTEFGNTTSALEDI LKNERIKNEVNLFPSLSIFDSDHTSKVLQARINSVMKNRTHNTEDDYEPTEIRRLDAR RRLIGINKRNAGFSIHSRVRNNIAQRGQSAVPPSNPPQNEASRDEDDEMVDSSPLRNS PRAPNSSPPLDSDDEHMEDGTTHQRPVPRSASPRRYIIPGFFPTRYTTIPPSQRRRDS NRATPIPPTGQSATERYFAAAMQRLGRLPASNSTIAPTSATSAVNDPYRAAYQARHAT RPTVSPVVDRTVPAMPTTPTTPRHSASEVRTSNTSVPPNSSTANPQLAAYQVRHANRS GASTNPQLAAYQARHAERSGASAQRAKSPATAQPAPPMANTPRHPTSTVTAPNMPSDD DQHPSPRPNTDNMLIECIQTMTASVNGLRDDLANGRGPRVRQTPTKVKSPFKEKAPAR PRTIDRTQLTQAVRLHLRKCLDLPADHRDSQIYSDLDNIHIADEVEVDRLERRRRDPP TMTPFQLYFDRVNTQWNRHMANLFADSLVRQYPEYRGQEEEIEQCFLRRLTTLRDYMS NAMPRGDETEQDAGNRLLMEKEGRDRFKRVRRRQTKLYETRVDSAQQANMPNHARTIV TLGDDGMSSDESDSEERGIRSVRILYWRNPRLLNTVTDADNALPATTAYGGRRPGGQP DVRQRLRQGQNYSTRPAPTRLPINYYNPVWLNTLSDLELRLLDPQAAQPFPGEDDEVN PAIYT JR316_0012022 MKKIDPRIRQFLDTEAQVDDEISEEDEQTGSGEIDREDGFIDDD TPPDVGHSVLPTQLSVHRHTEGALERLISRIESRINAAGDGGFAIPEDPDDTLHDNGL LYIPRADDYPLWRVECRVGIEEQAVMSLLSTVSEVHQVRSAFTRGSTQGSIYIECKMN QALVDLLLRTPGVLRNGLGIKRQLIDSSEYSQVLGMRDGPVDVGAWVVIKKGLYKGDV GVVSQKSFQHARILLIPRLHTTPQNPLKRKSSTVKPAAKLFDPDHFRQLFPTDVQSRG PDCYCFRDMDFEQGLLAQNLDHRSFTVNVKDIPHDFYTMFRMSQHPAVNRSHMPRPRE WTLKEGDAVLICSTPSTSTSFFAPAILKVMDTYYAEVLEIGTHGVPLADNPTKRVPWQ EIRKDVKIGQHVCVRGGHHTGKTGWVVALKDDRVHFVSKKFEGEIPTYFRDGDEVIES TEVFVNFVDIAKEPVVLHHKHEPEQTAIIPYVRQPESGPLPKTPPHPWCGVKVKISKQ HHPRKGEYGVIQDVKENLDDNTITLHMQLTRYDPNAPFHRISVRYDDVVEFASSLELV LFLDPGEGHIRPTPTQTLSTPQPEQGHDTSAHHPYLMERPSGSATPLPTPAPNNLSSP PSPAWDPSSRTPLSDSVASDPLWMPPAPPPHVLLNPKLVGVKLNAVVDGGDFSKQLIA VSIELHGNE JR316_0012023 MHFNSLVAFTVAAAAVFAGAVPAPTNNGGIMSLEEMKAWVSALP PDEVTLVGDPFAALSSRAALSVTVTFCSTQSSTICTGPCTVKTVAGGTCIAAPDTNCL SATSQVTFCDRSGCGGSCNELNSCGTRLENNFCFTPGTESIGVPAGS JR316_0012024 MSTFNVNIVHVHSSQSIPVSTPRLPQSAGNSSSAGATTYVVAPQ ATSSSKYDPQPWTDILSLVGPTPQDILEIYYAKFSSHTVDVGNATPNVDSTRLFRSSA DVVVIRGLAQVRDESQDHNNIANESESLIVDHLVVASLATGTVDPAVLIRTSRRSREK RSQGVMRSQAASDRHHPYNVANRPSRVAVPRNSGNEDASAFCRPSGETENTQFRTSQH REQHRGDCSGYNIPVEQMPRPAPSPNEDGRESEMRGSTGDGDSGETEQQPRKMQGHRS DRDPCFRTREEICSQGKAGVGKRKRQKR JR316_0012025 MDSSNVFDYSFVDYSLDYNLSFSEMLAEDPQVILEDHPSTDAIT DSITGPPSAMPSLSIHLEIPIEHGIEPYLSPDSTSADMSKAMLKSIPDMRTTTNAALA STQAPKLHTPFNTSPKSSSLCHQINEEPAMASSSMYQSQALDDPSYLGFSSAPEGFNF VDPANQLSMSGAGIIPTRPLVSRNSSLNKIIPRSEGIFPTSTRINTPPQASSSNQAPS FRNPEACQFVDQNERYLSEPSTTSTSAASKPGKQNRYIDIIHGGIWFINPELPAQATH ALTRVHTEDDKRRQQFLPTLENVDQLVSYYQHGSHANIPRSATDSFHSNIGETETNHQ SRSIKMTLNREEGSGGNPVESLAKLRHGNGGYDILMTNHCTETQNGDSQVATASRYTR KRRLSTANGDRGESASALKRPRAGSPLVRGSGASSSAQTEGSVSRVAATLTAAPRPQL QPAQPRCLAEGFSQGRLVIEKRERHRKKDGGLTFQVMRPTHEP JR316_0012026 MRQSQSLENFGEYTSVDVTDGNLSYPSTSSTGGATTNVQCDRHI DIVHGGIWYADTDPSTQVAKTHTRVDTEDEERRWQLFSALESVEQLVSSYRPGSHASL PGSSSNSFHSHIEEKETLHVNRSTQWTLNRKEGSGGDPVEPFARLRCRDGGMTNHLSA TRNGDRYTRKRRLSTVDNDGSKSAPAAKRPRKRSPRDPLRDQKAGEGRVARALTAAPT RRPHRKPATPRCMAGAVSEGHVVVHDRERHSKKGKELTFQVMSAVQRP JR316_0012027 MGFGIGLLFMEPLSEVVGRRPIYCVSMFLHFIFTLPSALAKNAA TLVVARMIAGLAASAPMCNVGGSIADVWAIEERGVPMAVFSGTLLLGLVHLPRRLIRL NALYS JR316_0012028 MTAVFMGHQPPVRVYRAYPRLSSAAFKRLAILFLAGWALKATLS SYSDAVFPSSPFGFRSPESEAASTGGFVVVHPKSSASSTVKYVKGFKGGEQNSLVNIS GQPNNALASMSPGIGSIIFGPLLDISSNKEYSTQLGTNETTSLGAPDIPIHFTDITQA SLADVHVVDATFTTKDIAKYLTFRTVLATLQRLNYFSVDESTMHDRLDHGVDSLSHRG DYKSWSVVVFAQPTTLQRLNFFSVDESTMHDRLDHGVDSLILRGDPKSWSVVIFAYPT SPFVNTCDEEPYFGQTNNVVVDVFPGIGSNILGPLLDIPGNEMNGIQQFSSYATPLCA AAISKASLLLSNLTEAQYLDISQASHVDEHVVAPTSPTNHPANLIQFQMTSATQKCLN HFTVDESTMHDNLATDYGVDSLSLRGDPKSWSVVVFAHPSPILQTLPIHRRKHAGADT PANLAIHTILFPASVACRNRSSILYPSVASVDANAIATSRSGAHPERLYLSDVFKIHP SALGKQYQLWQRYRGSGA JR316_0012029 MDIRTKTYHVVRRKAAIVIEYLMSLCIADITGCDTILFLYCRHN ETWTISHYLGSLIEQMFTDYRHLTSVEEHVHKLYDVHKTRRSYPSKTVLITVLSNMIL CFRNVRIVLDALDELSDRDQVELISILRALPVSLFFTSRIIGLEIFNLPDDTIHMSIG EQNQVDIRLFLHDTIPRTASVARTVRQNKEYLEEICDKILTTSNGMFLLATLKSQSLE GYTTMTSLTNSLDGLPDDIQSMYLSLMDSINSQKGEYPSFVKRAMTWIMYARRPLTAS ELQHALAIRDGENSFNTRDIPTQDLFTTLSCGIIEIQPRSQTVRLVPYEFLDGHPDTL LQTPHFFIAKSCITYLTAFRFTTLGKMSYAAFEALVKSQDAPLLEYAYQNWVFHVREC GEDSYPTETVHNFILKVPNYPLFDSRIGFSWINPGHVIAYYNLRVQVPWDIFCESRTT KKHTALTLAALNGCTSVVASILACKQIDVNAQTKSGDTALILACKEFRPSIHR JR316_0012030 MGHIDSVRALLACPKIDINVKDLNGRTALIYACLKDRTAIACLL LQHPGIKVNSQDNNGHTALLLAATKNSLQIFKGLLRIPGLDPDLKDRSGLAALSIAVL RGHNDIVKALLPISNIKVDISSHHSTMSLARATAESHFSIVDILLTSGNINPNIYITG SQHLYDLIRNQLGCSATNHLYHAEGMTPLILASSAGHTEVVKRLLTIPGIDVNLGNCP SFNTGDEPIMDSLLTEMDLKQTCFDCNGMTALMFAARHGHFHVIECLLTVPGINVNGQ DGFGSTALIYASANGYHSVVDILLCFPGVDVNMKTKSSDTALIWASYNGHLNVVKRLL AVPEIDVNAQQFSGSTALICASLRGHCSIVDTLLGFPGVDVCMQTNSGDTALIWASYN GHLDVVKRLLAVPEIDVNAQQINGSTALICALMSGHCSIVDTLLDFPGVDVHVQTNSS DTALTWASANGHLDVVKRLLSVPDININTQQIIRSTALICASANNQCSILDTILRVPE VDVNIQTKQGDTALMWAACNGHTDAVKRLLAVPEIKVNRQQNNGFTALIYACQRGYYS IVDAILCVPEVDIDIRTNDGDTALICAAYRGHLDIVKRLLSIPGVMVNAQNNNGVSVF ISAREGNCAVVDAILCHPEADVNVQDKYGATALIWASQNGDIDVVKRLLAAPAIDINL KSVAGYTALYWARKKGHQFVVDMLHSHNEISIVL JR316_0012032 MTAARQMAVESLNIQAASRAEQRKQRLLEAELEQAAEEAEALHM TEEQRQLLMQPFLSVKAEFADLDGLRWTHAALSLLQPKSPAYIVRNNTDTVRPPPSSS STHARLPIPTSQHTHTPSASPTAGVSTPTNPPTLPRTPNGLPISAAAMRALGFDVGVG VDAANTSMGATSSSISTTTPPPTTTTTDTTTDTTTTTNIPIHSRQKLTPVPVPHPKHK HPPNLKHIPTTQGGWKGSTDEVVEMDEEGGWDSDSDSDSSSDVGGGGGGGGVGVEEDD GDGEEDEDEEEDGEEEDEEEEFDEFDEFDLGDGDGDGDGECECEECEEREQCEEFEEC EEEERLNLNLNLNLNLDLDSDPDTYADLDGEGEDITLRIQLPRDVGGAGGGGGAAGAL SSLSTFSSSSSTSSSLSSSSTLQPTHAPAPAHAPAPTHAPVLPQRLQPTLSLAVAQKA AHHTNHARNTRNTRTYTHASPLGLTPAYPPAPVPAPGPAPAPAPANANANGNANGNKN ARTTNETMATNANSNGNANTTEHAKEQTNGKTMARMANEKTNANATTRMEFVGMGSGS GSGSRTNTATATAIGTAIGTGTSTASGAKRQLKREETLVGGAFSGAFSGANASGNGRG RALMREERVVFSSGSGSSLAPSSGSGSGSRMRMSAGSSAGSTRTPAETQTREQAPKQA PKQKSSMRVIGSGTRARVGSSGSVSSSSASRSSSVSSSSASSSSNSSSNSRLSPSGSA SPSSSASSSSVSRSSSTSSSSASPSPHPSPSPHPSPSPHPSPSPSPHPSSNPYTTKMT KRKPLAESDRTRTLRQADFGPRFGAGSGGAGSSAVPTTVEDHAEDAEGEDAEGEGEEG EGEEEEGEEGEGEGEGEDITSLLLLPPPPRSFSRSLAGMGASAGASPDVGVGVGVGEP GRSTGTRSSSSSFSSSKQALMPEREGESEVRGSEGRGGSSGSESASAAASRVSANTGT GTGAGTNAGSGAAGATQSAYARLRALLNDERAELAELAGLGVGIGKGKENGVASVQPA PPRLVSPPSPGHGHVEEEEDEEEGGMIYSTAPSSSSSSSSSSFVSASRLESRSNSRSS LTATSTSTSTSTASTTASTTTTASTTASTTVEKTVTTTRTTILEKTASTTTTPLPQLQ LHIRPSYPSPFPLPMNAHVGSHGSYDVHNALDVRNAHNVHSALDVYNAHKSYVYTHSA DDDGDGYGDGEGEVCGTDDHEYDDDETPMPSPVEPRHGHGGGGCEGGKSVRYGFGFGY GFGER JR316_0012033 MLEAKLAEAGTLKKLLDAIKELVTDANFECNEEGIVLQAMDNSH VALVSVKFGAPGFKRYRCDRPMPLGVNLASLTKVLKCAKDDDICTLKAADEADVLNLV YEAKKPEPEPCATPRLIRRRISG JR316_0012034 MASTTSDEDVVVVAAAPAAAPVPVAAAAPVAAPVASGGGGGGGG GGGGEKVEKEIKNESEKERERDDDLELNLELELDLELEPEIALFERAAGHISFSFFSF LFFCFLQTRYSDRIAEYDMKLLDIDADTLTIPDTEYDARVTLPSAEFTRIVRDLSQLG ESVRIEVSKEGVRFASDGEAANGSVLLRMAEGAGGGGGEKGEKVIKPKKEGGLGEGGS QAKEEDDDEDGGRVKVKKEKDAEDVEMDNEDEEEEFKPKDDDDEDVEDEDEDEDGEEE KEDDEDDEEGDEDSKKRKKKANGKSKPSKKAKTSSSKSSKPSKSSSKSKGKAKSSSSS NPDENTDNDAIIIEMNQHVSLTFSLKYLVNFSRSASLSGRVQLLMSNDVPLLVAYDFD QGYIRYYLAPKIGDD JR316_0012036 MHKLLSKLDSIKGRGPHAGDSVTSNSTTDETLPINQADVYKYRR HRGVNLGSWFVLERWITESPFKYAASPAQSDLDIARGSDAKQVLEAHWDTWITDEDWT WISKMGLNAVRIPIGYYHLCGADRRVLDGTDFANYHEVYEGAWSRIIGACTKAESLGI GVLLDLHAAPGGQNASSHSGISDPHPNFFAHTHNQRNTIYILKSLLQSLSSHNLSNII GIELLNEPDPPSDTALTKWYTRAISELQSLNTDMPLYLGECWRTGVYAEYLANHPPLG MAVLDHHLYRCFTAEDIRTSVDDHIRAMDDPNGISHVLSSASEKVGRVGGGLVVGEWS GALNPGSLAGISNENEKKKAYVAAQLRMFDRSCGGWFFWTYKKEMLPTHSFGINTQDL TITHYLAEALFWAGTMPIILYASHRLPRKRSAKSVSLAPGQRGEQES JR316_0012038 MESFQHGILACQSPDSSFFSEFRELLRSMHDLWDTLPASKIIVS ACDFVNGCLMEQTPAIMNMSIPNTAISWPYYLRNKTGSAAAFYKEELAGERNNYIHNR ANVLHKDVIEVLEDVVNETLDAYERVTEALRGTKAYSLWMRFVNGYIAFHYLCDRYRL SDIKSFASTP JR316_0012039 MNTTPPFTDDLAGPIVDALTDFVKVHQILLSTVIGKQSIFAQFL LTAPIAAALRALESEVDFFAFSLIALIPTRTSSVKDCQQSLDSSLGDAIAKYQAICIP SVLYPAIPPVCVSLTL JR316_0012040 MSTTVTTRESTFTSSYKKINIGYPLTYPMHPSPRIPIELLELIV DEINDRGSFHALTLTSHFFRNRVEPLLYASPMSPYPNPKLPAPVPSYRSIVDDPWVHL LFLRTVSTNPRLALLVKAYHSPSILKHADTPLWRYTARAFRTMKGLKELWLRTFDGRP AAQSLLLRRDHPLYVNDPDFERDQHRTWWNESEIRGLTLVDPGLEAVHGCGPDPGPSF QLETMYWGCRTWNDEPGLSEFLRTQNAISALVLDEKSSTSAYINGLGTSGMGKLRALY FEATIYRPSFGVGVVEYLRGLEVLELDDCHEEDLMVLQRLPCLRELVLSSKRINASKP PIPLSTLFFFAIPASGPLLPPSFSPNLTSPHLGSHSNFTALAALVPPRPIKSIVAPPS VEYLFTTCWKLECIDVALSTNLIPSVVMADFSGHSEGSGSKEVKLYARWKGRRRWLDG GKTGFDLLTMDQVRRGRLTSRWVASLS JR316_0012041 MYKPKQHMIKLSEVHAFHASDETVVTEDTRYVSKAWYVYANANK TLYRLINRNWQQQNLLIYTEKSTSVTTGYHFKSPVHPLARTLARSMPPPPPPPPPPPP PPPPPPPPPRPSPPHPTTFLTHTISTQTPTSLVSISTTFHATSIRQNTASEGHSSAHL NNKSQAGGLMSQINGQNSLFSAEIANSSTLTLYPQTHTLSSLGIGEKTDAQSIPTSSN SAPGVPLSSTARPGASKNAALFAGFAVGISCGVLLLVFILIRRYRRRKALRVEPYNKE DELENQMVDADFWTPATAPSTQGGMTRTSLWVSQHSMPTTGNDASEFSQAVNPFTGGP EVPNTGYTIDTTVLAELESICDRLTSEMVEVRNQSWGRPPTYSALPITVALAQNHDVL VQTHESEQKD JR316_0012042 MGVISGSKVVSAASISRDPGSHLHKDEVGPPRPSITREGVQGKP SLPSHFSTAFIDSSPLVIITHTSPTDQVSGGTKLSIIGNTNTTAGAYHSHVYEKQTLG KDIYQTQLLGRRPQCTRAAVKDNQIQDSDFSSETRAPTHPITTLLTVTVTATASSKPL FISTGSVAPNPSQTLFTTPKTSISSTTTTSNISSTSLLADPQTTVQPSQLKTSSTKVI PISISIVAVALVVTLAMFLIRRRFKRRDEGRRDTIVQLLNADVKKRDHVLNIDPLSSN STSTSQRYMDTVEEVAHPVGGKTPVDAETLRRMSDSTIASIPSSTQRYMYNVGVAKYP TGGDTSVASGTPRKISWGTISSTQTSAQRLKMEFPLDEGYKTPAYRSTLSYLSFVDDG NQSGLPSGQIILSYYLANFLLIEPDNTPVRDNVV JR316_0012044 MSSLKYSPPGKPPGPLKDTSSKLVNDARHPWKPVRPSDQRGPCP GLNTLASHGWLPRNGIATPAQIITAVQEGYNMENDLARFVTYAAHLVDGNVVTDLLSI GGKSKLTGPAPPPPAIVGGLNTHAVFEGDASNTRSDAFLGNNHEFNETLFDQLVGYAN KYGAGKYNVTVAGEFKWRRIQDSIATNPEFNLLSPRIFTVYAEAVFPINFFIDGRQTD RQLDLDDARSFLQNMRFPDDFHRRNGSIGADEMRDIAAIHPINPGRNVGKVNNYVEDP TSAGLADSCKLYSSFVTDIVQKLYPAPTGNLRKALKINLDYFYNSIPVTIPGCAQVFP YGS JR316_0012045 MFCISLTAVEMLRHLQKLEIKCMALSIISSLAIRFLLSSRSRIP FFTLMIVTRLVIYHHTIKMLVGSKYASHYTSISSMFIESAAIINILALLTLIGVKLRS PVVSLVLLSYGQIEALASFMIIYRVAKGTAWSSGTAHELISQNVAGNGGFNPSVPDAA RLSAMRYRVSPNMTQISTSFNFTHGTGSLNVLSSSVTEPSVGPATFEAPSEREQ JR316_0012046 MQIISYEEILRIEAEVLAPTFPDLIHPTTFPEAASLASQRQQYD LEMAQLVEQTQKMVLLTENRLILAILALFNEINWTTLDPRLLSLAKAKITEGDQWLKA RAEETLRDADSGSPEHILTQGMSIIANGQIHIRTVEDLIRECQDH JR316_0012047 MPLLADQAVSTLSEIWHPQDIPSVFLPPAKVGGSSFPPTHSRPT SKQISSDLQSIASHTHPIHPHNSHPSVSGHNPSPTLLLASGTKSDQILPLKSFVYKVL RHSRTSKNVLQIALCYLESIRPKVPQILQEENIGIRSYAQPKSSIQKATPEELAMDAE LTALENSGKINIINNFIDNSMQTFRVADSGSQDLAESCIYPQDSLSSVDVQVSTAPLS TTLSLPSPLLCPRRAFLASLILASKFSQEKCYSNRAWARLSGLPPREIGRCERALAQA LQWRLWVGKCAFGESAATAT JR316_0012048 MHIATQIITQHAGLVVHKDDSDQYQQPLLTSPAFSHCIIVTYCI SLHPSHLCRIKSFSLIFRSPPPKLQRILPRVPLPLNKNSPPVLSIKLSAPYLRSGALK TFPPYFYPLKSFED JR316_0012049 MLPESHPLRRMVDFLRRYEDFTFLNYDVSSSSMRAAIKYRQSLA ALQNSIVETPCVTSATWEQAGSLFAAYRLLDLVALWPAAFGPSLPVTVPLNEIQASTG TKEIFSRFKLVDDLKDWPAMLCSARKRILRADSKLHRNINTPSISDTPSSAFTQGGPS ESTLLLCLARNHFKGVVGKIIGNVYCASLHYQILSGMRGMKDDEHVLPDIPTDTATLA NLYASGHPDAALFLSEVTPSHLKIPLHVALFISPILLFVNKSWYSKKCDREQLLKASK ALGNCRRRVLREVEMEIWKIIAAQNCDIQSALYKLVISDCWMECERVIAQDPAFHFFI SSTSSAEVTANSNLARRSTEPHAQLQTVSHHGEKTTCEGSDADAEGDDDHEVDTTEMV SMGQRENGDNTVPTSNIQPPEDTSSVAGKDVSMGQQENGDNTVPTSDIQPPEDTSSVA GKDVPTDEQPGLPRSDDIGSGLLQTENTGPSTAGDCEMNVDPEQADVDHQIEDGPHTN GPENLGDGGAGQGAEGSSDEANRMSEVHEGEKQGQDGERPDEENRMSEDGEEERPDDS SSDNETEKQGQGDVPPVQEDRMSVDGEGVGPEQNNDSSDMERNTLEDSQGEKEGENAP PAPLILRIPPVSQINRSKNTTADSSQKGKGKGRQGLSKRPPKRKPTQATDTFDSDDDL CIDVDLYDSNTTLDVVSTPEKVYGTKVWSTYNGRGQLKSFCVVAHSQADVDRIERVLE LVESDYVDGVPLHIARPEESCFAVFDRSTTKSMYLMESFSERNVVLMSPSQEESISTC SDEFYSQVRRHMGDMTSTRSIQDMSTMSSNPIERIKRGSLSQVMEAARMKGKRGKILN ALDIPLPHAGAHSFDLSTEAAALRATSGSWKYSTPVPFGDMSWGLVATEGAFSDIHID ANGFCSFIQPLHGLKLWIIMYPRRLDVDLSSDRRVFLGDKLDYGETHHQDWIYEAIVL DNQSELFMRPNTLHMAYSISSVVCRGGHFYSSTSFEETLTGIIHCFTAGYRATNTNHS TSRFFLQQTIHWFYKVLVEGDSDPEDFYEMYHVPFYSTQSGYSSLIALCVCMILANAL DYETYRNPDQMFSTKTSAQLDAWMRWDTNSLSDEERKACIFARGEALAILEWLCHRTK SIHYMIETDKNKDAQNIMATEKFHEMILCRYARMILAYDAEAKHNNIGGAPCCTDTSL LFVQLQGVCSGELNKVICRSIDQLPRSSVPKMLKLPVITLIDCDACADSSTQLRTPKE ILELGTSVRDKLYLDGMKVKLESTNPSTSATPVSRDPSPEIGNFMEVDYIDNFLGASS EMEDDPPPKASKYNYPYVKEDYNGAGRAFGTGLTFMDQLKQDQFEPQRAENLYYPFAS KDEWELSLFLLRSDMSVGMLNDFLKLELIKKLNLSYKSAKDLRNRAEILPSGPQWKSQ TIIPEIPSKNQLTLFYRDGLECIKALLISPLLQDSMHFSPFKLFDKCNEMMRVYTEWF SGDIAHFMQYNKVPKDQLPKGATLVPPIISTDKTNISNMTGGRVAYPGLISIANIMMN FLHRSKAVNGMMAARLYHQCMDIALESVKQTARVGTTMADALGNNRFCFTPLAALIVD TPESALAACVAGSTSSVTLAQYETFGDSFRHPSRTADHTINTIMAINNVKPPNHLEPY LKESKKHRLNGVHLPFWRDWPLSDPSAFLTPEPLHHWHKMFWDHDAKWCIAAVGGSEL DFRFSILQHRTGFRHFKEGISSLKQVTGREHRDVQRYIVALIADTVSTPFILAIRSLM DFRYLAQSQTISEAMCLRIEQALQDFHANKQAILDAGARRGKKNNPIDNFYIPKLEFL QSVVHAIRLNGCAIQWSADTTEHAHIEVVKAPSSSSNNQRYEPQVCRYLDRRDKLRNF DLFTAIREMRIDFRAIHSATITDEEEQEEGDEGEENGEVVMDTTSELLSTIMPMTTFQ SAKSNRIVDYFYKASLYERGVLEGPVPYRTFSCSKNVVAHLSRDASSKRLHIDEVASI FKIPDLRPAIADYVSLINKESNPRQTNSRGYHIKGITGRRVSPPGCPLPYSKLEVWHK VRIQSTAYQYPHEILEAVTLNAYPPSNKHPFGYFDSAIINVDESEEWPRSGLQGSTSL JR316_0012050 MSSYKRRRLDSLGNRTDINPFIDIEAAVSDDDESSEELDYEGGQ LLNDNDEYSEDEERVAHSRLYHAMQNTDNADEWSDLLPMLLPSRMKIRPDNDIEPSSS RELIQKYGNPQPGGLGDNNYMPSATDIMYEIGCKVGREEAVAFKIMQMSTNPTFPIIL ARSVFAQSSIPGRIYVEAPSMQHAHTLACLVRELNPTHLVRLSLERCMEILSHPPPSR PEDQSWVKVAGKRKAWTTYANATGLVFTFQGRKSVVLIPRPPDNIKKSHLDRIFQDGF IITDFDAIDLKYLSNVLPTSSELEQFCECPFVTTETLAQASKAISMTRLKRYNRVKII GGEYLGLFGTVKSVSDAEVEVHIPSQGITQAVALHDLRAAFQIGNSVEVVEGDHKDLH GWVSDFDGRSVCIIAPEHEREVIVPIHTVIFYVPPAHATLRPRKRHSSKLGERDHNDV YIGLSVIVVGNNTFKGYYGIVKSTTPDGFADVELEARNQRVERIKISHLIIHNREHIN SAQDPGPSGGATPMPSTVASFLSPAWNPYSAIPVHSAVEIAELPSTVAHWLDTKYDKL KGLRLKVVDKSKGDHQVAMELLSLTDDTAHLALLGRTLTLPKSVLFPIHPVKKDDFVT PLEGDSMGIIFRIRSIDKDICVVHKYPVTRMKRGDTFPTFPTTSLIQIFPPSRGVKVV NM JR316_0012051 MPPKGSKKKKAVVNATVEGQEVEQGPSSVAENEPPQAPEADPNG RPIRSTRGLGGVNARQEKTSNIIFIDFEKAGKRKSRAANVDTSAMPENEMAPPLKKTR NGVTVVPHVGPPIQMQPRPTPSVAPWDVQQVQPSPRQSQGAPPPVQIQRAAQRNQEHS ELDHAVQRPTAPIQRPAAPVQQPAHPVPRPVQHAAHPVPRPVQHAANPVQRYAAPAVE NSVQYYDAPVQQPVHPVTQHAPIQQPVHPAAYNAHQEASISHQDEEEQQDYEGIEQEE DEEEEEGDSDGERRSEEASGDECMQGIDEENIGDEEALQYVDGDEGYDDAGQDDEEPA AQLVDEVSDDEEERRARALLRQPSPHVVEVEDVLQEHRRRNRANKPPRPEALRKAAVS QGAVSQGLTRENNEASDDDEVLGEAHIAHKKSSTSSAREVSKHSVASFTGYWKDVLKI ARKLMCLYVVEEVPFPTRENHLLVADGCVKMAVTVFERMNTDKVLPDKKKMLLDRNTA VTAFVYASTFRGRLKTMIRPLVKNAYGLEVPSEVIAANPNMFENQMGEIEYIKDRVAY WLLNGKYHRGVAKTRYHDVPFGHPFVKKICLDFFYHPTKGVAVPIKGLETKTDFFKTS LPHKAFALVASCIHNCLEEWRDGIDPARGGPCSGIEFKGEEYSLRYDACMLVAAEAEK DTLNQGPRLARLCREVAEEGCAIMRPTKNPPNPYRMTLHSIPQEELDYGPEG JR316_0012052 MAAVGPGGLPIAAAGTHPLSLEPSENWDDDFEFQPDAAGNGNSS SRSKPSKKRRHAHTGGGGAGDIRKLSVASSMLTEDWDADPDGEVDELGNMLASSSISI SNLQTPERGGTRTRKKQAEVTENWDDDFEDALNSPDKGVHEQRQRGTASSSHPLPGSN SPHWDDEDEQVPDSRRLQRPLQPLQLNPQHQPQDEDDFHDDEFGLFPDDEEDRTVTAK SRRAIGATARRSLQQQHQNTPPPPVPALPPSLFPPSISHPHPPMPRLNSAATTDRSRS PSSSVFSVPNTIATAHTYSSTAHLRPISPASPTSAAFPSSSRTNNARNNNPYGHAGSG FALLPPSPPIHKERERRRLRKKSRPKPGPKEGMFELVDVIASGDDAASVAHSLGAGRS SRERFAGMGMGSRERLGYGMSSRERLGVGSTESLGRIAYDLERRRFSVEEEREEGVEE VDEDQDNVGVGGGAPRYDASPLSDVSSTAQGGAGPHSYTVPVTPTKGSSSTGGGTGLL SRIGSVKKWGAGVRARRRGGSVTPADILESQPNQNERTPRPQSSLSSFHPSSLSSHQQ QPYPAPFPASNPISLSSSLTTPKSIVKPKRHSAGNPSSLTTGSPPGNSGTWFFRAPGS TSGSPRRASASAVDASTARAGIMRGSGTPSAGGGTGLARGSSTRTTGSTRSQSSRGHS RSRSRSRAGASARAPGGGSGGGGGNDDLAFFESGSGPAGGVASAFQLRGANMSSVASG TGAGAGTATPSKLIKRKSLGFVKLGMGFGGGVSSSTSDAAAQPQTPSKTRYDGLGLGR AGAGGGTGTITGGDEREGIERENQRQPDVGERSRSKSFSRFLKDRGDGGGSGVASETL CPPSATGSNPVSLTASASAASSPFVLVDTTPNAPQPQHHSRAKLPPTTPTPHSHLKDK EGSRGFMQSMRRLSLVGAAVGVGRHKRSKSGGTTLDEHAAPSGVEQDRRGSVVPTSVS GTPSVNGNGDNNFPYSLPPLPASTSQLSLRLPSNSNSYTWRMVSSSSQSQSQTQSRRS SVLPASSTSSLVRAPSLSRTPSLSRTPSLARERKVERHSHRGADKERAVPGSAGGGSA RRGRASEEGPRRSSIGVAAGMGSVGGVSDGRARASLDFDFGAQIVQAGVRERERLEKE KEKDIDKEGKNMSTTIATTTAASVAPTVSVGMLLPPIELQPPSPPETVTLPAAARRSR ASIDMQLFENPASNINTLGSAFSLGAPKTATTTLSPTTSTSSIFFTPNSSPHPPASPS KNTGAAVSGSGNKLAVGYSPGKSPSRQSASLGRATSPGQVGDGGTPGGAIGDKGANVN GNGIAGPPRRNSLGDLKIPARISQAQVGLRRDLGMVREFASNVEQLKELMEKYNTLVA EVQTILDTHAQRHARLAVEAAAVSPPSQRATSPSFFANLSSKAKSRGRSNTNPSSTPP NLQPATTAPPPDVTTSQFAYKDMASAFYTINSRYRISWECAELLIELGGGGPSSGDSG GHATAQANSPPPTSSSVPVTRHIDHDPNATPNGKRGRERAITLAGDEGKPSIPMSPSS SHGLASSPATVSGGPPPPHASPPANTSWRASTGRHDLSHRQLVLLREMLNNSNATAVV DAEESDLFIPEDSISPARQSSQAINRDWRWGDARNSTITLPSEESTGHRFGTAQQNAD VGNQPTVNDKEKEKKRGLLGMAGIRDMLRAIKKSQTEVQSLAMVESSTESQQPVHSAF HSTASLSTQSSAGSRSRHRYAHPRVPSQLRRRGKSSTGPESVRSTTKDRNPHHQDDQY SPPPPFNPPIKASPRRPSLASIFRIGNKHRPVSVTHDSSATDTGYDGDVPTPTTGGTA TGEESSSNGEEEDWDRMDSASDLDAAARALGIDGSATVRGLGEKKRRGVAVAKGRSPY LYHDTYQPPLPLPIPGSASSAGYSLAQSQSQSQANLHTIIPKRSFIVSQSSLWTSEHY HQGSPLDTSHMPSRTTRLSNVEEHSDNPVAHPRVSSHPIAVSPRPGSSRSHNPQSDIK SGSVRSMPPQLAASSTLPLPGTADISRLAMTPENIKPLLENAKEVHARLAECIDEIQS LLQRTTLAA JR316_0012053 MVSLSSSPKRSARMDKRIPQAPAVAGPSTRPMSRPRSSLTDQFS PGSRHSFHGEHSTDFPQNLASHRSTIWSATGDLSPGDGDSILQDNLIPPTLYVPTPNH SPTIQPSRHPEFWLYDGSIVLSVQNTLFRVHQTILANHSEVFSDLFTVPQPEGEETMD GCHLVHLQDSAKDFEDLLRAVYIPDHFESVTVESDLESILTFITGILRLSTKYMIRYL RQRCITLFLAKLPSTFAGYEAKSLSTTPDRYRSDTIMRAIQLARETNVPEAIPYAYYC LSRFPHKRFLKDRPDDISWKDKMIVLIGRERLQWAQMSLSHRFLLVFQRSPVCVSAMC AHSRGPHAEWHEIERLGSAHPLRAYEGWDNMNVCKDCISYCQARHLEGRKEVWKCLPT WFELPTWEELKAAQNS JR316_0012054 MVLLHLNYQSHNPLEATSAVGTRLCRITSLPTEVLSIIFGILIE DYDEICKDAIQYSSTLVYEKGEIYHPILFGVRYVCRRWYHITSALPGLWTTILMRPNL RIAFDHAGYIEDFQEKRRIESTKLRIVLAGHSSPLSLVLDPNYVQSGPFLPFRPEVAH YIDLCFSPEVQSRVKSLAIRFVCRDMLKYFHEALIKDPRNSLSGLDTLILNADRHREN ILNNPLSIDLDLRIWCGRFPSLRHLHIVSRTGMVILPDSRQIRHQIRTLTIEKSFISY LDAIHIINMLEVAEVIRLESYFDKYLTRPRHLNDKEIKRANRPYLRVLALRLCNLQAN VLSYFSFPNLRYLEVVKVSLHSLYERLIPFIKHSKCRINYLSVYHDHYSRDCVQLPDV LGDPIIMAIPNFDLEYRQFRHSRRPADYLKGSIVRQYLCDLDVEHMRETMVETFDMVD NADQSGEINGFKDVDISWRRPNADDFA JR316_0012055 MLTPTQIEKALTAIDTNKELECPELADTLKGARWIHQSIDPFMN VKSVIQEGLEFLANHITDGMTRQQILDLQPKLWSFYEMQDLMPDLMDIIKKCEDNSAL IGKVIDLVSSAAAHSRSDDLFKAHKLIPELIPFDLRVPLDLQAYKLACARVNRGYKHP AYAALLIPRVKFAELADLNAREILVRCESLEADTKNGDTLDWPACLYDPDIPFDIDDE VQGLFRPPLGPQFVQRMLVGEANRLGGRASKGQIHKVYELTIRLICYYCMMLVIAISG KTWPEAKSILKADRLYASILTLLSGLSDDDTWAKETVAWWQENIILPSEPVDHDKKSS PLEGSARDGRLRDPVERRKAQMAAAAQAPAPARAPARAPAPAPPRAPTPEPARVPTPA PASPQARAPSSHPAPDNGSAPALAKAFAAVSLAEPVPQQVSRRRMALNAIRIPSESPP DLPSEDSSSLSSENERSPSPPAPIKIKLPAKRKAAPRRPGPKRKDAPPSDPFETGDEA LPTPNADAVPAPNSDATAADGEEPPAKKARTQAKGTRQPIKRKAKKF JR316_0012056 MPPYVSYNIETTPSFDWSQGSVFLQDGIIYYSPNCNRPVRIKAP ERNHPHPFPERAEPDPTSVKHPVWWTDTFGWMSFIPLNPSFISDPFDTFTWQPELDVT PSYNTPPGPTLYQLEIHTIKHWRFKEQCLLEAAHKMKLWYHVPASQPPPPSIFKYDEP YTSKEEAYRQIKLARDWFAVWMGFFAYFAACAKYDKYCAGKMVREKGELLPRWYTRLL EEVPMLQRSWLDGLLTSPACVFSPNTQRAGIVIPWYEYDNRRPEIQFFLDQQIPVFFP WCAIAEQAIINNPTLRYLEPPANLVRDALEKFLNRFPSVPLAGLILRSYFRFHDRPLH STKHILRMEHSTSLVTKYMYEKFASQTDKVKAAMEENQVEATVAELREIVSRAMDMDL AEAERAIANLPTHDWMDKGDYHRRGELYDHVSIFLEKRERNQRFIIATETEDAKIKRL QREEALPGYNTSVYRWKSVTTPGGKELYMRVRLLRSKHERLFAKVPPSQRTYNAVSNE WDIFDEVDLPRKYLQFVDPPPRKDGYIYDYPIQAARINPQSIIPDNDVPRVESVPVIP EPCVDSSSGGATSPHEPQYETFPMDTEEFQEGPSQPPVHRPEPGPSTMDTDTDEASKI HDYDWDTADLISNLRYSYGFVASVVPKKEDKTPEGWKHACQHFGFRKDGAEQFVSNTD RQLICQFHDGLMGSTDRPLPQDIHDLHPQNYLSLQVLGNLSLIHRPIPNLFVFAHHDI RAPQEESDRMSADWSIGVETPEAALYVLRVFQSHPGHTVVSVAHRLLSKGVQFRTLVG RKNVVQVHQPYKEVTFYRKVSYKFTNDDYESSMLACRQILDQQRGRAALLMGGIVGRI AKEYLSTESVLQGPSVELLRNGRGYVANPEAELLAYCDDGLTEHDIAIIIGSYSLMTD FKNQVGVKSWFPPPAVWNEIDRNGIGWLEWTERNEYWYQTRLELIRNGKAQPLTLQDW KSLLKNKPVRVLRESVRARSAAFVHEHIPVTRNPRR JR316_0012057 MSKVLKGFQENFSRQNQNSNVASGSSHQATLNNEPGPMDVDVHH EYLDVDMVPPPMPPAPPPKSPTPPPHPPTPPPPIERPRGLPPRVIRLPQRFRDEVPPE PPLIIPPVVEEEPPQPQEPPSVESLYRTPMNGYGIFREYTYGPPSITPDEHFTLSSVS DSPNIAKDPADSLRKASEGTPMALPSDWSLDSEPKDDKSLLFKNRSTQLIMSWFYNGH GTKSYADTDKLIHQVVLDPDFDPKDFDSSFSTAREAARLDEISTQKNSTDPTLSEVCR PEAGWIKGSFSIPVPCDGFIFDSEEEAPQFVVENVMYRKPLEVIKQAFAECTSETYTT IPYREFWRPSPDEPPERLFSESYVADIFNEEYEKIKSEPRTGPHRHLEPFVVGIGIFS DSTHLTSFGDASIWPILMYILNQSKYTRGKPKEFAAHHIAYIPKLTDTFQDWHQRQFG KAATSEMLTHMRRDVNTGVWGLLLDEDVKRAYAEGEAVELADRVCRAMYLRFIFSSND YPEKMLQSCCKCRGTCLCPRCLIQTMNVPKVGSKMDMRNRLKLARVDSETRQFDIETA RKALFLGKKVNSKAVNGLLQQTSAFPTRNAFSKALFEYGFNFYRMFTVDFMHKVELGV WKALFSHLLRILYTSSNQNAIATLNERYRQVSPFGLTTIRRFARNASDMKKLAARDFE DLLQCSIPVFEGLLPEPAHNKIIQNLLFEMATWHALAKLQLHTDTTLDELGNSCTRLC DLLRQFQKEVCSQYATRELPSETAARGRRQAAKAKKAASAGLPVPPTQPNEPKTRTFN MQTYKLHSLPDYVDSIRQFGTTDGTSTQMGESEHKRAKLFYKRVKKGDHIRGIAKHIY RERVVHRTSRVEMRKLLREDRELLEPTPPDLHYHISSDVRRKLDILPWMSQNQNDPAT RDFMLRLKTHLYARLSGANKFSDSIGTHERLQITILGDRIYEHQVLRINYTSYDMRRI QDTLKPNSSRCDIMVLASNKGADQQVHPYWYARIIGIYHANVVISTPDDYYRASKHKV DFLHVRWLGVCEDCHYGWKYRRLPQLAFGDINDSASFGFVDPSLVLRATHLIPRFILG KIPTLGPSVAYRSKENNEGEEWERYYVNFFVDRDMVMLYRGGGVGHASTRAATDSLRQ DPRADDIASRKKRREAHEAPDPDLEPDGASDQEQDAQDQAEVAPENSADSDDNEDDSD QHTNSEEEEEEEEEEESDEDEDRGDLDELGFAEY JR316_0012058 MAARASFQLPPPPPPPPPPPNPPQRASESPQLSNGITRVNGRRQ MDEQGVQFGLAIDRDGLRLNVAVDGRNGHAKIGVNVDTDNKVEIVVSVEEPTTGRVDT EPVVSTHGEI JR316_0012059 MGGLALSEAQVKKDLAEKEAEFLAQGGSFPHATTSSIFIALGLE LEEAQRRVRRLAKGVGPQSTIRQAGSLTEQRNVLATRIRAWEQLLPMSNSLASIRQIL IIKSRMIDFKNKNIRGQRDGTQLTTIIDRVHVRARFAASKYRSARVAHLALAGEGDWE NTYQVLEDKDVRGYQDPDQLRPHVGRRGIYEDGHKPSNIEVDEDDSIDLVNQQRNRRD GTGQTRRTLSWIWTVTVGVRTEEDHDNILRVEWAKSRARVMRAKEEVLLLKEEMRRML MFLKHRSAWWVERQSALPGTRKDLAEGISAFAKSQAEIQTSLANHFQRLWAAPFSDKI TENDDDDDNDDEGDEVDQVDQVPPDEDEEEEFENETEEPL JR316_0012060 MNARKRRRAGEASLAEIYRDPIPLPDTFTTIWSSEGTMGGRLYY ATVLSAGAWDDETSWAPQDDNTYALMPDGVGYDLAVEGDFMNNEDEEEMADGTESGNQ GSGPQSTPTVTVNNNNNTTASRNKAKRSLVSIVLLEKKKYQAKQSTDVGSACRPILRV VNVALDDTGLIYSIESKFLYTVMICLDANFRLKNQMVSNYSQDPGLGLGLAYMIHRTK YEEYVKSRANEKDISTCVGFQALAKANSKFSKGLRYTGVGMGVCGRSEMILGVGNLHK GERYANMDYIFGTILKALSVISILASYDIACQWFLNLLKRMQEDWPPEIKPSSDMQFT PAIPKLHASMHKQSHANHDVFSLNLIPGAGQSDGECPERVWGPHNAVGNATKTMGPGS RQDTLDDHFGFWNWLKYTSMGTTLLKRYRRAVAD JR316_0012061 MSTTLTLPTNFSAAVALEQWANLYSHADSLFSLSPLTSPEHTPP SSPILPSNVDLQSHGRITSDAFVNDGCQLNPSPLPVPLFPHSSPSCVPAVQPQPSLPA RASGNVSTMEGPSSNPKLPVKDAKKSKPPHRAARQHAAANAARAHQRDKAKGGQILEP AVREAPHRKYVKGAKQINTTFVTESARVARTGFIGINDRSRSKRVYHLEEVTGVNSEL QFKLVKWDGRQPMFISDSDGRALVILAGYPEDQGWPILMELAADSLEVARSRFVPPKS ENRRGTFDSLHCGVSFGGGQTSPSNLRNEKVNKVILEWLCNLEPFKRLAGFASSAMHT WANDLFCAYAENLKELHKDPELKRVFPNSIFSAATFNMGPCTVCTKHKDFSNLPYGYC AVTALGDFDPRQGGHLILWELGLVVEFPPGSTILLPSALISHSNTAISQHEKRYSFTQ YTAGGLFRWVAHGCRTKDKYLATLTPDRLASYVQEESER JR316_0012062 MNPELAFHRRSHNPGYLQFLNDQPNVFVLIVRAPLHVIINEYRS IGVDTLLPLAKRDLEEFLMSRQEAGDVHFIQPPHLNVEHVLSVPKDVFNNFLDEHRLA GALQLVPAQSWSADYKNAPSTRLQRLPDTVYTRWPYVGKLSAASTRIRVGRRSQQPAL ARIDSEGVATIPSAQGRQHSPDIIDLGDVDSDDNSDTSGLPESISVQTCQYSARLKGK QKAVEGPPTASTSATPEPRKSQRLENHRLISSCPNCKGKRGHFYNIM JR316_0012063 MPNPGGFQGLQKAFLLEQKPLYEKAVEQQLVSETLADISRRFLK RLPLDKPESWEPTEDELAAINDDEAEEEIPCRNPETLSPAEKEIAEKQKKERQQLLQT FRGKIKRWFRYQYKQDHKTQARNASSASSDSKNLFTLLLQQLVGKEPNRPRLKTPVNI WRKEKANRDSIEAEIEAMDPPVPVNLLVKTRDEIARRLFQELTLEEKKKWTKIASDEH SAAIAKYNADIDISGDLLTPEERQRAIEGIGPFMSPILDALCNITGWKCTFIAGGPEP ANGGMLGTISVHSGVTSGDIKMNFGRAEQAKYKNIFIPIFGDFLRKCYSKSTCQEWAL PQDHGQTPMFASEAFRSEEESIQAIPINESHVTAASVPKKKKKNRKKTTASPNLRQTT TPTTPPEFPVADKDVSLNSNLLNHEKGHNPFPEDWPYIMEDEDNNSGYESNNADDLSQ ASPTSVPPSPSLSVPPSPSLSTPPSPSLSVVHQDQQNSTTTNRTVSTATPNPTVDKVV TVSSSDATTTSPTLNPPSGLPPRANHPSVAVADPAHATSMPSTAAKNTPNTISTNTVS EPGACKTSSKRKGELKSKNPRKKRKANRLTTGTSTSASASASASAPAPATAPAPARSQ RLTRSTAPTAAPAQAKLPPVPTSSVVLTRNGKPVKSSKFWVYAEDDS JR316_0012064 MLFRSVFTFLIGALYAQAAHAALTSKQVVTSIKAVTTASQSTND ALTVITTTSSVTEITFASRKLVSGFKDIVTSITAVVPKITSTETFPDDEGKAIIEALT DFVLVHQLLLSTVIGKHSIFAQFSLTAPIAAVLRLLEAQVDALAFALIHLIPTRSSSI QKSQKTLSISLDSSISTYTEFCIPSIFWPAVKPKCVAA JR316_0012065 MASALSPRFQQVFPPPMDDVYMDQMDLKTLYRFSWTCKELNDRV SGYMRRAFHPKNLFAPIFKPNEHLLFRLLQFKTGLIYIT JR316_0012066 MNFVTFEKVYSLYPNATFGHQVSLLQPSADLKRAQKFLAKYHSR GLKFVLSIPSQTLKMDCHIQSRIQSIRDNVNVGYSSSRGHVLVVLDYDPYPELFSPGI RRVGDRHCWVYSLPLLPKANQTSFVEANSWALLLNEFDCLHFGVRRISGIALEFHYTA ADVYQLHKRVKKAIKSWERGIRQKDDRVHATVLYLLSRKADIFWLHRPQPQSLLWNGY L JR316_0012067 MSNFDVDIGAMDEVIFRLQGIVSNKMLPPMAKPASRDRTVKQQP YLRTAIAITRLGDIVFNKVMEKLEEVFLRFANNFPTDSVSGYDPVLHKDTGFNVFHAH SQYFTKVSAYQDKSDNIGFHPLVDPDNVLASMVGDSFIHAIDNKVQFLRWEILPDGTA RYYSYNPASIRIGDIIEISVAFVAFPAQGNKYKFVVALRGMLVLDQEAREKADILRMR SCYTPAKRQVAVLCRTKRQLYKGQIDIEDTQQRMAHMRLNEDTVHNSNTMSQD JR316_0012068 MDFVKNQLGGQNKEAQATSGAGGGLTDKVSGALGGGQAGEKNEG MNHLNSVDFVQERFLGAGPQNNESAIEQAKDEQISDVIRRGYKSATGTDFPIKDK JR316_0012069 MDIFNTQDYNRNEPNDSDSESEAPFETSSDSSTDTNSNSPSGVM PQTPFSISSESTLYEIEEEHLLEHPSASSIQPKVREVLKYLDSKNFKIIDFLDGLSWG DMACTQDPKIRTERSLLLNSPKLGDILHRWCCPPRPAGSSKARPKGAFPTMHEFAVGH IGKEVDQELEQISALLKSPTAIDVEENTLTNTSFEKIAAEMEKSMPTLWKLLDIFLYR NSQRKRNQTKTSSEKIKVIFISVLSYSRSHHRNRFQKLLAIYLKFKGISAKGFDTLHA MGLTMSHKWTCDVVERISTNCMKEVQELINNVPSLLSYDNLQLALRVFSQRLDHQGEF GNGTAATVYFKRDARMFTEITNKNLQKHRAQGQKNPLTALDILLLGQSSANCLQEFAI HYILRFLLDSPEFNIKLYGARNSPLFNAPPPVDALPCGPAHRTLQYLLGTVNIPKASY EDNERLVREWISQLGWNTLEEHQKTGQQRLMVWCGDQLTVDRLRGLFKFHAEDNNSFE RLDFSIFVFGWLHCQMAFANSLYKQYFGTTQGRGLHQAFVLLQKKGLSKLQTKGPFHH DLQETLYEVAEAHFLEDWLEVTKARNIAELRNYTPLELVTHAKHILVHRASSQALNAM EHRKEEDRDEQLQQIIMWNCDILQYIILDHAISSGDVGLIEHMLPYLFFQFHGGGNGK YATEIIELLQGLHREWPPEVCDFVRHHCWVINTTGKPNMFCAVDKAQEHNIKDMKVTY RSEGPNIKWEYFKKLHPAIHIIRLVTDHIEKEFGTLARARIKNQGITNIIEAEK JR316_0012070 MVLGGTTRKAKPNGGKIREFFEELIILRDLISLACYKVMSNVNT PAPGAPFYTPYQNPAAGTAVVPQASGKAIPKLFQPLKIRGLELQNRIFVEFRPLPDLT TFGGIVSRGPGLTLSEAVAVVPEGRITPQDAGIWSDAHADALKPVVDFAHSQNQKIGI QLAHAGRKASCNAPWLDCPNPVATEDVDGWPDNVWAPSPIPFHPSYPQPKELTKDGIK NVVNAFVEAAKRAVKIGFDVIEIHSAHGYLLSSFLSPTSNRRTDDYGGSFENRTRLLI EIVDGVRAVIPQDMPLFVRVSGTEWLEEVLPLEESWTVEDTCRLAVILAEHGVDLFDV SAGGIDSRQKIRSGPEYQVPFAAAAKEAVGSKMLVSAVGGLWDGKVASGVLESGKADV IFVGRQFQKNPGLVWSMADDLDVQIYSARQIQWGFRGRGIRFLGTNKKEADK JR316_0012071 MDVPERHIVPIDPESQKLLDSLFFTMTNNSQSHSSHSHLNLRLN QNRRENESEEEEDIQRSSTFQSHNNNSQNPPPATQPCNTSSNTASMESQTDDTNTQQN DRNRSNRAEQIERLMAQVEALRQQERSEVQENSTPTQQLFVDEDTLARIRAEAANKGT DLVKKKVSLPEIVPGFKASSLEIGESYNLIPRAFLFKINCGYAIAASVP JR316_0012072 MASRGATLRSGRAPRSHAAARDVPTTGSDWDPPASRGDDPKQEA LPTGMEEGGARGRDDHRGNNPNNTGSAAAAARRARRSLSLNSLNRYMVDTEINSVYRS SVNDAQTVVTETKELITSLMDRLSRIENAINMNGSIFEVSRAPEDSDNSHGREESQEI TIDNDYLQIQEMERKLTEMKKAYTRRYQSIEVQPKTERRGISTTPMSHDVEDLITQAA RQQTQARRTEAIKPSNQLPAESLLGQLLANPKGNSGKEHAELSARALGPLDTEGHIIN PARFKPLPPTAPDKYHGDADFMKFYKYITQCDRFCKEAALPPQDQVVKCADYLAGKAY KFYSTMVFISVDSWDRRRFFTELYNYCFPPDYRLKQRKKLEKFSQGSMTVAEYAAKLL ILFRIVGKSTPLQRVDRLWNGLKPELQSALWKEGLDYEQNTWDEVIRIATRYEVAHEI ERNQRQYIKYYKQYYVSGKYDYKTHDNNYGVNNDDEPSDSDHGQLSSGGTDDDSDQDK EDKVLALPQDSNVKASGYVRNAQIKCYRCGKTGHIGRHCRNVRRNISSTYNESPSVSN RDRALDSNSENEHHGATDQTASGLGSYFMEVEVAMCDIADTGLNTDIYSYSDHSAIDT TASQGTNVELSELHVDQILVSEDLEGGWTQLAQTVTAQLQDEDVDLEPEKIATSLFDA DSLVKQGIECQKSCPIEFTHESGDISHSFGMPGGRM JR316_0012073 MTFLNATNTPFGTNSVTHVATGSNVIAVSQQQNLTAIVPTITSI QTATSSVSNPGGLIPLTPTTIISFPFPLTRLKATNYVLPISEDNVSSDEYVLTVTSSS QTNTRSMYSSHKVESTGQLGGQSGAVLPHMYSTNTILGTSWAVCPILYPFPWIIKLKL IKPVTTM JR316_0012074 MASPYSPDSIAFKKLNSVDLIAFNLATAIWGNVGGIFPIFLRSS NMEGHVDLSPLLSAVSAKHLVPGSGELLLIPVLAVPPILFPVDLQQSGTITGRQAP JR316_0012075 MENRAIIPSVLTLLTHDESVSKQKTLIPIVAIPPILFQLCIAPI FFSSVSSNYISIATQKACNVLAATLATGVVIDIALTIGLSALLWKEYMRGTFMLKSRM LQRQILFSVNTGMWTALAALVVFIIFMKTGPDDFMVYGYFHIMSPIYFVTVLANINAR PYLRSQVSYSIPSAIGTIPGPIKFAENEEINHESQCCSGGYRNPLDTSRSFVSQSERS NTILAAESVSNTDTELVKCAP JR316_0012076 MASQALRLTEGSGRATSLPSPTHTHSFVDDKIIFRPSDGGGGGN LAPPPSLGDFKPTPTTNIGQDTPTQAPKPTPAPAPPSPSPLTFRPPAQPVDGGPPSTP EQESPHPIPHSGSSATPEEGPHDSPDSTSNSDTNASSQQSQNKGSFPVTDIPVPPEFT GTLPLSAQETVVVVSICQGTDSCITAGGKTFSVLPNQAFSIPSSITPTSVLVESQPMS SITFDPLAAFSPSAFPQNMQREVQSPFGQEPLTIILLSTTLYFVLSMVSIAGAFSILR RRRRRSHISIRLNDGLQDIQTPRFIDGAHVDSFRVPDILRH JR316_0012077 MHYVLMFYSSLLQGRFWYVLSKKSSPSRLQVEMQAGVYVTNLSD IMVRSLFARRVSSLCGPRRPILRVVLPVIIIIFSIVVFGIKRTDSILKIMMAFSINTG LLTSICAVACLVTYAIWPQRFIFMGLYFALSKLYVNSLLASLNARHSLSRRDRADSTD CDVGRTPIVFPMHMQSTFSTTKEDNTLQHTFSTP JR316_0012078 MSAPLSAYPSDSGISVGNDADVTTFLASPSREPTDLPLPEHSDS LLQSFTDTVPPNHPYRTLVLCFDGTGDQFDADNSNIVEFFSMLKKDDPNKQLVYYQAG IGTYTVPQIVSPMMSKFSQTLDMAIAWNLDAHIMGGYEFLMQNYRANDRICIFGFSRG AYTARCLAGMIHKVGLLPTCNHQQVPFAYKMYTKADDEGWKQSNAFKKTFSIDVDIEF LGVWDTVSSVGLIPRRLPFTTSNTVVRTFRHAIALDERRAKFKANHWNKPNPTEQLLS VTDQAIEKESKKKKKKEHSNGHKNGHNSYKAMERKYSKDKTAETNVEEVWFAGCHCDI GGGSVANAVKPCLARIPLRWMIRECFRNHSGIMFHVDGLKKVGLDPASLYPIVLPRPP ALTLDHSSPLTSQIQTIPKKAPAPPSDDDEETSALLSPSEELLESEELADLKDSLAPI YDQLSLAWFWWLLELIPLRFRYQDSDNDTLWISKLKINFGRGRHVPKQRRHGVKVHRS VKTRLEAEHADKTKYKPKANLKLECVTWVD JR316_0012079 MGKRKSSTPGEENLLLPTPPSTLPIVDTHTHVASTFDFYRGRYK EGKYQTVYEFIKAMYQGRNVEAVVDVWCEAPVNKTWKEFADAAADKEKWGGLEYWFVM GVHPHDAKSYTDEVENDILEAMAHPRCVGWGEMGLDYHYDNSPRDIQQAVFTRQLKQA VRLGKPLTIHTREADDDTERILKAEVPKDHKIHIHCFTDSPGFAQRLLDHFPNLYIGI TGVITYSTNVDTSTVVQNMVAPPSEPLSTSPTSMRILLETDAPFMVPSNLYDDLPAIR GKKLPVCHTAMIPWTAKFVADITNKARLPPAPPIAAPADEDTAGIPQSVDITQPDSWD ADIVMRVARDNARNVYGV JR316_0012080 MSAISPSEALVAASVLKAETSVVVEQVPATTVSTGDATITATGS TSTETQKDTNSQAVDDSATGTPAPSVSVVLSPPKTTEELIAARALKRIARRTAIREKA EGYKRAGDILFDSRNYKASYAQYLLAIDLCPSKPSYFISLAAAYRKLKWYEEAAHAAT RALTLDPKNTEARYVRGVSRLEQRLLRPAKIDFETVLEHDPSHLLARAALTEVTHFIE TSTQLGTHALGPNPVDELVKDVDFSFPHYDQDALEIAELSDSSDCHHVGNGVQCRFYN HDGCSRGSACTFSHAPDEKSVRDDLGKNVCIYFLLDSCKFGPAKCIYSHSKVALPKHG WWTSPEQIAKVKSVLEVAEQKSREQRQLENERWKAYVKAMKAGMAKPPKSAGIKKEKK EGGPEAAASPTEPTTPKSAVPAGQKKKESGKPNGTQRRKGPASGNRRKKPASATDNAS APTTTDAGQPPATTDNTTSSFTDYKLNVPPSDVKPEPTETLSY JR316_0012081 MTHLSRRQARAITGTTKGVYADFMKAKGRPEVIQELGDDARLFW FGPRKADRVLLFFHGGAFLFPALSSTPWLWDHAQDILSKRGVEFDIAMLNYTLIPDAP FPTQLKQAVLAIQHLIDTGIKPSHIQLTGDSAGGALIHQVLSHILHPLAGVPELSLSE PLGGAYMMSPWTSLTDSSLLRSNQGRGDLVSVPIFTYWGSIVLSGVPTPDLPYIDAYS APQSWLDGSDKAIKRILVSAGEHEILRDAIVDYAKEKLGNHHRDVAFYLEDKGVHDQP FLNFLTGDKDTGKLTSFIIDWLDNGFCS JR316_0012082 MKSTNFFIASALWFAASVYGHGYMTIPKSRSRLGSEAGIDTCPE CAILEPVQSWPDLTAAPVGRSGPCGYNARVSVDYNNPATNWGNTPVVTYTAGSTVSVE WCVDHNGDHGGMFSYRICQDQDIVNKLMTPGYLPTDAEKQAAEDCFQAGTLDCTDVDG QTCEFSGDCSPGQPCYRNDWFTCKPFSDTKCQGVDNSALGSCYTSIAGGYTVTKKVKI PNYTSDHTILSLRWNSFQTGQIYLSCADIAITGGTGSSTTALPPSSTTSFPSSSTSTA TSSAPSSSSTAPACSIPGNVAVTFNELVTTVFGDTIKLVGSIPQLGSWNTGSALPLSA SAYTSSNPLWSITMSLPVGASFEYKFLKVSSSGAVTWESDPNRSYTVPSSCAASVAAS STWR JR316_0012083 MLPPKTTNDGFPTPTSLSQSETEEMLIKHIPDDTSQKKPTLDKK MHMSFLVRNLVQGFPERYISQDASQPWLLFWTVQAFSVLQVGLDPGNKQRIIDKVLAW QHPDGGFGGGPGQAAHLLPTYASVCILAIVGRPGPGGGWDQIDREKMYKFFMSLKLKD GSFLVAHHSEVDVRGIYCLLMVATFLDLLTPELVEGTASFVASCQTYEGGFASSSHPS YSLSGDVLPPPAARPPLGEAHGGYTFCALASWVLLQPYLELSQEKPTIDVSNLLRWLV HMQGTFIELGGFKGRTNKLVDGCYSWWCGGSFALLEALGVGGIQNANSDEVPIDSGTG DGVWDDVDEGLYNRMALQEYIIYAGQHPTGGLRDKPPKNADAYHTLYCLSGLSSAQHH VFPSHLRKKQFLDSWKSSDSTKDELRKRSFGSILSWIEEEGASHILGGTENRLNATHP LTNLTITHTEAITAHFYEQDVPAKQRSAPTPTRAAAATA JR316_0012084 MLYGWFREINSQWPGQAMTLKVNKVLHVERSLFQDVLVFESETF GNVLVLDGVIQCTERDEFSHPNPKKVLVIGGGDGGVVREVLKHDTVEEVVLCDIDEAV IRVSKIYLPHMSALLASPKVTVYIGDGFKFLADNENTYDVIITDSSDPVGPAVTLFQK PYFQLLHDALTPGGHISTQGECLWIHLPLIDSLRKMTRDIFSVSEYAYTTIPTYPSGQ IGFMVCAKAPGRDLKTPVREVKGTKYYNKELHSAAFILPEFARALLEEGQDLRPKFGR ELLKVEQTKAEKKILLLGSGFVARPCAEYIVRDPSNKLTIACRTLTSAEALAENLPAT TAISLDVNNTKVLEEAIAAHDLVISLIPYTYHAAVIEAAIKGKTHVVTTSYISPAMRA LDQAAKDAGIVVLNEIGLDPGIDHLYAVKTIDEVHAEGGKIKQFLSYCGGLPAPECAD NPLGYKFSWSSRGVLLALLNTASYYAANQQVTVTGKELMAHAQPYYISPAFAFVAYPN RDSTPFKEYYNIPEAETVVRGTLRYQGFPEFVKALVNLGWMDAEKKEWLVEGLTWAQA TQKATAATDAEESTLVARINELCAFPNEAESNRIISGLRWIGLLSDKPLAPRAGNLLD TLCAQLETLMAYAPGERDLVMLQHKFVVEKADGTIETRTSTLEAYGAPVGTGPSAMAL TVGLPCGIATQLVLDGVLSEPGVQAPYTKAICDPIREVLEKEGLGLVERIL JR316_0012085 MFSKTSLLSFLTLVISVSAAATKQQAAQKASTSKAAASTAAAAA SGKGGDAQSSLTLDPKVIASGFANNGQDVPQAGQVASLTSTNNFINFCLTVPNLPITN GLQITTGSCNPAPMGVIPSTDNMPSSKFINPPNFGTIQAGQTFNIEMNIKGMQTGFFV NANENYFAAPQQLNAQGQIQGHSHVVVEQLDSLTQTTPLDAKNHVFFKGLNDAASNGV LSATVEGGLPAGVYRLASINTAANHQPALVPIAQHGSLDDWVYFTVTDDGKPASSAGA SASSASSAVAASSSAAAATSAAASKASATAAVGSAGAKKIASRPSKAAPTAAAASSGK QSSKSSSSSKGAASGKNASAASSAKNSASGKQGQAAAQQKAGAQTSKSGTASKTSAQK GQGRSRREVNRLTQF JR316_0012086 MSGHERTVMHRVLNIPELLDMVFSFLEPPSNAVNARVCRRWSEI ALDALWKDVRDLELLFGLLAPLRISGGKDGYLEFERMPDSSDWKRFDRYTKRVRRVEY DALGCKIPLHKSVFDDVARTRTRLDILPNMHTLHWKASLELAVMFMHKAIKNFAVYLP ELNEISPRPFFEDVSTRMPNLTSLDIRTNIPVQRIENEMVNLFQQLPKIQKVTFPRYY FTTRIAEVLSKLENLGVVEFQYLDYQGSGDVQDVIPFVPSFTEGAFPALWDHSMAVSF DDAARFLDIPFSPTNLTMLYLDSYMIESPFAIRKLLNVISENCQLLTFLALVSLRDAG SPSLPEPQSDTSHPDHAITVNTLKPLFKLPNLTSLELVHQFPLALNSEDIRLFAVSWP SIRSLMLNTEPAHLTKSNLTLHDLLPFAQHCTKLRHLGLFIDSRLPTSEQPSTPSSSS TSSAVPNSTPAVQFKSLERLSMGVSLIDDENAVTLFLSQILPLNCQIDSGITWDETLP VHPGISSVVQDRCMLWLKVSELLPVLTKLRAEERARTRAMEMELEDLRMRTKVLNDSA SIGVRLDISSCVMI JR316_0012087 MKGKATEQIFKNIRVYWLAFIVYWGIVLFGYDTGGVVSAPFFQQ HFGLIHPDGTKNTGRVNAVSSNVVSVLQAGAFFGALGSAPISSKIGRRMTLFGFSIIF CVGAILTTVAHNLGEIYAGRVISGVGVGAISAVAPAYVSECSPKDVRGRITGLFQIMV AFGVMISYFVNFGVGIHEANSPNVWKIPFGFQLVPAGIMVLGLLSVKESPRYLASVGR NEEALQNLAYLRRDRTDSIDVLHEMAEIEAAIFEEKESRKGLGLKEAFFGKGNFVRFV IAFFIFFLQQWAGQNTVNYYAPQIFASIGFTARKNSLLASGIYGVVKLVATSLFIFFG VETLGRRISLFISAMGMGTLFFIIGAILKTHPPPASDANTVVSDPPPASKAMAAMLYI YVCFYSMGWGPLPWVYVSDIFPTRTRHFGLALASASQWLWNFVVSKVSPTLNTNLGYK MFLMFATINVGGMAVFSLLIPETKGRSLEEMDVIFGAISSEQRQIDISNREREFNRIS SHPSSSNDKV JR316_0012088 MFSLRILSILALASACCVYSAPIEQLNRRIDQIISDSTKPWQDA CVKAGGTQDKCSNVAVTAFTSLLEAGGACDQQNSADAMIDLAKQLGSDPDMIRLAQIF VQQPRNSPDKLQVPYCQVAPRNEELNGLFHCQFSGSDFTKFSGDQTGNVPLGLTSLSP AGSCPANPQGPVPDGVQLNTLVQEPVANT JR316_0012089 MSTLSLSGMILGADTEDVDLIFRNINPSKLHTSRESIAEFHSKS TLPTCGVCIRSPDDCTRDPKGSYRCKRCVIRKEECSWKGEIAVLELIRMFPRLTPTIA RDAYNRWHDSSRRKRQRPIEDEYSPEPSKKRKRTRAPYRTWTHGVLIPASPSESPAPS TDPEKIKITSLEDLKAFNYSTLKKEKERAEDAREQMAKSLEAALHKIDALELEIERLK TQAMLQPDHALGPVILTP JR316_0012090 MPTTLQFAIFCHALAFLLPRFAFTSKIIDEWGNNTIKEVVHHPL GWIKLGRPTPDHLIALQIGLPQHNFPKLEKRLYEVSDPKHSDYGQHLSRQEVEALVSP HPRSLSAVSRWLKSFNVEDQDITYSPAKDWIKVTLPVQTVEEMLDTTYHVWEHVASGD KLVRTTSYKIPAQLEAHVDVIQPTTTFGGLQSRRSTIFAVDDAPRVSSEESTQIVADV ASNITVDASCNHTITIPCLLKLYNADRYVPSHNLNNSIAVAGYLEEFANLMDLQSFYA EQRPEALNSSFTFISVAGGLNDQTSWKAGSESNLDVQFAFGISYPIPATFYSTAGRPP FLADAKTTINTNEPYGDWLDFVLKQDNLPLTISTSYGDDEQTVPESYARRICAQFAQL GARGVSLLFSSGDTGVGDGVWNPHSTSTCISNDGKNTTKFLPSFPASVTAVGGTSYIP EVAVSTWYSGGGFSDYFERPPYQDEVVSKYLSSLPNGTYQGLYNPKGRAYPDVSAQSD NFRSFQFGRPHMIGGTSAATPVVAGIVAMLNDARLGAGLSPLGFLNPMIYSRGAAGFN DITVGHNSGCLTSGFNATEGWDPVTGFGTPNFEKLKDIVTSF JR316_0012091 MADIQTYDDRDPSVVYSGGDWQKGGTENEYFQTTSYVRNATGST ATFKFTGTSVSVFGTLDSADLGRGTPISSYRIDNGQPVIYTGPTPDSEQYKQQFFQSP TLPPGPHTLVIVVQSEAGYYLDYFTVTPDPVVVVSSSAPLASSSSESSSPVPNTNHNP STSAFSTSTTSTTTSSWSSFTTTSSSHPSDFTNTFTSSATLSGASTSSGPGATSTPEQ SSRSTVESSKVGIAVGGAIGGLALLLVAFITALCMRRQLRAKHSTRLPDNNQNQAEMN SHNPYSQVSVSPFVNSPPPQTVSSSELGMDSARKPTPGIIPPSKAMMARPTAGTAVRH VASDSMGSVSVLNQSPNDNEELARTSNSDRQSRPYSLPPMYEQL JR316_0012092 MNGLPYGPQPNMHQAYSSQPPNLYNSSGWGANTLNGGGNPGISG NQPRIVNTNRNPNGPSPYHDPTSFAPNANPVIPNGFSSLGFPATGGAAAVAKGNSYVG GGFQLGEGYGGTQHAPPFAQTQGQRPVENGDNNDTSGRNRSRSAPRSYPNPSTNHAQV LNHDHQHLQTVLYNSPYSTTHTGNAVSENEPPVIPIPNPTGQGFMLMHQQQQRREETV RSGSRGREANRREEEREARDSDLPPIPPAYAITGPHPGWASAWADPNGPFATAVRSKL GERATDTQPPIQHPQQSQQPQPQPQPQSQPLHQQPQLPPPPQGRPPAQHHAYMSHTQS QLFVPQGPVQPILPPVHGSTAQKPALSTGTQPPPAHNVTEMTREMLIPVLDRFSELLV DYFDFSEQASDSPGLDGLGSNPRRPRKLRLVAHGGACMLLHPVFHALSLEMPLVGAPM PNMAAASGGEVSSLDALMQQQQSLQNMKMENLARRTSTRDVDVIMRAFADDYSGVRAP ERVLQAAATVAYRSQPPGYSGYSAYGTPAPNSMDQADDADPDSPKRAAQLDAIGRLKA CIRRTARHFPGLGLDWMNADADVALPLGADPQTHQTFDPIHKSAVTPHNINQYSVYIS PNGRLQLVSVTPAWSIALKIARWGRRDFADVGILLRSATTISGIRWTPGMLQAVLERD CWAMGYSRWDESRTKEFKDRVACAVRMVEGWGTGTTAGQSQGQSQMNGYGYGSGHGHV TGGNELGLYIQGHQTAQPPLQRVPAAVAPQQQQLPPQQRDDREWERDEVRAARMASAE ERRERSRARRNSTYGSRSRSKSRARSQSTGPHEMFIPPDDDDDDDYPHEYRYEYPSGE RGRGQPRHISRSIPVGSGGGGQVSVSNATAVAPFHRHAPQHIAQATGTHGPRLWRRRS TSRPRNTERDRKARQRDKQRRMDQQRRQEERSGWAMPPIIPFDTDDESGSDDSGDDTD DTDDEGDKENRDRESAWRQANIYGPGKKRPPALDIPPPLTNEQALQQSHGEQHPAYAQ QLQPNPYQRQLSRTQSHPHLLEATQRSIPTPYPTPQPEAATLQVPPNANAAQLAQYQF EQQMRSLQRERQYEQSVKDTMNRIAKRIVTRGSTMP JR316_0012093 MMSGLPTRMKSYSDYAGVSIPLCGDFEQAGTSKSTAPWSKSSQI SPTTLSLEIKHFLEYMTPSDREIAIRQDVISRFTTLIESINDTVTTYVDVKSLYPKIL RSGFASRVETVFLASIPVIKVTDKLTGIEIDLSDGGSRGINATNAVLKWMKKDEEIVK MLFFVVKTFLMVRRCGSTYTGGINSYVLVWMIVAWVNLEWPKIKGKQTPHKADDEDHL SSIMSSFGNLSIYANTYPTDRTSISPSYTGHSLQSYYGEALKGFLSFYGHHFDYHSCA IIIEPKPFYTTKTSVYSKYNTQRYLLCIVDPADASVDMGSKAYGINHVKASFQDAYSV ISKSGHSNGSLTVMLGGEFTTFVKKRQEIAKCADRLAVLDPS JR316_0012094 MGQLSLEKTFLLATFIESMIVISAFMFFMATFHLSMNGYRLLRG YADNRLSPGGPVGYIGNLKLWDHILKDTIYATQENLGSAAAIYRAWVLWNFDYRVILL PVVLLLVNIGTHNGSAFFECAMLFTLQLGAGYVVCGTYSSVDPTATVFLPRLTQWIKT FYSVAVVLNIITTALMGWRIYITHKRSANYNVGQGRLLSILRILVESAALQLIIEIVL LALYCSNIDAQYILLESAITFNSITVRIKLQSAAENMKTMQTSNGHTHNPVQTIGSIP MKRIHVNIDREVDDDGGYGSSVFKDKP JR316_0012095 MSFIMLINFYIYVKKYLKLWLLNITYCLDSITPALAPAPSVVDS RKFPALYSHEFQADINTPPV JR316_0012096 MGHVDNTDHNVEMDTGDVEMDEENTQGTDAGVPHLSSEPLKTPI ERQGDTGDVERDGEKTQSNNEGVQKDIDMGVQLPNSTVSGSAETQDDTQDNDDKGNKD GKKPTPKNFGELENEKQDSDSKGDTEKSDDEGSEGKGNTEKSEGSQEERKGSEDGEKQ NSKGKEAGESSNPAPPPLSNGLPGISYHYQSLASEGGNLNACLRQGTLQQLHNAVENE TLNKIVNYLDIPMPMKALIILRFMPRWGIG JR316_0012097 MDEVGMYTFRTCCTISGLTSSSIPRTILQLQMYDHDDDNNDTVE VEGKDKKDLENKKDDTVGGN JR316_0012098 MYKTHLIRDSDTNTANTRYLLEYHGSNMEPPRKGPCYDLATTAL AQYCLIDQVCMTPSVMGLNGILPVNVNAAKSRSWTEQMVQGFKGWDEL JR316_0012099 MSATGILASPFVGAILKTAAASLLLLVAYFLSPPILRYFIVDKE GNRIPPGPPVRYAFLRKYAERALHAWANEYGDLFSIWMGTQLFVVISDPQVAKDLLVT NGAIFSSRKRYYMKNQVILHNRAITASVYGNTWRQHRKLANLALNPKAMQGYAGVMDY EAHMLIKSLYEASQHGKRPINTSHFTGRFALNNMLIMSFGIRTSSPTDPLIDTALGLA MEFMELTGPWSNVIDFFEVLQYLPSSKRTRGNRLNATLRETYGGMIVDFKNKMMKGED VPDCLVKTLLENQESEKLDWEDVCMLSGVFTLGGVHSVSGIIQWFIAMLPSHPDVCAR AQEELDRVVGRDRWPTIEDEQNLPYVRAIIKELQRVHTPFWFATPHYTTEDFTYRGQF IPKDTAIVMNCFTLHHNEIRYPDPYTFNPDRYLGDKLSCSESAKLANVMERDHWAFGA GRRICPGLPAAERELWLVFSRLLWTFKFEAVPNEPISLEEYDGVSGRTPKPFRINLIP RFEGVADILNSAEDLPL JR316_0012100 MVDTGQGNRELAHERTEKVEDGRHYSSQPLEEDPFQVFLEEHER PVNLPVWRKWAIVVVICTAALCVASASSMASFTEDGVSSTFHVSREVSILSISLFIEG LGLGPLVFGPLSEVYGRNLVYRVSYVLTFAFTFPVAFAPNIAIYLIFRFIGGFCGSAF LSVAGGSVSDLFDDKTVATPMAFYTMFPFIGPVLGPLLSGFINQVQVGLV JR316_0012101 MWTFVELALVFLFVPETYPPLLVKKKANKLRQTGESRYWAPLER QVSSMARKILISCYRPFQLLFFDQMALLLDIWSALVLGILYLSFQAFPFIFGRVHHFN TQSTGLSFLGIGIGMLLGISTQPIWNRLYKRTAAKNNGKVAPEVRLVMGEVGAICVPA GLFWLAFTTYPGVPWIVPIIASVPFGAGIYFVFTSTFTYLVTAYRPIAASAMASNSAM RSTFAAVFPLFARVMYVRLGTVGATALLAGLTALLAPCPFIFRRIGARLRHKSRFAQQ JR316_0012102 MSVEGPAPQVLQEQLSHVYDIHTRHNLHPPSKHEEHGNVRLSDE ILRFLESVEESVDLILQRPVLRPSPVDETLPLTHYFISSSHNTYLLSRQLVGKSSAAS YTHVLGRDGRCVEIDVWPSSGGLVVTHGYTFSKGVSFSSVCEAIGEAATPGCWPIFVS LECHVDVDGQQEMVKQMRSAWGDKLVNGKVEGVEDEKISPSDLRGKIVLMVEYYPALI SGTGETDDTASVASESSGEEEGEVAETAVDKNKMMPNRISEELAELGYYARSIKPSRG WLLQKISSPAHVLINISESACLSLIPTSFASLVDHGSRHLRRIYPKGTRIGSSNFNPL IFWRNGSQVASLNWQVYDLGMQVNEAMFCGTSGWVAKPVASRKRLDDEYNLPGGRQKL VVDIAGVSSLPAPNGRSGKSFSTYIRAQLLHGSKDLMWRSKTHKTKHHPEYGADVLWN TQFEWEFESDEMAFIRFVVFEDEFGIDDKIAVFCARINHLTLDEWVLVRLMNMKGKNS GATVLAKFSLSPVQ JR316_0012103 MKFSGTTVTTLFTVISPSILAATGYGGDNHVGFDALSNRGNARI VLRQVRETASASGSGIRSSEMTAPQTSSAQAAGSETATATASFSLHSINPTAIPLSAI NTDEHATSTRQLKHTAAPGATPTFIPDAPALPNAALLKPTDYPPLDQVPPVDSEQVKM WVEEVKKTGVVIPGLEPSQPGGCSNNSAFAMDSDRCWWTCGGCTRPTDIVECPAAMDW GLTYDDGPSLYSSDLLAYLDEVKIKSTFFVVGSRVISHPDILQAEYMAGHQIAVHTWS HASLTTLTNEEIIAELGWTKKVIKDVLGVTPNMMRPPTGDIDDRVRAISQAMGLTPVM WSRASDTSLFDTDDFNIRSGKTTAHEVLQNWGAIMKNATSRSSGFIVLAHDLFEQTVQ MATGYILPDALSHQPPFNIQPIISCQEKEMKDAYLETAGSEKNSPIISEAISSGEVTL TGSANSAKKTEESKASSASFITLNIGVWLLLVVTVLMTV JR316_0012104 MVDAHQRRGEPDRSTEKGESSIKDPNKECEPYLYQPIADALASF PPIWGPATILPEDGNALAKWASIKANVPDIPPKGDLQGNTTGLDYPAEDPDCWWTNTK CMTPKAAGLPPDVSIMPEPRTLGYGFDDGPNCSHNAFYDYLQSQDQKAIWTAMFYIGS NVMDWPMETRRAVADGHEICVHSWSHHYMTAFSSEDAFAELYYSECKHVGHLMQAVKI AAGVTPTCWRPPFGDVDDRIRAIANGLGLRTILWEYDSQDWQYGVTPGVTEDMVDNEY QAMINDAKKGKFDKVGTMILAHELDDWTMNEARKFYPLLKEVFDHIVPVAVGYNITQP YVENDIVMPNFAQYVAGIGQNLIKNGTNATSTVNPNAPRTTVSATPSSTAVNNNIKKS AAARAPNLALGGLSNVMASTTSIILGIISAVVLGAMAQQW JR316_0012105 MPLFYMHGMPIGGTAIMLALVASMGGFIFGYDTGQISDILLMED FKLRFATCSNPVDHNSCEFSTVRAGLIVSLLSIGTLAGALMGAPIADGIGRRRAMTAE CLLFCIGIIVQLTSFHVWQQVAVGRLISGLAVGALSAAVPMYQAETAPTQIRGTLTAT YQLFITLGILVAYCIAIGTRSLPGAASWRTLIGIGFVWPVILGVGILFMPESPRWLVA HGHTKAAERSIARAYGIKAADEGTNRFVQAEVDEIVNQVQMETRLRSGWIDCFKPKNK TLYRTLLGMTLQSFQQLTGANYFFYYGATIFQSVGINDSFVTQIILGAVNFVCTFGGL YVMERFGRRRPLICGGIWQAAWLFVFAAAGTAKDPRTNSNIGKLMIVSACLFILGYAM TWAPGIWILIGETFPTRTRAKQGALSTASNWLWNFLIAFFTPFITSAIDFRYGFVFAA CNLTGAVIVFFFLYESSDLSLESVDNMYNDPHCKPWTSSSWAPAGYSSRYDLVEQTKA AQARKPLASGAIEEKQIEHAGQPNGVNGQAVPGYTAAPGVGAGFGSDAGAGGLQAPQT MMNMPEPDLQGTQGYRRASSRVPPPPSDSYTLPPESGFATGAAVKPGAGGREINVDMD PNRGLQGGVGLTKEGPPGGIGMSEADGHGNKGNKLEAGYLGRVL JR316_0012106 MLQVFKVVPRCWDDYIFLALEETTGRLFADSAPSLKEFAFPEAP ELYISLQAPWMKHVSTLVLFGGFLSSDMIDALEDMGDHLEYLELKDGFMKPLVQPRQR VSLPHLRRLSVTNRLQICVFLLHYLIPAPGCSLFLSTHRNTHIYRNISPKDISDINTQ IWRYADNFLSVHDAFVDTLRLAYNSRSFSFTLSHGHAPIATGSFISPGRRESPEFSVN IVAGFRLPKQTSRIFLDAIKLSPRTTIVTFDFTPSKVDPMDEENLRPTMVIPYLSSMT TLATSEYGFELLLLEERNACHLPCFIEDIFPSLHTFTLKYNGPLENRPTFEICILSFL KARIITGRPLPTLDVSDFALEKLWIRSRGREIKNWKYLEDIPGLKVILHSRRSIYGVV ANFRNDGEWEEFYVCGSGEPNRLVS JR316_0012107 MTEIPVIGPPATLAIKPNPSPISLIPVEILVKIFRIVADTYDFD CINSVRWPNYRPRRHPILDVVRRVCVRWFHIAGSDSTLWTTLFLEPTLLVSDPGRVLA GQSLRAKREDKCTAYSGDTRPLSVIINPHLYCWSKDQPFVALSFVCFCAEMAVQINRC IQPQLSSRIGSLALRFTQRDLFKHFENTVLSAAGAAMLVNLHTLSIVIEGTGANRVSV NIHLNAMFAELNSLRHLHLVSHYGGFEVSDTLGLRLQTFKLERNEIQCSEVIAILKFM EFTQAICLEGLRPTYKAPRASELRRERMSRPCLEKLVLKGCHLQAKALECFSLPNLRV LEVENASLNLLYDRLVPLIERSGCTLTHLKVFHYQETRDCDRLPEILLDRHIRFIANV IVRYKFYRKAERRAELRRRGVVRDYFAGLDQDHMRRVVSPTFSHELRRSLDPRLKDNY ILWGEYDS JR316_0012108 MGRSTPSQSQARAGPSRYRASRAPRASQPSQSQRPGRRAQPQQE EEDDDMYEKDDDDDEGDDDGDGDEGGEGGDGGPMDVDEPDDNSELARKAHALVRLALF TEYKRAPLRREEISKKVLGGTPRAFASVFALAQKKLRDTFGMELVELATRAGVEAMER GDGVDVDNEGGKGKEKEKEGKGKGKKKGETQAGLKKKAPALTTKSYILRSVLPAILIE HAAQTDGELYEGEVRDMQAIFPVHGRRRQNNGDDDEDGEEDEEEGNARRASGSGGGSQ AQRARPSQQKNQRRRMRNTVQSSSEDEDDGGEGADGYPNGHGHDNEAQSRAPKNYGSI ISWSRADQLGALGVLHVILALVLAHARVLDDSKLRAYMRMLRIPSSATAHPIPLTTTS TSPTLGLSLDDFLTLMLKQGYLHRAIVGAEGAGGASKKGGAGAGRGAKRVRGGQDAGA GEVQYVWRWGARALAEIGEEQVARFVAEFMVSANADDADVGTGEDTDSEEEGGGARGA EKSRKKKREAVEKMFKGLEKAAGGSFLC JR316_0012109 MLTFPRATGLHNLTAGEKNPLAEYNLSFKKLQLLEQIAHSDTPP ADQDVKTKPDTIEDPDLNAIFSSNLEDIDESDYTTLPRLTEDDVAFDMDEIDAEDEEV DTDESISSDEGDFESD JR316_0012110 MFGRTNIDPRYRIGTRKSGHLRRSGLRDYDKPYLRRLSTSIKPL LQIWLLLLRMFFIVSSNSVQHQPLMSTAPLCSRKRVHANAISVLLDCFPRPQELVERI TISVVSRVSLSLRKFALPYLLRTVCLDESPKQIVGFLSFVIENQSELGADTLGPGGYV FELELHEAFAFNTHILNESGDWVVAEEEPYPIATWAPMLSRALKLMHNLRSFAVKGNT DEICAHAPNFGQAVSSLYKLTSLTLWGVWTETSRSFGRAVFSSTTFSFLRYLELVGED EDDDEVDSIVHVDRGMGQFLSKINGASLTDLTLGTLDLIAYFENTPVVFPFIRSLTID NCRTSLKSLSAAFPSIQTLNLGFSLFLHRYYHSDPPANISLSNLVSIGGRYKDILVIL KCNAFRERVRRVIVSFTWDGHDDDDALPFALPRLAPQLKSIHFKQSKVQPLEWWTEFG QHFFHLGYLQITIYSSSDEDWDFICNNIPIAISSIPLAYLSITVQEYASITTNPAFSE ESVALAFSNGITSLKYVEVFKQNLTDQSDNSLAEQRFWWEIVRRSNSAGFEMKRLSYV EGTRLRDYYDMQAAFASTEMSHISDDGTVNYMCDT JR316_0012111 MPPSLQASSTGAGEAINVNFTPFFAVGALAVVIWDMLSEIRGDN YLFSSKLLQRVGMKRFAYFLARLSVLSYLMTDAIFQIIPQPATACGQIQTVQAILFVV ATAFTHLLVFLRILCAFQYDRYTGWLFGLLWALVVAGSTTIIYGTSLVAPGSPVTACN LLINQRIEPYVAAAMLGPMLYLCLAYMFISGRLVVDVRMDLSMEHGMKELLMGMFVPI FGKGLLGEGQAFYLISVIVQLVAFIFFLSGGTPGARFIMFDSSVAITSIMACKVYRGT LYKEIKEVLDRKKLHQLALSMNMASQSTSTCNIGEIRVTKTVEQF JR316_0012112 MASWVDFFSLIATITVFGGVIYGILYVVKSINEGLSSTQASLKS KGLDVSSTGVSVKTSKRFGREDYVDATQRGIVKAMGASSFRRADSSTTSSATSPPQIN RTDSSASLKSSASNEEKKKKKSLFGKK JR316_0012113 MDIYTAKRPGSAPTVNTSDCACPSTPLTPVQSWIQFALVIEEKQ LDIRMRARRLVNHDGLTDRVKLQKLRDSLKTLMVQLNKLQAKAGVVATGRQDIDISDQ ILIDWEDEEDVLAPGSGPTVNKDIDLQPICLPSNGAASKIYASDELEARISKARSHLN QIRELIAERSFQFKEVVRKGPRKGVRTRGQTAVKELKDQISMHAQAYSHCRTRIVKLG ANDEILQELRILTKEDIKSSTAILNPNLLGSTKFRLSWIWYSVHQRFGPRWALDPTAT PDADPNTLSGEADPATVLECELF JR316_0012114 MRHTHRVNPLHKVECWTGTHFRPAQLWETGTYILILHHIGDSIC SSLNFQINYLENIEETKDEEEQNQLQQLKWKQAPIEQSTEYTNGIDIQMENLEEDPEN LDPNGPTDEEFEAYLNRCREDPHSLDNIQDCNDEEEVSEAEADVRDMPQYLRPQSANK GIPTGTPKTDGLNNAYIRAIHTNGVHHLAMVYCVCHGANNLPLDLIAKKPSRDVWLSE GSGMIPDRAEYHAFLKSAIEALTGAPCENTFRIVGIACAQHGCYAPNALVDLFKDEQQ KNVDFAFLAALASTGVHPDQGTMVIYDIVC JR316_0012115 MAFKISTAKSLRAALAQLDADIKDAVKRGEVKKMMRKLNEVMRE VLRVKKAQTHPEIFKEFRQFLWLVVVDYKKSNAAGDFDDTTFVPKHRDALAKLEVASA MATSTSIASNAVEATPTPTSNGLPHRAPDVLQSAPTRAPVQSAPTCTSDMSQSAPTRK APASQVSDIESTSSLGRKYPPAVVAHWGPTESSSGRDRKALNPTNSTVAGTVSTQAAA IKLTPSEATVLVVFFDSVALSNVLLKPNHASRALAELATSNRSTSSSHPVHPNPESSS RHAPSHANKPNQKSKPRVPKEQASKESFPTKSVGLFAHEVAMAHFDRPDSPENKFRAL KLQRMLKEGPPQPPKSGSKYEESSENEESGVETGVKRKRSVKAATPTAPATKCNRCLK NNNKECQEIITNTGKRACTFCSKHKKGCTWGGTLVSKSRWANKASAAKASKRGPKGKQ SEPVTHSNEEAMPPKKQVKSQQYVVDTDDASEEEKSGTEIQKTTKPSESVDYLEISSG SETPAPAKPASLKAGPSNIAPSKPTPSKSTPTPAPSTTVQRATPPHQLQDSDITDIPT IADFAKRINELEIMGCTTQVDLAILRTTNEDLQEELYNLKASYTSLKRSYDNLCERVS RHRNAAVDCQSAFGAKWEAGMRKMKAEVKHLSDSLDRTNDTLLFLEGRIDEIQMHQMN SDGAYLSEEFVEDMESAAEDGPTDGSIPMDLSSDDKAGTSANYHPDSAIDPVSDNHTN SDSDDDANSASDKNTNPASDNNVDPASDHNTNHAVIDLTADHTTDNNANPAADHGVQA VGTENVENVDGAKGASSLNPPVDFTPPQPPTTPPALPLSPPPPSVDANAL JR316_0012116 MSVQNSSPNIPEGYVAIDGPDNIKYVVPRFMISSLENDLAALGA KKDANVVTADLTRKNNSKQSDAYVTLSGILRVPPENSLTDNEMLTLHAEVCALQKSLG ILYQDAAHWLYMAEVAKLKVADGDRRYHAYMDRCMTKTLIKLEERHTFREDLRK JR316_0012119 MIVSGIGFGSPFDPPTTTTCEAYLNMEVLVEDVLDTIIQCCPIY TISIISRVSYSLRRLALPHLLHSVCLDRSPMQVVGFLNFILDNGPESFMDPGKHVFEL EFVESPAFKRRVLHDGVYLLTTNEIYRLSSWAPMLTQALMLMPNLRSFTVKGNTDDIV THSPSFGHILGSLSSLTSLTLWGVGSQTSLSFCDLTGNVETTGSSLRELKIAGSYEYS EELTTVSEDDGIGKLLAKHSHTLKELCLVALNLMGFLANHNINHDRSSGCSGVAFPLV TKLTIGNCSTTVESLACAFPMLQTLCLDYAEFIHVYSSGVPRDPVAFPNLASIQGWYK DVYEVLRCNRYPESMRRVVISYPWSSRDEVDTFPFAVPSMALQLQSFHFMINEEKPIS WWKGLSEHLSSLTYLSIVIHSSSYDDWRLICYEIPAAISSIPIRYLSIVIEEYEATMT DPAYAEESLALSFSKEIPALQYMDVLRHNLSAMGGPNVSQTTWWTIVRGDNSNVSVEQ LDWEEGNRLRDYYDWTFKLGQ JR316_0012120 MSIGESTWQGYMGAMNKESSMKLLDAYYDAGGNFIDTANLYQDG TSEEIIGEWAEKRGIRDRLFIATKYAHNFHLMKREEPHRILFAGGNAKSLHTSIKGSL ERLRTDYIDLLYVHWWDYDTSVEEVMQSLHTLILQRKVLYLGISDAPAWVVSMANQYA KDHALTPFSVYQGAWNVLDRSFEREIIPMARAQGMALAPWNVLCGGRIRSDAEEERRA ATNENGRGGAAWRRTEKETLMSQVLEKVAAEVGAKHITSVAIAYVMQKTTHVFPIIGG RKVEHLLQNIEALDISLSDEHISWIENVVQFDPGFPTNLIGNGVTYNGLWLSSGKLDK IRIEPIRPKPRSTGNEKV JR316_0012121 MVALVQRPAPSFKAEAVVEGLFVDVSLEQYLGQWVVLLFYPMDF TFVCPTEILAFNDALPQFKALNTTVLGISTDSKFSHFAWSTQTRKEGGLGPELHLPLV ADRNMKISRDYGVLIEDEGIALRGLFIIDPKGTLRQITVNDLPVGRSVDETIRLIKAF QFTDTHGEVCPANWVEGSKTIKADPHAKLEYFTTINGATNGHTNGEVNGSSKKRTRVE JR316_0012122 MQLNNLAALLYSRFERSGQKSDLDKTICMYRRALELLPSHHPDR STTLYNLAGSLKIRSNRVGQKCDLDEAIYLHRQALELLPSPHPYRSASLDNLAGALLT KFEYSGQQSDLDEAISMQREILELLPSAHPNRPASFNNLACALWTRFEQGGKKRDLDE AISMHRKALELRPLPHSERSASLSNLASVLWTRFEKDGQKSDLDEAILMHKCALELRP TPHPDQYASLNNLAGALLTRFNHYHQKCDLNDAILMHRTALELCPSPHPDRSTSLNNL ACALRTRFNEGGQNDDLDTSIDMYRETLALRPFPHPNRSTSLNNLASALTTQYDRQRR ESDFNEAMSMHREALELRASPHPDRSASLTNLAILLYIYFEEKGRRQDFEHAILLLST AAQYRFQPPSTSFFICKMWISYAKKHCHASLITAFGVALQVLRPVAALSLTVELRRNA LLSGTDGLARDASNCAVLAGDLSKAIEFLEAGRSIFWAQALALRSPFDQLRSSGSESS AFADRLQFIARELEMGSQRNISAPLMDNYTRLSIDEESSRLNRLSEEWEEILVKVRKL QGYENFLLPPHLSTLQAAAYKEPIALLISNPQESHCLILTSKHIHHIPLPRLPNYVLE VLIQTLQMAFTSSTNRSVVEKSYRVITSIFGTGRGISYQERSDSDSIFRFILSILWEE IVKPIINYLDIKNSRKPSTLYWCPTGYFTFLPMHAAGIYSNCNSAIDCASEYIISSYT PTIGILLAESSPPTSRTFKMMAIIQSQDLPSTNSELQNIREHVPDCALISMGTPGSPA NVETVVSRLSDVSIAHFACHGYQDPLNPLNSWLKLNDGQLSISRIMKEKVSHGALAFL SACETATGDVQLPDEAMSIAASLLFCGFRRVVATMWMMRDEDGPTVAGAFYRELFRGP GGEKIHIPDVTKSAYALHIAVTELRAQTVSFRLEVASGDMPKEWELTDEFEEAPKKPE GDPWKVILDPLIAKENIRCNAWKDEVQNLLIFAGLFSAVITAFVVESYKNLQRDSNAD MILLLSHIATRLDSPGNFSTMSFSLPSSFSPDPSAVRVNAFWFLSLILSLATVLVGII SLQWLREYQSFPGRSARDILAIYRMRAEGIEKWHVSKIFTVLPLLLQAALVLFFAGIL DFLHALGNNTVLVIAAIAVGVTLLFLLVTTFMPAYQNLALQLWFLVSKRKHRPPSQCP YKSPQSAAFVAGFFAILQTWRFLLSHLVGSSCFNQSWSSKTKKARLVQYLSCTWSMDS WIDSDMTWLSVRDVCQELYLSQDEDINVDYAETHSPLPLFDITQMLRKSIGDGTVTHT EELLSTAYHCFNDLSRAFLRRDQSLKENTDEEIHRRFEQVVQRNRYFHTLICKPGNAN EHLFCPPNPQYFIDFERIHYLATFDVNNDILHHQNLFMFVDHIIKNYSRTLVNHRAEL MIRLAKALYQKHTVLLPDVISNPKGPPKLPGYLRGDIGLFDHLNYAQQGNKVSEFKMF FPQYSFMLIEFFEHAAKSHGQHPGHTVCLHGHESTVKFLETASVHAYIAIAPYIDATD TQDRTKRIKAMSLVLKSISTSLGRSVLFDSQQETLYLFYTAAIFIRCFYCLMLSQDSQ DPGYNRLLTSIRDLSNVLLAYKKKTLDVGIIEPHLHDQFKGRDMFYYKASFKQQSERF CPQWWAFLDGRTSNCRVPLSVKLQVAMAGRLHRPKFKMGKLVNTFAETTTGLGVNTNN PVSLLRALVSSSAGTLRARLRDKPMVPIDESAGRYGDARVADEDAHTVIASKIPDQNE EGTTHQHGPYSRNGNTIPFANETKIQMNPNAHDFV JR316_0012123 MIARAGSAARVALPTSFVDDPVTAYELAIATYFAIGSFSVFVWD SFYNLEHDIRLLRTHGRSLPSAIYFTSRVSTWVFAILETIRLTVPIKNCQHYHVSTLI FYNLFIAATTLLSYFRVCAIWNGNTTARFLFALFWLCGVAGSLTTATGVMSQHISNSP YCTEIVVGQYVSAAVLGPMINHLAVFLAITYGVCKICADNHDKLTFRAGYRVFVLGES LPTFSKAILQACQLCYLVATVAGIITVVWFYVFAHNYSYRIAMFVPYAVTVNIMFSWV FRRAKLGMCVAAHGAGPMIKSKVATVAATVPGARPDAMEMHPDFISATDADASSVAGG KRNYGGGSSGSGGTKRSGTPSSHLEIEVNRVVEYKHDYVDVEVGKGIDPIDITFNTFT L JR316_0012124 MASAMVSDSVPIETAHEDMIHDAQLDYYGKRLATCSSDRTVKVF DVVDGETRSSAGHTLKGHTGPVWQVAWAHPKYGHILASSSYDGKVLIWKEQQSQGNTA GGWIKIKEHTLHTASVNSVSWAPHELGAILACASSDGKVSVLTFKNDGQWDADIFTGH AIGCNSVSWAPAVLPGSLITPQQAPAPGQAPANPFSVKRFASAGCDNLVKIWGYREDS QSWVEEDTLEGHTDWVRDVAWAPNIGLPRSYIATASQDKSVLIWTKDSPTSPWVKTAL DPSSTIVSPTGAPPPPGKFPDVVWRVSWSLAGNLLAVSCGDGKVTLWKENLKGVWECV SDMNS JR316_0012125 MILSRTWAPACSTRGLPLSSWFAACRAASTAAALKPEPEPINDE APTVRYTLTEQDKKRLAFQRNIGVSAHIDSGKTTLTERILFYTGRIREIHEVRGRDSV GAKMDSMDLEREKGITIQSAATFCDWEATDLGKGTKQKYAINIIDTPGHVDFTIEVER ALRVLDGAVLVLCAVAGVQSQTTTVDRQMRRYNVPRISFVNKMDRPGANPWRIVTQIR TKLRMAAAAVQVPIGVEDEFKGVVDLVHWKAIYNQGVKGVDVVVSDEIPADLLEMAQA KRAELIEQLAEVDETIGEIVLMDETPTNQDIADAIRRATISLKFSPVFMGSAIKNTGV QPLLDGVCSYLPNPSESEILAHDTELPPSAPQVALQPAASAPLVGLAFKLEEGRFGQL TYMRVYQGTLKKSMQLFNARTGKKVKVPRLVRMHSNEMEDVDQIGPGEICAMFGVECS SGDTFTDGSTSFSMTSMYVPEPVISLSIKPKGLETPNFSRALNRFQKEDPTFKVHVDH ESKETIISGMGELHLEIYVERMRREYNVDCITGKPRVAFRETITDRAEFTYTHKKQTG GAGQYAKVIGHIEPMELDPETGKDVGFESVVMGGNVPSNYIPAVEKGFYEALEKGSLS GNPITGCRLVLKDGAFHTVDSSELAFRLATIGAFREVYRNAKPVILEPIMTVEVVAPV EFQSQVIGGLNTRRGTIVDSEVRDDEFTAIAEVALNDMFGYSNQLRGSTQGKGEFSME YKHHMPVLPNVQKELEEEYRKTLPAAKK JR316_0012126 MPPPPSPIIFAPNFLEAYRASIGTDFIVGALSVFIWDVLYNLWA DYQIIRLHGINFPTMVYFLSRVSTLGFTLMGAIVISMPVKDCHSFQQGILALYVILIS STMLLSYFRVCAVWAKNYYIIGIYLLLWLTGVAGSLTVFSGLPFTHLEGSPYCLEFVL HGFVAAAVFAPTINHVLVFFAIAYGVCKAHILATHQKLSISSSYRVFFFGDSLPAFSK TMLQASQLCYLVAVLSGTTAVIWFYVYASDPNYRLAIFVPYAVIVNIMFSWVFRSAKL TSGTMPNGVPSPAVNPYPTAMQTRRNEFEMTRTDNSEGLSRYPSLPIQIEVNRVVDLK GDMTPDGHSERKPTGTVDYIKFGTGSVE JR316_0012127 MATLQNKTIVIVGGSSGIGFAVALASLQSSAKTVIIASSNEARV HDAVARLKSHNLPGEVHGDVVDATNSEAVKAFAGRIGTVDHIVWTSGDIPPKSGLNEF PFSKVETPDEGQAAFTVRFWGPIVLAKHAKFHPGGSLTLTSGTNGLRPMPGSHLMAGM ITGLDGLTRGLAVDLAPVRVNLISPGLIITEIMDKLMGENKDTVLKAYGEKIPLKRVG EPSEIAEAYLFLMKCGYITGQRIDIEGGILLV JR316_0012128 MSLAYTAEEQVAVAAVRRACQLTSSVFNKLVKNETLVKGDKSPV TVGDFAAQAVISSILHHAFPADPIVGEEDASDLRAESGKEMKDRIVALANEAITAELG LGDNANWGIGPGQAKSDTELLDAIDRGNYEGGRIGRMWTIDPIDGTKGFLRGEQYAVC LSLIVDSKVQVGVIGCPNLPVDPANPEKGVGCIFVAVRGQGAQQLTLSGANPTPLTIP ASTPETFNFLESVEAAHSSHSFNDRVSSLLNITRPPTRMDSQAKYGCLARGDGGAYLR MPTGVGYREKIWDHAPGQILVEEAGGIVTDSRGEPLDFGLGRTLGENFGVVAAGKADH PRLLAAVQKALGEAKAKA JR316_0012129 MASVGTDDQTDPVVATRFLQCWLVGNIMGALAYGVSLTLSWNCI ILLSRPTSTTPVRMRRLLMALIVFMCMVSTAALILATGGVLRWSQNPSTESLLIELKG SRVWGQPYSLVELILIVLATWCSDGFMRYSILSLFALVSLVANGSIASLIVARIVYHQ KFLRKIFGNDYGSEYTKIMSILVESASITLTLNFLHIILAAAYGRVTAVESPANMIII KLIVQVNTISPILIIFRVAQGRTWQVDPTRSSPVINGVNLGHLSSLHFAARDTHSVHS LTTLDDSRHTVSA JR316_0012130 MGRGNHGYDVFDMCDMFGGGGGYYGDDFHGLNKGSFAQVSNRAM SALSSAKSHFLEHLKTVDTSRGSVSHEIIRFHLVADTRKQFAQFVKEYDCTATFRQLT REEQDRFYKKRKSLIHFTSVTVTPEAQQAYFLKNPAIPRPATLATATIPAASPAPCRS KVGPLQDAFNKKAKQKRNEAAAKYAASNSSVKKINTFFKKKT JR316_0012131 MAQALYSSYADVLSVCQTIENDLEAVLDPQTGYAPRMRQICQEY IDQLEDQPNSHQARHQIEVLRLEQNTWGLIQAVLPARITKSATVPTAQELLLDNPYTP PSTLAQAILNNSHTLKELLAVREWLQETAPVPTPPEANTGYWKFTKHTIMQGVRTGHG QREGLVSEMDPDAINRGDGAALAPDDASYEKNLLQALYAYVRAGRLEDAVEACRRAHQ PWRASSIRGSLLFQWNALSTSPIDREDEDEEDEESISGNLSRNLWKSTCIRAALNPAL SDHERVLFAAIAPSSQTSSILKSACRTWEDHLWAEINILWEEKINQELARLEKGSFWA SGADSLDKGFIVLTEAEMVQREEEWEKEVTKTLVNLDSTAVVDGPLAEHAFHFSQLHI ILDKTNKLLDTFAEDVRNGKYPRSSFEYDSMCRFFAHFCLFLQMIDIPVPSISTQTIL ETYLRVLEEAGQRELIALYAGALKTNAVERYADFLVSLMLAADFNERRQALARASEHG LDVVQVARVTAERSLDKAFNMLPQHGRLPIVTDLQPPPNEAETFLLRSIEWTTFREET YIIALEHATEIIRYFLCEGRTHPAQKLLLLLPEELAAIAEPEEMATEYMHYRQFFGIW DVFKQIVECQAMENSITHGRGASREEKARWIAEYRGLVDQAHDQTLKLLTTEWLVTED DEYDSGTEAKERRHRDLIRIRHIFVPELIIKLHYALFYSRKYIPENLKRALELANVVA DSRYNLFEDFVEGGKTIGDYLGAVRQAILAGLEAEKSSDPFAVITHHPNN JR316_0012133 MQHFGKAALRVTKNYTKGYSDTQAKVRDATSNDPWGPSGTQMNE IAQLTYNQNDFIEIMEMIDKRLNDKGKNWRHVFKSLTLLDYCLHQGSENVVIYFRDNI YVIKTLKEFQYIDEDGKDQGANVRQKAKDITNLLQDENRLREERRTRASMRDRMIRGA NGMENDEPMDENASRRTGGLAGKRPNRDEDELRRAIEESKKSLAQERLNAEERDLQQA LKLSKEEEEKRKQEVENSNAASLFDDSNQLPPPNAVNNNPFPLVDPTPYAVGLQPQFT IQPQFTSMQPQFTAFNPYQQQAQAEQEAAQAEYLRQQQLFMMQQQAQQQQQQQQEAWM RQQQLLQMQQQQQNLFAQQPLQVQPTGFGNNNPFAPTSPASLSPSPHPTAQTSPTFNL GGTYDNHSQSQLSSLSSSPQPPSQPQSQASNPRPFHVKTRQNENEALAALFADREGGQ DTFGNVGALRYGYTDAGKSVMVMQKTGSGHNPFAKPQQQQQTNAERPFFDI JR316_0012134 MNTSFTFPKPQAAVPRQQLPRPAGSSQPNARESNALRASVLDAA LELGIGSNSLVTDWMFNNPLAEEDEEEDHTSSPSLTYGSSATSEESSSSALTPSSSTS SSKFSGAGAPSNYAKPAPRLDGMLRINESESESASPSTGFDSSFASSNTMAFPDIPVP PQPVIPAPRKLKKKHRGDGYESDGGYISEAAGKKEKKKVDAIAFPSMETPKEAKKRAK EAKKEIPEGGERKKKKSLISAVKSSKKSESKDGEKGYDTDGGGILSSGKKGKDKKSKS KVPSEDITGGYETDGAVKKSKTRFFKLSNKASRPDLRTEAVPAMPMPPIPKEVVPLPI AERFATTLGNPTTAENSTTNPPLALLSSSTSGKAEGSSTPLPPLAFQSFAPPSPISAE VVPLSRVIPPSPIAPVGDRTSHSSSESSSSNSRRQAGQFSPPPSSSGHGHGHGSGSHS TLTSNHTTERPFSPVNGTIPSPPNSAGVSSGPNHLKPPSISYPNTRSLSPLPSRGVSP MNSPPVSPLHIAKGGLRVRPSLENMGYTSRENSVSPMPISPISPMMSTPLRSAVSSPT LGLPPQPYASSGVPGSPTSRLRSRSPVGLPTSPSLNTFISHPITAVDQSQLTVNSQEY SGPSHRNSGLPSPNVLAYYDIPPPSPPPMGPLPTLPAQASCSSNTTGSANTSAAGNLN PGGFPSAAVLRQRVIDRTPRQLPPDFLQTQANIQRGKESPFPSRPVPPSSPMPLTGTR RYRDLYPPGQVPPVAAVSPERVRRDRGFDDVDGPTERHARFRDELSWINTDTATGMPK ERSQWQDDDDGDSDAEEVGAYDGVHEEDDDLDESAEDLKGVLDRFEGRRSEGSDRVLP ERALGRSHSPEMLKGSNNDSSSKNSLDATSSTEADRDPLTPTGAYADSRTHAQGRSTV STTADLMYNRESQYTFDDSLTVGDRMSRWSGSIYSRASMLDADASGETRERLVRQVEE MLAKEARRGGGGAKSDYIPPVPRIPDAYANANRSAHLKADDAGVLPDATPARSWNRF JR316_0012135 MSYDKSLLETFISFARNSSTVERPVLECGLDQWTYGDLDAISSG IALQLYKRYGSKPTVAVVSENHPYILAALLATWKLNGIFVPLDPHAPLPMIRQMLLNV EATCVIIPEREIGLADLLKELNKGAVIIAKDMTMVSLSQQFLDQDAVLPPSIFPLPKL TSMAMYIHTSSATSVANLKCVQWTHMMLSIGTGSVAMWLHRAWPNVDFDMARILGVSP WSHAMGYLIDIGGGTFHTGGCLIMASPPSEYPSSLIYEDRVRSILGKPASEMDVLDRL LETALRSKPDIFACVPWVLEGFKDRYQELLARNMQGEALRVKEMLQRFRCLGVGGAAP SHELLLWAGELNIKIVDMMGMTEMARPLFFTWIDHQKDPEENAGYPSEDCLIDDATIV LLDDEGNENPSEGEFVITSKDFTRCYLKYDNSCFTDSADGRITFRTGDLYERNRFGRF VWKGRKEDYIQLVSGESLDPRPIEKALQTCSAIAHCCVVGNNFLRKSSDVICLIIQPS STLVHTGKAKLSSEDLSEITRTLASINRSLLPPLRIAWSRVGILDNGVVIPYTKKGTL FRKRFQELFGEFIETLLRKESNNSGVVFSQDGVKADKVARRSDTASTSGLLNSNSKKS DSTAFKERVAGSSSSRETVYGAEFNGRSSNWKVKEAKQSVADVVGGVLGLDDKTMHAN LTTSFAELGMDSNMAVRIVNKINGLFGLQFPLNACHNLIDLSMLTDATLVELGLKDPI KQTIESRDLPPLPKLEGDDVVIVGQALRLPGNVNTPKSFWEALVNKRDDIMTPVPAER WDHSSFYRDPDSNSPPEICDIRFKKAGFIDFTHYDNSFFGISGPEALHISPTSRLALE TSFEALEDANIPISKLKGTKTGVFVGAMVDEGFIQLLFEEYGFDAYNRFYGTGLFSSA VCGRLSYLLDIHGPSLATDTACSTGLVIVDQAVKYIQSGDGDTAIVTAVNTHAWPGQF GFMSAQNMSSPNSRCATFTNLADGYVPSEASVSLILKSKSAAICDRDNILAVIKSTEV MHGGRTQGLTAPSLDTQIRLQRSLLAKAGLDPSHIHLLETHGTGTILGDLVEIQAINE VFRGSHNDKPLILGAAKTCFGHTEMAAGLVGLLKTVYSFQNAVAPGFVHLTKDNMNPS IDCNIVPIHIPIETTPLIKDDVDVPYRALVLSNGFSGTMAGVILEQTTDNFQASFNGV NDNSAEEHLLIPFVVSAKTPAALENYLKKYLGFCRTASDSNFKDICYTACVGREHYRH RFACVVSNLTDLKNQLEERIQSHEHAHHESKSATGGRVAFTFPGQSSQYQGMASHLAS FYPDFRELVERNATLADEMSGFPIKSFLLDKETLYNLTIDDSRIGQICIFVYQYSMSI WLKTLGVEASAALGHSLGEIAAVDVVIAGTLTYRQGLEFVVRRAELLLSDHDKPGGMA MIAASEEAITHLIKQSGFQKEVVIAVYNDSESHVISGELTAVEEVLSKAKLAGLRGTK LKVTQGFHSPMISRALPDLEDLTAKMHPYQSRLNIPIYSTVYGEVIPADTCLSPSYWV EHAKQPVRLSEAATNLLMDKDVDILLDVGPQPFLRTTIKAISSGKVSLATSTKTGNDQ IRAYLDALTSLFECGVNVNLEKLLSRGPSLGNKTSLPTYPFQRQRHYPNIVPSRKNGR ITSKPANSVDDATDIEDAIQEAGAITTNILTPQASGNDDPEVISNTITRIVRDVLELQ SSENIELAESLSSFGIDSIFFAQIKGRIMIDMHVEIPDTMLSDSLTIRQLIRYVVDNT SSAGNS JR316_0012136 MNPANYRARLLWDCFRIFVIPASVLSSVLLLLGYQLGLLSIPTH LGFILLWGTLKSLYAESKQEKEARAIGAKTIPCVIGKWPGNVDVLFRMVKAFKNSYVL DVYLELFEEYQCTTLNLRVLWRDNIISMDQEHAKYVTSTGFSQFWRGISQKERMELFL GEGIFNRDDEKWKMHRNMTRPFFSRERFSDFELFERHCARTISILSSLEASGTACDAQ DLYGRFSLDAASEFLMGKNLDTLSASLPVAGKTAMGPKGSATEDHWGSFTRAFEMAQL NITHRGRLGAIWPLFELFKDKNEEHCKVIRAWMDPLTACALTFLTYFLAIHPDVATKM RAEILEYCGPTSPPTFDNIKRMKYMRAVINETLRLFPPVPLNVRETRTSSCVLPPSDC TFSTGSGDSKSPLYMPAKTTILYLPLLIQRNPAHWGADADEFKPERWIDPESVARYVA NPTIFTPFSAGPRICIGQNYAYNEMSYFLVRLLQKFDKFTLCPEFQPEGSLPPLEWKN RKGRQAYEQIWPSAALTLYVKGGLWVKFHRARS JR316_0012137 MTQSPYAAAASIPLIKSPSLRVPRPVDLPPDIHPLPESVNDYFV YPFTLEPHTVTIESSRRTTLAAHAARREAYLRSREEEKERRKREALRRIAPGFEPHGS LLVPTPKGGTSSSTNAHHGYGYDEAHANVSGGGKMQVPPPPPPPTRSVMDDLVDQLAA LEGSSNTKSDSALSP JR316_0012138 MSTVSLKLLSSSHLISQGAEAKVYKGSLTTDGTPVLFKHRFKKQ YRHTTLDTTLTKARVAGEARALMKCLRSGVNVPGVRMVDAAEGLLGIEWIEGKSVKWL IPSGAQDDDDEEQQDEISLDEFQLTIDQLMRLIGIEIAKMHLADIIHGDLTTSNMMVR RQGSKSDLVLIDFGLSYHSSLVEDKAVDLYVLERAFASTHPDSEPMFASVLSAYATQL GKDWPALRRRLDDVRLRGRKRSMVG JR316_0012139 MSQQTQDRDPFYVPSDERTHPYAIDSTRQYTPRVPSHTYSDDSY TNQIAGPSTTSLVPSGRGHFEHEMDDGHREDDEAHLTANMSHSGMGDHGSEGYSSNDP ENEGGQTPRSRRRTLRYSVSPSPLKKTETAIKSVSKNLRRMSLRVVNLANTGLEGQLR LGDNDQDKDKKLQDDEDDDEPPMPDLKQVLPIRGRTLGFLGPDSRVRLALFRFLVHPL TEPMILILIVLNAAVLTAQAFPSLTLPTANGPALPPIVKGYFHSWTDYVLFVLFIVFT LEAVARICVTGFLFDPEVSMFTLFSSPTAQSEPYTPAPVPTPGMARPTTLTRGPSFSQ RLSRLQKRIFRPFALSAPPPASVYPMTSTAHATSNPYRDNLTNGRANGTAGAGMHSTQ RPPNTSFPPENHEPTILSMAMRSENSANHPDSIALPFRLSIGHLHDKTSRNVPYLRQS WSRIDFIAIISFWITFALAITGAEKGKYHIGVFRAMSVIRTARLLTITSGTTTIMHSL KTARPLLTSVAYFVLFAMVLFSIIGVQSFNGSLRRTCVISPTLGEGPHLRDGQFCGGY IDPVTLNATGYLQQDNSTTTSPKGYICPLGQVCMEISNPKMGIESFDAIYYSALQVII VATANGWTPLMYSMIDAEFFVSCFFFIIAVVVLNFWLINLFVAVITNTFAAIRSETKK SAFGAAPLIAIDKEKDDGWAIVDGRKAVTRSNPARTIYAYTKWCWIILALTELVLQAT RTVNVSPMHETLMFYGEIAITIAFDFEIGLRVLATLPDWRSFFRHGNNWLDSIFAIVS TIIQIPVIRHSAVYPWFTIFQLARFYRVILVVPRMKPLLMAVFGNMYGLSNMALFLVI INYIAALVAAQFLRGDFGDDVTINFGEIFNSFLAMYQVFSSENWVDVLYGAGMAEMPL GQTVIALIFISAWMLFANFIVLQMFIAVINENFEVAEEQKKGKQASNYYSQHKARHGT VTWLRRLNPYRFVKANPETAKVSNLPSNLVLPMQKTLVQDYAISRVDSRTTTAPVQKS VRKRRFMKPQHYSSKSLTALQQLFAGEAKMQDIPMNTLHRRQEPSADPFDEEMDRHLE LLASVNPTVGLAEAADDEYHERRAQKADFIRDHPSYDKVFWVIGQKNWLRKLCQKVVQ PARGERIFGTPPSPVAHPIFQLVLLLTVIGGIVVEGIATPAYRRHYFQQFGLIRGAWF EIAEAAFGFSLFVEFMIKIIADGFVFTPNGYLLSIWNCLDFVIMIGIIINVTTGLIFI GGLSRFTRSLKALRALRLITLIDKMRNTFQTLIISGASRILDAAVLAILYMIPYAVWG LNIFNGRMNLCNDGDANGVEDCIGEYVNTVYDDAFGFPVPRSWDNPSPSTTFSFDSFR SSLLILFEIVSLEGWIDVMGVATSITGPGQQPRTNASQANAIFFVIYNLMGGVVILTL FISIIIGNFSSKTGTALLTKAQREWIDLQKLFKRQKPSKRPKVRPTGRIRKWCFDRAV HKHGWWSRATTLLFVLHIIALMTQSFSTTANLAETFRNDFFLALMFIFLVDVFIRWFG LGWRSFRANGWNLFDMVVASGSFITTLIVRFGHSGYGTQQLQKLFLVSIAFKLVQRTN SLNMLFKTAVSSLPVIFSLLGLWLVLFIFFAILYMEVFGLTKWGGAETPTTNYSSLGS ALVMLAFQSTGEGWNQYMHDYDLTYPRCTESPNESESDCGSTAWAFTLFIAWNLLSMY IFVNMFTGVVVENFSYVFQASGSGSKSISREQMRSFKKIWGEFSNQKTGYLERHRFAA FFQKLTGVFEVRIYPAEYSVRNILSVCKDPLDKKAWGSRVVDGVDLNKLESIVDGIDY ADVRKRKAIYRRLYHEASISHEQGLGISFTDMLTLLAHHKLIVDAEALVLQDLVVRTE TNKLVTDLVNLDRVRSLLKTISYRRRFLAHLERKRAEKYEQDIPSIVVETMPGTPPMS SRDISSAGFGDHSFSPGSPTPVPLNPRYSHADYSLAMDTSSGPKLQRSSRRGSDYSTF TADTFRSPRTSLVDDDPQDVVNAMQSSVWGDLMMEVAEEEKGNKGF JR316_0012140 MTRPTSPPAAKRIKLEERTPTHLDVEPNEIQGNDSEVADINEDD AVEDEEDENNCSICLHSVVDRTVIPKCSHEFCFECLLMWAEQSRRCPLCSQAIGDYLI HSIRSRYDYRKHYLSPLRTSPPPSRPAQATNAVLRTTRQNARRRREREWGTRVREHEE FDKLERSILKRRWIYQHDLYAKHVASNSYTKYRPYPTPSQFSNSQELISRTTSFLRRE LQVWEGLDVEFLTNLIISLMKAIDIRSESAVKLISEFLDMDEPYTPGGRHVNAEHFAH EVYCYVRSPYRDLFVYDTVVQYDLPAGVPPPPDLERSRRWHPSSPPRSTAGPSQENRT QRLRSRTRSSERECSWSPSGRQYPSTRNRITFDTPGASASKYAEHGSHIRTRNVNLQD KGRSSISDDEISHDGANETWEKDVPIASSSKSTVFSSAASSSRNVKHMPIVGADDYRT KGKGKADAKEYPKAAIELANDISESANTPDAEEIDQDLGHDPPDGKHMPNDVFEDVDP KPVPSRPTRTRALRPPRNSSLRDSVKAHLAKNAETWTGAADSTKVQPTRQPSTNETAP PMSASTEFPPASLDHTDIPPASNTQAQSLSVERQAGTRFVDISRADARGSNASTRDTR VGIGHPKISDPFRSSTEIPRTNIVSDAMSARLPTNIVQEDSVDRTLEPNARNDAANSP LKVITDVHGESSSSNINRPQNSNHGMCAADTISLNAAVPSSKFSPKISGGRISPMANT NHGRHSTCAVDGSVQRLASSDHAQKVHRDHDVQADPEIPGMEYIPIRKDGCTNTDSIT LVNHSTVPRAIKTTRTRLLARLEFEKQLAAGCPGIQDDTDPRALRDGMPVSSTAVTPA SSSFDASAVHMDAPLGDISDAPHRPDASAQSLKDNSYANTDPGPEHPEEEANVREARL RARIQLRARLAAEKRKASGY JR316_0012141 MSESNSSSPTHNRSDTNITRYPPSTTSRPHILLEEREEEHPSAR PTREYREPLTVRLSPPPPPPSEPQGAPQSSPARSSPHTTAHTAYTPSNTSFAVPSTST ATASTSSTTPRRRHRSRSTEHSPDQPMAKRMRRDSPAPLGRPDDHSSPSSDSQDDDAD NEMDLGQLHRPEPLPQASVPPKKKRTRTLTTPHQSAVLHALLAQSRFPTTAMREEVGR SIGLSARKVQNQRQKARRPRSQSDTPTARPPQYGPFPNAAETISHVHRGFEERGHDRT HYARNPSPEHQQQPTTEDTSIRLLGPGMPGAPVYAPQSYRQVRPPPISTAMPVESRYQ AGYSLPRVLSPQPYSSPRMYPLPNVRPATSQPSSWRERDPSRTLPPLESTRPSSSHYV PPRMHAPGAQVFPPPSRPPFIPHRSISPEPRFAHHPPEPPAPRQPITLPPPFTLQPSP QWDEASYVPRPSSSAWSRPDSRSTRGRSTSPVALRREHIGSSLTEGSGSSDTYYLAEH PAHLHSPSPRTMPPTTTPPSRSGRYDPVRATYVPFSTPTVSPTVSPTQATGGGGAHPV DERADRNDVSPAQEDQR JR316_0012142 MDENANSHSPRSRSRSESQSRSNLYHAHGQKHKHKHKHKHGEKH REEEHRHKLKHKVTLKHTQAQAQQQGTPKIYIPRLNASTSVSNASTSNSMVASGSGSG SGSGLTLVIPSLKSLKAAQSQSQSQSQSPSQAQAQVQASITSRAAAASSSAATPVGRH QQHRHHHHTAVYPSPEVDIDIDVDDDDDGDANADVDGVVEVDDRGHDGGGGGGGEGYA EGEGEGEGEGAGAGGDDEDMADDTTPTPTPILPPTALPSTNATPAPAHTASPTITLNS RVKAKGKWKDKDKWKDRDRDREEKAQKIPRPVKLKPLKEVLAKLIAQLKKKDDYAFFL HPVDTANVPGYTDIVKRPMDLGTMGDKVARGRYRSLEDFTSDFRLVTTNAKIFNPPGT IYHTEAERLETWGLEHIAKASATVIQYETDWNIEIEKDDEGAGGSGSGAGGGGAGAGA GGMGGLGRGTGTVNVDEDDEERERERNGTPMDIDTPAGRGERSVSVLSQLPSSSSHLH QHQQQPSRRGPRGPYKKHGQGHGQGQGQGQTLSETLEPDGGLPGSKDGLGAFPPGSDW ARMMVLLKLKGKRYKTKKERLRIEREGPPVLPDGSLDYSEMEDPFSVLSHLVPDPPLP GRPVLGPVYPPFWGSGSGSGAGAGYPSGNGAGAGVGNGNGNGAGVGATTANGAAMGAV NGATTTTTTQGVGAGTGAGVTSTSGLSTYPPLPLPVPVPVPIPQQGQGQGVAGPSSSV SVSSPAVGQATASGSGSGLGLGSSSSLAPAPIAGPIKVEASASTSTSTSSSAAGGGGQ HGHGHGAGKGTGTGMYLGPTSVGLERRALDLRFLAGLSGVGVGGLSGGAKTKSGGTAR AVNGSANGNGNGTQTPTSTPTLTSTQTQKSQPQPQQPQRRKHWTITRAGTSSGGGGKG KEKEKEKEDVVGGVEYYQNFYSSSSSYSTAYSTLYSTSTAYPARYMYGLHSQHLQQGV QQGVHAGAGGGGGGGTESGSGPGTGTGAELGMGSGTASGAGTGTGTGPGPATPTATPT PWYTPREACVLDWGAYGVLGAGLWEGAGVRGGVGGVGVGGGAGGGRTGAGAGEERDVV LDMVRDSLDVLSEEAVMRAERASASSNVNVNASASTNANANANANASANPTANYFTPQ RAAQAEAYLRDTVYGGLEGFAYVRSVAEFVDGRVRVDAEDYADVERYARAGVGVGGER GVERGRGEEEEERQEDKEREEKEEQKYNPRLRMPLAKWVERNVVDPLTGGRHAILREA AREIARQAQARASRAGVPSSAPAPELDIDVGLTHNPDPRTNTISSQVTKSLHIHPRAL GALAELARVRGEKIDMGALIRRPEELFESEEVWEGRWVRERRRGGVGASGTGAGAVGG TGVGAGAVGEGTGGTGGGVKEEDVKMRVVQPQEEEEEEEEEEESAMGGAGKTWAGVDS TIKQALGTAVSANANDAANAPQSQPLQPSAPTSQTAAAPATAPTTQAPTPTPTAAQTQ APTPTPATASTQLPPSAPATAPTQTATATAAAAAYEQESPEELNEVLDYVAGVIALVD RRVREGLRVRVGAASGSGLDARGLDEGGKADGEKEGNKEGNKMQVDGDEDENGDVDGG DGDVDVDMKVEVEDEVEDEGAEPLTNLTPASTGASVSALGITTIASASASASPLAPTP ALAPAHPQTHKTHKTQTQTQTQTEQPQTLPQEPQKEEEEEEEDKTLHTIRLNLLALSK RAPLDTIARLQAELVPEYIRGVLRGFY JR316_0012143 MPLSLANPPLFRFARGGFEMGNESFPIDAAQVVAVFMESVFYGK FPYCVLWVWLDVWRLGIYLVSFFACIRVLLWIDGWFKPLHLINRKMLFAALLMFLFAS LDVAFHLRHNLEAFVYFDAHPIETFEQTSNWINVMKMVCYVAQTFVGDSILVSAYVLW GLQKLVNCGSSHNSLAGNYGMRDHDYLHSIHDGHHGRKTAQCVQPRSVHHEHAMPDTR DKPAYNLSSAVQKSPLTSVLVVLIESGLMYTMSIVILFGLYMASNNGQYGVSNAVVQI IGITFNLIITSVDRGEAMQPTSGAGRISHLTPQESQGGVPLHMINIQTTVSRFPDSDL DVTPTKSNGEIDVENGSSKRGWSAETHG JR316_0012144 MSFFKSTFEAIKSSPVVKESSEQMSFITKVIGHARNEVIGRWNP NRRHDDPEEQAQDELRAQIRAGHRFTSFAAERSGNTAKWHVDGHDYMWALSEMIDNAT EVIFITDWWLTPELYLRRPPAYFPEWRLDKLLKKKAEQGVKIHVIVYKEVTQTMSMSS KHTKNKLEALHPNITCMRHPDHIGAKDSVQFWSHHEKIVIVDNQYAAVGGLDLCFGRW DTHNHPLADVHPTDFSKTLFPGQDYNNARIMDFKDVYDYVSNTLSIMDNARMPWHDVH MTFEGPAVLDLSQHFIERWNEIKKRKARHCSHTIAWLALPVDPEYAPNEAAARHPHLE HWREIGRKYRQRWHGPPHEPERSQREREECGTGRERQPGKKTARIQAIRSVSDWSHGV LTEASIQEAYIQLIREAEHYIYIENQFFISATKTGGSVTNQIGAALVERIVRAAQEGK KFKVVVTIPEVPGFAGDVKNESSIKIIMAAQYRTINRGGSSIYEEIRKAGYEPMDYIR FYHLRSYDRINAPKGFINKMEETSGVKFHEAQVALSRQWVAGDELTTQRQIILVVPEE ESLVPADKSKAQSTIKVDIPVDDNAAREVVERFENGARDLRSDEPVADSVAQHMLDDK TSLLDEQWLGTEEEELNAYVSELLYIHSKLMIVDDRRVIIGSANINDRSQKGDGDSEI ALVIEDDDMINSTMDGEPYEVSRFAATLRRQLYKEHLGLIPPQTCAERNPEVTSFMRP APYPNRDDTHTREDRLVADPISDDTDMLWKETARKNREVFSELFRPVPTNLVRNWDAY DKYRPKGVKTGHVVPGIPLERVKKRLDQVKGALVECPLDFLIEDRDLVTGAEWTHLNP TLPIYI JR316_0012145 MDSSLNNKTLLDAFIAIARSRDVDRKAVECGEEQCTYGELDTVS TGIALGIHQKFGLKPVVAIISENHPYVLATILAIWKLGGIVAPLDQNVPRDIMERMLL NIAPTCVLMPATELGVQNVVKEMSLTPFPYDPKDSTITALMQKYLEQSPEMSAHTFPA PTGEDIALYLHTSSASSVNNVKCVPLTHQSVLAGSQSRLSWWKRTWPQQNFEHLRVLG WSPWSHVIGLSHDLGAAMILSGGCYIFSIVPSAYGSSSGSKASRYLDVCGQLLETAIK MKPTAFAGVPWVLEGFMRTYKGELDPARRVRIHDAIKSFKVFGSGGASTSAECIEWAN QLQIPLVLDIGMTELGGPLFHSTTNGTEGWYSKDCLLSDATLSIINEDGKVSDTEGEL VIRSRFITKGYLQYDNSPFTVEDDGTVSFRTGDIYGYVADQRLVWKGRKEDYIQMSSG ETLDPRVVEAILDQCPAIARSCVVGNNFLKTSSQVVCAIIEPAKDLSVSPEARLSEIT RAISVANRGLAPPLRISWARVLVLNPDQQIPVTKKGAIFRKKLDAMFGEQLSSLLSRS AEGIAPQTETKSASSNRAEGKTKDQIASIVSSIVFETLHITEETLENNSQATFAELGM DSAMSTMIVNKLNRQLDLSLPLNTCHTHIDLDSLIKAIISDLGMDGPSSKARPSTRVV PLNKPKEEIVIVGQAVRLPGDINSTESFWQALVDQREDIITAVPPSRWDHASFYRAPD SKEPPSPCDITLEKAGFVEYESFDHSFFGISSAEAFHVSPNIRLSLEIAFEALENANI TPSKIKGTNMAVFVAASMDEGYLKLLFADKGWGAYTRFYGTGVATSTACGRLSYLLDI HGPSITIDTACSSGLIAFDQAVQYLHSGEGESAIVCGANTHAWPGTLGFLSAQKMTSA NSRCATFTNMADGYVPSEAAAGLILKTKSAALRDGDRIIGVVRSTDVKHDGRSQGLVA PNVKAQIAMQIALLEKAQLSPSQIDFIESHGTGTSLGDLIEIQGINEVFEKSHSPDRP LVVGAVKSCVGHAELVAGLIGVLKTLGSFTNETMPGLVQLTKDNMNPSLDCSVVPLHI PYEEVPLKTENSLPLRALILSNGFAGSIAGAILEAPTEDMKPRPSPSIPESIPMMFVV SAKTQDGLTQYLENYLDFCLNAPASDFHSICYTTCIGREHYRYRFACVVSNMQDLIAR LEDRLQNTSSPAGGNARRILLGFPGQGSQYQGMGRYLANQYSGFRNIITDAANKASAL TGYPILPYLVEESSPSKLSIDQSEVAQVCIFIFQYAISMWLESIGIQAHAVMGHSLGE IAAAVVARAFSFEIGLQFVVIRSKLLRADPAKPAGMAAIAASEDKVARYIDTLGIKDR VALAVYNGADAHVVSGELKAIEKLMAAVKRDGLRTTKLNIDQGFHSPSIAHALPALRT WLDEHDAAISKLEKPFFSTLRGKEIPKHQCLDTQYWVEHAQNPVRFVQTARVATKTSS VDVIVDVGPQPTIWSNMQTPEFAGKSRLAFTGKRGKDQIVAMLAALASLHEKGFTIEF DALFAQMPYKFVTTDLPTYPFQRLYNYPAYIASRNSVLISAPQQQASSMQTKAAPAFV VDQALCDFLDLHKIEGRRVLPGAAMVDFFARASPTKAVKTVRFHTPLILETPETQVRS EIDEQGAFKLVQQDLENTHICSGTLSEKPSSHSSKALNKEPEVIPSQMMSKAQIYECF KNVQFGDPFRTVQEVRIWDDHADGDIRVDVTGNPAHDRIRKLDACLHMFGAISSRVAP PMDDSAGAYLPASLEDFALHTDDMPYNFTCRYKLPLEVGRGARLLTASFEVFSETGTL LVSCKKYSVAWVPRGVVHKEQKPNTVAKNWFRNGWASQSLPPQTTPVHRFDELLYLGN GSASRVLAALSSSAKDAISVELPHLSHDDAKEHPKVKSVPCSKLETLPSTLRGQDVLV VLDLSKSVNLPGSEGFSTLCLEVLSFLKLMIARKLHITSFLALTCASTPVDLYKEGLD LFSDSKISPASLIGAVLQGMVRVFRRESGLDLAAWCLDLPHLDTLNSHKLQEILKNEI QARYNSTYADAFVSYRQNAPDQTLTRLVPTLEEIEQTPSRSPSGTTVIVGLGSIGIAL AVSLVESGVNQVVFFGRREESNEAIRKELSNLPEKVRAHCLYQQVDVCDLKSVKKALA DINYVHGGIKNIIHAAAVVNDSTIKSTNPSAFEGVLRPKVVGSWNLHLASQELNLALD SFVLCSSTNVLVGNPGQIAYVSANSFMDSLAAYRHNSGLPGTSLQLGAWESKLISNID MNNSFAFLMKHDEGLPLIMKAMMIPIPLQVIARMDPTKLAATPAYAKDPFFAPFLSTP KASSKAKLSEAEAKKIITNILRVALELQPSEQLGMLSDFFQISCSFLTMKPIDIAEPL TSCGADSITFAQFKGQVLKEFEVDIPMVYLSDAYSIGDMINHILENYGAA JR316_0012146 MDQENRNERHGGLSRSSGRHGSKTPRKVQWPDAEEDSSTHALDE QGLDRERFQELTDALERHRDKGTPLKKVHYYSPQSTTSTSDTDNEAEVSSISPSESEP EIKGRRHYPNTPPNRFINYPPTPSEASPTHDVPGNIIDEDEDSGLPGTKDLRQFSMKQ AEQVVKKHIGKRSRSHSLTGRRPTVEHQPHDIFEKDAERHPDDSSVHGKGILSTVLNL YQYPSSARSLFSSRSSLESDSGHSGSEVESSDLLPQDRKVYSRPKVKMPSMFGRTTRP STARSGAGVFGPLIVSAGNLAGVAAPQASQLQPNVKRPGYKLSRYSLEPKYPNPPPMA KRRHSSGQSDVHRHPRSSLELHTTPPISPTESHPFYKGGHKMNLSNAFGGYVSSIRSG ISGHSTPRTSTPYGSDTDMGSPRRKPHKRKKAEIFITRHIAHIIRREEFIMKLTRTMM MFGGPTHRLQSQIMSAARVLDVQLSFLYLPDIVLLSFDDSGTGTSHVRFIRQTSALDL GKLADAFSLYWKVIHDKLSVSDASSELDALMKKRPMYNWWQQVVIGGMCSASICTISF AGSFIDALASFPLGAILVVVQILGSRNTLYSYVFDSTKGFLVLSGALELMSRQIIPGS VRLLFAVVYALFLGFGFSIGAGLFELFTKHAVYGAEDFMCELTHDPSGPWYQRTPSKW WAFLTVPMFSFFLSLRNQAPYNRKEIILLVAIASAGWVTNYFTGRRYVGQGDIIAAVG AFAVGFIANAYARFFSGNAFVVMITGILFQLPSGLGSGGLLSYASEQAGGSANSYLSG FRTALKLVSVAIGLTIGLGLSLVLVHPIQSRKREAGIFSL JR316_0012148 MALTPDSTSLYKLNQLDPGPPRRRRTKFGCKCILYVVLTFVGLW GTYASYRALQNRLALLRHPYQFLYQDPKNSYRPQDIVRPLIDRNQTFDVVATVWIRSP QTKISGVEVGYPDWMQSHQNLKLDETAIFSEKVFQGLRLQDKNKKKSVNLQVPTEIFK LRELNNYDLRASFVLIPTSPSLLDNATFYSSWIPSEAQYPPMRPWPVDTAFPSLADNI VDSYGTFTPLIAFHDIKSRCPDSFQPEDVEDDETYYEEDDIVLSFGVAENRKKWASTK GRSVLSSHPYIITRSFLRVVDMTQIFNKPAFDNAHAKLKSYSCGRLSTINGFRAWASW KDCIHSYYAIGNHAVKIRIAQISEKTGKERTEWAYAPYLSLADNAWGTKDLVPVPVNR QQCIEVDARESSESLESPTVNITWNITFSGVNLFELTAGNTMAEAMPPHNMTDAEHGL HSQHLLFEYSQGLAGHRFRDDFHPRREMALAVLNIMYWFTRSSTSGISIIGSALQIIS VVLSSIATVVYKRLQEESISFSESLKYLRMVNALFTAFLILKAITRGEIRWWKKVVPI ITFALATHAERTSQRIEARTSLRSIMIAYLVLSTASYVIFHQQYYLIAPRYTYGEPFK NSLCQFLFWAPNYLLHEPLGLLSLALQLILNYRMQTFAGMYKANTGVTLTAVVFELIA KAPWVIGEGSGLGPWTPFNFLLMCSMVVYAVQAWRYVSVASLEDEEDEK JR316_0012149 MNLSAAIQASKPPDDHLIKEQREIRTKINALHDPITRRLSRETV SSIFLYALPDVWYLDDEDDYSAGCGDGDYDNVYVSDRVYGPLALGAVCQSWRKLAWNT PSLWTNIRIFFQRRPDLNDLQVVTEWLKRSGNLPLSIRLSEYNDSDSSDFEPSQRAVH NLFIDLVNQYSDRWRYLEFEGLPETTTLVGKDHNFAPFLRTLSVQYARDEGAIFGAMS GAKPRPTNVWARYCRFSALSIDWSHVTNISMTGIRLPECFMVLQASPQLTHCRWSIEE SNDKSERLPPPPVLSSPKLHEALQIMHISGWKLPWPLKSGPTAPHLVHLTLDQLDYGH SKHDVDFLYLLANTSLPYDPQSNNDNFTVTLLPNLQSIKYMRKHGETWPTDCFWDCVP YIIGPIQQIHHPRGRPLKSIRIGWLNTGKELVPQVMRKETVLKLLEISAAGIVLDLTE KYSRHDLLKLSMNFHGIVPKHQPNE JR316_0012150 MSSSTRPVVFMDINIGETPAGRLKMELFSDIVPKTAENFRQLCT GEYRVNSRPQGYKNATFHRVPNFMCQGGDFLKGDGTGSFSIYGDKFPVSHLLSVHEHF SSALKDENFQEKHTGPGPNTNGCQFFITTAKCDFLDGKHVVFGKVIDGMLTLRKIENV ATGPNNRPKLVVKITECGEM JR316_0012151 MGHPDEVDVIVCGGGPAGCVTAGRLAYADPSLKVMLIEGSPYLP FYLELVLMERQAVQTTVMTLGFIDNSPGIFVKNMQRDGVNDKATFYVDTMQSSYLRGR QSIVPCANILGMKSHFIERDSHRVDTLFRRRKQMYTRASGSDWGQSLFNRVVSMISDA VLKMTSRLLVGLPKLENYQKPVNNDTHGYDGPIAISNGGTITALAQDFLRASDCVGLP FSDDLQDLDTAHATEIWAKYINRHTGRRSDAATAYVHSVMDVQTNLYLRTNSRVSRVI FEGTKAVGVAYVPARNRAHQGQLRETIVRARKMVVLSSGTLGTPQILERSGVGSKELL EKLGLKVVSDLPGVGEEYQDHYTTLSIYRVSNESHTLDDFLRGDKEVQKEMRPTEAEL KEMGPEFNELWDRYFKDKPDKPVMFGSIVSAAYADHSLLPPGKYITMFQYLADTPSHP VISQEYPASRGKIHISSPDPYKAPFFDSGFMNNKADFAPIRWSYKKTREIARRMDAFR GELTSHHPRFHPASPAACRDIDIRTAKEMLPNGFTVGIHMGTWHKPGDVFNAEKVHED IVYSEEDDKAIDEWIADHVETTWHSLAMKPREEGGVVDGRLNVFGTENLKCVDLSICP DNLGTNTYSSALLVGEKGASLIAEELGLTIRIPHAPVPHAPIPKGVPATQQVR JR316_0012152 MSQHKPLPDLPPNYALSVESRNHRAGLIRHFLEDIHEPGIEARR DGWVCVFEEALDEMSEEIERENWLAAVKRGKQLKKSLGKPSSSSKTIRATPVRAPPEA AKDSSKEDTTAGSQTSKDDTNILASTSTGPSPFQRLFDLNSRPHIPAGKHRPGHLLLC LSPHGRRLPLPTEDSGFDVLPANIGCTFSPATFTLKKTETESETTILYGLDGLEHDYL DTQLRLIGGTFTLKGVNSPMQHMLLSKVLRLAIYIHLALILEQRLLRDSGVEVKFTRP KLPVPSPSTPSNPALEKGVNQWRPTRPKMRNIPSSFTNFFMKRSLSHRSQTINSVGRG GSLDLTVNLVHAPTVDIPVDSSPRRSTDGHGFSGLRLNRFSFLGERRLSLRRSTHSST PVDSGAITPSLPFVVALKRIEESKGFLSTSPGVILNAPKLLVDLADKENLSIADLENQ PPKRRLKGDERLALTSLLGWDGKDAEGRGMSGILGFVRQQQISVLCSTHVPPVAPAKT PANVAPSPSLTNTSDIPSQGSSTFTTNSTASSSSGASVATVSPNNVKTPPFNLPTSGL SPCGKPHWITYRYYSNGDSTLGEWIEEFTKSSNLPCDRPECKFTRGQHEIRVIHDGVR ITFRSSKTSTEAKKDEGETEGKSKEEKPVGTHDANEINDEQHISVWETCAVCNASTSR KLMDDGTYLISYAKFLELIIYSPSIHVLTPPLCDHTNPSSGPSNSLHPSRFNIIRHFS TPLGQISLSLSKIEDIFEFRVPRLQITRGTERSSPTPTRYDSKPEPETTVDKKKFLRK EIKRWWEGVADHIDKIEKVLNSEDATQKALPRLPSIDDEYAAFDVTAEGSGSVTPRAV SPLPSLPPTAPNSPSKDHGPNTSYFTTQSSVSTDTQASKAPTVPPKEDPGRLLSTLRH NFQKIEQSLYSQLAKTPETSLNDVRRSFIATGKGTQKRLKAWQKKHLSSSKAKEVGDL IAEEPEWWGKGCHAVPGGNIIVREDDWGSIIAHTLSTTDYQLELANLSIARAAFGASQ PAATPRTITDSGTSSFFSVAAGYRLFASSSSKNQPDPDQEDVVWNEPEQYSAVISRKE HTRDPTSLLSIRDVLRQTSIPEQPSGTTTPSRFASLSNATAQIITGTKPTAVKAKANV GISKEAVDGTMDTSDSVETGIQLLQDLENSIPSPPPSVDSEKPSTGIVETHIRRVKDS SVVSANSAESQVTVGKDDIPPPLPPKDGQKTPNSVSSPSTPIPVTPEASAKSETVHHS TASGFASTLAHGINSAVRYVLHSETLSQPSTPPPPSSAKKHHALLADITTFDERPHIK YDWTIGKRLKFSCTVYYAKQFDVLRKRCGISDGFVQSLSRSTNWAAEGGKSKSNFWQT SDKRFVIKTLVNAWNVADLQVLVDLAPSYFRYMDATASRATVLAKLIGFYTVEIRNLE TGAVQSKADLLVMENLFFDKKISKTFDLKGIQGRKVRSHGDTTKTLFDGEWIEGQQRT LTLVRPHSKLVLHEAIKHDAEFLSKSNIMDYSLLLGIDEEKKEIVCGLVDTIGSYTFA KTLEYKAKQGLQSGKEVTVMPPTEYQDRFVNALEGYFVACPDKWSKPLDESLVIHDPC LLPSIL JR316_0012153 MPPGALIEGEHSSLLELHHYPINNSLHGSSPTFLETTPHQMPPD QSSFEQEGNYEETIEIPNVPLSSSPPDFTSSSPRSNSSGEYDSNKTSTSNSSLSNISS SSPLDLTTTEGFGQKLHQLLLEALRERELRDKTDFSFYSDSSVVQTPEISSVPHEKAS LCQIDSSFGEISPISFEVPKNSISSQKIKMPPQYRIIKRALSNGLVQGENETLTKRQK QGKDVNVRTYDQIYARRTASLRRAESLKSVDSDGRQAPLVPTVPATPIPAKRHTAATL PVPIIAPPSEHVAKLPMSSETFAKIRLERLLQRETEGRMADGLLPGISIRPPPCMIQN RGECSPLDDDSKLSIEDDIRFDWEVAIGLGSKRRKTIVAWLLKVYHSKFAKPTSAHLS LYRYCRLSQLE JR316_0012154 MPASFQTPCKDDSGNPNCSNLYRSTKALEQQGLGLISWDIAIAC LSLSVKFHRDFLNPLVPVYAHEYVTLAPHTLSYEDLEVTFAPSSLASAVTNPVQAAHR DILSAFDYRLGITPQSVLDELWLALPSLRSLLDFQEGWKQATITAWSCLFNFLREPDV QRFPISILTSVSLMDGILKSLSDHYRSEFWSSDMFVDDGLGQPLDEEAFDTMDVYEKC KNRAREDAEGPTARMPSLGVSHQI JR316_0012155 LFPRRHDGKVVLPNPAQIQKEDPIVQISRSTSHMMLDGESTSHT IQQRTLPHKHSINEGSSSSRPKGGEGGLQRPHPTSSTRLNSSTSAKSVDNYAQLSSLP EFKAFKLNKEGQPETRETASSSPGSSTSKDGTPEPQEWMREVKVSRRTKKQSYVRSGG EDFCIRLYTGQEKH JR316_0012156 MSYFLPHLPSGWHVDEAIKSEEDRVVVIRFGHDWDSQCMTMDET LYSVAEKVQNFAVIYLVDITEVPDFNKMYELYDQCTVMFFYRNKHIMIDLGTGNNNKI NWAMDNKQELIDIIETVYRGASKGRGLVVSPKDYSTRYRY JR316_0012157 MATQQPVSAQPPALKLDNIQGDILSGLPKKTETMYFFQIKDALK FRRDLVSFVPLVKSVAQVLKDRKEIDEHKKGHGQGYGHGHSVRPHLIPMVGVNISFSH IGFKTLDIDDKKLHDTAFLRGQRLDAGRSLGDKGTGTGDSFVPDWDEPFKQDIHGVII VTGDSHATVNKTLHDIENIFGVGSNNASIVEVTSVRGDARPGNLSAHEHFGYLDGVSN PALIGFDTNPPPGPAPIRPGVIVLGHDGDPNVTDRDGWMVDGSFLVFRYLFQKVPEFD DFVERNKLKVPGLTEKENADLLGARLVGRWKSGAPLDLAPFKDDPELGADPQRNNNFQ FSAELNFQKLCPFAAHVRKTLPRADLESLGFSTERNRIMRRGIQFGPEVTKKERADKK TYHGRGLVFACYQSSITNGFQFIQQSWVNNPTFPFGETTPEVPGVDPLLGSGPNRKLS GVDPNNPSFELVLDDEWVIPRGGEYFFTPSIKGLSDAIALAA JR316_0012158 MSTISKGDVAPIFDFSQTPLAKVYEGFYVKVLDNVFTRKECEDL IALAESDQEWTAAELNNDVVPDYRNSLRILRFDHNTADMIFQRLLPYIPELIEIEPGD EWEGVIGKPGTVEGTWNLVGVNERLSFLRYEKGHFFKPHLDGQIELSDGRQARVTLQI YLGEEGVQGGATRILGPKGKYVDIEPKMGRVLIFQQRGFGHSGEGVREGVKYTLRSDF MYRTIYIEEIEDVDE JR316_0012159 MAIELLPIPLPETADPSKFTKFGREVKGLDPANFTPEQFEELKD ALYKYDALLFRNVDMTPEQQYALTKAFDPASENYGHGNKQTEADKKSILHPDLKTIPR VPQVQLIGNGTVYNHEGLAEARLKHPHHKTFHKSIVSQEDEDKGVTRFYRWHIDAALY NLSPPKVTTLYALNVPQGPKQVVRYDDGSGDELPVPLGTTAFVSGRTMFDILPKELKS VAVRSRVKYAPHPYVWMAPARAKSTGLGIESDGLESSFDDLPPWEESRRKVYPVVWKN PVTGELSFQVHPCGVAELHIDPIPEGNPRDGALYPDGAHLTDLKEVRDLLYSMQRPAI SPEFVYPHDWKEKDMVLFHNRGLLHTVVGAFKPDQVRAFHQCNLAASDEPTGPTADDV KKWA JR316_0012160 MSAIEDSQPRAIASPALQVDTSSIPQKQSLGERLIASKRKLTTR NGWLGDYNYSWLCLPPVPFSKAYNERSPPFYGLNDDLPVVLAAATGLQHALAMLAGLI TPPIIFASALNLDSVTSAYMISASLIGCGILSLVQMSRMRLFGGYYLGTGLISVVGTS FSTLSTANAIFDAMYADGTCTSTTLADGTIQRNACPEAYGKLLGTSLICSFLEMFLAF VPPRTLKRIFPPIITGTVVLLIGASLVGSSGALSWGGGSNGCQNFPASGIFQLCPTIF APRPLPWGSPEFIGLGFLSFISIVLTEMFGSPFMKNISIIVGLAVGCIVAGATGYIDS SSIKTAPAITFLWVHTFKISVYPPAILPISLAMEAMGDITASAEVSRVDVRGVEFDSR IQGGILSDGIGGFFSALFTVAPLSVFAQVRHMTSYAS JR316_0012162 MASQTPKRVLVVAGLGNGSGTGASTARLFAKNGYSVALIARGAD SVNKLAQEINGSGGHAEPFPITSYSNDDVTSAWTAVHAKFPKPEYVVRVAVFNAGFGV WKKFLDITPQEIEESLQTNVVSAFAFARGAILAFKDNDIEQPNGKKGALIFTGATAST RGNVVTSAFAAGKFGIRALSQSLSKEFGKENIHVAHSIIDGVILVDRQREKRDTEWQE NEDVRLRPESIANSYLYLVNQDRSAWTWELDLRPAHEKW JR316_0012163 MSSLAFLVTELQSLASETRRKHPEIREAAEKSLAILRASPEQAT ANLASDGPQSDDLLRPVFMGCRTKNAKVVAISLGSLQRLIALKAVPQSAVPLIISTMS DAMSQGVDIQLRILQTLVSLVPNFPAIHGDLLGDALLLCFKLQESRIAVVSSTAAATL RQLVMFVVDKMVLEDRQEESEEIPSADLSEVQLPDGTTKLLGPSAKDAFSVFEDLCLL ANSEKANFLKLEFLHKTFALELIESVLTNYHEQFRRHYELILLLSHHMCPLLLKSLSE RPQFPLLLRCTRVVFLLLKKFSLELRTESEVFLMLLIRIISEENGPDSSEQPHHAHSS KPLWMRVLAMEIMRGLCSDAELIRNLWDRYDALDTGSKAVTSLVTALKRLVTEKPALL GVSQQMGGIGVQSESSSSATGAAAYGLDMAGRVASATVSGVVTMIGGEAGLSSYGSAM KVQCIDQLDKADAPAIPDAYLYLLAVQCIVSLSEGFASFSGPIYSQIALQRPRAAGDA VVRAPPALDLDALPQNDPQTHNLRTVQSIISQAWPALLAALSFIISTNLSDELFVEVL ASYQAMTNVSGMLGLTTPRDAFFNSLSKFAVPPRVVSSLETWVEHPPPQTPRSATAAL SEGLGLGGPSLPPGLSERNMACLKVFLGCALFLAGSLGESWYPVLEALQNADSVLGVM ARSGGGQSSKKGLFGGVAAAASGPSSGGSGGGVPASRSVSLTMSNSQSNVSNAQAIRH PLLSDLEVETMQMAVQRLFDSSKNLEDSAFKDFVNALCKLSSEMVGMQTTEVVAVNVS ETGEETGATGTTLTINKSQESFGNRRRVSGIYIPKNVRSGDFGISKLGGVAMLNIHRL IYRSPEIAWNATTSHLLMVIGLPFAPQSIRIQAARVLDEILLVIPRNLSSTGELQAEV QRRVLDVLAQQIIPDSNVPLNQQTTTSVEIRRMGLETLHQILQAAGHTLVVGWETIFE MLGSVCLPASQSFGSSGATRPTRSRSTDSVSILSGPPSPVIRTKPLPIGLSNPTEKSY NALVKIAFQSLTLVCDSVSSLSPEHLRLCISTLGHFGHQADTNIALTAAASLLWSVSD AIQSKRKNMDEEPEYSELWMFLLLEVLGLCTDSRAEVRDGAIQTLFRTMQLYGATLSM DTWEQCIWKVTFPLLDALTDQIRSHKGADQPDEGVEHSWDESKILALYSIGSIFSDFL IDKIILLDSYTKAWDAFMVHIEETILTDNRCISPPALRCLEKAIKAFSGAEGVIRVRV SESLERIWKTLVALSTAATKKYTSQVDLENSPNPPFTQEGLVAYVDVIQGTRKASKVV DGKEWDLPRLTTLMAILKGVLTYPHSPDYRPDIDALPPVQSVVMDTIVDIDLSIPGSP SLVMRDLSEYITLPFLAAFDVQPSSKTSSQQTPQKRITYIAISKKTMPMLVELFLRFK SHEDIYVDGTLESVLSAYSIPVKLKYDCPAPSKYGKDLPLWKTATTCFLRIVKESTLQ IDAMGEKINDERIEGIWRQILDVFRGGILADCSTAENFSLDVQEAEENFDLALIASLE IDVVPHLGGPRVPDVLVAQLGKILLQGSKIYRVEDSEMSPMTSRPSSFSSVSSTADVP SLSMRRGSTSTDATSPAVSISPPLKVIKVDVDVNYPDLGTTDFGNLVPRERFSYWCFD LLFLICSDVTRDQEQSRRRLAALSLPSLLNRCKTTLLGYVADESLRGNTPFPRAREEE LLYVLTKIHGLRLWPGSLWAALSDKPTEYCINQPDIPIPSTPQELIADSVKRTTVAHL FHFYPILCEIASIPRRSPSEIRIYRSLHTRADKMEVASGGGEALDLLGRDNNSVSDLD ARTVARECLKEIGREMGVP JR316_0012164 MLAKTFLVSLSLFALLGSASEVLKRQSDQCTTDCTSLITSCGGG PQTCPCTSDIQKAAQSCLNCLASDPALASMAAGLGLDPTTLTSRAYALKRKCAASSIS LTVPQAAATGSTGGASASGSGSGSATPTASGASPTGTSPGSGTAAPTASTSSNTSSGE KLRNEAQACLNCVLQNEAATVIEAGFDPVAEAREFNTICALEGLHITIPPVGGSTSTA KPSASPVGTPSIVTVTVSSGSTAGSQSGGTSSAGSPTGVSGSANGGTSTGGSGTSSGG GSGNGDPASGGSNAGPQKNSNTSSSQRSAVEMAPWNITAGLCDPACDGLIATCGASGG ECPCTTTVQQEAQSCFDCVTTKEAGINAITGFDPVALAQAAALQACINCAIRANPTPF VVDSAKTLSSSYSTLCAPFPNTPAVTVPAVPGASSSSVIRSVTSTSTTSSIEDGTFSI LPIFPTTSGPQSTISQTVPFPSTLSQITVRPSDTESLSSSSSPSATADPGAPSSLGFK NGASNVLWVTIGAAIGVVLII JR316_0012165 MRATKVVFRLLNIYHNCLSLTIIRTVAAGSRYLREDTDNLKRQT TANDPQRRIAFNQKCAGVVPSVTVPAGASETGFSGGAGGFSAGGGGGGFSSDDSGSFT ASDDSGGFATGTSGGAFNTGSGFGNGGFATETGDDNGGAPAATGSPFGESSSGGSSSS GGSNSGDSSSGGLSGGSNSGSNSGSSKSGPVAGQGGTSGSDSKASGDLPTAKLSMRSL YSGFGFISIVTLFVIF JR316_0012166 MNPILKFPPFNFNSPTAGPSSNVAVLDLVPPSCVQACQTTSSSF QTCEYGMCICTQDNADGLEDCVSCLYAVSPSQDIYDAAQGIFSNFEDTCSPAFDFSLQ IVPDYFNNGTTPTISIDPSISPTTDIPVPSQTAQWTVNNKQVCRAVRRKGGGVPMSSG IMLRARSAAEKKTSKPGWKLVGATLSIKGSRRDDMKVSNAALVWAFFTAKLLAAGEIG ADGDSVETDDEDEDWDPGSDTCKGGIGKGSQ JR316_0012167 MAPFSKPETVLKQAEGLISVGQSHAALQSMTEMFSSKRFRSTPL TSLEPIMHRFIELCVDMRKGRTAKEGLMQYKNIAQNTSVQSIETVITRFVQLADQKVR EAQEKAAVKSAVDIDDLEASETPESILLGAVSGDQNKDRTDRALVTPWLKFLWESYRT SLETLKNNMRLEAIYQQIAQQAFKFCLKHQRKVEFRRLCETLRLHLSNVAKYSHQQYS INLSDPETLQHHLDTRFAQLNTSVELELWQEAFRSVEDVHNLLTMAKKAPRPAMMANY YEKLTKIFLMSGNALYHAAAWSRYYAVVSSIGGVSNEEMGRLAAQVLVSALAVPVGLH TEEPDELKGKNARLTALLGLSRMPTRSGLLKDALARDILKLSPVSVKKLYNILEVTFD PLTLCATIAPLLQTLAGDEAFSLYLPLLQRALLSRLLSQLSQVYSTIKISNLLSLVAP LKDAGLEGSFDDEQVEAYIMGCARRGELSVRIDHKDGSITFVDDPFVTSDDQPTASTS TASTSTRESAIQPSTAELVRTRLSKVALCLHNTLEAIEEKPPAPTAEEQAARFRTLVA AVESERKALQLRRALVARRRELLSELSVRKEKEESSRRAESSRREKEEETRRAKEDLR RREQERTKKEIESIRIDEAKKYAQSLVDKGILKANEVDKLESFDTEGLITIQVAQLEK EKKELQERLRITSKRIDHIERAYRKEERSLLAQDYEQQQKTDKETFENIQKSRLEAAY RAHQEDLQTKARLSRMLGDYKERRQVVIEKKGEEFKRKLEAAQRKIAEEKEKRRKQVM KAREEEAKRQAALEEARAKKEAEEARLAAERAAEEERLRLEAEAAAARAEEEKRKLEA EAAARREAREKERQEAREKALLQQRREEEALARRAAQKAAPAPSAVPASVRAGAKDEP GVWRRSSATPTSSVPATPVRSSADAPAPPSRSESPAPGAAPAKYRPGALGGGGWRQRE QEKAAGGGPTPPAAAAAAAPLAKEEPKKDEDGFQTVSSTRGGGVWRPRRGRA JR316_0012168 MRKSFQLGTNSTVQRKQSFTTVLKEMAKDDKERSLTEWARPSII IGEVEDQKKKPQINESARDSKESEILLFGVTENGHSILVRVTGFEHYLYIPAPKGISS ADLNPLRDYLNALIAPPGTESLSSTNQLVSRIDMKQASTSMGVVPILINHLLRKELKS HTSYGSWLTKA JR316_0012169 MTWLSLPAEKYEVVPKDKAISHCQLEVFASVNDIEISKPVRNRK YAALRIVSFDIETSLRFSNDSQIIQPNAQEDSVLQIGCMAANYGQTNPYLRTIFTLKS CSPIEGAQVLSYDNERELLMAFRNFVIEVDPDVVTGYNIAQFDIPFLLNRADALEIPE FPYLGRVKNSPQRLSGLPRNYLWNCPAFTGRLLLDIYFFIRNSKKFEGKGNSTLNAIS RVFLGEEKESVDYKQIPKLQDGTDSDRRTIAIYCLKDVYLALRLLPKINCLEQDVEAA RTACIPFNAMRGKSYLIRVAQECRSQLNSIR JR316_0012171 MAPSSQSFQLPDILGVINSIELRTNGHCRYATEASEKWLTETGI LSVDELSYIHPTKIGLLSALCFPKCDFPQLRVLTDFCMLFFYSGLRENAEGETFRLWD VDHSQLSAQIAAGSVGEMDYKSGLDLLKGHVLLRQLHQRLVNLASAASETWNARFKKS VLDYQAAQEVRRMNRSRNDVPSLEEYTATAREMHGNSVLLDLAELLEVFEFPDLRGTE AEKIIHMKHAALDVIAWSLDVVSYQLQQYRGSTYNLVAVLMHHNSLSVQGAMNQCGNM IKQAFSSFCSTERAILDSIDSSKSSILSWMWTAKEISADTEAISEKIKRYIRALKDCI SAVIHWAYETELFFGKKGSEIRTFGWVFVDHIPVITE JR316_0012172 MVELPTELWLRIIHFVPDEHLFRLASVDRLFLDQATDRRYRHFI IDDDRPQILLGKLAKHQDSSIAGRVRSLTIHPMAIRSACLRSGKGVKNRVRPAKNQYW PNDFRYRTAKYPIEEDVGLADKILDLLANLSNIDEFAVEWDQGVADELPFCLPLLNAI WPIFHNNLRVIKLDMILRHMAAMVSPLTGLDRVQEVSLHFTTTDDTRLASLGGTGYDP KDAFSQLSAFLNRLSPSLKAMTISSSGHLDFSSLYNNLTYFPRLISLSILLPCDPRHV VDPKGLGRFLRVHNRVERLNFTPKHCCCQSKQDPGATPGHISPEDWLSRVFENVIFSN LHSLELGLNVCAGGKRVMLAVPRVAGAAKNVKCLIITGCIIALEDLRLLLEPFSPAVG GTSPRTLVLEVRVLDVALLDLLADLLPGLEMLHLTYRWLNCFDCINAAEFTEQLRSRV YEQWKLSQLVLCCSRKYDDDQWPSRLAFAPSLPNLH JR316_0012173 MTDLTVLVSPMPLHPVPSGNFTFGRRSFRAQNRPIMVTLETEVD EEADGQKPVVESPTNGDFIDPPTSPPRRPRPPRVVEPDLLAPPVNTWKSRPRHRPTRS QSAPPERRVAPPVDLSASQEEGSQEQKVFYKEALGFKQRNPNRSFTAFNLNRHTNQGG ELVRPPPPLPYQSQFIMWVQSVFLFLGSTFPRTLAAGTVNPACSAVSTSTQFPDNVDI HYLEPRQLVTPPVPLPTNPTTTSTSFDFWWPFPAATTTTANTSPQTLITVPIAPVASV IAGSSNLRTEPSLTSDLSFVPSIAALPPTVSTTLSTSSSVSSRPQPASSSSSPTPVSN AVAKPSSKLGYLIPIVCGFSILILGFACWFIYGCCTRRPRVRTDDGELISGPPYIGNN ENDARRDIELQSCGSEDPGMLDIPKKGSRRFSSQFRWPSFNEPPAFDPVKGFYVPDEY KDDEEDYYTASLLVPPAAGNVRTKSSRTQRSTAAVKKPSPSAGPSGAASIFSDATSIA LLDMYESDGEAEERRRAQEVPWESLRHKSIKRGILEQVQKENKWMDSIRGSISRGSAF LSGRRNSKRREEDEERLVSDTEGHNENERRNLRRSVGKRRGHVRADSDLVIDSAKSKE LVDPSENPIPALKPVKMKGLPDDSSNLTRGHRSFVQLKDDPSDIDKYTPLPTRTTENR SRSRSQSRSRSSSPIKRPSATATSRPTSQERRSRPITRDILPNSPSQIMSPPLESQMC FTPIPPLMEVMLSPEPARNMRNKSKSSSSNKSVMSTKTSTPSRKSRKLHARTPPHLPF PGSDSLMNPKPDAYRGRLLKKRMFGPSGTDASSSSKKLPARPPQRQDSDVYRTT JR316_0012174 MPRKETYAGPLSAQAQQDLVSEGLENYELPKSVVMKIAKSALPD NVKLQKETVLSLVKGSSVFINYLAHDVAQNKQHKSISASDVLKALEMIEFGDMVNKLQ SELLIYREVSKNDKGKKGTTNGTSSARKAPQSSTSAPPKSKGKEKPGALPPPFTSAPL AAASSHSQGDTPMDIDDQTTSISAHGRSAGDDEDDEVNSATSDGELGNALEDDAGIEE EEEEEELVDNVALEEEELRNDSKGMDRDSDSDMV JR316_0012175 MHVITLHELSIDSIKDSKITNINLYTSRAHVTRSFNVNAAAGQT KITISNLPNCVDYDSLRVEGRGPAVIQGVAVAKAPIARPEISSPLFRDLDDRKARAEN ALQRCIKAKQAVEKYMGNISVEHMDISKLGEAMDVYDNTEEKWDLKIMELRKDIKDLE IQMDQEVQRLEMQVENKKLRTKVTLTIFTEIDAELEIILVYAVSNSYWHAGYDIRVDM QTKGAPVQVTYKAAINQRTGEKWENVPITLETSQPTFGVELPELKPWKITYEMPSSKR TRQTARKCTGGKAARRQVVSTPAEPDSDEDEDEDMMEFDVSTVTSKGNVNATFLVPGL TTVPSDQEEHGVTIAELLLEAEISWICIPQGSTQVHLQAKILNSSEYTFLPGPSNVYV DQSFISHSRIPGVASLETFSCPLGVDPSIRVTYHPQEKITSESGFYNKTVKHLYTQRI SVYNSKSVAIKGLKVIDRIPVSEDAALVVNLINPALDLPGPETDVSNSLVSISPGVKV GDEIIAQWNRTESIGDDVSALGKDGQVCWICSVPALGRNNLVLQWEVIDSQKTKIFGL DS JR316_0012176 MSSNIQSEARYVIVNKKSGTVIDLSGTDNYQVIGWERHNGTNQQ WETIEVGGGWHIKNVGNGKYLSLGGASAQDGVSVVGTDDHFLWELKTDDKDPNGVRIK VPHSYQNVDLSDHGNPTPGTHVVVWGRWEGTNQVWYFEQGIKPPLNYEASVLTSLCVQ FDFEGPIVSKENPMFPMKLER JR316_0012177 MKFQNAISLLGDLRLAISIALIPTLKAVVKEPTLLFRWQALSRV FFARMWVDFGDGIDGNCKDVKENLITPNAYGVVLDVGAGFGHTAKYLNRARVTRYVAL EPNVLMHDKIRGHANAAGFHESDGTLVILSCGAEESSKILSALSSFTTTSTKSPTAPL IDTIVAILTLCTIPEPQKSVTRLAQDILKPGGQLLMYEHVLHTRADIQWWQRFWAPVW ACAFDGCRIDRPTDIWVRDIKDRQDQRKSVWREVDSKRKEAEDEETLFGHIIGRFVKA JR316_0012178 MPLFTTVLEDTSPMIIYSSGWVSGSSADSSEDQYSEASFTVSIA KGSTMSISFYGTFFAIIGAKRSNHGPYHATVDGVLSDTFTGKSNTSEFNQTLYSSDTS LGSHNVVLFNDDNTFIDVDYVTFQSSIGEDDDPLIVNTFQDTHPAFDYSPSSSWGIPN NVGSFSGGSGHGASAPGAVLHFSFQVGWVCTRDAVALYGPVGPNSTSYTVKIDDLTPQ VLNANKQFYRSHQILFFAGNLGKGEHSLELTLNSPSSGQFAIDFANVYTTPSLGGT JR316_0012179 MSRYAVLPGHEYKAFIPYDKVFLVDPPKPTHIHLYAQILSLRPH HVRLSRSFPELGFPTDTIAFDYAIYALGSHLPPPLNLWDTSKSESGDAVYTGLKTQAC DWFKQKQAIIKDAPTVLVVGGGALGIQFATDIKSVYPEKEVTLLHSRLRLLPRFGEGM HDESEIQIYHRGAQLLKDCFVIVKSSCENMGVKLILGERLDLGSIENGQAKTNEAGQK VVRTVTGREIAADLLASSSMLISDPYDLYLLCTGQTPNTGILKSMDPSTVSDTTGLVR VLKTMQIHSSAPSPATGESDEPNTTTYPHIFAIGDAADAFGAIPAGHNAYSQGELASR NIIRLIKASETSSNTDYVPEPLEDYTPGPPAIKVSLGLTRAVYQVGPSIGTKDDGVPD LQAALIWPFFGIKVEKEEDMIP JR316_0012180 MKRSKEKSSPSPTFPPLNPYSVARWSGATDSETEEEARLRLQAM QDAINVSRGIDIMLQESKRDMERRRKGVKILLLGQSESGKSSVLKNFQLAFAPRHFES ERPIWKIVIQLNIIGSIKTILEALREEYEPNGQVTTPIDISPNSPLRTLRRMTLGLSP LFFIETNLLKILSPESTDSRDMSVRAGNGWKALLKARRDPFASGDHHSTRRRSQALLG QENDPSSVLLGQRDDIISLWQNPETQEILHRRRPLFRDQPGFFMDDIARIITPDYVPT DRDIIRARLRTTGIEEYRFVAENPGGLNSDFYITDVGGSRSQRASWAPFFDDVQAILF LAPLAFNQMLDEDRRVNRLEDSLWLWKDICSNRLLQNANLILFFNKRDVLAATLAAGV KVKKFVPTYGDLPNDVPHVTKYFKEKFRFSHKRFSPDARPFICHETSAIDIKAMTVLL TGVREAILRQHLRNGDML JR316_0012181 MSSIHSVNRSHTHLAWDNSIPPVIRIESGDTVSFSCLDASNGQI TKESTVATLSSLVFGQLDQVSGPVYVNGALPGDTLQVDVISVETADWGWTGLIPGFGL LSDEFPEPALKIWALDKEAGFAWFDKERGIKIPLRPFPGEMGVAPGKNGAFSTIPPYN TGGNLDTRQLGVGSTLYLPIEVEGALFSIGDGHAAQGDGGTAIETPMEVTVRLTVRKD RPFTKTPHFHTVRPNVNNEEHYGTTGIDSDIREATRSAVRNMINVLEAEFQMDRVAAY MLCSVAGDLRMHEVVDMPNYVIGMMLPQSLLRGPTSINA JR316_0012182 MSNSSGIKPIDKTSIHRITSGQVVVDLQTAVKELLENSIDAGST NVEIRFKNYGLASVEVVDNGSGIKEEDHDSIALKHHTSKLETFSDLTTVRTFGFRGEA LSSLCALSEEMTVCTATPTTAPRGVTLTMDNGGRVKAKSKVARTQGTTISMTNLFKPL PVRRKEFERNVKREFGKALSLLNAYALGPCAESPGIRLTVSNQPDKGSKSVQIRTLGE ASRRASVIALWGPRALDNIVDLNLDFEVERERASVKRLHSQALDAEPIPVRVQGLISN FALGCGRTGTDRQFFYINGRPCNMNKVQKAFNEVYRSFNATQNPFILANFVIPTDSYD VNVSPDKRTILLHSEGNLITALKAALEECYSSGRSTYTVGGGSTQGPSAKSIQTLLAQ SARQGKPILERSEGKDLSNERDDSQNSSGPASPAREGASRTTPEVDNLVTTRSQNTPD DSMDVDDEPVIIDSSQTKWGRQMGISTTRSTTLSPPPGPDQADSDPLHDNLPFTGVDR PLPSSSPPTPPSKAQHITIEEPTIDSDDGFDSGSTRARKKRKSDRGPITSIRAESVND EEEDEHRTEIEEKQNTPGGDDDHRAGSSTANKGGRGGYKAGSKNRISGSARKPGVSAS QMLLSQLAGFARTGSRISSSASQVATAPSPEEIDEEAERQEDEDEVEQIDENDESSKS VDEIDELENDDHNSEEGVSHMAIHIGTANPVLKGKVSSNRVAADNQLIDLTIDGEEDE HADEDAHDDENSMSVLTQVLQTTSSTPSNISTKDMPPHPEVIKTENYGRDIYMKINVD KIKRVWSRKLELDQPEETPSNDVGNEAVPTSVPADAGITNTDDDAKAADALARVIEKQ DFATMDIIGQFNLGFIIVRRQKQSTMSGGSQQTADQTETMLDDLFIVDQHAADEKFNF EDLQSTTKIQSQKLLRPRPLELTASDEILALDNIEVLRQNGFEVDVEEDNPVGQGSRL KLVAQPVSKSTVFDMKDLEEVIHLMRDRPTGQMVRCSKARAMFASRACRKSVMVGMPL NHHQMTSVIRHMGTMDQPWNCPHGRPTMRHLSDIRKSGSKSRECIDWSSISY JR316_0012183 MEHDIEVFHSFCLWAVLIGSILALFLKSTLEKRGFIELKESSVV GSTLTNDDSNTFLTGIVQPEDTEDGIPVDEDAFWSMVSRKKVFMIIIQTMICSLEATT VIWSLKNHGRVDLGRVLPHAIFPLYLLGLQCAWLIPHKSRRNHATLHSHITVLALTTT VLDFVSFILRRRPVAINETHGVQWIIAMMYVVLTGVSFLMPRGPGLYYPHEQVYPLDQ NSRDSSVADEKVNVCQSESCSFWGTLVFSYTHSVLQLGKLMRNIDISELPVLPASMRA PQNFVRAQRLTPPDVSVNITSHLSSVACSPSYALGRRIVSLNAASFMLQFTLAVLTAV TTYGPAWFLQMFVKYLETPFADRDMQKGWVLVVGLTIASVTTVLISQQLWKVSMNDLE IRIRLQLNSMLYAKTLVRKDTTSASTTDSSEEHQVPKGATGDEFSSKTQVMNLMTADV DRVCDFSWHIFTLVDSPIEIFVGLGILYNLLGMSSAIGLSTLLFIIPINQLTGRTLIS TQENLMKTRDERVGVMNEILGGIRMIKFMAWERNFSRRVLDIREKELKYQKRAFIVEV LWTVLWSASPILVILVTFYHFTVIQKQQLTPSIAFPSIIVFSTLKFALNSLPETFAKL MQSLVSLRRIATYLQSSERDVVPAYLSVHASATTSIAFENCTVTWPKLAPSTSPLFSL SKINVAFPAGKLTLVCGKVGSGKTLLLLALLGEAEVLDGNIRCPRTPADFQASVCSRP VRDEDWIVEGVCAYVPQIAWLRNASIRDNILFNLPYKHSRYQKTLAACALINDLKILE DGDLSEIGESGVNLSGGQKARVSLARAVYSRASNLFLDDVLSAVDAHTADHIYQECFK GELMKGRTVILVSHHVHLCAPQADYVVALENGAVGFQGTPESFLTSDFGNSLVMSAPS NLSDTDTDHESILSFEPKTDIVASTLSTSETCNSTTICTEEQVQKGSPRRFVEEELRA VGSVKNHVWYTYFCACGSLWYWSLFSFIMVAAAISPVFENSWLRRWASGQSLDQGHDP IYYITVYAAIATTGIVMGGLRWFALYYGSLKASRILYSQLLEAVLFAKIRFHDTVSRG RLLNRFGRDFEVVDSHLSNDLGQALTLSMATVTSLITIVAVVGWPFLLAMVVLGVVYL KASKLYGQACRELRRLESTSRSPLYSLYGETISGVTVIRAFGASSVSMRNMLRCLDMN TTPFYWIWNANRWVSTRFSLISSTIVSISALICLVTPSVSASLAGFILTFASTISEDI LYAVRFFVQLEQSMIAVERIDEYVKVESEAPEFTDAIIPKNWPSKGEVKCQDLSVRYA PHLKDALSQISFTINAGEKIGVLGRTGSGKSTLALSLFRFIEVSAGHIFIDDLDISKI GLTDLRSALNIIPQDSTILSGTLRSTLDIFGLHTDAEIYDALRRVHLIPSSSNVLESG HNPSNKFTNLDANVSESGDNFSMGCVLPPLPVMYPVTLKPPFNREKQLICMARALLKR AKILVMDEATASVDYATDEHIAKVISEEFAETTIITIAHRIRTVIDYDRVMVLDEGRV IEYDSPASLLQNSRSIFYHLCKSTGPEEFEVLKLLAGVKRTEKREQTPIETL JR316_0012184 MSTATDFSVVNYISLSSITDSKITNINLYTSRAQITRSYKVSVA AGQTKLTLLHLPNVVDHESLRVEGRGSAIIQGVTSFKAEMKDPDTSSPLLEELNVKKE RAKNALERCNRALQAINKYMGNISIEHLDISKLGEAMEIYDTTEEKWDDKIIQLKREF ETLETQITEESDRLEKSGENKKLRTKVVMGLVANHPADLEIIVIYAVSHSRWEAGYDI RVDMQTTGAPVHVLYKAAINQSTGEAWEDAPITLETASPTFGLELPQLALWNISYQER AIYSGRGLGRGGAKRHRKIIRSEDDEDFDPDEVSEVTSRGNVNATFRVPGLTTIPSDE EDHNVTIAVLQLNAKISWVCIPQRDTQVHLEALITNSSEYTFLSGPSNVYVDQSFISR SEIPGVSPGEVFTCPLGVDPSIRVTYHPQEKVASQTGFYNKSLKYAYSQRISVHNSKS VPIDGVKIIDRIPVSQDADLIVNLINPGLTPPSLSPASSATKAKTIVTVSPDIIAQWC GVENPGSDVSALGKDGKLNWVCGVPAYGRINLLLQWEVIDAQKKQIYDYGL JR316_0012185 MSVNVESEARYVIVNKKAGTVIDLSGTDNHSIIGWERHDGTNQQ WETIEVDGRWHIKNVGNGLYLSLGGAGPNDDVRLVGSEDHYSWELVRDDEDENGIRIK VPDSWHNVDLTNHGDPTPGTPIAIWGRWQGQNQVWYFERSKFDKKLDLITY JR316_0012186 MKTFTILGMCNTRFPDYEFNQKILENNPEAVNPLAYDLGLSKEL AFHDVYSISDPELLSLIPRPALALLVIIPLTPTWKETREREDGAKGEYTGSGESEPVI WFKQTIHNACGSYGLIHCVLNSPEARKKIIPGSEFERILHKAIPLKMKERAAVLEESD VLEAAHEAAAKLGDTIPPADAEEAHRQGHHFVAFVKAKDGHLWELEGVRKGPLDRGAL ANDEDVLSEAALNKGLGRLMEIEREKGGDLRFSCLALAPSIA JR316_0012187 MNTTARYLIAVFFFIISIHYIFSFTHESYGRATSLSNLKNKLIP GSSSTPASDTLENIPSEPYGGHTNETLAIDYHANATFVILARNNDLNGAVRSIREIED RFNRRYRYPYVFLNEEPFTAEFKSRISVLTSSKVEFGVIPKEHWYQPDSIDEEKAKAS RDKMVEQNIIYGGSVSYRNMCRFNSGFFFKHPLMLKYRWYWRIEPDVHFHCDILYDPF VFMEKQKKVYSFTITMYEYQATIPTLWGHVMDFVKLHPEYVAKDNSLGFMSTDNGASY NLCHFWSNFEIADMEFWRGPAYTAFFEYLDKQGGFYYERWGDAPVHSIAAALFASRDQ IHFFDDIGYEHNPYTHCPKGKGAWQKGRCSCDPLKSFDYDGYSCMSKWDRFIES JR316_0012189 MSARTAMLRRVEPAIRRPSVTTYRVQSFSTTKQNPVLYTPQRSS HNLHTTGMLKEDQREIAKPHSEHFRPLATSGDYSAFGTDSEAYHCLDTDPQSETSPYE FPKKSGRQLRYGGMNPLRGPWDGSWKEPVLQIGFEGYPYSDTEDSWERVSNKVSSTPT RGASPPIAPYLMANNRDHPVSKAADGQLKPGIYRIEHAQSHDKVIDLSGYDGVTILGW EKHGGENQKWEFERLGPGYSIKSVFNGTCITLKIGTVDGVSLTASKFPVSWELEADGS DFVFDLGSNTNEVTLRASSPSAPTRLWRLVEEIKLEWPEPEISNKILEFTTRAPTTAK PSVFSTADTVVDVEGLKFGGNGEMTITTTTTTVTTSVTKVKRLGGGQ JR316_0012190 MSFKVINIFAACAIAALTCAAAPQTAPPATDAVTCTTVASGLLS GQNGEITGWFFDGLDALNFDTRTNGTVSVEFQGCTPNPGGFANIPGGQISGHMFLPEF NQCMGVPDDLLLTISKIDCSSVNDATQPPVTWVFNNGQITWSGISTPDGSVIEGGDCG TDGLGQYGYEAVKKPLGVPNLGGQEVKCISSKTAARAFPFILGAA JR316_0012191 MPAISKTGPSLFLGIELGVDQLRASIVDDTLELVGVESVDFDSE LPEYQTQGGIFTTPGEAYTTPVEMWLKGLDTLFEKLHRNYDLTKIKAIGGAAQHALVW WKSTAVPSFASLDPHTTLHAQFPAQLFSLPNTPIAQDTSSQTHGLAIEALLGGPDHMA ARVGIASNASMVAAQLLRIRETWPKEVWERTGRIQLASAFLASIITGKWANMGEAEAC ATGAWVHGANHNVHNSPHPAGQGYWDEGVLEIVGGSQQEGRRVRGWLGDVDVSGGSRK VGNVSRYLVERYGFDPETVVAPFTSDFLASYLSLLPSTGDAVLSFGPMDTLLTPAQHY IPTRLYNLFPHPAQDANEKRKYIAVLSSRNADVPRALVRDMYTKSWSAFDRLVAIVPP GGSIGLDDKLFSFWHLQPDAYPYARVKGIYRFETGIKVNEFRDLRANPRCLLESQILS FRVKWSRMIATGVLGSSRKASVSPTPPLSGRNSSSGLSSLGLTFDPYDHTPLPTRVIA TGAATNFPSMANLVGDIFNAPVFVPLTQIDSAQVVPHRNAPVQGYPSRGALGGAYVAR WVWSKEWGTSGLGVFEDEMRRLLGKRWVATGGALLRTNVNGATSVIAPLAGTGGTGSG ANSGTSTPYGHPGPRSALGSTVLEEEEDDDEEQERAMMGLRNPGVIGAGMYGENGQQP RIRTQTADTFSSGASSVLGAASASSAFTTPDLGLGNLGVMGGPPGLGGAAVAGSNGNT GAVTPTTPTPLTPVVAMPTAEAEMQIGLAKVAESDIDSFMTYAAIVPEFSRLETMVVK GIV JR316_0012192 MSGHLALPAFHTNQLTEENTMLQEKVTQLQRQLALAELLEEEDT KPKKPQRKKGNSQKSGAASVGGSSTPSDPLALLTETVANLQKAMTEEREKMANLRKAM TEEREAMTEECEKVANLQKAMTEEREALTEECEKVANLQKAMMTEEREARQKVEATLA NLQKAMTEEREKVANLQKAMTEEREAWQKVEATLASERDERLWQLSEVAGRTGELEQW AVTADPEILDRIRLRSLLDEGQAKLARFAGLVSEKDTTSYASMTWRLKLKGEDGEPAA DDARLRTARSLLTGRGGIIPQAIQVLVDNKDAMRLLTETKSSIRNWGNHFAHHLASKP AEDKKGMLGILEFVSGSSM JR316_0012193 MPANVQSGNRYVLVNKKGKTVVDLSGTDGRSVIGWERHNGENQQ WEVIEVNGGWNLKNVGTGKYLSLGCGIKNGGSVVGSDEPFTWQITQDSEDCNGLRVKV PNTNKDLDLSDNGNPTPGNPIEIWGSWKADNQIWYFEQASEHNVVDH JR316_0012194 MKSVNVISHLRKTLLTDNTIRKPTSRLCFMQAETMTMETSVQVS TPTTTPNLYPAGTAPVKAEYLISKTPQFVADDDAAEGNTTGDRGQRHDARDGTPDTRG PIRGGKMTKEQKKARQGQNKARKFGKVKDELTLCWKVANGSVCEFGADCRNTHDITSY LAAKPPDLRIPETSGFSEEPPYAPDAGSLRKIHPAYPSLDLNTVCPIFAETGECGYGF KCRFLGGHIRISEESGELSLVTDEDKKAQAAVTAKELNFVETDVQKALRSRKYPLPIS DAYLRQLALENNEQNPKSKPVPDSNSVIIGEPEQDMEDVEMTAPVPVPASGVVPPIDS NTSMETSTAPKPVPVPEAEPGAAPVSAPEPVQTELVAKRTGDTLPLSSQVDSPDARIR FSEKKRLHWKGKTYLAPLTTVGNLPFRRLCVDFGADITCGEMGLATSFLSGSKEEWSL VRRHPSERIFGVQVAGNKPANLVPAAEVLAKECAGNIDFVDVNCGCPIDLVFKQGSGS ALLDTVGKLGKILIGMNKALGEIPVTVKLRTGVKDGKNTAHKTMPRLAAEWNVGCITL HGRTRQQRYTRLADWDYIKQCVDAVRAREQDEDLPPVPIFGGGDCFSSQDYWSNVEKT GVDGVMIARGALIKPWIFTEVKEHREWDISARERLDLVRKYAEYGLNHFGSDTTGVNA TRRYLCEALSFQYRYVPIGILEHLPGRINDRAPAFRGRSDLETLLASPDSNDWVKISE MFLGPAPESWNFLPKHKSNAYGSEEGQG JR316_0012196 MSSPLHPPAQQHGITTTILDLPNELVLHILSIDDVLSSKDLFNV SFLSRRLHAIAMPLFLSNHGVMDPAHETSIFVIEWDPNRKAITYQPDALSGLSTLTSL SSIENFKCFFQDPDSKTFRNTRQDAFHLPDAVNRVSRFIQRLKNVGNAEIYLMWDPYF VTHARSMVNTPITETKRWANAFGYLLNLLVLRGCKSLTVQYDPTISPPFTFQSTNRLK RAWSFVSQNVFRRDGQSPSTGDIQLQWEFKRPLYEEKWENAPTEKVESPLLASLGQRF NCITHLAIHSPTLLLPPFTNWTLSLLRTHPLLTSISFAYITFSKDTWATILPFIVDAV ADKLTELAFYKNCPEIDVDNLLLFISHLPNLRRLTVDRSFRSRLNDARPYKARAPFLT SPAASLPAFLHLETLHAPVELVSLLLDYQPAMTKGGTALVLPRLTNLAVYPSSRLIHP PSYIKSSLVVNALLQRMVLQTRSLPIVYALDAQMEFTDFGPVSQYINALNTRQEFRRV VWETLSEADIDTLMQNGNNNSNSNIPLIAFVHITHLFLYRIDAPSESALRSLEKEQKA PPLCVWINLLFPNLECIEFTCHMEANPRQQQHMDEKTIEWLVSALTDACPKVRMLIVG KKVYNLNRS JR316_0012197 MAHVLSSSLSLEEIWDNQSFSGILALNACVVFGIVLWDFIQLLP EERSLYEVRRRREWRVPGPWAFVILRYSALLSTLSGVIYSTIQIHHCQLVVSFSQGAS VICTGAMGIIFCARVGSLWDYKRIPLYMALGSCVLMIAAWVCVAVPFKATAGPALPFG GNCKFGRLADWTPVSYAASAAFNCIIFGLFVIRVTTKTTVVATNTGFTLINRACILYL FCGTVASIVVLTIYSINWSTELVRRATMPYSILFMATMGSRVFLNLQLHNHTLTRVAE SNHFTAPSWSRRSESEKALTAQVTTSSSRTYDAPFNSPTAMSATRGLDVMNADVRSLN SRSDAYTIRTALTVPETPATMTSFGGSTNYTESSYGMPLSTDSTYRSLSAIPRSHARV GGGSMRSYSSSSTRQTDATSPKSPRSTRTGSVKSYATTSTSGQGTPKSPRRVKVPRYS AEISSSQATAATRTITTTLPTENLKSTWHGV JR316_0012199 MSTSATNAEMFPERMKRAAFQISIRESNSSKPDVNSLPQETIDF ARRIFDACRNGDTELVLAAIDAGLPANLTNDKGNTLLMLAAYAGHTELAKGLLDRGGD PNRTNDLGQSIVAGAVFKGHDEIVRALVAKGADSRQGTPNAIQAAQMFRRTDLMELLG ARDEDLVKIPTPPPAADFTPDK JR316_0012200 MPRVEATTSTRQAASFDYSDSESFNQAKPASKRQKIISDPFDLA QDTDKKMIDESTDVAASSAYDPLKNKHLKGRRRFNADLADISEACSAGLVLSGLRVKK IGPGEDDGSFELDIETLSSEHVLSLTLLVSDTSEYPEHTLYGHTTDPAVPPKLAEVVE NIADESAKSIGEAVVGVLASVGRAIADEDDGDDDEAHSGDDYDYEDYDEIGAAPVESE NFIDIVATGYRPGFIRLGGNDFVVSVSLPVITLAEAIPPRALMAWDRRLLSTSQHLTL LISGFHGLYPVLENDATYTVPAQRLGASLSFKVGLSERYKPGTAQAQEVVRKHGLIIQ DAEDELRIQAELAAQKARVFDFDAEFEDDELMQEVVEEEEEPIDPGRFDSFSLSSSLE SLMDQSFLKLIQLRRNFGLGWAGAELLISLVEKSQRKEEDVFAMYTNEIRQADHEERG LARTTKLPHDPLSDLNPDDPFNLPLTAFCYLVRRLSLCPKYCIVCHNKLTFDYEALKP YVCDSKLCSYQYYSLNRGPSLEYEILHNSQTVDLLVSLAYVSASEGVMDEPLPIGLGL RVPLPSSAGAVQAHPNYVGWNTQPAPTVVPETPKTLSPGPDGLCDFDDLTHSQMRLCI AKLINTLPSIDDMKKHLERKVKAGKSKPKLREIDPNVIPAAWSILRWVVASCTAHIEP IESGEELIKNLDPSWRQFRLTVGAPEAEAKFKEALQQAATEDPNVRTYPVIYIIRHGL WYRTVAHGRAYGDGVYLAKEANTSMSSYAVAARTSWQKSMSGPTNCVAVTEVVNQPTK FVSSNPHYVVKDTHWIMCRYLLVRGTGDFEAPKGNDAKGTKTSQVVPFVRHDPAHRTT VSGKVIEIPDPSYKVISLLEARKTDYMHEDPDAEDMAIFELDPNKPKKSSEHNHYDFD DDDDYTVPVASSSKSKVPQQRQVDDWKHDAEYVTSTLENLLLPPFESSPSASMAIQRE LKSMLKEQETAPSLKDLGWYMPPELIGDNLYQWIVEMHSLDPTLPIAKDMKQNNINSI IFEIRFPPTFPNSPPFFRIITPRFLPFIQGGGGHVTGGGSICMDLLTSDGWLPSYSIS AVLMQIRLALSNLEPRPARLASDWKRPYGIQESLVGYKRAAATHNWTLPPGLDRLVR JR316_0012201 MDTPEGLRLRLMTLLSLYDLLPYSISNPPHGRPPLSLEEAIGPD AVRRCMDVITRHHPSTIAAELTNILRNSNNSSASSRSSRSGQSHSENAHYYSHSTIQG QYLRNGR JR316_0012202 MPCPTPHTQVAIDQSQFWDENGIHWNYHRIGWTIAGGCAALTTI ISSVSILQHCRNYTKPTQQRQILRILYMPVIYAIISFCSYRFYQDYTYYSFIQIAYEA VTLSAFLLLIIEYVASTATGHSAERALERKDKQPLPMPFCCWRYRPTKAYFMYTVKWS VLQYVIVRPAASIAGIICEKYDVLCEADGYSIHYAAVYIEAINFVSISVALYGLLMFY GLVHDELEGKRPLAKFLAIKLIVMFTFYQSFVIKALHGHIHATVYWTTTNIANGLNAL AICIEMVFFAILMWWAYSVNEYRRKAGTPATTDFAMEIFGSFRFFLNYIRGKREVHGR GHQVPTYTGQPARLNFEQAFGVSSSTNLTSPSQPMFERDAAAMEADNIMLKPYPFASP NRT JR316_0012203 MVQLAKFLALVSSLNVVLAYTVPSRRATVCNGHAELCDRVYSNV TFIGAHDSFAFSTDPLNLSRDQMVDVPTQLSLGVRLLQAQSHVNSQDGQLHFCHTSTV VDYLKTVKTFLDANPNEVLTLLFTNPEAQSVSTVWKPAFDTAGITPLAYVPPSVPVKN SDWPTLGQLIDSGKRVIVFLDAGADTSAVDFILPEFEMIWETPFSVTDASFPCSVDRI QGPLATADHSYMINHSLNKNILPIGNGVIVSDPIDAPTTNSVTSILANVANCVPLSGA NRNPQFLLLDWVNVGQGLQAANMLNGLA JR316_0012206 MATTIIQRTPVDMPVPKSSAAPKFTGSYVDVKNFLDHCDRIFDQ YNVTLDDDKVRYMVQCCNQESREIIEGLPSHHAKQWERLKTDMLKIFDHARTTQKFTL STLRAYAFQHSNLSMRSLDDFREYQKQYIRIAGWLLNNNKISKTEYNQYFWLGINESL RPALESKIMVFNPHIDLSSPFSIEDVTKAVEIIFKRDRFDVGIFDNPSARPFTSLIPP KDSYPERSSVFDEIKKYLQEMFPNIETRDARERPYNPPEETKRIFQDLDKEEKQAHKD DEVENLIKQMSKLTIHDSSYAIYYLRAIKLEPALANMLIAPAIMNPSAQPAQPVPIAS QSAPPAPRAPRQSASEIICYGCHQQGHGINNCPTLIDLTNRKLISRDSSNRVVFPDGS RIIRQNGESIAQAVLRQQQPPPPPPPQVATTSIAVSEAYYGQMFKNYRAMVAEEEEDD IGTWDGEDEFEFTLAGPGNRIPTEKRTRAARKQVMDAVVPPEPTYLKGKRAEMSKAKD SSQIPSILKRPANSGLPNNVPSSTSIQPVPIPSINQPSAEMNPSIPVKQAQPNVPIRA NPTEHPGTAQRHEVFDPADDDQIMEDVTPSLERGKSVTKPRAAPQKRVSDISQTVDTM AILRRCLNQPVNATFGELLGVSKDLRTLLINSIKGKTLTVDEFKASLANGNLKLSDKE VLDIIRSAEKDVPVQYMHETNSVESLRAHEPLLRITLMCNGHELNALIDSGSTQNILS EQAWKKIVKLPMDSRNTIVMVDIHGGKSHMLGFVGNVQLDIGTVRTRAHCYVSDKVQF DILLGRPWTRDNYVDILERPEGTFIAFYDVKDPTREQKFLVTPDTQHDRRYFTDTIYD KTPHTMLAHNDLSLANPDAEEGEIEEDLEPGELLDDLCYPSPTPSMIELSILTRQQSL NRDESANPNEDLSTSQDAPSFSDYNCEHDSTQDSSHGLYASDYDSMYQDDSGTSQFNA TAHYGHISTPPYDSTHEAPQIEQPPSPSVNPSIKSHTSDNNDPHEEEPADDDPEMEQL SSPEVEAIQFSSDNTHLTAMGMLNPHLRFEDWILYNATYSSPTRVVSDRTGTAFVHYV YPQRDSHINLTTTPTILHFSRTGISSQSNHSASVSAYPMGQRHSASWTHFIPQSIRSQ NASPIPQPHSRSSALPETPLSIMIHQETRVSSTVTANVDEHHRGPKALVHPLRVAHDS PKEPLNEDEEELRIAQNGKEVDHNSSLLPLPITSTMHESRASNMDDGNEWYYQYGEES PFGIDNTEEHPLDANTLSPIAEFLEKHAQPPKGMLYGISISNIHHHHASNTPRTPTES GRASSYPQRAKNQAYGQDKKDDHSITSDGSRPTEISDRSKHLCEIMVTQFRYYC JR316_0012208 MENWSHDGPHATLAGSQIKQVSRKGFKPASDFVLPDPTYPTRPD AKKKSHARKRPPGHIPRPRNAFILFRCDFVRQKRIPESVENDHRNISRIIGRIWNQMS EEQQKPWQKMAAQEKINHHKIYPDYRFTSGSTKKNPKRGGDSPRKGKRKAPPKELEEV SNLMQRAESCPPGAPLVPQAIIENNEPAYGDPLVTRDDLSRRPSCVKLYRSAPYDFNS DGQAVGYFEDLSHQHYYAQAMAAGSAIPVANKQEGTGMSDGNALFCGPRLDAVQYAIP DSTTPPEWEASTPPSDLDSFHQDDYSRPPNNSVNFNQSHFLPASEALFNDPFNPVLAS LSSRTSLPHYSLTGEILNPIAAGLYIPRSDLQGDETASELADDMISEFTRNFHGSSRG QNDF JR316_0012209 MPVCTDCGGTVIEYDQAAGNGFCVQCGTVVEENTIVNEVGFGET ASGAAIVQGSFVGQGATHARMSGPYGNRGSNESREQTIANASKKIQNIANVLKLSEVV CLAATRLYTLAVEHKFTKGRKSMNVVAVCLYVACRQKETRNYMLIDFSDLLQVNVFEL GHTYLQLVQTLNLRLPLVDPSHYISRFSALLEFGDETHRVATDAVRLVQRFDRDWMTR GRRPAGICGAALLLAARMNNFRRSVEEIVQVVKIADTTLKKRLDEFKATPSGALTLAD FRNVWLDEEMDPPAYTKGKEREEALRRAAEGGGDEDAQGNRKAKKGSKKRKGKRKRGE ETDDEEEQQLEASSQLPPRQPLDLSILSDSILADVIPAPESTLVDNSNIDPALLDHSL SIPATLVSQNSSIPTPNLSPPAMTLVDETASEVLTEEVSTFLHNTVGERLTEALDEAE SRRLASIAVVDELMGLNEEELDKFILSEDEVKIKERVWVELNKDYLEALAGEFSMMFN TMPNFSKGDQEASGTSRSRKRRKTNNKPRDASSAAGDTAAESVRNLIKKNPKYSKRIN YEALKDLFVNNGSAPSIAKTMTTSDDEKDDAELYTMPDDDYDKTDEGEMNVIVEEEPG TVVLKPATAGKKKKGVEALLEEVDEEASDDDKEDDMEYEDAYEQEV JR316_0012210 MSNLTEHFLKPEASTDAFKAHLTTLLSFYERGPHSDEPAFTYDG PVDSQTEAILRSLEAIAKRMWISEGMVNSGMRSPLDDNKVAELGYHLVHHSEATSSIE GAGDSVERSTTGNEAIGASPKHCPNCGNSFERSPLLHTNLQDGTEDTPQAVPSSIVAD SSDEIVFRPGEEIRLLKSQLEDVARVCESVSRGNLGHKITSPVEGGIMAQVKDSVNSM VDNLSKLANDVTRLSRDLGTDGKLGVQIEFLPNMGGQLGDMVDNLNRMTLDVTNQVRS ISKVSKAIYVGDLSKQIEVKAKGEILELKDIVNGIVLRQRVLTKEVCRVTSEISKGRI GGRAEVPHVEGVWFSFVTGINSMTSTLENGLRATSYVANAIVQGDLSKRIEVDVEGDL LALKTAVNSIADRLQTTSNEIIQLNRQLRVEGMLDAHAASADAQGVWKELLQSANGVA ATLKSCVRATSEVLKAVAGGDLETSMQIGEAQGEVLELTETVNGTVEFLRVFTEEVVR MTRTVGVEGRLGHQVLVSHVGGTWKEIVDGLNGLTYNLMLLVRTAMVALVSVARGDLS QKIVGITLHGEMSDLVQIINEMIDVLFKFTKDSKRIAREGDTEGILTHAEVGGVIGIW NEIIRILALEYDKENQRRATGGELEVW JR316_0012211 MFFLSAAFATLALLTIRSALGVVIPGASTPLFYFVSSSPSSPSN LLPLRMNGGAGGYSTLTGTGPIGQFYFTQGRLTALNPAGSTSQTYMPVLASQLGSSGC STYGQLGFVMGASAFRCARYDGFQIQSNIENSQLGAHLVINYVGGFYACGVGQEVWYK LSPGDGPSDCSPITLYTVPVTV JR316_0012212 MDPPPSEETILTTPTMTDTFKSHLVALLSIYELGPYPGAPIPRY EGPSDWQTETILRSLAAVAKRMWAAEDAVAELRGPRSEKVEAEKALELENQPEQQHSE ATTPVNRPNEASDSTTTHNGRSNGTNMTISLDGLQSSIDPSKGFSRAYGTMKAHQAAL AQAGPNGGYSSAPPTAHAQCPTCGKSISDPLMLYTTDNNAPLSSSPLVVPMGPLAAAA FESGMSAVEELKLLKAQVQDVARVCNAVARGDLSQKITVPVQGVVMVQLKDVINTMVD KLGQFAKEVTRVSQEVGTEGKLGGQALVLDVEGTWRELTGVVNKLAANLTSQVRSIAK VTKAVALGDLSKQIEVDARGEILDLKNTVNGMVVRLRALAAEVTRVTLEVGSQGKLGG QAHVPDVEGVWLNLVRNVNRMCSSLTDQVRSIAVVTTAVARGDLTQKIEIQVEGEMST LKGTVNSMVDQLSAFASEVTRVALEVGTQGILGGQAKVEGVQGTWADLTRNVNKMASN LTDQVRSISEVTKAVALGDLGKLVNVDVQGEMLDLKMTVNSMVAQLSTLANEVTRVSL EVGTEGILGGQAFVPDVQGMWKVLTDNVNLMAMNLTNQVRSIAEVTKAVAGGDLTKKI EVDVRGEILELKETVNGMTESLSVFADEVTRVAREVGTEGRLGGQARVTNVGGTWKDL TDNVNVMANNLTLQVRTIAVATTAVARGDLTQKIGGVSVSGEMLSLVNTINDMIDQLA IFAAEVKKVAREVGTEGKLGVQAEVGNVQGIWQEITLSVNTMAGNLTTQVRGFAQISA AAMDGDFTRFITVEASGEMDSLKTQINQMVFNLRDSIQKNTAAREAAELANRSKSEFL ANMSHEIRTPMNGIIGMTELTLDSDLNRSQRESLLLVHSLARSLLLIIDDILDISKIE AGRMTMEAVSYSLRQTVFGILKTLVVRASQNNLDLTYDVEPDIPDQLIGDSLRLRQVI TNLVGNAIKFTPSKASRKGHVALSTRLLALDDQSVTLEFCVTDTGIGIAKDKLNLIFD TFCQADGSTTREYGGTGLGLSISKRLVSLMQGNMWVESEVSKGSKFFFTITSQISHST MEATLSKMAPFAKRTILFVDTLFDETGVVDRIKELGLRPYVVHDVKAVADKEKCPHID TIVVDSLTVTECIREYEHLRYIPVVLLAPSMPRLNLKWCLDNSISSQVTTPVTAQDLS SALISALESNTVSPVSAPNDVTFDILLAEDNLVNQKLAVKILEKYGHTVEIAENGSLA VDAFKGRVAQGKPFDIILMDVSMPFMGGMEATELIRSYEMHKSLPPTPIIALTAHAMI GDRERCLQAGMDDHITKPLRRADLLNSINKLAGERGAQKIHHLLRRPIPISQNYS JR316_0012213 MSLDSAQFHAAVYDIVRTIPVARVTSYGHIAKLTGMPNHSRHVG QALKFLPPNVDPPIPWHRVISSAGTISSRGPGTDGAQRQRDALVAEGVEVVTGRTGDM RVDLREYGWFPSIDEFQASQELAEND JR316_0012214 MIVEFRKLFLFTLFLSQQLSSGFVQSAPNADTPSFYLVSSSASS SNLLPLRMSAGGGGGPASLLGSGTPARFYFYQGELAVHDPDDSSFPYRLLINTIPAAG GCTNFGALHFVQGTSTNKCASYESFQLQSNQQDSQLGAELVFNFTGGFYVCNSGAEVS FVLKTGVSLKDCSKVWYKINSGDGPSDCVPIRLYTVPVY JR316_0012215 MRLLSSAFATLATLIPSALGVVIPGASTPLFYFVSSSPSSPSNL LPLRLNGGSGGYATLTGTSPIGQFYFAQGRLTALDPAGSTSLTYMPLLGSQLGPSGCS TYGQLGFVQGASSNKCARYDGFQIQSNIENSQLGAQLVINYVGGFYACGDGKDVWYKL SPGDGPSDCSPITLYTVPVTA JR316_0012216 MVAKAKESPLSITVSSNTLFDNSYMQGLLKDLTALSRNWVALEA GLDFMSFFRWKDLYRNLEELTLLSARKFHGIEAFFDGVDPSSVNRDIKLHSFTATSLP HTWIRGFLGPQIRRLSITDAYEIHEEGQEKQYTTIWNHSISIWQTMDFLKHVPYATSV ELNDLNHIDPIGYSPPVVRMQNLQRLELKGVQFSTSILSSISAPSLQTLCVDSGTDAW NLFTPTSVVPMASFFSQWSQPGFIPTHLHTLDLVNCLNVADIPFLIRWLGKLPNLVRL LLLDVDNILQQAAFHEQNLSDSSQNEQFDFLHALAHPAFEKDGKGTWLCPSLMVLRIY PGANIGGLRSIAQARGGVVPYSYDISMVPPPARLRQIETQLCFNADRENINELVSLAD HVYCRCLSCGTLPDGLSWSPGTT JR316_0012217 MKASTLLSYAPELIQAIGGELDLPDVKHLRLVCRILADALACIV LRKLKFEITRTNMECGVEMIKTLATVDSEHAACYSVQEISIGLLSPAYDSKYTTVGRF ENGVWVVDPPPENPPEVTTAEEFLKSNLFAAIASLKSVHTVEWRTDERNQPVVHRSII DALKALPNLRNIQIVFSKADVPLEADLLLGREKIVISTEQSTASQQEEIFDKLAKAIA HNPILTSLDVRGILHFRQPISNKAQSLHQLFKYYPSNKPPLCLRELRLRSMLTRLDHI TLPHLAHLTTLHLDRINEPTEKASNSFFKRFGRDTSAGPSKETKQYGSSLEDIWNLLR IAGVHLQNITVTSISSSFLDYLSSYSGLVKLDLETSGFHDGNSSDAMAARFYDGRLNT HVRSLESLRIRASFEGRWCFGAHNVKTISSLTNLKSLCLSIRCTDLAVENDFTQDAIK HLIDMSLECMPNIQNIEVNSALLELDRNAFCGTGSLQYTQFVHKKMLESVHNYVAPPT CKRAVLPDLKVGFRTVFIGKCEYMKPDGDRAGNGQQPGGDVNSGAGAGRLRYVEKETP KYGKPHLLDFFQAKVNNRSLLRDYLMSTFLQASFAILKNMP JR316_0012218 MSWTILSPEFVITWAGRQWIGARRIAREQGWTVTHGHFLQMGGF MLYKGDEKKGVLSYERFKMLLNSKRIEFPKVSREEIEDRSKSDGLCKALVVGQTSWFV LQCIARRISHLPITELELATLAFAALNGCMYFCWWDKPFDVRIPIPVQLLSEESEEII QDSRGVYSFNLGPAPLQMQSPNTFPEIHQVQWVKTLPTALRTFFNTRLDAINDLQFVA QFAYFNNPNRPEDEVGPMQVPAFYALLATNEEYNRIFLAVSATGTIFGAIHCAGWSFH FPTAIELWLWRTCAVSLVVGPLFLLFVSYEPLNDHFQRSRFSVIRLFGEFFANDRYVK PLGWLYYILVRVALLAECFAALRDLPSASYSVVQWPLFLPHI JR316_0012219 MAFKLQFCWPLSLQRINFLAKLKTMSQSTPVYGERRWIFDPIQE NLSPEEIRRIRGQQLLEKMMQGPTHSGRDYDFSDTDWQFAPAKFTPPTEEEMRTIRGL YPPRVQSAYDRLFETENPHSLSGSSFRGNDQHRPEARKVTSTKSDIGNKGEYSAGLHA TNQDKELIIPLGMDQSIMNTDTMPSYNDYMRNKAAHDAEAMEIFDRFLKKYPDPGMQP RT JR316_0012220 MSLIACAVLITIISPCTVATIGARVRENATYTRHIITSTATVLP PLNQLAQNQQRYQQVPDFQPLPPRMVAGPVPPPNQYYFQQPGQAQFYPLQQPQQPQQQ QQQQQAYQQQQQQPAYQQQQQQQPAYQQQQQQQFYPQPQWQGQRQIHRPRQGPQHPYA RPPPPYQQQAGPGPGVDAGYAQYAGNVAAPAVMNPAPDEIQPAAGGQAALGKENEAPW FDDYAEVPVQVACGNPAHNHVQNEQNQNVAAMEEGEIVKKEEEEGGVDLHGPFAEHAH AQVQQNNANTGPIRVPEANPTTYVNGMPYVNLGGYYMPLENPGTV JR316_0012221 MGDTIDALQPLPITWDPTCLPSTSEIDIYLYSPGSDLPRIHVWQ RVALSRGTYTAELMPRWWNATAEQSLQLTVVPAGQPPFMSTLPGGPIFTAKYDAPTDG PVPAVADTTQVDSGITQVNDHLAKSGVSPGGKAAAVILPLLFIALCVAAYIKMKRARN REKRKQWTEKVDKRMSTISTDWKSVSGAGANAAIRNSMAVGNRNSSFSFAIRPSSTFA TEGDEAHPPNVPGIGLGHQAKVSSMSQVRTGTGVGLRNPAGLTSTTSVDRVSRVSFAP DTRVSRVSFADSTAPSVGRPSGESTRKTRAFHSAYIPPVPALPDSEKKDEEEGDGSFS PRQTQGALTLTPEDIRSRIAAGRARAASNAAQQHEEKSNLDELMPALSMMRTGNDPSA PDTLLFSSPAPVSPPPPAYPKSVSASPSYVSMSPQMQAQALSSPVMHTMPMQPVPASV MSPDEMLRAYAERKKSVAMSSPSGRASPSAMARARSPSIKGVPISYPMPVAGSGASAT PSNMRVLYEAATTPSPPASIHPADNAYVNRNTAYTTESQYAPSEYTAESHVIQHGQLG HHANASIGVGRYGGAQYAIGEDEDEVRGVGRAA JR316_0012222 MKESLLLSYSPELIQSIGEELALPDVKRLRMVCRVLADALAYIV LHKLTFEISRRNMTRGVEMVKTLATVEPEHVACYASRKISIGLLSPAYDRYSPSGRYI DGVWVIDPPREDPPEVTMAEELLKNNLFAAIASLKNVHTVEWKTDERNQPFIHAIIID ALKTLPNLCNIQIDFSKAEVPLEFDLLLGREKISVFTERSTELQQEEIFDKLAKAIAH NPVLTSLNVRGIMHYQRPIFDNTQSLHQLFKYHASTMPPLRLRELRLRSMFVRLDHVT LPHLTHLTTLHLEEIDEPSENASHSLSRRFGRGASAGLSKDREKYESSVESIWDVLRV AGVRLQNITVTSISSSFLDYLSSYSGLIKLNLETSGLQDGESSDAMAARFYDGRLNSH VRSLESLQITASFEGLWCFGAHNVKTISCLTNLRNLGMTIRYMDLAVANNPKEDAIKR LIDMTLECMPNIQSIEVKFASLEMDRNALCGTASMQRARCVYEKMLECVGNYIAPFAY KRTLLPTLTVGSDTVFVTGHECVKPDGINSDKQLGNDTTSRMGGVRLKYVSIPEDDEP SWLRFIYI JR316_0012223 MQPIDHDEDAEEGQETRLATLSDLPTELVLQILHDDRISHRELY MVGLVSKRLNFIAISLLLERRGIRPSTLYDSVSLNLSDDTLPPLATPHLPQANEFSGK SGWMSLKPEALIMVAFHVSSIRDVTCTFPQSFTDSANILRHLERLCAFVERLSDIGSL TLHFDGDYQTRHLSSNMFAAIPVLFAEVFNKVIRIVVSLGCKSVQVYNKSFRLKDQCW NLIEDSSSPPIQYPTPDRTLKKATSTPNIFAKARGKLFGYRQPSGYSSRLVSEPSPYT THPPYMIGRHCSLREIHIQTPFALLPRCFPSICDGIYSSMGTLTSITLSYISFDETLF GAFLSTLSSVYRGRKNIVTHFSLQQCQKLPPKALLELLLHFQDLEYLELDRSLPFIGD QLDILPNDVHFSELRTLKAPLDWISYFLNQRQTTPLPSLSAVVIQCRLPNAPEFIYEV YRPHLDTILEPIYWRCKKSSHNYQLPKIAVTLDLVLNRTRSQQMEADRIVINLQSTDS TMTNARGLGARPPLHWDIITKLELFPTYPPVNDSKAFTLAQWVTSVFPCVEQVTIPLP FIPRHMPPDEYKSRQMDLAVDAANYLKKSLCLRQRSEISDPVAWKTLVVGPWSLSLEL D JR316_0012224 MSGATSPDIVPSTPATTPPTNTSPECSRASSVLGDPVKEETVPE NNDSLSMSETEMEKRLSRLQFVLDRSAVFSTILKNRMDEEKARQAALQAEKRKEQTGK KRGRSAVQSSPKGKRKKGANGNAVPIEEPEVEDGEGAVFQQPALITGAKLKPYQLEGL QWMVSLDQNGISGILADEMGLGKTLQTIAFSAYLREHHNSRPFLIVCPLSVLHNWVDE YKKFAPDIPVCMYHGTPEERAELRRTVMSLSGNEVAAPKPKAKTPKKKTPVQATRKSD RTAKPVAETSSTGSKRRGRPRKSKVVEDEDEERETMDVDKEVEEVEPITFPIVLTTYE MIIKDRTYLSHYDWGYIVVDEGHRLKNLDCKLMKEIKKYQSAGRMILTGTPLHNNLGE LWALLNFILPDIFDDVDTFEEWFDLPQLQSSLPTSQSSQIISSLHAILKPFLLRRLKV DVETNLPPKKEYVLYAPLSMRQREAYDRVLDGGLRKWLIQGGTSGVEIPVDTDECVAV KKEEDADGKVPDNDSKEEEDKQEGTSKRRASTRFVKGGRKSYAVDGSDREYFKMLERG ELDERGLKVTKTKEEAAEEEARIGREHQERTKDPNTMYPVLGEELVNASGKMMVLDRL LRELFKRKHKVLLFSQFTTMLDIIEDWAVDYMGWPICRIDGSTAPQERREQMNKFQNG GDDPNAPCLFLLSTRAGGLGINLVAADTVIFYDQDWNPQMDAQAQDRAHRIGQTKPVL IYRLVSAHTIETKIMQRATEKRKLEALVIAKGKFRKPAAAAANPKRETMADMAASLLR LEGEKIDVVPNTKEGKQGVLSDEDLDLLLDRRPEVFAERGQGWTSGGVRAVAGGTAVT GGVTTGVGATGGDEESAAGQIDHSAMGAGKKAAFAVYEAPAEEGNDALAKMMAEVEEA S JR316_0012225 MVFDLDLMSQYDESWRKLILERSKNARLCISGTIRGGHFSFDAF FVNLLHNHWPRIRRLDVFVGDHYLLETDAWDIFQQPALQLEIFKLYFEEEGSALFSDP DSPIFANNAPVLREFMFPDTSTKYMSLQAPWLRQLRSLVMISGFGASELLETLRELPQ LEYLELRESILEPVDCNASIVCLPNIRKLTISNTLSTSLFFLKHITLSPGASINLLTE ERMDTHDRVSDSVVDEVCGQISRYTKNYINNDYGLAKSIFVKIGPPTFAFKMRKTANE LIPMPSENDFRIGIFSNHLSRDAVPKMMACLDPRQFTETIRLEFIPYMIDIIEDAQPT ITSLLRALSSVKVMMTSENGLRAFMNAQSEYPDILPAMDTFWLKYIEPTSERFPQLLL DFLHSRRDAGKPVTTINISDSSWQFKEKLDWGYLEVIDGLTVILRHDSQVLDHYTCGT GNPKTLDMRTV JR316_0012226 MSTDDYEQEKPWELKDDFKYSPEKPEGDSWTLLGAPLMEKDEKL CKILRDEVNNLLLFFPKAGLFSAVVTAFVVESYHNLQPDPNDDVILLLSRISESLVGG ENTSSTTGNFLPSASFSPSQSAVRVNITWFTSLIFSLISVLVSIVALQWLRSHQTYFG LSPRDTLAVLNMRSEALQKWQVATIIMSLPVLLEVSVLLFFSGMVDFLISLGNTPVVI AVSTTIGLAVLFLLVTTTLPTVQTLKLRFAALHPHGTLGKRPPPSQSTNKRPPHNQAL LYHLSTTYSQETWLGIDLAWLGIRDAAEHGASLAEPDIGELDRYSLSERSLPLYDIVQ GFQKAAGADYTHIFGSAVYHCFQELSYASVMTFAMKRFGLRIFRTTNTWDVISQHILD RNKYFQSLFYALTDYFKYASTASAATVTPRSLHVHADVVEFLENASWEMYRSITTFSL SRMASEDRLKVCKAFNRVIDCLSDLMQVKDLNHDTSETLSDIPISVYLLYSASIYTRR LFALTQSMLTEPGLLLTLPEDTTNKISSLLCTIDLYRSRSSIDLTTNGLAILLERKFR GVDRYGNVPRFTLEWWLFLDSNSENSNRHVGRFKAMWYEEMFHDQLKRLTSAYYTAPL RTLQNSVLVDGRRDFSTQADNFTETGSFTVQILPSV JR316_0012227 MENLVFVFLGHYYAMSGYYYNSTPHCYASQSVYPPLLYAHEPTV NVNDDLGVHGGIVSDSSHASEQTTQYPSSSSDSHLYEQEMDSDLEDSEQAYEIPIPSI YDTEKLLREHLQIPETKPLNLYSLPECIDPNDRAIRPSTIALAALAIWGSDSKRLSLQ EIITAIEARYPTWAWFNPTSGSKPAWKAWFIRKRRPGSTNKKDGIWHINVAYGFVDTR LRKRRSRPDAHSHPSPTPSPTSNHEFSVMTAPMLNNELDNHHIASGSHNTVSYGPPQT TNAQAYQYFGVASYQGEEQNYASYQQAAHPAPNSYIFIQEPYPRTSNRDARGPNIHHH AHMDFMLPVNATNMSQGSSSGRQSTERSRRARGRQMPLSGSRTRRTM JR316_0012228 MDKDEATNFEDEDLIDMSDTDPDPDSDELTPDSIAQLWGFLEPL GPGPSAVRFPKAICRWSFGRKKDDNVNSVVLDCLKISSQHCVITYQGNNVVTVLDMSS NGTWINGHKIGKGKTMRLCDGDKICLGTTIPQPQNGGVDDYRYTYHHMADGKSYGGFT DNFLLGFELGKGAFASVHEAIHKKTGRAFAVKVIPPTILNRPFLSKLAAQRFYDRFTR EIDLLKRLEHPNVCKFMQVYCEEYTIYMILELVSGGELLSLIHKRGRLEERHARDITY QICDAMAYIHSQGIVHRDLKPENILVTDEDHPIAKIADFGIARLVDDVKMAWTICGTP YYIAPELEDFEYTERFNDKVDSWSVGIIVFMMLTGKQPFVDADDPQKFKARKVDWITL STTPATDQEAVYFVSELLEINPKLRLSLSHAHRHHWLRDHEPYHRSIAALYRSANREP EDDSGPLILSRPSPTLPVESSADNPPPEPASSLQPRAMVLAAAQESGAIIRQPSAEIL INLSRQRSRNASAGPSRIPANNDEDSNKRALDYISEEPSTGGFDDIDMLAESPIRTPR PARKKARVVFGSPTTANSESNQNMVNEDVQMMSPTKTPARARRIPPPPKSVERRSSRI KKANLRKLQK JR316_0012229 MPHPVAQNAAEGDKAWELKDDFKYAPKPPVGDPWKVLLDPLVEK EKHRCDAWNNEIQNLLIFAGLFSGLVTAFISQSYKTLQPDPNANLVSLLAIIAEGLNN SSSTAEKVASIATQPSSTFVPSSAAVRVNVCWFISLILSLTVILSGIIVMQWLREHQA FTGQSSRDKIAILNMRIEALDKWHVQDIITTFPLFLAIALVLFFAGMIDFLHMLGNKT VLVSVATVITISLVLLIFTTVLPALQCILLYIWLLPQAPPSPCPYKSPQSGLFHAVCY YTSDLLANHSQRFRDLGKKLSSLSKLNTENQHALSYLSKTWSKKTWAEIDLCWLSVRQ ACVCSETVDGYHKFLSHNDFPPLYDISKTFRDIVDGLRVAPEILTAAYHCFYELSSPF TPSNHPNRKRGAVERTELDSTFKLIVRRNQFLQSLMRPNVDASQPHHHDLSSNINFGK VTLRMEFHSYIAILHHQNMFLITDRLTNSYYAKEFHQHRVELGLRLADAFYSRWYHIE HNLQEPLPPYLKGDLFDQHRFRILRNDISVAVFQQYGHVLTTFFKGAADSHYDISPNC LLSHRGVAEFLEKAAWDTFRIMTTLSLENYSAEDRVKAITIFRTIMTQISSSLNTSPS TKHRQPKNPIYLLYLTGIYVRRINALRKQPGPCMDDAAVNELEATMSVMLVYKSNIVD IEEAGSTHDNAQLSKRRLNGKDRFGSVQRFSPDWWSFLEGKHDTNIKDSEASPFTRIW WEKRRPAPAVAPVTPVSTLPRQSSLHPSRGVIRSPPMRPSISTTTQGTLPVYSENDEI GPRTPRTASSMTASSSALAFSEIVHTKSDIQHSSEGPTAVDPGPKLTASSVQQSSVLR IDNFQSLSLSTLNSESLSHAPQQRTSVLSSTSSKEDKIEMLEIVPASAETLHPVLRSV TRTRTPVERVKFPDPIYDPRSLSDNPYPQNGDNRPSSPQT JR316_0012230 MPTLMPPTFPVLSAGPKQATPIPGNRRLPVQLEATFAIGSNPSS VTTAAGNDQFGPVTQAIDPFPPTAISQAANTFDTTVPSSSSRFAANTQDPLATSSTAS NMAGTTAINPNQAQGHHMEPLKIILISVGVAIAAILGLLVFLLVYCRRRKRQRSEIEN FTANPFTKSSPPPHLSSPPESFEAAQKYIDVLEGQIERMRREGASATITAQARAGPST SSTHAFGELEGVEPPPQYESI JR316_0012231 MPTLISGMFPRLSQPPAFPTINSLSSQVTPMTSDTTRFEGPLAR RNAASIAKFLSTAIEVPPFTTGSTASSTANVSQDRGQNTKSLKSILIGVGVAATAIVG LLVAILLVYCRRRKRRLSGRDNRIVNPFTKQSSPTHASQPPDTLEIAKKYIDVLENQI ERMRREAATATATTQSQAGPSTLDTPSLGEPAKAAPPPQYESI JR316_0012232 MPTLTPPSVPGFNPPPGFPTLPSFPSLESGLWPPFSLPTSGISI SSNQARGQHRASLKTILISVGVTVVAILGFLVILGVYCKRRKRQHLEHDEHKAHPFTE SPSITRQLSQPPDSFEAAQKYIDVLEDRIERMRREAASEMTTAESLVGPTMILQRPAV GEPERVAPPPQYEPI JR316_0012233 MPLLPRSPRKRPFQSAFESELIDEKPQIWSTTHPKRVKSKGKEL REVTRSKSSSVDCLPNEILLRIFQESINASGSSISIPIDMTSCNSAPVTLLYVCNRWR HLVLGSPLLWTKIHTPELIFDNDISLGPYQKVLAQLLGYSQTLPIFVKHNSPGYHYWN TIKNHVKLTPGCTFTSRHLRGLANDHSLNMLLALTNAGHRWKTFEITFDNALLQSFSS LCSHQDPCLGANLESLKICFSDSPRTSMDFDDLWCWIKRLPVLKNLYITDSRQFLANT NPKSLPLGFITGEIQFQKPSIKFLDALQIISQCKSATKVLICGRSLCSDASDSPTYHS GPTTPLCFHRITALILKGIQYPIHILDHFTFPSLVRLEIFLCFAVIGEDLRNDSLSIF KRFLDRSQPQLAEFIVRDDYMTEEIALPFLMLPRLRHVSTVDFDSVRPISAGLLASLH HGEDLDATWNERLRDIDLMIWKSRSEDAGYHFGWKSMRNNETLQLSIRGGRIQR JR316_0012234 MDYFLGSSLPATALKGTIENTLGAGFLGLIAASIVLDATHLAIT IHGLYYYIIQQFGNVIGLQSIIWSFKVSSRRSSVLILVVADKIYWKVQITLNVIIIIM VQSLYTLRVWKLGGHNFGSTFARFLPIGISIIVLGGAALALYQHLLLNIIPADQIYVA MLNARRSGKDNDSSSFSIAKLMNLRRRRTERPSATHVETVADQASRFDSVAMSSIGYK ARLDDDLDANGGQDADGSNRIGGISIHRIEERKYDEGDKKWSPV JR316_0012235 MSFHTAEPRTSFLGDEEEVRAWDLKDEFRHAPKKPEVDPWKILL DPLLEREKKRCDSWNTEIQNLLIFAGLFSGLVTAFIIESYKTLQPDPNDDIVAILSSI SQHISNSSNHSSAATLPSSTFIPPRAAVRVNVFWFISLILSLTVILSGIITMQWLREH QAYTGQSSKDMIAILNMRVESLEKWHVKNIITTFPLVLALALVLFFAGIIDFLHVLGN HTVLVAVAVVITITLILLVASTTLPSAQCTFLYLWLGHQDPPSPCPYKSPQSGFFHSV CYYISSFFSRHRIQFFIALGKWFRGLTALNARNKHALLYLTDTWSKKTWSEVDLCWLS IREACLFPPELDGYHDFLDRNGISPLFDITKTFKGIIEGVKLSSEMLFAVYHCFYEIS APFVSRDHPKRKAPTKTNEIDQTFEMIRWRNDYFHSLIHGPDDANILKITFLPVKGDP NARKRFHANINILHHHSLFAFVDRLKGQYPTEFTDHRVEISIRLADNLYSRWYETSHA EQHPLPPYLKGHTFDQRRWKTFKHNITVPVFQQYVYLLSAFFKGVTHSKHTISSNDLL AHEDVIGFLENAAWDTFRVVAAQSLRTLPPSERRKALTAFKSLLTKMTSFLMCLTQPT PEIVPANPIHLLVFCAIYVRRLNSWRFQSQVPMMDQQATGFLEEAIINIRDCKQAIID KHGFSYPETLKWFVKQDQFGSVDMFSDQWWRFLYGKYDEYCQDSEKSVRKEQKSPSTL PMTSAASRPTVPMGGFRIPVPPSFPAATSMSSVYSDESYASSGEDSPITSTYSSLFST PSLLPRGDYLHPYPPKSSSSLRGGSSNRLRITPPVSIQRIAAMELPQQASNSTPQPSD QIPEPDRSPSDFPYTAQT JR316_0012236 MPTLLSWQDHYMSNVSVTTTIGSTIATFATGTARIVDEPRLGVG LAILFVSLFAVSFPSLSKHISFIRIPGILFFVGKHFGTGVILATAFIHLLDDAFKSLQ SKEVERRYGAIGKFTGLIIMVSLLSIFLVEYISTSYVEHLQEKPSAPPTPESSRPGSP SPRQIVRDQHSRSRNRQHTSRSRSRTHAKIHNLSHEPPTETTPLISSDIPINPAITRA PSAPVLPAYAHTPSLTTSHYHTHAAHHPHHHHHAHTPSIPPSAVIGLPIEVLTNSPRI CRLALAHDHVHGHHHHVCKIGLVDDPHLAVLEEDGEETHVREHAHTHEEAHEHAPHHP VIGRRRQVIGLLVLQLGIMIHSLVIGLTLSVTSGADFTSLTTAIIFHQLFEGLSLGIR IAALPPSKKDESTHLEDVHDSTSSAIVDEWEQNSERLFSDDSNNHPSANVDHDNPQVR TDDTVTAKRCPSIHSHDENVVPTILKPHHSRLQSPALSAPRRWLWTLKSVQWLKPTLT LLFGVTTPFGMLLGMIVWKSRGNSGDEGSMLLIQGVMSAISAGMLIYAATVEMIAADF VFGDVEGHHHHHHHHAHGDEYQGDGDGNSGGSEDGRSRPSVDTGGKNSSSADAGDEPK KNTTLRKRALAIFSLFAGASMMVLVGLGE JR316_0012237 MAQLSLEKTFLLATWVEGMIIISGVMFFMATFHLAMNGFRLLRG YVDYRLDPGGPVGYIGNLRKWDHILKDTIYATQENFGSAAAIYRTWVLWSFDWKIIIL PTILLMVNIGAGYVVCGTYSSVDPTATVFLPRLNSWIKTFYSIAVVLNIMTTGLMSWR IYITHRRSANYHVGQGRLLSILRILVESAALQLIVEIVLLALYCNNINAQYILLESVT PIVAITFNTITLRIKLQSHADSQKSIASGISGPVQTIGSMPMKRIHVNIDREVDDDGG SFNVDKP JR316_0012238 MPQIRTPLTDLLKIDAPILSAPMAFASTGVLASAVSKAGAFGFM GAGFFSSAELKENFALMRKNLNIAPDQPVPIGIGCIGWILDMTESSPDPRLHAILEEK PVALWLAFGVDLGKYVAQIHAFDAKRDHKTIIFVIVNSVEDAVRAANEWKVDVIVAQG IEAGGHGGSEAPPLFTLLQAILDALPNGPLVVAAGGISTGKQISALLTMGAAGVVLGT RFLFTDECIYSPAMKEVIVAAGNNATVRTLAFDEVGKTNYWPPKHNGRAIANDIMKDV SEGLSLEQRLERFEQSAATQDKNRLIIWAGVGVGLTNKITPAGDVVRELIKESSESLK AASKLVAF JR316_0012239 MSFTGSVTENLLKFLGISSLLLLAYFCSPVVLRHLIVDYEGNRL PPGPPVRYAFLRKYAERALDAWAKQYGSMFSIWMGSQLFVVISDPVVAKDLLVTNGAI FSSRKKYFMKNQIILKGRAITASEYGNKWRQHRRLAALALNPKAMEGYASVMDYESHI LIKSLYDESQQGKSPVNPAHFAGRFALNNMLIMSFGLRTTSSYDPLVAKALGLAMEFM DLTGPWSNCVDFFEILQYLPSQKRSRGQKLHDDLIKVYGGMILNFKSRMLSGENVPDC LVKTLLETQESEKLDWEDLCMLSAVFTLGGVHSTSGIIQWFLALIPSHREVLERAQLE LDQVIGRGRWPCLEDEASLPFTRAIIKEVQRVHAPFWFATPHCSSQDFTYKGTFIPKN TVVLLNCFTLHHNESRYPEPLKFNPERYINDKLSCSESAKLANVMDRDHWAFGAGRRI CPGLPAAERELWLAISRLLWSFDFNALPDEPISLEEYDGLSGRTPLPYRLRLTPRFEG VVDIINAVEETTL JR316_0012240 MDCIHRPDFIKLPIDIQREYAEVSVVFQSTMSIWLWSDVARLKQ HYRFPSPNQLSLEQISALLSGIDWIPALKHHVWIPRPDFKGVTVSRDLNDLETWCANA VVAETLGTQKSRSLIPLAVLYSLLREQFEEGQAYMHGRVPQDEARKDSVAKKGRYTAV ASMSQKQIVLSMARIRLIRSKKYLNSRQPLITLREDLLGQIVRDIAVKSNSSTSTKDE TQLDEVKSLEISKLSRGRVAELRLAAKISAQSLHDIKAQESRSLSAQSGPAREPQQTT NDSVSSKPASFKLLEDSGTADPGAKTPGKAALRRSDSIKRDKRSPKSSRRRKSGRRKR LELQEYAKELETSLKVIHEADEDGYESDDFQSTDPMPQGGIEDEYEFIPSDEDQDFDV DVSPEVEEQTSEIGVPVAQEKHGSVSGIIAGFKSICADASNAVAGGTQTIVSGVHNLL AIGRPKRKRNLADEGIQDDEVVNDQTPTTPTRKRARIELDLEHEHIRRSYTQDTLPAK TTPSPSARSERRSLASNPKRSYATRRRRAPATRVTISEFGQIQRFPMMDDRMDTDPPA RAYTREDETQNISTVEEAGPPTSSTRQLPRTRAMALSSSSQSARPTGVKFPLGRN JR316_0012241 MVVLSATTTIFSKDLTASPPGAIPTPVVKPDSAKPIPPTTDSLN IPSTSSTSSAPSTRDLSFQSLSTSSSDIARSTITHSFTPPAFGSSFISPGLSPPVSGS TLSTTSSLSTLSVPILQSPVSQPNGQATGIVSASQQHDSIDGTLKKALVFAGAGLGLL LILAALYRYRISYKRKRARRNEEYMLRARPFALATRVNRQVDYQPPNYATRRTRPVVG SIERLKDAFSRANSGSGPSRVTRQESANELEANPDGHFSTRRVRVVEIVDESDVYEHP PEYRLSASDPISAT JR316_0012242 MKSSMESTSSNLSESSVSIGNGDYDHRDTFQREALLLSPPLRLH SRQLLGNLLGTSNDAKDATTSEPSSSKDSSGVSGILNAAAATVSDTTNAVTKPVSDGS DPAKAVVSDASTAANGITSGSQGPAKGNVDNNSNEGSSKVSAPTNNNDKDATKDSTTG NTTKGASADNNAVSGLLGSATGAVSNGVAAVKGIASDTTGTTEGKDASAPSPGIASGV LDTANNAASDGLSTAKATANDPSAAKGASQPHNVVDGLLGAAAGVASGTVGAVQNVVS DTTGTAKGAISSISKAAAPASDNSIVSGVLDTGTKAVSGTLNAASGVIEDGLGAAKEV VTDGVSAVGNVVHAAGSAVGNILADGSKLVPDATGLVGTVLSQATSVLGDATSIVGTL LTQATSVVGDATSIVGSVAGDATSIVGSVAGDATGVVGTVVSDATSIAGEATGVVATV VSQATSKVSSVIVGATSKVGSLTSILAPPPILTPPVITSTPTVSHSHVSVESTKIVPP GDSPETTQAQTSQPQQSPGVPEPSSSNAPPPIVTTTPLPPSASTEPTFVSIFIASSSE SSTPESHISLSSGRLLAATMSKSNDQTNGYTLTINGTPYTVAPTSPTGSTHPEPSAPG GGGPNSAQEATRNRVLLGVLIGLGMLLLALVCTGILYYRRRAKRLRTPVYDLENEKHV FGRRAKFLSELSGRTSNVYFNSTRGSSRVSTVLSQSDSSSIREEDSNEDWTKPVLQHS APQVNFGMIFYNAAGLSDDGTGSGHTHKRYSDTPSESSTGSNGGERHYFTAI JR316_0012243 MQQTGRFVPSKYHFALLFVVGGTSLLFFYGFDELKFGYLNVGSE EYIRMMEQFILDATSMATREKCIHRSNAVSPQAERSSKEESAATLAFLDPYSLLNKVP TYTCGGIMWRRDKNSEHGNKGNGGQKPKLGPGGPDDSSKKSPPSPKLPMPQNNPPMGM RPDLPEMPIQNKPNIGAVSTPHPTSPIGLGTPDAIGETLLTHSSPSPSSAVHVTTETS TIEVAHTLVNSIPSTLGTLARTITSPGPTQTVTERVPPSEHTKVAIGVGVGIEVPEFR GGGHQVSLTGKNQYWPGRRRLGQPMCISRHLQELLHAQIMR JR316_0012244 MVERARLLTGISVGFLLTICFAVASLQPFQHSNWLVHHVSYGAL ELNCEHIGNGFLDIISASAPRRFLRRDNDKSSGADNGEGGNDDNNGKDSDNGKSNGNG KGEGPDGKDGDQGHPPDAATPDNQTGPASASPKSPGISSPSSGPASNSAPPGNSSAPP DTTTGSPDTTTGPHSDPNPAKSKPGGKPNEKIFTSTSSSLAASSTSSTSTTSSETTVG TTSSITTATTALSAFTVSSDSPSPSPPPPPPPPPPPTSNSPDFSAVQAPETSAAQTSE APAIQTPETSAVQTSVDPAVQTQETSTITFSSSSESTLLPSPAGKKIDEAAPAATNTG YASSAQNPNSIYSDTARTVAVSSAPYQPTSQQNSGTLISGPTESSSAIPGVDVPQGKN NHSKTIAIALGAVFGVLFLFAIGLYLFIWHRRSQSKKRRVQRDMEDFDHPQTMAEPVS NPFEGFNHQQTFAAPVSNPFEDFDREATFAAPAVSNPFDGGEKMISLNSGASPYDQPT SVPRVTTNLYVPSTIDEENTPLSQSFDDFYQVDHPTPPMRVKESAAENLIRGPPDQIH HRYGIDDSKAIRIIDGKHHSDSEDDEDKLSWNGRYPMAI JR316_0012245 MHDYMLTLNPADYFSTISWPRPPSYKFSDLSTYLFGVARHSQTT IHALPPELLEHIFSYYTHVDDEDIPGIASGIISTHCISARPRAYANPLILGHVCSRWM SISRNQQRLWTKLYVTEPESAKQVAMARYWLSLSGTSPLTLSLKISSALAMSHAPSSA EGKNREKSRAEAVRRYVDLFLMRYDQWQSITIKLSGTTYLPSLLNCGPNSIIYVPKDA PPMLKHVDIDYGSWMLGDRRRPNDAMLSVLYSAPGLDSVSWSAQWYAPTKLVTHEVFP HWKNLRKLVYRQGYSYNTLKVADAIALLDACPLLEHFDALFYPEHGHGGTHEVQELVK HRNLRVLDLTIMQSTAAVLFDSLDLPALEEVKIEFPSDYGNTVNSAFVGLLKRSECKL QRVFFDNSNGGTDSEVILEVLRSQHLKDLKVLQLAGVRTSGVMRLLTRRAAVEETLAV LPLLERLDFQKFAYGDSPSIDDVENMIMSRTKQIRGLGVGRLKKEGHGDALTTLARVE LQLGELGTWDYYRLAKLGSRGSKIVLTDMGTRSEMSVPPIMQVLSGVRNWIMPGARFA WDH JR316_0012246 MAQPQQTISVADLDIAQLSEVRKQLEEELNHLTNSFAQLKQAQA KFKTCLDNVNQVKPEHKSKTILVPLTNSLYVPGKLSDSEHVIVDIGTGYYVRKTRAEA AKHYSSKVEYIRTNVDTLEETINKKRENMNVIISVLQQKIQAETQGGPTGRS JR316_0012247 MTTMEERGQCPQDLVAIFHASFHPTKGNVIDWSMKASDDLNLDG LEYSALPSGLHLVEQDVVYFNKDGQQGVCIFRRRQTAETGHRGFRLSSLGILLARSRR PRPQRHVKALKELIETVYTRMEREGVLEPTDEDWDPARAFFEERKLRRADLGGAGDWA GWSEELDGIYSEPSDSNLTLHLPHLLRILGPSSLTLYKHILGRKRVLIYTLPPVQVAC ILCHVASDLCFESQVDDSPNSGHLKGKHHEPVNVLGMVTLTDLDRLEAESRTGRGWIA CTTDAIFMEKPSYYDLLIDLTTSTPNKTTRPTFYASKPVTPQPGSSRGPSHKLSSMHF AWSDVKLWNEVDRILRLDSDSFSALHTCCGVSTVEPPVKSKSITLWTDAWQVYEDVCI ICAGLWMGNWRGNSTMSYSTGNGAENWGSVRLEGDDDLTREGVGKAYVRNVGMGIEGR PASDMGLSAGGTSGGTSKANRRVSAMSWSSGRATVVGTVANGKGKQVTSPEPQLNDSG QIDEVDETYGLEQYHTAEEESRRKNAQLLTTLAILQTFHAHTLFQLSVLKDILTRQGV DGDGDGREKVVLLSPKDMMTFELGPLSSFDARYLEWLVEEYGGEGVRVAIKRGWKDLL VAMLGTKNAMVPVFLNFLYLVFFSKPHDGQIKRLVFKTADWNSVDYELKKDPGIPRLP NLKVKVRNAQHKPQVPPERQLDNDATMASEPTLSTLAMLASEAEASSAAQERQQQQQE QMFMDPSSSTGVVSKTKEQLRKYYLKALHKVVDEADIIILVLDARDPEGCRSRMVEEE VRRREAEGKRLVFVLNKIDLIPKSNAQEWLKYLRHSTPTLPFLSSASSQHQRTNISSS TAPALMKLLKAYKPKAGSVTIGVVGYPNVGKSSLINSLKRSKVCAVAAQPGHTKELQS VQLERGMRVIDSPGVVFDEDVYDDGKGSKKSSVLLRNVVKVEDVEDPIAVVEEILSRT PPETLQKIYNLPEFTSTLEFLTMLALSNGRLLKGGTPDLNSAARQVLTDWNQQKIPYF STPPTIHPSMIPSTVAATRTGEDGPVIAPGAENVGQAQILTEFSKPFQLEGLFGAADA GAFGGDGDVAMGDEDDENEVFWDAVETPEAMDDTVPMESDDLRHIVPRKRSRSPSEAA QNSRGPSDLAHYNRQPKRQRKSKEIPAYDAQPDKNVLEQMGRSNPLNRKTLKRDAKRA RKAHRVRAGGGMEIDDQDSFYTVLATMKDNTTAIRTPLVISNALNTPNRLGNDDYFAI SRSLGSSKRHVGTFDESGHDEVLLNKLHALEDEQARRRCPIDSIPNELLALIFEFGYL DFEEWRHSDPEFRETMMQTSRRFRQLTLHTPSLWSVISLSLSNVAEEVGRLPFYLERS AQYPLDIRLSSFWEQDMTDIVMPLLVAHSKRWKRLSIIATDSYIFSYLNDIPAPILDN LDISYFAHERRISLPQSVFGGEFPKVTYLCLRNIDLNTLKLPLHALKTLEIRGYGTWP NLERFTEMLGNGASTLQRLILHVKPGQIANQLLPEGSRTHSNIVLPALRKLEIYSSEW LSSAIVSLSRIFVCPNLESFVLREGVGSASETARTIVSYTRSPHRHKDLRLYQPNPSS WEPLFTGFPDRLYVQAASMEHACMILSSPSTMLTSLELRKPFLLRADLTRSTFSQLKA LKHLFFLDTAPNHAMLQLLDGYQDAEVADEVIQSSRGSISIPTLETFVLEIDTHTNRQ ELLSGYKDVTANFVDMFTLPSIRTLVLKNLEARQWINIARSFGLHAEEYTKLTSLKVM NMTDVLAVNANDVLYNDNTRSFPHLRRLLLDTVGSNAFMHQLLPKANPESPGSFVLPW PDMEVISVYGDANVSKPMLHRIISTREEQGRPLKMLYLDDRFSTNKDSWNWLNEHTHV LKSKPGFL JR316_0012248 MSSSIPTADDFMDVDRDDVNWAFINRLLLACNMRLPSTTVVKTA PPNNDKLPAEILQEIFEYLVTPFEDAREAKQKSVGSQACIPVTQVCRFWRYTALAHSA LWTNVASLGIISFRVTKDDILQQKEYMQTLLSLSKSRPLHIKHDVVESEEYAAANQLF AFLLRQNPPLITGDQAPVYHAGRMLLALGFEHARWETLDIVFDNPFLVFFLQKSHLIK AVNLKQLVIKLKGNSYTASNYEGLHQWISKLPNLDFLRVESTGLYSKLLFSFSLKVPN VELRRDHIPLCCCVDFLVNNTYSTSIILKGTALNGPTTPGTGPLCSWNVKEHLFPSVT NMTRLQNLTLRGIRGSINIFQFIRIPSLKTLEIYDGLFGINEISALEFATILSRQHCV LERLVIHNACLSRNAVLQMLTWKAIQGIKRVSLGPVPFSELTQLVRLKANSNGFMVFK DPSLGIFKLPVKFTVWQRTRGGKKDLGCFLGW JR316_0012249 MEQSSNQATDTPQPNPIEDLTPAERLARARQRLTSSRTYLQIGR TSVVVRDDVLGDISKEEASRVNIVGEPSQSAQHAGMSATSPEKPLVDDHSPTSAGQKG LDNHTPTSAEQKGLENHSPQEGSEPTAAVEKKSAPRGRKKRRDEVYDPTELRKKLPGL VTLINEALAKEPRNSTPSEPGPSVPDKKRRRPRPSGSKRLPPRQEEKSSPTSTSSPEA GPSTRSRTKLLREAAAKLPSSEPDLFNDSFGDGHEEMEEDLRRILSPPITYPLYNGFY NTSPEPDADHSQEKSEEATNDGVDNTTKELQVVTTPSSADSQEETETSVEVDTKATTA EIFGPEQIIDDVFSPSVSSTSTPKRAKEEIEYTIPTLPIPTTPPSDEMVYLACVTRGK PLQRQYAMLNVLEGLEESKKPGAFSAFIAGFKLMFGTASVSNAIVAGAQAVVSGIQNL FGYERSSLKRTREEEEERQEPAAALPITRTLPEEQDPETPRRKRARLSEEPVSVSPRK RSSAPSPPAERPSDEFLAPVAPSVLPDSASNLQVAIARPRKKVDRLSGVYRQGEVPYL PQSQDSGASSSGTTSPVRARATSSGTRSPGVRRSPRKHARSQPARGA JR316_0012250 MQRNRTVQIPLLNNPYTEGIQMSDFKKLPLDLLKEYAIYYRLPR ASELSADEIYSLLRGHKWIPALKHHVWIPRPDYMGVTVSRDIEDLEKWYTAAEERAAE QKTANYTRDPWATRNHLRKQWATAQNRRREGSTSKRSEDVTCEVEGPEVETPANIPSA EPTPVVELTEAQIALSKARKALTSSNTYRRIGRRSIVLEGDILLEAKTQQEQRQQQNH STAEDQTHGNGETTATSNLDRQSTNQTPSASPPRTSPKKSATRKRRVVRKLPSASTSQ NPSQHDHSVQRTSYQEENTSDKSTTTTIPEVEPSSASSPPAHIPASSVSTPEQSSTFS DHKRLLIRKADPVPLPELTFEARNKKETAAPPQPPLRQDLVYIACTLRSKNKDEQQAM LKGLHDEKKHSDASTFTNGFKLMFPGALSHAVSSGAYAAVSSIQNIFGSQRSSNKRSR AQVDDDAEEVRQESDAVHDDLPVHMRKRARCDAGPSRTHIRAGPSSAPSDSSMPVFTS PNLLVPQPRKTVDRLAGYYRVDEAGLLQSHAADSAAAGVQAGPSSRRPVLHPSSWRKT VSSARQAARRNAKRKRT JR316_0012251 MDFSQSDDYYLETNVEKDVNMHFFGYLEPMEMDAGTHLIRIYFP KNCQDCIVGSCHRCDIVLDGPGIANQQCRVFWAGNRYARSKVSVVNLTERGLLINDVP IPKAKWQELNSGDKLQFGLHYQYIYQHTADVGPSDGFHSTYAIGEEVGHGGYATVSRV TNKETGEIRVVKRVAKPFHLKANRPRSTYEIYTMRQVGLHHHVVRLYEVYYHYDNSIN MILEYVDGGDLQTYLNIHHRLSESQSKRIAYQICSAMTHVHSMGVIHRDLKPTNILLT SEKPPVVKIADFGLAVSAKGPFFLSETLVGTNRFLAPELGKKCGPFLYSDRVDSWSVG ITVLTMLAGINSLPEQMDLESDIEFFEWLSTFKVDEGCLAGLDVQISEPAKGFLVALL DRDPFVRASLTMARYLPWLEEFEIEDVCEQDEVDEVHRMLISDDSI JR316_0012252 MWRACKTSSATLLVVVVVVVESGQRSRQGRKVDHVIITPISSHM ASRKRQDRPIMLHVASGKNIDLVELASSLPLIHLPQWIYPMADVKEWDEIEAVVLRGM DEVLRERTYRDCLQCIHSEIAMEIDLENDSRSATNWGSTESPGTISATTPVLDGRIRK RKRAGEASQSNGSSHSSETYSIDERPRKKISTTPPELSRGYKVSDSSDTDMYYSETED WKDYPSDDSSSSVD JR316_0012253 MLILNSDLLFIHDCTASQTPYISGTVSRCLEIVSVIQGLGNLDT RKEGMRVGLIAFCDHGKDEDFVTKDFGGFTFDVEQFYHNLRSLEANGGSDYPEAVTAA LEHSLRLEWRTDAAKLVILITDAAPHGIGEEDDEFPQGDPNGHDPLKIAKKMAEQGIT MLIVACEPVLSNENDYAHDFYLALAKITRGKLVPLLDVRVLSAFIIGYALEQMGLEEL SRQYSEPIKGLHKSGFTLKEMVNAVWEWLSGKENKVYELKYNQVYEEPPEFDEVVNTW ADGKDILSVREQSTPRSSKQRVPARCIAPPGGWPKTTVDVTLMNMNERDIIDFMYLDP DPDLDAIRTHGPAPIQNGSLQPGEISRQPRKLLAHTDYYFSLKCGSQKRNHIKQNFSS KQDMDVSIHFK JR316_0012254 MDTMTITSLTIINQASDVPEGFPATNLIEVLLRHYIPTAISKFV RDVHSSNQVYFRASKIYNAILSSITHIQSANTAEWAVFDHYTLAIPVLERILLNFYVN HPEDIKRDHLPPTDGIESAIMFIEIMENDRVMLRNALKDLADEHFTILSGGLQPYLVA DASTSRRTDDIDTIKALNAYISSNKLMDVDIDQPLNGKLLTSVRMAIGELAEKLINHL FPENLAALGIKTIMLAYVPFSLITAGTISTEWRDYFKSHAVWRAVESLTTNVLSQFIS GETLGNLAPLEEEWRTVRGLLLKLQHTDVSTSEEILHLVKLAANIRRPFHGRVVEIVK MLYDIHIYSHANQISVGQSTHKLREIKQVMRIAIEGFESLKVEVTDVREIALTDNPYQ KRSSMVKELLTTIEGLFSELPEKREYWVRKYNNAAEIDEIHLEWMRKRLGLTHQGNDV SEGMRRTGYSDEDKYSDDDDDSDSDDDREDFLPDTFYSDGKVLQTVTFEEQPISKRQC CLAATVPVGVGLALPPSIALQETSPSARVVRHWHSWELGLGLI JR316_0012255 MQHHHHHHHHQQQHQHQQQQQYQASSTSTPSSSSSTPAPLPSSS SSSSAATASASASASASASASASASTATSAWTEPPWSGWIETTGDALLILEAARRGLI PRVTRRLVDAERKMITSGSVFVFDEDESGIKRWTDGFFWSPSRILGNFLLYRETDKRG AGHRARGEQHQQQQMDVDGGGGGGYEGGRGEGQSLSRPKSDAAGMSVDKQRERTLMGS LTNSYKFKPDGLMKKTFSVTIGGVAQHLISYYKIEDVESGRLRSPSSLPELASLDISQ EYLDKTHFRNPPKVERGVDGILRYRGEADDIENSPSMLSTPLSGIPLLTEGRVAESAA SASASASSSAAAVSKRTGRYDPYGSPPATKRARRNTKTSQQDGRATPTGDAASPQGTS TSSSTPQPMTPISASTPMFPDPGMDIAMSHTPPPPQPPLPQQQQQQQQLPGVTEIVTA PAPYPPYGMPGFYPMPPPPGHIYPGPLPPPPPSQQVAYHHPSAGSPQGPPPQPQYAAY AGYIPQHEQQQQQQQHLHGLTGQVHGSNPSQLNTGPTPGVGGGGAGAAPAPPPTNTQQ IQQQSPVLTPSPHGHAHTQSPQQQQQQQATPAQAQAQLPGAPTPLPPPPHHHHPPPQP YYPYYPPPPPPPPPPPGYPHAHAHAGYQWPVYPGYPPHAPPPPPQLQLQQQPMHQPIQ MHQPMQMQMHQPMHQPMQGVQMQMPMQGVQMQGVQYLPQQQQQQQYYIPQQQQQDAGG SASASGADTNARSSSTISMNTSGDGTGTVAGTAGASANANASGSGDGSGTAGAGAGAG ASS JR316_0012256 MNGTNASNSSLARFEPRQVTPIDSLPFEILSKILFECIKEIIEG KPARISALIGPRNQPHSFKLVSKFWKDVAEGTSSLWSHISAPPYNPLTYRKDPYATST FVSTWLNASKSLPLHVYHPRYELQIREMFFANDPQIHAAMDALNKSIALHRRQMLDLF VAHEQRWESLQISFTLSLLRHFTSKHLFAGHKVGERLSRLKISLDDWNITLSKVNKLF RWIAALPVLRHLELDDPHNVAGDFTLVPWRTLTRVLYRRRFLTFEDSFWLLRQCTSAT DITLISGHGSNPRWPLPTPPTISVPYRLEATTKLVLWGLEFPLDLLKRLSLPRLECLE ITSCFSTCLDPGDALSCFLDQSQCRLRRFVVSDLALAEWRHNVYSGTLSSLPPMTVSD FSCILEALQSYKVGNVLFRFKHHTRTVEEFQSSTGLLRYICPLFNLRVWMDDTEKART ARRPHIRFCVGWEES JR316_0012257 MSSTDVFIDFSNNGDGIIEVIYDDIHGISQRFVVRPQQIVRRKM PISQSIYFTFNRGRFSQNATHNFVNNKTIDVNMYFV JR316_0012258 MDTTTISSLTIINQASDVPEGFPATNLIEVLLRHYIPTAISKFV RDVHSSNQVYFRASKIYNAILSSITHIQSANTAEWAVFDHYTLAIPVLERILLNFYVN HPEDIKRDHLPPTDGIESAIMFIEIMENDRVMLRNALKDLADEHFTILSGGLQPYLVA DASASRRKDDIDTIKALNAYISSNKLMDVDIDQPLNGKLLTSVRMAIGELAEKLINHL FPENLTALGIKTIMLAYVPFALITAGTISTEWREYFKSHTVWRAVESLTTNVLSQFVS GESSGKLAALEEEWRTVRGLLLKLQHTDVSTSEEILHLVKLAANIRRPFHGRVVEIVK MLYDIHIYSHANQILVGQKTRKLREIKQVMRIAIEGFESLKLEVTDVREIVLTDNPYK KQSSRLKELLTTVEGLFSELPEKREYWVRKYNNAAEIDETHLEWMRTRLGLIHQSYNI SEGMRRTEYSDEDKYSDDDDEEDDDDNDDSDSDDDREDFLPDTFYSDGKVLQTVNFEE QPISKRQPFKLLQETNNLGASGPALAFVGIGLGLI JR316_0012259 MMKPRQTQKKNKKLTPEAPVTTPPSGPKSLDLLFIHDCTASQTP YISGTVSRCLEIVSVIQGLGNLDTRKEGMRVGLIAFCDHGEDEDFVTKDFGGFTFDVE QFYHNLRSLEANGGSDYPEAVTAALEHSLRLEWRTDAAKLVILITDAAPHGIGEEDDE FPQGDPNGHDPLKIAKKMAEKGITMLIVACEPVLSNENDYAHDFYLALAKITRGKLVP LLDVRVLSAFIIGYALEQMGLEELSRQYSEPIKGLHKSGFTLKEMVNAVWEWLSGKEK KVYTLKYNQVYEEPPEFDEVVNTWADGKDILSVREQSTPRASKQRVPARCIAPPGGWP KTTVDVTLKNLNERDRIDFVYLDPDPDLDSIRTQGPAPAQTGSLGPAQISRPRKLLAH TDYYFSLKCGSQARYHIKQNFSSNQDMDVSIHFK JR316_0012260 MACAGNPAEPCGAGNRLDVFWNGKMPPAPPQIVPSVGKWVSLGC FTDNVNGQGRSLPNPTTPAGQVTIESCTTACFNAGFGLSGTEFSDSEFCGGPNRLNVY NYTGTDLPVVSGGGGGAAGGGGGGGTALGVFPVLSGLPTGWSYNACWVDNAHGRAFVF QAPGSQTNTIQSCIAQCQAQNFTVAGTEFSDECYCGNTLVDGAVIASDPTTCNMGCAG NATQACGGPNRLSVYTSNKPLVALPVPSAMTTNLPGNWTYKGCLRETATGKMFPNQII WIGNNTALACMNQCAAFGFTAAGVEFGQECYCGDVSDVTNNNGVFGAESECTTPCPGD PVHLCGDGNRLNTYFWNGVVNNWQTPSNIGRYEFLVPGLVVPLIATLGINNKVTFLEK GGTGIPNATGAYELDLSLVNNFGKTWREMHIKTDVFCSGSVIMPDKAGRQINVAGWAE DSNFGVRLYTPSGFTGVNGTTDWEENGDVLKLQRARWYPTATVLSNGSILVMGGEIGS NSAPQPNLEILPKPDGGDTVIDLDFLLRTDPNNLYPAECYLGYFNEARILEPKTFTTI KTLPNIPGNVNNFLAGRTYPLEGSAVPLPLHFPYTDPLQVFICGGSTNGAGDAIDNCV TIAPEAANPTWTLERMPSKRVMPNLVPLPDGTVFICNGATQGYAGFGLANNPNLNALL YDPTQPVGSRFSILNNTIVARMYHSEAILLPDGRVLISGSDPQTDNPDGTVKYPEEFR IEVYIPPYLNQGLTPPNFTLPNSDWAYGSTNTITNVKFFQGPISKLRVSLVAAASSTH GNAMGARTIFPQFSCSGTTCTITAPPNAGVSPPGWHQLFLLDGPTPSHSQWVRIGGDP AQLGNWPNLPGFTVPGV JR316_0012261 MDYDSFDAVLHWIFRTTLGDAWFKPTEENISAGVCLRVEPGQFR VFPYENPYLIPFEAAVRGLNPLVAVKVRTAAVHSALGTVAEDATAIYIDQNTRIQILD TVSHLPKADKEQCGAFIRDEHVLVVWSDNLDNIVPLCNEFEEKLMKLVWRSRHHTTPS IVTSASNSSAPSTAASNVNLNEKTTRSSITVPDPAVVSAALAEKEVTTAAPETPPKKK FWNWSWKLSAKKSPSASSDPEKRAAQARPIRLFAPIYGGLGLGLSVFFVGSGTNMLLQ EWRLDGDYKRFALLVTAPFLVCVALFFSLQIITTIAMIIGPIAQYHENSRYYSAVRPE PNPDVDQRLPHITIEMPVYKESLEETITPSVNSLKKAMQTYARQGGTSAIFVHDDGLQ SLSPEERDKRITFYENHNIGWVARPPHSNEPDGFKRAGRFKKASNMNYGLQLSLCMEK HIIELENSGQSFDSISLEDKALELAIEETYEASGKKWKPWAKNARSLRVGEIILIVDS DTVVPEDCFRDAARELAESPEVAIIQHESDVMQVAHHFFENGIAHFTRRINKCISLSC ANGEVAPFVGHNAFLRWSAIQEASFVDPADNVKKVWSESNVSEDFDMALRLQLKGYII RWANYSEGGFKEGVSLTVNDELNRWQKYAYGCNELIFNPLIEWHRKGPINKQLRIFLW SGAPVHYKISMMSYMFSYYGIAASSVLSFMNYFLLGWALDVDGFYMHSFEIFLACTVV FPGAGNVAYTLLEYRLGQRDIFSSLVENFGYVPFFWFFFGGLSMHLSAALLAHMFSYN ITWGATAKEVERSNFWKEIPIILKRYGRVFFICIIAIAAIIILSTTLVPPGWRIDAWG VIVPLAIVAGGHLLFPKTLVLWSSLFQALFTGD JR316_0012262 MPVSPLVLAGDEKLKPPQPKFAIAEGASDADQEANRASHHSRTT SGTVSWHESPPVTPRSISFGNTTNPFSASLIHQSFYTTDQTPGTSPTHLQNESNGGFP FPDVGSSTPRSGVTSVSTSVADLTRNSHSFYNRTAADYGSGSPRPMSARSREAFASPR TRPMTMYSTVQPSIAKIERDRPKSTMLASPDLSKPWLQKRDPYQRISYALTYAFIFLG FAAGAIRCYFGWKEVRLLTGNLCPVLIETFDTEDGVFGDNGKFFREVDMSGFGNGEFE MTTASENNSYVRNGMLYITPTLTSDIIGEDAIVDGHVFNITGCTFNITQGISYTSSIA LPTNSSAIGADQAFDIGSYTRACSAVSNATSGQIINPIQSARISTRKTASIRYGRVEV RAKIPTGDWLWPAIWMLPVDNTYGPWPISGMFFLEVPPTSHIAPLFINETREIDIMEA RGNGPNYPFQGTNWVRGSLNWGPLTWLNAVSKTFGAWPLRRGTYDQGFHDYVLEWDQD FMRISVDTRLHHLLDLRINEPFFKRGDFPAVVQNGSEAVILDNPWKNGSNAAPFDQPF YLILNVAVGGTNGWFPDGGGKPWLDGSNTAMGDFWANRKQWLPTWSTSNVEDRSLVID HVKMWQQC JR316_0012263 MDGPLFVRGARGRGGATREGARGGRGTWPHSRNKHWSTADGTSR SHTPNSDSERWERGGHRGGGRGRGAPRGAPRKFPNVTLRVNHATTQQHHAAADIEHIQ PDESYLQPEEEEEHYEEEQEAEEDEIYEEEVEQVQETVAEETETGRLIPEIHEPELET AEEREKFYQELVKAREIERKRAIAEGKMDDPLVPKRLEDAISIVGTCMHMCPRFERYR RERENNLFEWETIPGTKRVDHNRAVKMYERAAGDKTLPSDLRPPLILKCTLDYLFHDL LPRGGFSATFNFIRDRSRAVRNDFTMQHITGPLAIECHDRCARFHILALHFERDRPGF SLPLEEQQLMNTLQSLKEFYQDQRGRYDSPTELEMRVYHRLIHIRDQKERHEDIPDYI LSHPVFKLTTEFRLHVQRKSAPISKNSKLIVPEEAMQIFSQLAAVLNEQGSTVMVYLV ACLLERLFGKDTIDDIESIRGDLSISDIIDGVSVDNPRMQNGHVDEGHGAEATIEGDD GDVDDEDAFLDGDDGYDGAEEEAEDHEVEKSQPAVAGNINPPPTGWPSSTFSTKPSAP TPALAPTTGGAFGNLVSKPNVFGNGSVFGGPVFAPSNPTPVSVFGNFGAPAPKPATNG ISSTSVATPLASTSNSTPPQPQSVFAPSPFGGMSNNFPSKATEPSSSPASTSLFGNPF AVPASTTTTTNGTSPAKSIFGGTNGTPFLISTNNAPTTSSSLFTITPSKPSPSLNPEA PEFVPPQIIQPSTSPFAYQSINDSAKSTLGPKPTIPFFTPPPDTTLDAPKANGANSFT QPSLPGSTQQQTPPPSFFSKPNPPTSSSASPAQTRPIAPPLLKIDTNTSSGSSSPSVA SPKVPPPLARVQPISLPSTPTMVLQPSNPLLDHLRNSLDPSSSSLSPSGSQELLSPLV VGSPTASPMGSFSIKNFTPLSTPQASRIFRPPSPPKSNGKGKAPDLSIYDIGEVEEMK KKALQFAQKSLIMRECFRRWLKKAMDRAAYLEALKHGDEYRQKIHRSNHARQQLALRT SKNMDVVDKKRRISTNGGRAIASMSDGPSPMKKRARKRVSSEYRPPRTDEDLANRFKE NHREHELRWAQGSFLQVIRNHIQVLNASTLKMPWHIWLAMNPESDATAIWLERKFDVP DSGTWQNENVFSIPISSASGSADGYPGVIVFECTPLGDVTDDLERKYRVLDDCARLRE IIKALPPKRHFIPSLLVICWTEGEQTPEESDFFDMVKKLTAASTIRSSQVLNMTSATK DLDTKLESALSSLTLDTEGLLVKPLTLKGAFRVFDRTFHQFLSEWMENCSVLGRFNWS LYGQVVQVSITLLNNMERAVRSMLELEGLADPLPDFNAAQVTDSESAYEDLFEWLSSL DSREDANQIAMDVQSHRNIGQDFPAQIFIEHLYKLTQARLERAHPQFTTVTKPVLNTA IKSSLQSFKDEIEPHQMRLLQLYNFSVRRSPKRRAYSVATSDQGSPETKRPRLLASVT SSVNGDFDIPSTPQVKMNGRRMETQTPSPSNSSSATSSAPTEQDDKTHNTPNVTVAML RALTRDLKKKYGGS JR316_0012264 MGEVSQRFGYQNPLLYPYMAIQANPIISIKEEIRVVPFLLTCAL FSIQVIHFFLKKFQKRSDTNEDEDEITAETTSPGLVTRLKKHAKSFGGNTIYTFYFAR FVGSAALFVLSVITSLKCQPDRLGWTDVKGRFDECPELFLTVTYLYSTFLSIVSLTSK AYGKWATRTNIVILLSALGVYIYRDLWPLATYDQRPADESEGAVLVYKIIILAFTGVV IPMFIPRIYTPIDPNDPESVPNPEQTASLFSMITYTFLDSTILLGYKVPHLKAEQLDP LTDRDRAQYRTKKAFPHLDIFHGAKRRSLFWGLMRVYRKEYIILAISVIFYSLGGFAV PIGINRVLYYMETNGEGATIRPWFWILWLFAGPLIQSFSFQWYIFIATRTLVRAEGLI TQLVFEHSLRIRMKAETKDQAVQQQSSTLGTPTDSAVVTPDNASAITDAESEQTEAAH SQNSTVVAVSRETSSASTLRAAKEPAKGKTSTESKASAEESKGSDSDNLVGKINNLVT TDLGNITNARDFLMIILYIPVQITFCMIFLYQVLGWSSLVGVSVMIVLLPVPGYFASL LQKAQVQRMKKTDARIQAVTETVGILRMIKLFGWEQKMSDRLEKTREEELKWLWKLKL LDNLNGLLGFLYPMLTMLASYSLYTLVMKETLTPSKIFSSISVFNMLREQFYRLLRQG VDLIQGKVSLERVQNFLYETELLDAYLDTPSTPVPEVPVSIETSSEIGFRNATFTWSL DGEDGTMTPSRRQFKLRIKDELIFRKNCINLIIGPTGSGKTSILMALLGEMHFVASNP DSWFNLPRDGGIAYAAQESWVQNDTIKNNILFRTPLDEERYKKVIKQCALERDLELFE AGDATEVGERGLTLSGGQKARITLARAIYSEAEIILLDDIFAALDVHTATWIVENCFK GDLIKDRTILLVTHNIALASPIADFVVSIGSDGRIKSQGIKVSTALKRNPALAAEAKH DKEVIENAQTEIVETETVPKKPSGDGKLVIAEEIAEGHVTWKSIMLLIRSIGGKHPFV YYTVILTVLILVEFMVTFQTWYLGHWGQQYVGRDPSTVNAAYYINVYTAIAMVAWFTN FAVYMLFVIGSLRASKTINKLLMDSVLGSTLRWLDETPTARIITRCTQDIRTIDSQLP QNGMWLVDCMVGLTTKLGAVVIFTPIFLFPGLGVGAIGFYLGNMYLRAQLSVKRETSN ARSPMLSHFSAAIHGIVSIRAYGAQELFKQESMNRINHYTRVARMSYNLNRWIGVRMD FLGALFTSALALYLIYGPNIGASNTGFSLSMASDLTLFILYVVRLGNEFEVNSNSLER IQSYLEIEHEPKSTPAGQPPAAWPTSGDLRVEHLNARYSQNGPRVLNDVSFHVESGQR VGIVGRTGSGKSSLTLALLRCILTEGTVYYDGIPTNTINLDALRSNITIIPQTPELLS GTLRQNLDPFDQNDDATLNDALRSAGLFSLQEEADEGRLTLDSVISGGGTNLSVGQRQ IIALARAMVRGSKLLILDEATSAIDYKTDSIIQNTLRTELGGDVTVITVAHRLQTIMD SDRIIVLDNGQMAEFGKPKELLGNKSGILRALVDGSGDKETLYSLAGHADTD JR316_0012265 MLDDEIICLGSTIGPIATGPNSIPSAQRSVTGERYHHLSDEALL TYPSACAVGVTLHIEKQGANDAGYRMLFRKANTSVVHIGRRSGLEADTSFARSKDHEQ GSAMFRCAVVSRRHAKIAFSDSGHAYLIDLGSHHGTHIRKAGEKFSRTIKPETSTLLG DGDIVTFGKSVGKGEEMVKPIVARIELIHAGHVTSKMPFNPLVVPPTNSPVLSGRSVS KPSTSGRYGVHTSSSSSDESYSSHSGMYSDIEEIPPPKTNLNPSVSLNDNSTTNKDAS DNTDNTIHNDDSGSKGPGNSSNPRSLGQALNVLKRFLPPSQQSSTPRLLPSVSEIVER PFAHMSSFLFGPDSYPTTPGIAHPDTLPPVNLSLLNGRPESSNPQSQNNSILSSPSMA RLPMLSENSIVGFDASQHDIYEPHSRSRSHSPMDLSSPSPSFKVIVGGRLSIPPPSII SAPFSPTRNSPSLSPPHILAPLAPSSSEGGPASISAEPSRSDPEIQNMGMPLVASPDE NRSERFAIHYRDPFDEIDPPESKGPDDPSVSPLRFRQMEDTVQSLKVEVSKLHSQRRK YKSRFNSNVQVISEKLGEFDDRLLEVNAEYILLANQIDRIQDIDLADLNSHIEMIQEN VDSIAEERDEDAQQRKNDKEDVRATLNEFGDAIADLKSFRDGMKKEVDDELASMKALH EATMARIAEQDAQHQQTKELLRQCQEAQARLEAKSNAEVDAAQTPVLTSLKRKRDDTD ENEDVDERETCKSENMAVDCETNAVGPEASTGSSSDNVIDGNAFAAEGTGLLESSGPL VKAFTVDGPPPRKRARRFVKVVAKTATAVTVGAVMTWSALAFS JR316_0012266 MRVASVFQVLTCLFSVSLVAAVGGKTDICGECRGSLNIPKLLSP KTFNVFGNINTCLCLSTIPNYIASNSLALSAVSYGGRDAVTNALTSLIYSGNHGTCNY PEHSQPSCQFGNPCGFTCTDGFTLNILSYPPNCVCPKPFTVCNGQCGLFKGCPSSYGK RSLSESSGKLLCPVGLAACQIIGRGTESWECVDTKQDLESCGGCMIQSSRPGFYKEEG VDCTAINGVSDVSCIEGKCRVRRCMPGYLVNEAGDNCVEDHGYSKLISQFDDLFEVTR VVWFNGIGASFFAPTIDMAFPN JR316_0012267 MLDSVPKYFPNFLLKFWLSTGSILPTRPPRVHVYDVYDNELTEI HQSPKKSTSVFHELNIGLLEAETRKALSEAEGAFAKLELETEVVLKDLLDRTVWSGGS CSTKRSLPFDRKSVEILRKYFVFLRFRNSAGYRDTALSLTNSYQADPEEGVVYSAYRP LIVQFRLRHILRGFIKFLNHTSADGPFTKHAPEFPTSGISVDAFQEAMDLYCWSLCEA ELCIGLATEDQEFMLSDRCFGTLDEGFDEDPECCDLFFPIFPTLALYILGTAGEHYPS TSTYLQTSRSTVWIDIGCESASDVHLRNAMILQTYPEFLYFQSLRTVSLSISSYDEFR WIQEHQDYSRLKQRCRQKFLQETVTKTLIVRGSLILTDLTDEVVLIGDSAVAHGSFSD VWKGVWDDPIERRPRTVALKFLRQIMVQNVREKLLKRLQAEVVAWHRLCHKNVSQLFG IFQSPNSIAMVSQWCDNGTICSYLKNTPNANRLRLLIQVASGISYLHAVKPTIVHGDL KGGNILIDENGNAIISDFGLSKVLEEEMTDANSGTSFFAGSTRWMAPELIFALVEDNG VIPPISTYSDVYAFASICLEIASGQLPYPNRSNDHSVTVDVIRGIKPSRTSVCLLGLT CDGEEAFWSMLEQCWSVEPASRPTMPHMLSFLEVLEASRR JR316_0012268 MSNLSRKNRYHNAKLVLCIDIGTTFTAASYCLVPPTDKETIKFQ EINRWPKQNHPDAKVPSIIYYDKEGKPRLRGAEIEDEESKMEAEISDWRRAEWWKMLL RPSYFSLPEGFSAAALPPRVTVEKAFEDQMAYVMENVRRFINTMYPDGVDLWNTLHQS MVLVLTTPNGWDGLQQHKMREASVKSGLIKPEKRNYVRFVSEGEAAIHYCIDNTPKTL LKIGSTILVCDIGGGTIDLGVYKLDNLEPISLAEVGPPSCYLAGGVYVDYEAKIFIRD KLSGSKWGTNDDIDKAAQCFEKGVKRLFTGKEDSRFLNLNGSNASDESRGIVRGRLKM KRADLIKIFEPSIRVIRNALMEALTTSTSRIDQIFVVGGFADSPYVYSQIEEFFKGHK IPVCKPNDIMSKAISHGGLRWHFDSGVQVRIAKLHYGAETTVLYDPKDAEMVGRAKFQ NCRGEWRVRNAWKTIVPKNTRIRGEDEHREIFHIEFEDPSDLEVELELFAYRLLEPPT FLRNKDGSLVEGIIHLGTVKGNLRRCYEFSKLKVSKAGKQYKILEYQICLYFGDTELK ANLRWKENGKTVVGDAIISYDVHL JR316_0012269 MDSPKSIRKSPRPPIKQDTSPVRQPYSMTLRGPRRSGMIQGFKE RYAPSLSPTVANSTLQVGRSNGIVDTLHSIIQPTSLTHISEEFHEQGMPGKGVNDSDE YEDDLSILTPLSKSSKVMDETITSKDAISGQQDYTGVVENLQLNPFQFMADQISVANV KAQVEDLNNTIDNMIMSILDCIKPKPMKRVTGQNYRASITETKFSVISKGQNNALVAL YDAMVEYSRNESSKGRILDWIMHEKICSLLYTAYFEGKNFWGTNKEHNKHNEELYFYI KKNEPTLARKWQSMTADAEFGITKGDAKNVPQEISSAFKGIIVSAYCQGTTTHGIQYH DAVKVVDIHTQLIQEITDRARNLSLRIQRDMLFSQVKLLYFSPRTKRDTCRKYQISMR NAAYPDVKSKGSDSVLGTIRFGLNLDIDENGKRKKLLIPLVITESDVKWCLADEDEEV JR316_0012270 MGKLRTINSFPLPSELYEKIFAYCTNHDIYHVAQSCRYLYQVSI RVLYRIIPKMDLSRTINFLISVCFYKHHAPYVWSLSIVMSKTDICAPLSKMETFQRLR KLGNPMKSLSFRNVLQDYMTLISTTIQKLSNLRMLELRLLPSDSIDSTAARLLGNAPF QLRIFITTLEWGAAMGRFLQSQREMKELQCPITIHPDKDIISPGILPNLDSLSWSDET PMATVRLLMHGRPITRVSLRFHRKCTDITPFIDIGAHSSSIKHGLFTFQAAVIKSEFI AAIALKFPAVCEVAIKVREMSEASVLFITQNHCG JR316_0012271 MALRQSTQPSTSTARTSFISQPNHASANPAQAPNPNAAMSPELE QTLAMLSSHRSVLGYMLITRGHHPSIIRHSGVIFEGEQGKRYAAVVARIVESVQAGLE EVRVDDGLGAVAGEAEVDEVKFMRIRTKKHEIMISPDDKYLLAVLHDPTT JR316_0012272 MVPLYPQEFLKNNDPPPDEVLQRVKAHLHIARPAKDEALKRAYL DLETLEERMEDMELKLQEHETSLFLYKSILSPARRIPIDILHHIFYRCLPAQRNPLMS SYEAPMVLTQVCRTWRAIALSSPRLWSKIHITYAYSSKLKANSDSMSEPDQDLPTVDG DTILRMRCDAVKQWLHRSGSCPISISIMHTGNNPQRPSRDNDESSKANTLELFKTIVL FCDRWEKIELIMPADLCSLLGSLIPVDNLSLLKNVRILIAEHYPMQEHQWDGSGSALL RAPNLREVSLVGWYAKSWRPTMAQWKHLTHFSCYSPLHVDDCFYLLCHAGKLVRCTLR LLTLKPPNQTIQLHGLAFLPCLHTLSIIGNPAQRRVTAELVGKINAPRLLSIAYEVPE THHNNFTLENAQFPFLHLLEVTTIQSLTIDPRHLSDSLEEWITILRLSKNVVDLFIGS RTTTQGEADEHPYIPFNYLALFQLLTIDSDAVSTRNSEVILPNLQVFSASVNYSITKD ILANFIKSRMDPTSPHGVAVLKTVTIAFPQKPEDYDYFSDDPFIPPNAHGVEVQTFFQ FINNSYNNKETSFYSLRYGLKRSNDRSWPHEDVDESVSQ JR316_0012273 MADTFSPVFSSSAPASKLANALHKILLASLAYQEIFQKTNDQET AILRVVESMISSLPADDREGIHANAGGSTSLTFTPGSPSTPGSPSIPSIQLPMTITMP MDIETPELVGTLYIGSDGYAKLREGSARPDAFQNSKWTRSRKMDYCTTVDSVGGVRIT RLVCTINERTRPHPTHVHQNVLRHRSNQHTDNPVNLGSDLVLDTVGKEAMLIGSQCEL ERYSESRRCEPGELQQPSMNTYNTEEPDVRRPTRQPLKRGMDIYHAPELNRHRSTSEP IQPCLDIHTPQIKKHRRPRRNLYRNTSLDGSSSSTSSSSTPRVHFSEYLQEYRYQSST DISSSHHVYSADARPLRSVLIQPNSPIMSKADSAPAPATQAEARSSSSKRKRDDYDED NDSTLEHPRKKQQFFVASDMLSGYPRSIWDGIQRLYSRLNDAESASLARTSTEPLD JR316_0012274 MKTSVDAELPNEMILRIFQYLDLQGLITARLVNQRWRGLVPFAE IEEARKTMLDFYMDLSVSPTFHRTREWVKKNRKSFNRETYILKLVAQYPSLPEEYRLW VLEWPEIAVIGGVWPGLARRAYLKEHADGVNIRPGTNWLSYPQVSTMAYADFHNQTIE AIPGILIWSQPDYLTWLIVDERKHLNGKLFHSGITEYRHLLEGSLGENKLQHPYDRLT PSWIAYLRELWKAIEEADKGLNLASAPNKCGVNGTEAATPIPFVIPEVQHLAGIAWKR RNSSETTTWMSGIRATHSFDREE JR316_0012275 MALNISSGSRYFIVNTQSGTVIDVASDLKVNGYKRVGGDNQQWD ITYTEGGWLIKNVQFGKYLGISDGDPKDGSVVRISSDAFIWHLWPDTAQVTAGRICVP STALNVDLDKGSFDNGTDINVWGRHEGWNQVWRFEKGIYPLDSRRKLTHHTS JR316_0012276 MPISRSNTLRGKSRSPAPPPLPPNSIQTSQRPFLPPSHYAAAGG FNVRSPIVQVEQTISDPKKRRLKPNLKHNYARNQTENHPGPSDSDSLISIDNALPISM KRQSSRSELTGIEAQLLPSLRDTIDRMTGAPSRASSPYRTGVGNVDSATRGKTNTSRS SPELKTALSERMRSEAKPSNDSNSFPYPTPAIGEGAVTPISSQFYSDPVNPIMGSLPL RSKTPAKSALKTSLRSPVPNPPMPMALSVNSPPMSGSSLRNMKSLLTRKCSGTLRSPF TNAKPSSEGMHHDNRKPGGVSTPTPPVLPGPDSATKTDQLYSESESPQFRRFSTKKIF QSNIPRPRTRHYHNEAPEHGNLDDSDLDRRYDNEQRDRRKLTVANAEVPMSVSSESDI ESRINHDLRNTIAGARIPRKRESLYPIGLGLSMRKQTSEPREFGQSHSRETEIQLHDF HPVSREKSLRFSLPPSESAGSSYSDELSNYRPESYRYSGTDTPDGELATNATQPRRFE DSRYIDKPSNAQTRRSSPSAVYEASTDEETESDREGYSVWYKKPNEKHPSPNRKPTLP PTHGQESESFVPVASPRQQTSRRRRESPSPRNSEGFAPNLRELIKSRHSFTRLACETA PISSQATPRQRHVDPLEPSSAQQPRVSSPLKDSDHHRHQDYYKEDKESFARTPASAII DDYRSAAARERMAFGIPPSESDEAISAQEQGQQLFSEDSNMSSINASLWQEESENMSE EAESIFRALNYPKSVAEQQNSKDAFYDSTPRLSVISPTLSSPSACDERAPNRSRSEEP LDENNNLIRTREGVIQEIFETEEELIRHLHTCMSTFVLPLRVHNSRSWISGVPANIAK LLDWFNDIVNLHEQIYESLCSARDTMSPATDRVSESLRCFVLKFEVYQPYLVRLADVS EDIMKLIDDPQSDFGQFVGIQQRLPECEGWTFERFLMLPVNRLAAYQVMFARLLDLTP KSHQDYLSTFSLSRSTDMVIRVMTEVKIREDEYNLLKLFSSRIQGIPFSKGLATRERR LLHSGPLDLILSGLPDVLDPPSRIGPQPHASESKRADRSSKLLDTINTSSSVFERADS EKSASSFDGIASGKGPVATSTPVKSSWFSRLPLRRRPQPKPLLLPVSEPQAKGTGKAT PMLNSLKTVSVHVFVFSDLVLLAQPSQTHDDKPRWILSTDLGLFRPLSIAHIQRFNQE GTVLSLEAITLDVNSLNDNTDFKTTSFRTVDLLMPTSTPSENDAIDHCIIDEVSEPWI LAFRQCSKATLRKLTFLGLGTQHELCFNSDRTLDTFLAVSTLVGSGQPIPRSPSGHFP DKLHDQGEDLLGDERQERGWWSLRYQQVFREFQRQDSVLSDDDPEETI JR316_0012277 MWTTRGRGFKVGFDAEAMGIGKVGPSGEWHRSKTDEGWGEYSSE GSTKLVVFFGGIRFNYTWMMGKDLKPTYGGGQLRVPVTEQIPSPEQGTTMLLDEEDEV GGEILAVLPDPDNNGSNILLECEEYID JR316_0012278 MSTASILTTPSTEPKLSVAANSEKKESAEPPFYILPDTLRNWPY ERIISPYYRAAQAESVAWLESFKPFSPAAQVAFNKCDFSLVSALTFPKATHYNLRSAC DLMHTFFTLDEHTDPLNTEETKVHCEATMDAILNPDKPRPAGEPIIGEIARQFWKRAS AYAPQATKERFVKAWRSYLDSVILQASRRDRSHYICTIEEYMVARRDNIGSDPSFTFL EMSLEVDIPHHIMEHPTIVRLNRDTTDMIVLANDMCSYKKEILVDDADYNAVTVVMHN NKVGVDEGIQWISDLHDDIVDNFLKLREEVMTKTNFPSYGPEMDRQVEAYVEGLGQWI RGHDEWNFGSGRYFGDEGLHIQKTRKVIIA JR316_0012279 MVHLPSSIKVVIMRIATGVMHDSAERSPLPKFDLRISQAIVSDI DEWINEEVMNATSSTARILWVNGPTASDKTALAQLIAEICQQNGQLGASFFFPRKLEE QELTVTVARKLVLTLAYQLAISLPEVGQIINELIAADPSVLDKSADIQMQRLIVAPMG SIQKIMAVVIDGLDTCRDVVAQQQIITILAAAANGISSIRFIVTSKDTAWMRVGLMNS SLHQKIFEMRTDLSLPKNKNRLKDLLPGAYTKALNKIWRRNYSP JR316_0012280 MPTTTGLTRRNTEPIINTLFTDTKLGKNEKIAYIDNEIKRVEDS IVKLVMQRASLKRSRNAYSPAVNLPPELLSLIFEFACLPGCSGHDHKNDLHGEGLHGE ISMGVSIGHGAVTPLFIGTVCSAWRRVAQSTTQLWSNVTVYMNNRHADTQAALLQTWL KHSGQRPLFIKLVEDDTNDQEDDNEEIDWGIDVTSTAVINVLAAHSKQWHTIDIFVPH SWKSVLAKIRHELPLLTNATLRVADCSPSLARVDAFAFAPQLREVRLVGYSVTDVHLP WAQLHRLDGEYFSPGDCLDTLHLGPQLRTCQFEQLSRGMQPFNIRPIRHEYLTSLELI MDTANDLNTLFGALTLPHLTELVLSLSDEESVLWPVIPLMRRSRFKLQSLHLVGSTPE EQQLIGFLEEQPSLKSLLLINPLTDTGGKLSYRFIDAMTSNKSIKTDDTERGEASESR NETGTEAHSSHLLPMLEHFEYQGTMSFSSHDLVDLLASRWRLMNNQESVRKTHPPNFS VFFGEAVIYKTEPADMQIMDESPPITSQTYHNPTSASSSQTDEEGSKTPAGKRTLEIA QLRTVVITTSKKLHFTTSDTQRVQQLMAEGMRLDFLATSALNFMANT JR316_0012281 MKNKNKQTKFPVARIKKIMQKDEEVGKVAQATPIVISKALELFL GLIIEQSSKVTSERGSKKLEAYHLKHAVETTETLDFLKELVQDIPDPSAGGTIDFEAG NAEGKKKRGKGKRTAAAAAPGDGSVPAPPKRRRKKRADTDNDDDAMGDAGAGGRGRGG RAGTKTPPEEEEGDTEMRDEEDDYDEEESMPPPRVPASSSFGGSSSGLRRPQSPSDDE EDKPYMPPRK JR316_0012282 MDWDEEYELIKSGRAVPQAGPSFAARDDDEDNIFNDDNGRASNA RQQRTPAPAPAQPDREPDFYPEEAEQNTPLEQLTRHWLNERHAPDILPAQEDLLMNLL DHLRRQSEAVQLLREDPSTSEEEHMRIMLVQTEVERVKFIVRSYVRTRLFKIERYARF IVTNADIQTRLTAAERDHASRHAKLTDQHFYVSVLQGLPEKQSHLDDTPLFVPPMITE PDKSRPVFVHARQRCPRIRLPDGATLDMEKGHISLMPYAIVEELVARGDVELI JR316_0012283 MPTVFFTRDRELDDTLESEAHLTLILVGVGLGILVIVTAAVYYG YRLVRILRPAWCFWRFSGPITNSADTVEVKRSESGTSEVNSLSVDMSMAQSKPSFIRR QTEPERTPPSSRIPSFFNSIRKNSSVSVPRQHTGVYTGTNNRSPFPGPPPAVRLNSPT TPTQSRFNSHGATPHTSPAQQGIVTPHIAAWMKVADSVVGRHPDAQPLSARVATQAEI DLISGHVHVPWAFNGPGPSGSQPPVPVKVMRPVLSAPASAIAPAIGQGHGQGQPQTQP PSTSLATSMTMKPRLGSSVLKDIGNLRGNREISTATVNKTSNSRSAKGKAAVRRAHDL GKENMPAVPSPLAQSGRHRTN JR316_0012284 MALNSTVTNALTDLYAGTDFSSLSYLEQKWVWWYVTIGNPVVAT GLMSFLLHEIVYFGRSIPWIIIDAMPYFRQWKLQPTKVPTPAEQWECTKQVLFSHFTI ELPLIWLFHPTAEALGMQTYHVPFPTLKTMAPQVFLFFFFEDFFHFVAHQALHTGVLY KHIHKIHHKYSAPFGLAAEYAHPAEVFILGTGTILGPLMYCYFTANLHIITVYLWIVL RLFQAIDAHSGYDFPWSLHNIIPFWSGAEHHDFHHMAFTNNFSTSFRWWDRIFGTDDK YRQYRARVKAQKKANKNASKEQLLAMEQKLMAEVEAEGIIAEREAEARGILGGKAKTQ JR316_0012285 MSSYIVKLNDAVAATAVGRWFKLEGSGAKGERPGSRFTTEIRAG LTTWAAMAYIISVNAAILSDSGGTCVCPTKDLCLNDEVYQICVNEVRQDLITSTAAIS ALSSVLMGALANLPVGLAPGLGLNAYFSYSVVGLHGSGSITYREALGAVFLEGWVFLL LSILGVRQWLVRIMPQSLVLSVGAGIGLFIAFVGLGANGLGVIGGDTSNFVALGGCLA ENFKEGLPNYCERGVLRSPTMWVGIFAGGIFTLMMMMYRIRGAILLGILLVSIISWPR STAVTYFPHTPSGDALFDYFKNVVTFHKLKHVGNVIDYNYGNGRVWYALITFLYVDIL DTTGTLYSMAKFAGLRNPVTLDFEGSNIAYCVDAFCISMGALMGVSPVTAYIESATGI SEGGRTGITGITTGLMFFVSVFFAPIFASIPSWATGGALVIVGSMMIRNVLEINWHYL GDSVPAFLTLLIIPLTLNIAYGVIAGIISFMLLNGFALAVTKLSKGSITPHEYDLSEK WVIPPGGIFPPWLYKVFGRTKKEPEAAIEHDKEGSTGSTTSVDEKAVPHTAGHTTPNV PRRDELVMIVVVYLSLQNEFPHFLLAAGVENAEFKC JR316_0012286 MPDQSSQTDPLQGKIALITGASGGIGYATALALGRRGCSIAVHY NAAKAKADALVAELSQIGVKAIAFQAEMGNFDQVRKLHADVVEQLGHPDILFNNAGRT NTVVGMWGDMGSISIDEFEHTWRVNTASSYLLTQLCLPNMVQNKFGRIVFCSSVAAGV GGVIGPHYASSKSALHGLLHWIAIRHAKDGVTCNAVAPALIIETSMFENPSEQLKDKI PVGRFGLPHEIASVVEMLVSNAYMTNKIIVSDGGMTPSAF JR316_0012287 MPRNHHPAASTLRNRNRITNKTRLKIHQGSLDADAILIPDEDEE KHRLTNLVAGVDAEDANEHHLQEVLSAVHRTNVINRQPRGSVDKPAPAPAPAFIPTPD STGIVENYDELYPPNRWKDPTTYVHTSQTVEEHITNGLANGFTYYMDERDKEWLDKNN EEARGEGTSAQGAVSASGTRTSARSAKAKGKEPESSLPVVISEDEFELVMGIFEKVTH EKTEYLHHSLETGMEFPAFSEYQDVFSATLPVTMFATYSVPSWIPTPQALLKSARAIY PYWKERRLERGGHRIIPTLNGDESDTLNESYICFRRRESKAVRKTRASQVTSSDKLAR LQAEFSYPLELAKAILTRETLKKELAAQSQAVWEKRLAFVDLKRKFPSLNDKIDEELL VDKERPTKRADTARVPGLKIRTSDQALPPPRQEVVIRPKERQQMIRDQIESQLARIKD LDHHWEDQVDNPYQSLPVPYASRLFKYIPPPNTPSWPSSNSDKTDDEATNVPPRISRA VRMRVGRGGRILLDRRDAVARRPVKKLPRSSLFALGDSEDESAGADGMDVDEDPEEIE RNRRLEERWRFDEDDVPPTGPEGPDEQDRILVDDYNPTYLRHTMTLFNESDHVSLMTD PTVYITAPDGRQLPVVPYRLGMPAPAIRRDAQGRPYPIQQHIPPNHPLANMVAPGGIP VSMQHQIKKMQPPTAAPQMRISSNGGMRPPAVMPLSNIQQAQHVNGAVPHHVASPHPV PVPVPQHPPANGVNGISRAAISMPHVDVQKPEVIATPAIANGVPANPQPDPNAEMTVN GLPIRPKSQNVTPQPHLGLGVPTNGYHLTPMNNMTAAALVNSAAFQHNQGQQQIPTGL SLQQVQNLKNVFANMPAPELAAFQAARGIPNSYMLPANGANMNMQLAQGANMNLKLPP ARQMQWMNSPLQRPPSVVNGTDTQINGAMVASPSISHSVPVRSPSANGQRPVMRNGVH MNGQHSMSPHMQHSPSPLPNISQSQSPPRVPMTPNMAMTSPSLQQQQPVGGQQNGY JR316_0012288 MLVTQFFQLAIAVSFFVASAIAATAAYPSGYAKRAIEGYPSGYG KRSLSEASGKLLCPIGHSACQIIDRGAELWECVDTQKDLESCGGCMIQSSYLFSKKDG VDCTAIPGVSDVSCVEGKCVVRRCMAGFSINKSGDSCVEHIESSVFITQPDLTASF JR316_0012289 MDDYTSRLPPVTLEVPASKESNQVGLQQNQASTSPPQPVTEIQP SSTGVLETTANPSNFNNAEPPLPPSSILSPRPSPIPPAETHLEVPRFTQSPVSFAHDR DANSGGSRSTEQDLPPVPEKIVPLGDNHPDPRAAPLSTRRLSRPLSEDVDTRRLTRSS FNTQSAPRIKTAGERLEPTLVSARVAQEKYTAKAKVTGFLLNAAIGLQVLLGGISTLV ASYLARTRGSNEPELSIARVKDLDHFIRECEAFQMDHGHKSDSDLDARIDDLRRKFED LLGNGNGERKLSSV JR316_0012290 MSNSSSRLESATLTDGAQTRDRLLILNKVIDLAKLCTNYINDHE KNEAATGHDSALVVSKIVAETFEVFPTNFNSPVDPLSILDKLSTKVNLMQSQFGASVP LDNVSDVAEKFSFKKEDLKTSKWARKAHRNEPSAAFDSIPDVPLRIQGTVDKRKRKAD DIGLIEVNGVDQDPVPEQLSTAAPTYRIIVPSNRVSNIPDSDEATPVAASSSSDPKKR LHDEELSGKENEAEAPVKKRSKKRKGPLIFREGSRKSSRKKKTPE JR316_0012291 MAIQTRRYGFISWWERIYTLVVLFPLPLITLYRLAIFNFLPSER HKRWQRVLGDASFRYISSSLSVNQMQNLMGTTLDVYRKFAKANSLPIVIDELDDDTRL LWFGERQFENIVFYVHGGTYCLPLQDFAASFWKYTIEELRNRTNKNIGFVALNYSLIP TAYFPTQLKQTVIAVQHLISKGVKPENIQMAGESAGGALILQLFSHILHPVPGVPLLP KGIQFASACIMSPWVTLTADSGSHAANSDKDVLPASAWAYLGQQSLPSVSSTTQAYLE ALKAPEGWFEGIDCSVQRILITVGENECLRDDVLEVARKLSSTRDDRSVVTTIVHKNG VHNDQYLDFMSNVTPGDLTPQIIQWLQDGLGFSS JR316_0012292 MSNTIALYPLSNFTFSTKEAQPEEDPSVSARLQRLQNNYEDFGM RRTVEGILVVHDHGHPHILMLQIANAFFKLPGDYLKPGEDEIEGLKRRLDERLAPPPG VQFDNIPNVKTENNGSNDWEIGDCLAQWWRPNFETFMYPFIPAHITKPKECKKLFVVQ MPERKVLAVPKNMKLLAIPLFELYDNAARYGPQLSAIPHLLSRYAIIFKPQSTPSHAL FRQV JR316_0012293 MNDDESVIDDSRTPTAQNNSSTSISSLLVYMQRRLSDIVGPDSR SGQTNLVGREAGFSIDNESQSANVPVTFGGGLAPSDSPVDTPVVVNNHVNESPSLHRA GLLQPKSYNAPSFLSNSSPAVESHLHDSDSIRAATLELSNMPFLSSTGSHGGGVGSFR SFNLVPEHPPTREDRPGPTAGGFIFPQSTTPRSSSISDLGLEMEPDQTSLVSHSLASS FLRDLPSSATSTSGSGSGLDSSIATLPPAPPSDVSDHHPGEQQPRTSPEKRKPSGIAL LRPLTSRSSSLSSTRSASGEASKAKSEYQQNTPTQINVIPADPTPTATPVGTPRPQAQ QPLPTPNRVHWRSPTSLARPLGPSPSQSYSTTQQHADESTPLLQSQHHRVLSDSERSG SSTPDSASPPPKHRFLNGHIQRHEGGISGDEEEAWGPRTFFEGTSPKFDLHLAKPRLH VTVKELKAKVKAEVVKTPEHARTAVKAIPAVLLGCLLNILDGVSYGMIIFPATGVFAD LGPMGVSMFFVSAVVSQLVYTFGGSGFAGANGSMMIEVVPFFHILATSIASEIGEDQP LAIVATTLVAYAFSSILTGLAFFLLGALKLGVVVGFFPRHILVGCIGGVGAFLIETGL TVSMRISEEDFTMSWETIQFMFLDSHNLILWTLPLALAIILRIITHKFHHQLIFPAYF IIIPIIFYIVVFAAGLDLGHLRRTGWIFDMGASAQEPWYKFYSYFNFGLVRYSALWST LPTQFALLFFNILHPPLNVPALAVSLNDDVDTNKELVAHGYSNLLAGLIGTVPNYLVY VNTLLFYRVGGDNRISGFLLAVATAILLVIGTAPIAFIPIMVVGALIFVLGIDLVKEA VWDNRHRVSWSEYITIISIMVCMTVWDFVIGVLFGIIVCCFFFVVQNSQLRSVRAMYT GDLAMSAVRRPSLQRAYIREVSKQTTILRLQGFLFFGTITYVEETIRSLLEGPYWEQH LLSFLVLDLSLVAGIDMSSAEAFVRIQRLLAAKRVTLVFCGFTADSPIGNALRSVDVI GTNGVELFTTFSDAMEWTENAYLRAWFRSQKMETSPHSLAVPSRRDADIEYSHLVGSF VRSPRRSHLRDVGDRTIAAEVFSEPHPDINYEPLNAVAKAFSSYGHVDPVLFRPISRY LERISIPAGHVLWRQGDQSNGLYVIESGVMRASYQFAGNEHFEESMVAGTLAGEMSAL ADSPRNATVVAEQPSVLWKFSNENIQRLQVEEPELARVFIQLVLKAANMDYDILLSAI ASRQ JR316_0012294 MDSFFNLREIHFNEVRVQYNPDMVDTVVVHHELRILCVTSLREY SLGTLFCSLSTPGLQTLDVKVQENSPELFTEILTPFLQQSHCHLTELFLTITLESEDE QQLIHFLDVIPTLQKLHIKDPFWTTAGLGASFFDVLRLPSDNTTAFRLPVLEIFTYEG KLSTGCLESMMKSMLSRTKAFTIPSGSVTMKTFTVKSNLYEPTYNEYLTTDSGLPLGL MTEALDGWMDKQVLDDVVKCTQARTFKFMDRKGVVWVLSN JR316_0012295 MDFKLADLLTHNHAPAAEVVTSVKNLLVGPMKRLEEIQAEIERH ERTLAALREEANTVTKSMEDYNTIISPVRRVPEDVLLAIFHECLPAHRNPVMSSSEAP MLLTHVCSTWRSLVLDSPTLWARIHITFSDEDRLVQPNQLPSTDRHASADLDTVKAMQ SRCNRVEEWLSHAQACPLSISIHYESRTWHSQIDETEDLTLRLFKIVCRHARQWSSIE VTGLPYPVFLRMDKLIAHDELPMLSQLKLGINHLPGASDYQQHALNILEAPNLRSVSL YMPKWKLSEPRLSSTWPTITYLCLHNPSPVREIFSVLQICQNLVHCKFYLEDFSGSES ATGIAVLNHLRYLHIFEEASHQMATHLYSAIYAPHIQRLIYQKHLYDPGELEDKSVQS PILLLLRQASGLKKLTLEPKALSKANTLRALQALPSLTHLVIGSETPIFPRPFASRSA NFSRVYRRSPANERFNLKILSIPSPNQPFPSLSFSSVGDPIDPPGILLPKLEVFETTE SEAAPIISDATLKEFITSRLYSYSKGEVAPLRRVRATFDRARTEDIGEDVRRHANAVG VDLTLEVDYLPPATSKPQVPLSPNYLLQDSRAPTWPHTEFEEYVC JR316_0012296 MASNLSEPTSNKPLPLNATQTDSDQCDEDPNTVPIMSTMTDFLP RLPADISSLIFFYTCQPYPDPFLDLDSNPRWERQLHPLKLGQVSRSWRGFVWGSSEIW QTIIVKVRGPNERIISQVNLLKEWISRAKGRPLDIYLEENECDSSSLTIDPVDLLLTL LVRHAHQWRTIKFHLSKKRYQFISLLGTKTNTRKDRMRRLGQSNASSRNDALHSEERV IPLDNLQTASLHGTGKNDDWRANLPLDLSQAPLLRELTFSSIIMKSDMFLNLSTKGIT QLTLSFVLRIVPRELLDQLPNLTELTLSKCSVVRKLEFNAASRPIIHERLRVFNIEVE SDFLFNLLVHQLCFPALEKIYIIIPEVFQHTKFLLPFIRRSGCILTSLTTESMCNTED CDLIEFLSADVISPLKELHILDYDTMGIVKKRKKIIRRNKVQGAFSGLNDAFFVYFHP YLFPDFLPRLEVLEYRGMLSVDDIDFLEPFMLRSRMRDLDSGNATDGDPRHDISILKR VRIQAEKAAGMSFSIAEYHDPQYVWELIRMVEVGFITLLDSDGMVWE JR316_0012297 MPKLSSPLGPITRTFSDIIHAFVAAVARLDKSLNPAVTLNRLRA HNFTRWDSLYIFHFANATFWITLMQDPAYPKKLAIPILYAIALLIPFTSQFFVPATPV FSWILSWYSSRFMPSAWRPSISVSLLPTLESVLYGANISDILTRFTHPILDILAWLPY GVVHFTCPFVVAIFLWLFRSKQTLHLWARTFGYMNLVGVLIQIVLPCSPPWYELIHGL TPANYGMKGSPGGLARIDALFHSSSYTTGFTNSPLVFGAFPSLHAGNATLEAFFLSHF FPQVRNYIWAYATVLYWATMYLTHHYLIDVVGGACLATAFFYLFLPDELRGPAALAPP PNLNLASHSRNKYNQYDLEDPRAAPLNGYGRGGVMLSAREFDAISEPSSEEEEDITYR SPVPGATSFPAGVHDSAAPMLASAPPGKKGPAASTRGRGHRHTASIASLIRGEERGPE DGWSPVAGSFPGGNGRGRVD JR316_0012298 MSWSSLQRLEGYSMVYLHVVDNRGHLHKKWRANGRDLDIYVEKL GAENCCPPVALMKLLVRHSSQWRALLLRIPRDWCSLLSKEIGEIADLTRLTTFTYDNS YHRTDLDWNTSSSLLNLTRAPNLRDITIGSICYISNVVLLPEKQITSLSLLDGTEIDL RYLLKSLSNVTEAHMSNISPVGRMGLYFSIYHCRMRTLHLTFHNWNSFVTLLMSLWRF PSLQNLTLNIISNEDSVSETPLYLLHFVSRSQCKLTHLYLNFILPDDVEILIELLSTL KLLEVLEIKNRQPSPMKSGDALFGALRPAPDPLDSLVPILREFTYEGPLTQSHLETLL EVMILRATRDTVTEKLDKFVLKSTVSGILGSVQWYIPSSSSPIPLNLHSQLRDLLTGL VHLGVFALSTFDGKAWTLDGYGNMVYLFD JR316_0012299 MSTTWYLPYRGLPPEIIIEIIGKLINDYRALYYVGFCNKFMYDL SVRLLYRKMHSFLATQSVDYKTDARTKRIAIRAMRSLLNNLHLGVYVHEYTIEDPPPQ LGQSAVPIEGLRRGLYAMVNLKHLTIETFNARERWKLLFDLDHPRYGLTLNSDHSIDW SASLNVPFHLETFTCRGYEAHTHCTISQADLNSFLKTQPGLRKIDIQLDEASWERRIS QKTGGVDRKPLQIFPSASTCCPELEWVVGDRAAIESLLPGRKVRVVQWKHCRNDSGGS IHHLAKELALVEVLALPDSGIRGIDPPVVQMAPYMQDLKYLEVSNKTSVSHRNRDPKF RQSKQASTNGSSSSQ JR316_0012300 MDNLLQQMPKPKLYGTSRINLLSQKAVAVYEIEEAIRKLEGAFN MFLEAEPEASNSTSIALNKRVLTARISALSLIVSTLSEDEIVQTRNSPATPDYKLAEN IRPSLDILAKTDFLENESLPAPGTRASFVPLSDAVDALASALLAGVHNLAKNSDVSGI DINKTGDGARSEHEHRDFSDIGGKRSQSPRPRMERPETNSIVRRPTPSNASATTTSGL TGVTDPDSTSPMTFPTSHVRTSVEMQVEDLKQSLVSSSPFSSQSISLASTHPGYENAG WTTLPYLCNTSAPYSPSSNISFIVGPIQASVAIPPKVKIFDRSAGSSSANSASVDANE LLPGQGEKNSVRSSRDIFASIKQIPLTVPSPVVSATSKGRVSRTRVSVSPPQALDTPK GKARVSATKKKIPTIQNESNDGGKETSPRRSSRLNSSNSPYARPKSPN JR316_0012301 MLAQAFIISLLLSASEVLKRQSDHSCSGGPQSCPCTSAIQQAAQ SCLNCLATTDAAAAAGAGLEFNPATLASQFNSNCATSSVSVTIPQGVATGSVGEPSVS ASVASTSYVAYIVFILMFIRH JR316_0012302 MPTSAGFPWELLKAECLRLICMQIVQGSKEGGAYQGVMRKESMI EFLRDVDKRGLEPALKTLGKTQALRKPLESSSPEETSSPKRKSSRLEEADGEGDTSLA HNTRFKGTKRVKLTKEDPDPTPITQTKSPRKKSKPRKSTDTAADGTKRSRGRPRKSVD AVGSTSPKKSKATPKKKAPLSESAADEAGSSNKRGPGRPRKSAKGAPAPQSKAKSIFD GVLLEPRRTSAGVPAVQSEEPKQTLETENAVQEDVVLATFINHVENNDASSLGGSNKE NDPNDYVAPDVHDDDADADGEPDPQIIGEVPATT JR316_0012303 MLCSKCSSAIVDDLNVAQGVVSKQISRFHTVASLEAEMSQIDAM IENLANRRTMLRRRINNMSPIGQLPPEILTEIFRLACHTYEDGGKSHLPLFFGRICKE WRDIAWSTPLLWNNLSLRISRKTSKIQAELLKDWLPRAQTSPLHINLTVDDEQEMTFC SLRTIMEVLATRSSYWRNFSSVLPLQCYDVLVGNYFPMLSSISLHPPKGSISTFCHPP NMLLSAPKLRDVDLSGFNFSATALPWDQLRRFKTQFLTVEECLKILQQSPTLKDCHLE NVYSAEIFPASIANPLQSHLESLDVTLIKPGAVSLLESVTLPFLRKLRIHCVGRPGSF ILPALASLVLRSSCALQLLQIEKQEFHEDDLISCLDAIPSLSHLRLITLGDGVNPSTG LTCKLVTMLHPLYQAGRPLLPNLSDLYYHGPLHCDEGSLMAVISSRWRSRGREPFIPE SQQPDDIAQLSTVNIITPNLFPVSTDVQCEMKRLSREGMHLDIRPSSVQR JR316_0012304 MSLLVLSATDVDEIAATFTLDELQYLMAQVFARLSSSSAGLQGL DSGISMPPRISLQTDSHTTLFMPAHIGPLPFAEKASGSSGSSGDQLVKTAIKVVSVPT KDSSKGLPSTTLMLDENTGSVKAVINSRKLTALRNAASSLLSTNLAGPAEPRSIVVFG AGQQIDAHLDLHLRYFASITTCTIINRTLNDRAISLRVKMASRFPHLQINLISSTSHT DDSTQNRNLLEHAVKSADIIICATSSTDPLFPSSWVKNGCHIILIGSYKPTMREIDKA LVLRSIPSQIRDKYISKPFPILLVDSREACSHEAGELIDAQVTPSQMTEIGDIIPKNS NNTLSRDSYLDLQPRSSDIEDGYEGPVSIFKSVGIGLQDVVIASAIFEKTHRLGRKFG TLVQNYDI JR316_0012305 MDIIAQFQDKEIAKVIDPTVAAFAPLLKKKKTFIQEIPTKSFKY GNTDRHQLDVYYPLEQGSRKKTPILFFIYGGGFNTGERSISPKTFGLVYACVGAFYAR RGYIVVVPDYRLVPHITYPAPAEDVRDAVRWVVAHADECLVSPGSPSPDLEKIALMGH SAGAAHVATMVWDTNVLAEDDELRKRIVATILESPPYDLSMMTVDWPTAPIHIHYYGG TIELVHANAPMLFYRKLSKSFAETLPKILMVEGENEPDWLLDAGVSFHKEVKDKTGED VKKIVAKGHNHISLNWALSTGVGEDWAEELVEWLDKLVAQ JR316_0012306 MRCFLTASLVVASLTGHIYGHRLAESSPLDEGRAGLRRKSLGFG PEHPHAVFKTNPHDINVNGPGNYFSSTPPMQVARMFLRDYLTPSHGSHVIREDSYTDK NTGVTHVYVKQFVNGLEVTDGDMNINIKDGQILSYGDSFFKGDIPAPFSNPAVSALRE PRQEACEQLFQSYHTLLRTNVVDSEQVIMGQSPVSADTEAISVLQHIHESNCGMFGLP EFTNTDDIADFRPALLKFMATATPNSKVAADIINRFDHHKDSMLVTPISHFAPSAGQH AIEFTADNVPDSVNPVKAKLAYVQVPNEARDGTELHLVWKFEVEMQDNWYETAVSATA PHQIISVVDWASDAPTPKPQPGVVPGVYNVLKWGVNDPECGERTPETENFDSLASPVG WHSIPYANDPSFRGARLPTKDFYRNTTTTWGNNVFAQENWQGQNSYIDNYRPEAGKSK KFDFEYDPQETEKPDAMDEAQKYINHTISQLFYTTNMVHDLYYRYGFDEVSGNFQQYN FGRGGAQNDAVIANAQDGSGFNNANFMTPPDGQNGRMRMYLWNTAIPYRDGDLEAGIV IHELSHGLSTRLTGGPANSGCLGWGESGGMGEGWGDFLATIIRSKKLYSDYAMGSWAA NRANGIRNYPYSLDESINPSTYKTLDKPGYWGVHAIGEVWAEILWVVSQKLIEKHGFT EELYPPSPSDDGTVPLGDFYRADKKGALVPKHGNSLIVQLVINGMKLQTCRPSFFEAR DAIIQADQILTGGENFCELWAGFASRGLGPDAIVRDRTPWGGGSRTNGYSVPPACEEY LSKSA JR316_0012307 MTSTLPEANYGRELFRELELIQFEMRCGMITPPQNDAEMWDYLI ASKSQVDRLCDVAQDIYNESVVELNKLLAKHGAGMDSKRVKGPRATSYLPSPLCEQVY PRGMVDNREVMTGSFE JR316_0012308 MCSRIFSIIALLYAFCVISLVNAHPGSHHGSFLQKHNGHNQLHN RLISRNNVTESSIAPQVENRRRIRNSCVRKPQDTISSTSITSSHVLSTQTPKPSSTNV AATKTAKLAAAGIQASAAPTAVRTSPADPYLLELSKPYNNANNPLFNKVYVGQMTYYA QGLGACGDTYDDSSFTAAVSKLLYDAWPGATASRNRNPICGPYVGGRKIINDLGLFVT AVPGSEFINIGGDGFPNCDPQSQCHVPLTATVKHGNKSIQVKIVDRCEACAEGDIDLT PTAFAALADMSLGRTSELLKWKVRDLQALTM JR316_0012309 MTPRDPLSCLLVILIIVTLISALPDQAGVSSRLAKSLHQSYESL WPTKWGRSLNSQKRDDSDSGTLTNPDGTPFIWLPQDTYAGQTFFDEWDFFDVDDPTHY VNKSTAQEMGLFWVADNGTVFMKADDTSVLPFNASRASIRITSQKIYTTGLFILDLNR APWGCAIWPAFWTFGNPWPHVGEIDILEGVHDNEHNQITWHTDAGCIFDTNATFTGQV GNTDCVANETVNIGCDVVEWSRASYGPFFESQGGGVLAMKWDENDISVWSFFRAAIPA DITAGTPNPSLWGVPSAMLRNTMCDIPKYFANHSIVFDITFCGVWAGNSYATSGCPGT CADRIMDPANFENATWSINSLKVYRKQVLAVGHGNTSAASSLKLQSVLAVLAGSLIIP VFLLLV JR316_0012310 MPKGQRRVKNAQPHPDPPPALASRPSPSISTSDSSETEDQPKYS EVHDEDSLGPSASRPGSPSQRAHANDVEMAVDTEDSVTCLWDDCGVVFVHLPTLITHI HEKHIGVHKSNYTCEWTSCVRKGLPQTSRFALISHIRSHTGEKPFICELPECDKSFTR SDALAKHMRLQHNISPPAPGRGGSRKRKRGPDDEHTHGTSTPVAFVYSVSWEAIDDAG NELTQEYLKSRGRLGVNGRQRRQPRPSQLNSQYAPSPEGGEEEDEDSSSSEDILPPHL QEHFDESTGLVLGRTPAKAMYLCMKAKQRFAMEEHEKLVEQLREAKNILKREKEEKEG ALDLLLRRMLGPESGMLIPSPPEMSVAASQDSSRHDSIAPGPISATPDYHNGSHYRRQ EGPSSARRGWSYAVAKS JR316_0012311 MPFLRAPIDTTVSHRKPSPSYLERVPFSVQLVFLGKGLRLLPVS LLCGSGITLWKKCDSRGKTAPLHVFGGTVLDVRQSAANSKQECVNFGALIDRLRETTG KRAMMVDIFFMEADYALDLKGDKSSQLSSSTSAEYNFARETPSQALARFASAERFTRH FGLVPEWDDWFESGTKLTFPGSTTSFQSIEKLKTILDLAGWRPGPLVRKP JR316_0012312 MIAHSQPIALPQQHPHHHHHHSSQYTQQSNHPPTQAGGSSSSSG LHDYAMMQDDGAGSDSGTFNPASFTRHFLGSPISWRAGSFGMGMGVGSLGGSGMAFGS LGAGNGAGGVGSWSSGNRFPVGSPTAQLLSSIESGKTPESDSIMNALNVFEREGELCR NYTCCGQHLTDLHALLEHFEDVHIVVLDPTSAQPHAHIQIPFNPTVHDSSPVQQHQQQ QQQQQFQQQQQQQQQFQQQQMHQPQSHYAHASFEPDDMDLDIELDAPPPPPPTSASSL SSRSSPSSCATTPPDTPISTPLSAYPSPHPFAAGGLPGGLQQQFASGNGGNASPYITA PSSPSYEGGSSSTRHNSPTHQSQQQQQTQQQQTQNQSQTQHRPNLNLNLAHANAFLRA PSTHGAGAHNTYLAHPEEAFNPYARFASDYSSCLPGAQFNGATVDEASVVVSPPSGYG GAGAGGADGGAGGTWDARMGAGMGMGVGEGTGCVPPALLFASTTSVPGESGTSPSEQH AHPQHHQRKHQQNAAKHQQHQQHAAGLGAGASATAPPTPTAARSAAAASSGLGLGLTL NTALGGASASTPASAVPSPSSANPSASAANGGATTPSSARAASGPSLLLSKPFRCPKP NCNKSYKQANGLKYHMTHGSCNFAPPKDLEHVKDLLERKRREREGQGVTVGGQPQQQG QQQQQQSGLTRSASLGSAPVASTSSSSSTTATATGTAPVPIASSSATTPSTPTTPLSP TSILSLTYSDLSAIPDAELREVEREAERRLRPFACGVGECQRRYKNMNGLRYHYQHSG DHGAVGLALLASGQHECLGVGKRGHGHGHGNQHQHQHLHGHGHGQSAHGGVVRTNSSG AALGGGGAGGVGGMSGISAAMMRAAAAGGSASVPVSRAGSVSVASSRVGTPQPVQPAS GATTSTAFGHGHGHVGLGLGLGLGLGMYSSSNVNAGSGNASNSTSTQQQQQQQQQQGQ GQGQGQLKTTAITPTTAPTVHAQPFVVPTTGSSAANASAASANASANSSSAANTTANS TTASPGHSRHSSGGSAAGSGSGSGAGSPHQQAQASPTQQQQQQQQQQQQYAAYTHYAQ QYQRQYAQAQAQAHQQQQQQAQQQQQAQQQAQQQQQQAQQQQVQDMEMELDMDMGPAP LPLPLSTPMGMGMSMPMGMGMGMPMGMSGTGTGTEAMAMSPLRRAGSSSPRGDAQAQG QGQGRDTFAMNSLSLGLGLSSASASASSSSGAGAGATSVATGGASSPSSPSAEDANAN ANANSSGGSSPVNGSANQSAGDGQQQQYYQLGGGAGSNAGAGGMEYYTYGHGHQGMGR JR316_0012313 MSSSSSSKPHTRPPAPLTNEGRRLRAVYPAAHTPEYASFDFAHA HANANVNANANAGAESVAPMPMPAAVPLIPPAASTSTSTSISTSTSTSIAPASGLRSS DSSFESPVSASTSSSYTAVDSEHDHDHDHAPAHTFVHAHHYDHDREHDHEHDREHEHP LAYPPKSVRFSHTSSDEYDGYNYDDGYDEGEDEEGEEHPLKPSSSSSSHHWQQTRGRE NASSHPPRRWSPPNSNSNSNFFARILSVSTATAKSITTRVRTWPPINRTSTINRAINR TSKIIHENTGLLLVTGAQVFLSLMNVAVKTLNSVDPPVPALEI JR316_0012314 MCTAIAGAVLLGETFTRGEGVAGLISLLGVVLIARPAAIFGEHH QDQDQDSTGSGSGAGAGTVTSKQRLIAVGVALIGVLGATGAYTTIRAIGKRAHPLHSM TFFSALCVVISGTAMIVNETPVVIPTKLEWVLLLVMIGIFGFVAQTLLTMGLQRETAG RGAMAVYTQIVFASILERIFFAGEHSGDSSGERAMSVLGTGMICGAGGWVVIMKQREK KKVGSAAGPGPGPGAGGSGSGAVVGESERDEGYELELEEGLLGAVDRGVGRERERERD ADADADEVDNEDEDEDEDEDEDEDEKGGFRKEGEGEGRV JR316_0012315 MDDVGWMVVLVPLLSFTVTQDGSNGNGNGNVTPAVKNHLELLLE GWKGRNLKTCVLCALDLGSWILDLIDEDEDEDEDEDEDEDEDEIESR JR316_0012316 MAANVGFGASTVAMNAYIPSLAREAPEVVRVREEVRREAARAAA EGAGGAEDDGEDADPDLSLSVDSNPRAPLLSPSPSSPSTPSSTTPLQKHYTSLLSRTT SRISSHGIAIGYAAGIALLLVALVPVTLMSGSAGGKTLALRVAVGMSGIWWGVGTVVA GGLLGGGEVVQRVQVRGVGVENGEEEEVGDGDGDGDGDEHLRSNTSNLKAEEEDKKNW SIPREIAASWIRLADMLRWSEMKKLRNTFRFLAAWFLLSDGSVYGAFQGYARALYAEL LPPGEEARWYGLFSITDKRIKMFAYALILFSFAYRIAFLPLFLSSSLPLFLSSSLPLF LSSSHTTHPPNPPPKTPKTVLLIHRPPPRRPHRRHDRQHPLCVSLFGRDGAFGDAGAC GGGC JR316_0012317 MVEGKTVDQGQLAAEVYVAEKFSFKKADLKTSKWARKAHRNEPS AALDSTPDVPLRIQGTVDKRKGKDDDIGSIEVNGADQDPVPEQLSTIAPTYRIIVPSN RWSQKASIWGGTVRKRKRGRSTHEEGFKETQRTSDIQGRVAKVE JR316_0012318 MTTPYSGYTPSTASQPSYPANSGQTTPVQTTSTPSHFTSVLSNV QYDSQSQPGTPTPAGRGRPRGSATGAKRGRKPKNPVPEASSPRPFITNSFVANPSPAI ASGSASSSTSGPNPQYPRVHWAIPPPGAGGVENAESSVDGASGSAVTGSNTNGEAGPS NASADQPASASGQNGTSLPIITGVIDPALTGATGVVPSGSQRGTPAPEGSAGLSFYPS RGTPQPQSNTARGGTVGVDDEAEGDDELLPAMADDDYSAQLSWQSQSKDNLKVLMDNL SPAQYDRFEAYRRHALPKQAVRKVIQQTLGQQVSQPVAQIIAGFSKVFVGEIVEKARA VQTRRGDTGPLSPDHLREAYRAYQEETGHVGAARPIRAKKLFVR JR316_0012319 MPFLSEFRYVDGFWLALPSFFQQRSQRLHTHASHSTYVFAGVLV WMGLVRALRWRRYNAIHRKYLRKWNNGKGTITPEEAQEITSVGAAYDMPLLLNYALAF ALFKTYAIPSISTLLNKTKQLSSTENISKRYADTELLIATYFFCPISGYVNPSMYGDD PKSSSMDDPRAMIALARTNYLHSKYQISNNDFLYTLCLFALEPNTWAGRWGWRALSPL ERHAYYVFWAEIGRRMDIKDIPDSFESLSAWSKASRALGYLFLATQSNHDLAGFTLEE LLSAVPEFGGLKSFARRLAICAMDDIVREAMMYPKQPWLLRTVVWWSLYSVGVFQRWF LLPRGFPSTPVNVTQNFKTGGGCPRLHPNKWAARPWYRPEPTTSFGYFTEKLLVKLGW YSEMPSSMLKSTGYRLEEMRAMRRLCERHPNSKVIQFWDLGHWKEEKEYTNEPSPDI JR316_0012320 MLALSDSPRLFYDIFRRLVDTLPSGGEEILHFLSHIPTPGAVTA VIVTWLVLVRACRWRRYNAIHRKYEDKWDNGRGEITPEEAQEIVNVSFMYEMPLLLNY AVAFALFKTYGIPSISSLLVSTKQLKENVSRRYADTELMIATFFGCPISGFSDPSFHP DPSVPADDPRAMIAVARMNYLHSLHRIANEDYLYTLSLFVLTPSLWASRWGWRSASPL EQHAYYIFWYEIGKRMGIKDIPDSLQGLIEWSEDYEERNMIPSDSNHELAEYTLDELL LKSSGYRIEEMGPPRFENAGHEEVMRRAAQLQGRPVKGHYLTTNYPPQRDAMYDTVTL VSSVETKVPADSRQISRTPSPTPSEAKALQTGFLDWKTMRQPKYWFRREWLWYYILLA VTLVLVGLMTIYHKQIVDWLTPVTQWLFKLKFGWLVPIGVLFVISFPPLFGHEIVAVL CGLVWGLWVGFGIVAAGTFLGEVGNFYAFRYCCRARGEKLERTSIFYACLARVVRDGG FKIALIARLSAIPGHFTTGIFSACGMGIIIFSIAAILSLPKQFITVYLGVILKQSNDG TEDTKSKIISDTVLGITVVITFVAMWYIFLQMNKVTPQVIYERRKARQAKLSRATGSP YMHAGPSMTNPDVFNPTGSESDIPLTSTHHDAAHQQWDRHGKAIGYAPDPNLYTPQAR TPTRPVFTSNYPRDEEEAAGHRPLREESTDDVEWDIPQRGTTSPRLNAVVSPTSLHNP YSPNHRRDFENEIVQTPTQASFVNEGAGPSTVRMVQSSSNKPHGSFDVEDPYGGYEVP HRHGHAHELTASSFRTAYSSSPDAMDDAPIPNPHAASSHPYPGPIYPSPRPQSPKPPS YVTTLR JR316_0012321 MFPIHENSNGMALMQTFIKHVLRYFDPDDELNTKIQNIDDYIRA HSTIAWDTEAKRLSTLFDSMVRRPNLIVYQRTNRSKNDIPFPIRTLDVDLEALASLEE EMFEVSSRAGLAGNYQWGLDAGEPQGWFPYAGVPDYYNHGDIEIDSDEGMTGPNFVKF IQPTEPKLVEEKRILRPRPIPENRTAAKAVKSKTK JR316_0012322 METAGENGKLEPITDADLVLNEDLRRPFDVINDELAAMGGLSVE AFLNNVTSAVFDVNFHLQLPDLPSPEKESQKASKTDDPEESKSPTAANGRSNRNPITL LRHACENTFGSSEAVKYEYVETDPQNRQCILTITRPNGATRAYKSEAGAMRRADAKVQ AAQIAIDMGAIDFISSGDADALKARKGLLLNPLDAIDDMELDVSVIVPPSAVLADKGP VEEIEDCCIEWRAGKVKPHWVYYNDPKNKKKHGVALRIALNAHLFRSYSVDPVHSTAR AAKIACARTALNNDVLEFIRYGNGQTEPQRIIDEGEDEIVPIRGSTPGPPQGYTLQEY YETLPQPFPEDVGDMPAAEINGPAWLNLALQSARGARLVATFVPVVDSERHMHGCVLR IERPGEMRTYFVDPQFPKRGDARSAVCLLAMSQGVGDYIRELKEEAENKLPADKRKLA VEKLLPLIASESGKIRYGNRPLFIFSQERDAFGCTIKVDVSPDSDAPDVREYSTTAEY RNKADAKAAVTYLAAEAGLIDLLRFRGGELPSDYVPFWEAQMSGNGDYYVPKRKEPER EDAEGRIGKKRKRTNKDNNSDASEVSTPRTNMKPKLGSGDHPLPSKPVSLIPNSENFS RNTRWKSPHATGSRGLGPTNAHASAPRFGGQDRSGNRSGGPPVHARGYRDVSPSHTRP APRGYSSYDDRPHYDDRAPYHDRAYYDERSEYRRDPSYRQSTPTYSRPESYANAYPPF QPPPAHGYYSSGYPPSPPPRHHHYAAYYAPPVAPPTPPHDPYGHGQGHHYSYPPGQYP PPSPVHYAQTAFPVSYPPQTPAVYNPPHPQPMPMIPPSPPTIPSHDPYSLRYRSNYPP RSPPQVEPYPPRTPPHVPAYASNHHSHPPPSPPHLSNPSYPPSYELEHQTSDSNRPLR NYRRHSTVGDTSRPRGNYYSKPLPESDNGWAGSRHGHNAASAGAMKSLSIDTGEYKRD RTASSPPRFFDHSLERLEAERTNSTSEVTVKTESVSGDDSVKHTMPPAVSARPRLEPS TSQSSVQSSNKSNYDVLNEFCEKENIEKPCFYHQVIHGKDGSVSYHVWAEKDSNRMEL PTNHFSTIEEGMERLSKSILSYVRSKKAQNKA JR316_0012323 MPAVDLKKRYAEDGYVIIPGLIEGEYRSNLESACERVIAKTRNG SWLHRRTVGKQFPPYGQDNPDSWGIQHLMHPDLKEPIFVQWYTSPKLLGVATELLGCS EEDLQMELLNLLINPESHDFALRWHRDDIREDATAEEELKALNIWHYGVPRTEAQRKL SSGQIPENPLDMPGAIQITLQPGETVFYNSNILHCATYKSDTRRATLHGCMGDAKGGA SRARNILQHGLRWMKGEDFFQTLPNSNANRMLEKLISLSDSSEQRGAVEYSLQN JR316_0012324 MSHEPEDVKPKLNLNISYDGTQITVKVKTNMKFAKIFEAAEKRF GKDKGTFKFTYDGQRIKPEQTPAEVGMEDGDQIDAFLEQLGGGYCPS JR316_0012325 MDVAVIKLHKTDPPVTTFQLILVRMAITFICCMIYMHLAKIPDP LLGPKGVRLWLLMRGIGGFIGLFGIYFSLQYLSLSDATVLTFLSPTTTTVAGAIFLKE KFIIRQAVAGLVSLVGVVLIARPTFIFGDSAHSHEPNGTTPSERLLAVGVSLVGVLGA TLAYISIRSIGKRAHTMHIMVYFALQCVVGSTIGMIVTKTPVVIPTQIEWLSLLFMIG VFGFAAQIFLTLGFQREAVGRGTLALYTQIIFASFLEYIVFHTTPSRLSIFGILLIMG SAIYIALTKKPNSPELNSISQPDDEELGRSLLSPNSDTP JR316_0012326 MNAFIRARFQFDDKDLANALAGPMSPLHKPHDNSQGPIALEMIL GIKNHGSSHLLVTTDQAMQLSRQRDIKLINHRANLSPLDFESLSPIYFHSVEALLKKL TSLRPKKSNKNATKDADQDTSQANHIYKLYNIDTQRAAFEHGRLIYRILNGLVDPAKY GVDNLSWTQDLRICSFVFNVRPIDDRKRAERLQNPLVLDIGFCEADLPNLEPNHSTAK HILDQRNAILGGNRKPFEYGVTQKILGQDAFEEAIRELFQDVRVRSSKTMILLVYNRE QTLNYLRNINVDTSAWSFDLKELLQSSRARSSRAYSRDHPESRYHDRSRSPPRSHDPR LPQRSPSAAFQSNRGERYAEPSPAGPSGATYSNLYPPVCLVDVEQLYFKLMHNAQIKS VANIARQFGVIDQDGMCAGNEASYTIKIWKDMIAHELAIDEQGASRLGGLSLSDEQQN TAANAGPSSAPAFAPIDSDDEQDPNDIPAAQPTGNTGKQAQQMSFEDGESDYGDDDES DSD JR316_0012327 MTMAPSEFKRLSDGGSPSEDMMHAGSSSDERFSGTTLGSERFRE STNLIPSPGVMGPKPKRFEPIMLQLWFIILTPFLMLSLGIALEIAIYISNLNHGFKVP QSNVFLVFGNVTGQFLASFFPTLLIMPFAFAWRELDFLIRSYQPYVMLQKGNAKAEES LLLDYKRTCSPILAIPRALAYKHRIVLWSSITAVLTYIYQPLTGSIFQIQYAQQSDST SVIGTQSVGLSPDIADLNPFMAAAGYVDASVLFGLPDPPFVQGGWATAEVLFPSKPSL NGTLSVDTAGIQTTANCSNSVGAPTISGSDNALTVTSTSVDGCQLNVTFDSTAATQQY GVQSVSCPGNASTLPVQFQPVMFWFFQNSNSQKQVKSVFCTPRIKAVQVTATASLNDG NLVNVTQIGDLTVDNNVTGTGLNQPPAPVFNGVIFQNSTNPFIQARVVATSSIVSGAI FKAAQQQPGGLASTFAAANNFLDLTSTYYTRHLSIVARSVYYVQQNTTLPANEVSLVP RLVVDPLPAHFLAIILILTGVIGMYLHVHNRRQRKTLLLATPPGSIASIVALTSRSGF GELLYPYDDELTLEKKLDGIRFRLDRRTGAILADDFETERAGMGRDDALLSLLGKAPN EPISAASHSSSALAYQAANGILPWERSWAPDAAAPPGAAAPRIPGSPLRTDYVP JR316_0012328 MDCLDWLLANRIKNLLQPPETADDTDTPQSRPDGTSSATNAKNG NALPSGSTSASSLDALVKAVVESKFDDGAVPDIDLMHAAVKGLKEKATRLNAQLQSVQ DAKGDLWDVYTISKRLRTAMKSSKSWPLGVPMNEDLMKSIRRKARFAAKYNRLPELDA MGDDEMFMSYSSDPTASSNDSVSDESKDSDDSDSDDDSGDGKQQESAAKPQKGHKQPV SGAAKEISAVIEAESTPRVPVIPQRNRSSVSQSMNASPSVLERLRQLKWLAVRPPSPP PSEIGQPSPPPSEIQSGTKRTHEDEESAPGESAQLRTPSPPKKRRLDRDSPIYSSSSS SSSDSSSPSEGPSKRKRRRADEDSASNRSGSDEPFPETPSPNKRRRLGKTSKKDISRT ESNDLPGIVWKDYPDLESDDSDSSFHDDSSSDGSSGPEDDMLNSDEEVEIQLDAAGVL NSSEDNLLPNYDNFSDGDDSISDEEDEECDSDDSGISWISNNNEEKKKAPEASEVENE PPPPQSPPPRKPRPLARQFDFLMPDQTGRPTHYIDKRKEWFHSMKKYFHDQDWYITDE ELNALIKEVMADQYRFHEYEFEREEDNYP JR316_0012329 MLSRIYTFFVLAILAMLAAATTTVTTSPGAPTSIPANQCNGSNL QCCNSLERSDGSLVGTLLGLLGVVIQGVEVLVGINCSPIDILGIGQNGCHSQPVCCQN NDFSGIIAIGCVPININL JR316_0012330 MREIVHLQTGQCGNQIGAKFWEVVSDEHGIERDGLYKGNLDLQL ERISVYYNEVGANKYVPRAVLVDLEPGTMDSVRSGPLGGLFRPDNFVFGQSGAGNNWA KGHYTEGAELVDSVLDVVRKEAEGTDCLQGFQITHSLGGGTGAGMGTLLISKIREEYP DRMMCTYSVVPSPKVSDTVVEPYNATLSVHQLVENSDETFCIDNEALYDICFRTLKLS TPTYGDLNHLVSIVMSGTTTCLRFPGQLNSDLRKLAVNMVPFPRLHFFMTGFAPLTAR GSQQYRAVTVPELTQQMFDAKNMMAATDPRHGRYLTVAAVFRGKVSMKEVEEQMQNVQ SKNSAYFVEWIPNNVITAQCDIPPRGLKMSVTFLGNSTAIQELFKRVSDQFTAMFKRK AFLHWYTQEGMDEMEFTEAESNMQDLVAEYQQYQDATIEEEVEYEEEQPEEEQ JR316_0012331 MPSRLSSIVFLTSAILAVAKPRPGGYPPPPPTTTTCYPSTVTTT VTQPASTVTYTVPVTTTVVKTTTVLVPPVTYTSTVTDHETTTYTQTVTQTVTQTAPPV TVTATTTAISTVTYTAPGGTVTSTVTIPYTKTVTVPGGTQTYTVTQPVTTTYTEDITT TVPYGTTTVTVTGPGSTTTAGSGPSNQCNTGSLQCCNSTHKATDSAVSGLLGLLGVVV GSVTGLVGLTCSPLNVLGISGNSCSAQPVCCTNNTFNGIISLGCTPINLNL JR316_0012332 MTAINAIAPNSAAPVRPELNRFTNGLIVPAAPVTFPTLREDGSE MSDAILELIDGTAYRGISFGAEGKSVSGECVFQTGMVGYTESLTDPSYEGQILVLTYP LVGNYGVPARPTKAELDAIPAEFESSRIHIAALIVGYYSEDFSHFLAKSSLSTWLKEN GVPALFGVDTRALTKKIREKGSMLAKVLARTTGPGTSRPLDLSATAALSSVPSTPYPW REEFIDIPFSNPNEQNLVAAVSISAPRLFKPTCKPRLHPSGRPLRVVAIDVGMKYNQI RCFLARGIELKVVPWDYDYLNDSEPYDGLFVSNGPGDPSVLGPTISRLAKAMEKSDRP IFGICLGHQLLALAAGGTTSKMKYGNRGHNIPCTDALSGRCYITSQNHGYQVDTGSLP TGWKELFKNANDGSNEGIYCEDKPFFSVQFHPESNAGPRDTEFLFDVFIQRVEDCAVT GAMTPISMPGGQKEDNDRRIPRAKVNKVIILGSGGLSIGQAGEFDYSGSQAIKALKEE GIYTIMINPNIATIATSKGLADKVYFLPVTVEFVRKVIKFEKPDGIYVTFGGQTALSV GIGLKDEFEELGVQVLGTPIQTIITTEDRQLFASAMEEIGEKCAESHTATTPDEAVAA AQAIGFPIIVRAAYALGGLGSGFAQDEAQLRALCSKAFATSPQVLVEKSMKGWKEIEY EVVRDCRDNCITVCNMENFDPLGIHTGDSIVVAPSQTLSDSDYNMLRTTAINVIRHLG VVGECNIQYALNPTSQEYCIIEVNARLSRSSALASKATGYPLAFIAAKLGLGIPLNEI KNSVTKVTSACFEPSLDYCVVKIPRWDLKKFNRVSRLLSSSMKSVGEVMSIGRTFEET IQKAIRAIDDQFSGFAKNDFVENIDEELVNPTDKRIFAISTAFHRGYSVDKIWQMTNI DKWFLNKLQNIFNMEKTLSTCTVSNLPTQLLRHAKQYGFSDRQIAGCIGSTELAVRRL RQESGIAPFVKQIDTVAAEFPAFTNYLYTTYNAIEHDVKFNDRGVIVLGSGVYRIGSS VEFDWCAVRAIRTLREQGLPTVMVNYNPETVSTDYDEADRLYFENISLETILDIYDVE SARGVILSMGGQTPNNIALPLYRQNVKIYGTSPEMIDMAENRYKFSRLLDEIDVDQPL WKELTSYEAAADFCEKVGYPVLVRPSYVLSGAAMNVVSTNDDLANYLTQAAEVSRDHP VVISKYIEQAKEIEMDAVAKDGKMIMHYISEHVENAGVHSGDATLIHPPQDLDPQTVR QIEEATAKIGNALNVTGPFNIQFIAKNNEIKVIECNLRAARSFPFVSKVTGVDAIEMA TKVMLGIPVEAYPDLGLPADYVGVKVPQFSFSRLSGADPVLGVEMASTGEVACFGHDK YEAYLKALISTGIVPPKKNILFSIGSYKEKLEILPSVQKLSAAGYNIFATSGTSDFLT EHGVPCKYLETLGEDSRDKQKSEYSLTQHLANNLIDMYINLPSKNHYRRPASYTSKGY HTRRMAVDFAVPLITNVKNAKMLAEALVRRLPLDVSSLDSKSSHRTHTFPGLINIGSF VPGLTMADNKDFAAATEASLSAGFTTALILPFGVNNSISDRQTLEQARSNVSGAAHCN FAFSMTATAANAGALDEELLAEVKSLFIPSATPLSVVASHFSSWPTEKAIVTDAKSSE LASILLLASLHGRSVHITDVRTTDDLLLISLSKAKQLKVTCDVSVFSLFFTEEEYPGT GILPTAAAQKTLWNKLEVIDAFSVGTIPHQLATALGKPATAWSGVGETLPLLLSAVID GRLTLDDIRVRLHENPAQIFGIAEQFQTSVEVVIGRKSKFAGDENTWSPVQFASAAVH RVVVHGQTSFLDGTLFSTNAGRDISSTLYTHSGTQAPAAKEPETPYREAHTVPSNAIA QSVGFQQQQGPASQVLSHVQLHPAFHRRHILSVKQFSQRDMYDLFAIANEMRLQVERN GSLDILKGKVLCTVFYEPSTRTSSSFDAAMKRCGGSVVQVTADTSSVMKGETLPDTIR TLACYGDAIVLRHPQMGSAQEAAKFSPVPIINAGDGIGEHPTQALLDIYTIRSELGTV NGRTITLIGDLKNGRTVHSLVTLLCLYSVRLNFVSPPSLAMPSNVVSAARKAGIPVTI CESLEEVLADTDVLYVTRVQKERFTNESEWLQVKDAYRIDHAVLSRAKEEMIVMHPLP RVNEIDPEVDFDSRRAVYFRQMRYGLFIRMALLASVMA JR316_0012333 MPLSAQPPSSDAIELDVAKKVKNAKNFRQICSIFGYQHEDHPIV TDDGYLLLLQRILPKGGTTNAEGQRRVVYMQHGLLTNSELFMRVTDSRKCIPLVLADQ GYDVWMGNNRGNKYSRRHLHKKVDSPEYWDFCIDDFARHDIPTSIDYVLKHTGQEKLS YIGFSQGTAQAFASLSVHPDLNEKIDVFIALAPIMVPPGYSFSYLDSFVKRDPSIIYR IFGRKSMFPSVAMWQSILPASILHRIVDVAVMYLLGFYNKNITYAQKIAAYNNIYCSS SVKAVVHWSQIMRNSVFSTYEDGAGRSASSLTPYPTQGIKTPIVLIYGDHDSLFDLET ALKHLPQNIKCRRLYDYEHLDVLWGGKVHEDVIPKVLEALVPCR JR316_0012334 MANAFHKQPEFDTLQLHGGQTPDKETNARAVPIYASTSFVFNDS AHGADLFGLRAPGHIYSRIGNPTVTVFEDRIAALEGGIAAVATSSGQAAQFLAISTIA GSGDNIVSTSYLYGGTYNQFKVTFKQFGIGVKWVTEASPEAFAAAIDERTKAIYVESI ANPKYRVSDIPALAKIAHDHGIPLIVDNTFGMGGFLTRPIDHGADIVVQSATKWIGGH GTTIAGVVIDSGKFDWTKSGKFPGFTEPAEGYHGLKFSETFGPAAFAVKVRTEGLRDI GPALNPFGAFLLLQGLETLSLRGQRHSDNALALANYLEKHPQVAWVSYLGLPSHESHD LAKKLLRPNAYGGVLSFGVKGDVKTASKVVDSLKLASNLANVGDAKTLVIHPASTTHS QLTEAEQLTSGVTPDLIRVSVGIEDIKDIIADFEAGLRIAFAEAQ JR316_0012335 MAPAAATTTQPINVKLLLIGNSSVGKSSLLLRFSDEQWLPEDES SATIGVDFRVHKMDVKGKKVKLSIWDTAGQERFRTITSSYYRGAQGIILVYDVSNRES FEALPRWYSELETYVSSSVVKILVGNKVDKEFSRQVPTAEAEKFAARMSSLFIETSAK TSVGVKEAFQEVVESILDTPELWDNSANKTQSTSGGNGGVPGGVQVVGLSDAARQESG SFMAAAKSDSAMQ JR316_0012336 MDSPWDEDPMDTTSRDVEWSRISSDFTNVGYREGITAGKEAASQ EGFNDGFANVGVPIGRELGLLRGVTSVLLSFLKVTPDVPEHDRMLAEVQDISSQLSKV RFSDIMPRDLEAEQHAREHLEAEGEELDVHEDLAAKRDMEGIEDMLASLSAGNGKIRG ESARPTPEDVQALKSRLGILSNRLALDINWSC JR316_0012337 MNSSSLRILYHTPCLTKLPANGVLTNKPIVKPVLPFSFGYKKTH SSRSKALFAIEQSRNWFVVWMALLAYLVAQARGKPEQDTEQTDLLSYLASKGCNTAWL EAFSLSGVFDFADGTRAGTFVDAQKRAYSQPSIEWLCEFGVPVWYIWYPEYNEITQMK GLSPPCHEVQSVATIIFTSPSAHSSLFNSPKSLGESWQEFFAAREERNKRTQAAETHH QRQCRLNRKKNPPQTAKVFEWVKDISGTLVREPVAQRERAGRMAIYAKDQIRYDSFAN EYDCCEEFGPGFKQWRSLEEGKMDYDVLSTFDNEVILSEMLKGIPTSLSVAIENDDVS EWLPRHVLPARGQSISDRAEEEILETLRLYCGFTPPLPCPDGTPISSIADQHNFLKFI GIPWKSCPVDIFAKPRIIAAVGFVRRLSIQSAIPDDQWDLNRCHTEYLGHSVRFKSLR IVRQVTDRSKMFYMFDFEPHNTDEWKLTMTSASDAMLNGVPFYTVRLSDSLQPTPSKN RHHLQPAVEYPYRSAGYQFTIDDYAVYIERTTRLLSGPMGRIALMKGGYLWRLAVTVV SFESVLTGPVVRSDEELLVVTDSEEQYCDNELTPQELEILSGVYHCHTGQGNQIAKRS WYPTPQKHEYPGQGYGRWTSNSESNLSKKLYLATKLDGEYVCLLIEYKLPLPGGIKLG JR316_0012338 MSGSATEETTVLADAASSPISSLCYEVLWTIFRTNADIHWNRAP MNVCRQWREIILESPSLWGMAIELDLLIHKKESLWAKEVMKRTEKSKLYISGWFNGSK PAPRTFFASLLSENWERIRRIDVGITKAKHLDPEMWGALFRPSECLEIIRIHVDEDEV PYPRMDHDGQGPIFSGTAPTLYDFSVHNIPAQCFSLSSPWLSQLRRLVISTPSAFNAT DILVALGRLSALEHLVLKHVLPPVKEQLQSVSLPNLRSLNISKDFTTSSFLLKWINPG VGSTLQLNLHGYDLILHHDMFSLCKEIPRYFDNFFECAPFAKCMLLEPGYNRFKVDGW KSVDGIDSLSRRGDFSVSVSGGTAERRSQTTRPQQLIASLGACGFTHVTRFAFEPTEH LARLEDQSAFIPLLSGLRSVNHLMASPYALGALVELQETASDLFFPALTRLQFITGLW QEPTPTYHTDPVFRFIESRRESGKPISVFDVSESRYNEQYLDWTYLDKITGLKVTWSD DEGDLKEYICGTGQPEKLNLRKKWSTPWQRIEL JR316_0012339 MTITFYDIPSTTPECAWSPNTWKIRYALNYRNIPYKTEWIEYPD IKEHCIKHGIKPTGKTRFTNGPEVIERDYYSLPAIYDPKTNTYLADSLRIVEYLEHAY PPSPSSPSLFPNNTHALQVAFTTAYNVTTRDDGVADFIVPAVYTKLNVASQPYFRRTR EERFGKRLEDVFPKPGSEEDKAGWSKFKEVTGILDGWYAKTDIFGEDSEGKTVAERRT PFLMGDTLSWGDLVIAGYTIWLRIIWGEDSDKWQDMMTWHGGRWKTLMANLKKYETVL JR316_0012340 MDLLHSQLDIIQRKLAFVAVEDIPWKFYVQTFSWAVSIFESYLL IRQYPLYSKTSPPEVLAKHFDKGVFEKSQAYGKDKARFALFSGIYKQATDSLMLQYGF YAWSWSAAGALLAKVGYGPEYQILQSIGFVFILFFGSSLPGLPLQVYGTFVLEEKHGF NKTTPALFISDLFKGWGVAFMLGAPFLAAFLYIFQWAGDRFVPWLMAFMISFQLVMVI LYPTVIQPLFNKLSPLPEGELRTRIEGLAGKLKFPLKHLYEIDGSKRSSHSNAYFFGL PWSKHIVIFDTLIQQSKPEEVEAVLAHELGHWYYLHPTKLMAISQVHIFGILALFPAF LHAPPLLRAFDFPKAVAAQSPTIVAFLLFQMILTPVEAVVSILMNAVSRHFEWEADRF AVELEEKLGEVEGKGMGDMGERLGRALIALHIKNLSTVWVDWLYSAYHHSHPTLTERL KALEAFQAKKVKKE JR316_0012341 MSTDLKPDYKTIIELNQGFRYAVTGTYFLAVYDYLLLMDREYRH VSSNIWLNLEAYLTGKIIRFITQGAKIWSCVVNIQNLRFYTGVPGSGCYENRSRNAGG QLSTFIVSGMILDAIALFVIVAHCCRTRSIYGKLGQLFLYQCLGFYSLLLLVYGLALV AILKFLTFLHKLRPIYETASMSYAILVMLPNILACRFIIQLREHATPSESKQLRVVSK QLRRAIVLGDNRGPNHVNEDIPLRAMETDRVW JR316_0012342 MISATSRPSSPPLTPVVSESSPISLLSAELLTLILDFTLTSAYS SDAFACGPDDDSVFLAARLTASLSSVCALWRAVVLGNPLWHDTISLVPPMWNRNLQKG LQRVKVQIERSSQVPLDLRIFGHADYTTCSAYNKRNRRSNDSSTSSQKMDQEAHETPK WKEYKPLFELLGPHLSRCRSLQLRGVFAKPTSSISFSFISKFLDTDMPLLETFIFEAD LSHYDDLMSSPLSLFRSAPRLKQLRMVGVGISRFSLPSNAQSLTSLHLSRSSSSSSYD FTAFSSLSAVLSSCPALHTLAVYDEVLRSFPGYSSFFSCPVPSLEVVMILGNMLSVSE LLLFLDAPNLKEVVIAPIVPSDLNLLMNVVQPGWDANDQNATPDAIVLPSDVRSKLKF PNLRTLTLAPAHPEAVDALTLASACFPFVEHLILANIYLSPFKMLFAGGLGAADLEDG LEVDGGGGSSEEFAEWDNECDRDSDDEGANDAHVRHAANGDSKLNADSAHDIDSDSDT GATTHNPANPVAGSNANDGERVARRRTLFPNMLTLSLTAVDGRYAQAIRDVQVLRGFG FEDAARASCGEQYQSYLKKQKRKKWRSKHSAARKRLLALTRGAGGACVPLHAVYLDTE SHVRVGEAAYVWNEFGHDYDWLENSGQEDGEAEDMHKGKKKDELRDYDRYVLKADAWE ARRRMALFAQTKDLYVGQPQDFDDY JR316_0012343 MPHLRVLSLKGTPFKTFSLDAPWLGQLRRLTLSDLHGFDATEVP YALARLPLLEHLVLELALPRDAWELVEPILPRLRSLYITDSFSAAAYLLRYIAPSDGY SLSMDLQVSNLIMPSQETRYFCDAIKRYINNSFRCGSTSESLLFVPGPRSFIFKGSKA QITFPVSKFLEDRNRIGNPITMLDITALSNHASENLDSGFDGVVPDWTHLDYEFPGLW VGYSMSGYKCGTGAPEKLGLLGRNLKPESTPDIASSTVHGPSTTKILFEDLMYYY JR316_0012344 MVIDVRVAITGPPESGRTTLCKRLKDRIHVRVNDGQHFCLRAHE TNLEDPSLLESDALLLIVDLHKDMKDVKNLVADYGEFVKSTYWEQRKMFRIIGTKHDK LDPESKIVKYPGFFTTWFTMYTSLSCHFVGTVTHDESAIEEMCVSIVNQFRPTKPSVS SQVHKAFSQIRSWGLDMIASIFSLPIPSNVNQDTPDSDDLPVIPDDNAAWELIKTPES IAFNKHQASFTRGHNWAPTHKIAPSLVLKELHHFERFNNIFVRAHTNIPVPQPRYLHL KESLVTDFIPGKMLLECWDSFSLFYQFRIACTLRKYVSQLRRITSDRPGSIGQGLVRG QLFYPHAWNGPFRDAEQFCNWMAHTAYTERVYQYMHLRSFKGPEAAGSPPCLLNLPPE HEWNLVLTHGDLSLSNAILSDDGVLWIIDWADSGFYPPWIESQAMERYTWAPESWRRW FSFIVDTSVDTTKLWSHMECAGGICSTCFPREDYWPNTEEIRRITVW JR316_0012345 MEDPIKEITSVVYQLTATDSPNVQKSAVETYMTSDVGFQHPVCS IKPGPNSRDYVLGIYQWYRVLSPHIEIKVESIVFDAKQCLLYLEGIQWFKMFFLPIKP APARLIIRLTLRKKDGLYYISQQEDFYHPEDFAALLVPVTAPFVRFGLTVGGVVSNIL ARGANMLGYWRPIGDVEEPGIPSVAPSESGLYDKED JR316_0012346 MPANPPYITSRAKSRHTINFGLKASRTPWDMLDIGSDREPFVQE FVGGTVYQAFLGPQDYHRWHAGAEPGDPDLAEGDPHGSLLRSQAWHATRAIIFIQADN PDIGLMCFIGVGMVEVSTCIISVDEQQKVQTGDQLGMFRFGGSTHVLLSGPQANITFA DHVIPDTHILVNSILAQVSPAN JR316_0012347 MRLITISITLALPLLASAQRFVRFISTDNKEYYGDAILPSDTTD ASKSKSARVITGDILGEFTITNQAKTIKTLLSPLPNERVRTVRCVGFNYVAHSEEAGV SIPQWPILFYKPWTALNTPSDPIPVTAGYQTQGNFTSEMDYETELVVVIKKKAFNISD DEALNHVLGYSVGHDVSHRGWQIDRGGQPQPQYSMGKGADGWAPWGPAIVSTNIIKDP QTLNLWTKVNGVTQQNDTTANMIFGVKHLVSFFSMGTTLLPGDVIFTGTPSGVQLGKA NPNWLKNGDVVEVGLENVGTCTNAIKYT JR316_0012348 MRPPSPSIPEKEFLFSALKESLRIDGRLPLEMRTPNLTFGSELG WVECALGKTRVLAQIDAKMVKPLPERPFEGILTIHSEISPMASSEYEPGRPSDEEVTI TRMLDKVLRRSDALDKESLCILAGQRVWHIRLTLHFLADSGNLLDCACLAGIVALKHF RRPDVEVVGDEVTVHRPTERAPVPLAIHHTPFCFTFAFFPESSSSSTPPVLDPSQLEQ RLSAGLVSIALNAQKEICVLQKLGGVPLGTDDILRLVEVAVQKARETHEFVEARLRED WLGRKVEVR JR316_0012349 MVRLITHNLLACHAKDCNTNNFPLEFKDVQLEIREADFNPDFLK GFMPKIEWKALVDTARQLGDTSLPLEQPEMLDDEFLKNLHHVLLEIHVEEGSMTCPNC KHVYPISNGIPNMLLAEHEIG JR316_0012350 MTTTPPPALDLNNIQGDVLSGLPKKTQYYIFFAILDVAKFKADL KKFIPLIKTVAQVLKDRDAIADHKKKKLPGLVPMDGVNISFSHTGFVKLGVDDSTLAQ GGPTDPFSLGQKKDAVTNLGDPNKLDGNPDWDDDFLRDLHGLILISGESHTSIDKKKH EIDVLFRVGTSTASIEEITTIRGDVRPGDEDGHEHFGFQDGISNPVVDGFDTVINPGP KVVQPGVILTGHPGDPASNPRADWATDGSFLAFRYLFQSVPEFNQFLKKNPVKKDGNG NALTPEQGSELLGARMVGRWKSGAPIDLAPFKDDPALGADPTRNNNFFFQGEINSQLR CPFAAHVRKTNPRNDLEVPPAPRPPIPIEGRRIMRRGIQFGPEVSQDEQKLSKTLHGR GLLFVCYQSSLTNGFQFLQQSWANAPKFPPFETQPEDPGLDPLIGQGARAMSGLDPLA EQTVLPMPTFITARGGEYFFSPSIKGLKNTIAV JR316_0012351 MQSDIFDTILWDSQSSTAAIRKPAENAPVKNYTASEITCNINTS KATQTIAIAAGSMITMALGENKTIYHPGPMSIYLGKAPGKVVDWDGTGKRWFKIAEWG PTLNPFGFNNILGKSKFTVKIPKEVPSGEYLVRTEQIAQHIPGYPEFFVSCAQLKITG GGTGSPSKVSIPGHLSRKNDPDLFVDIYWPVPKKYKARKNFYKLAFDGLTAHLLGSGS ARVPRIFEVIPPLSCQV JR316_0012352 MLIVVDAIAKHSNRQAEIASKHQSAKDKEEIQRLTTRFHESLLK IEELDRTFQSEQVYSQHLSRSLQKRKNFARHRVRMQVYAAQHDTESDSPPRYTAETRP PTEAPARAVSAPFTDFCEKLLYQNKIWKQQREIKNLQIALCEIKASNADNAFKAFCDR LLLSNKIWKQQKEINSLMAEAESLKKSRAAAVAHAAQQMADEVTKERLTEEYVKDLIA EVGECKQAICTLRVEHEEEMRELANLYRKDYLRMAKEIERLKLSLESRIVEQELSNRV EDELVERLAHLEEHDITLVGESGLESPNSYADYYTDDTLSESELENMSNMSTSTCVGS GGERSRKSSFEQGPEAKPAPQTPVRLVLREPPSSSTSTPLSKSPTPRMDESSYVGFSF NPLFFGGDAQSDSRKHVGPISKADFVAQQTKRAQWRM JR316_0012353 MSAEVVRRVFLNSNNPSDSSNTVHSDTSSTSRPIVANDAEVTLL REQLNTAQRQRAEAAAETNRLNDVLATTRRESMSKSNEINSLKQQLRDNAYALEQSLN SHTSYRASAQGIFESFQNENNRLKNEIMELKAELRVANSHIQASRGRDPAASTAAQST LGSSSSSIPDEPPSARVQSQQTARIPSALNGRVIRTGNISNTNTSLLAPAVSPVSTVP HPAPAQAQAPRPRALKRGADILCRGYRRPQQTAVPELAKEAISTQQSIPARNAILDAP KAGGDKSVDNQDFDQRKAYIA JR316_0012354 MTQENTVDTDKKIALSSKDSSTSLVSKAFALRPVATQYAEIALL RQHLKILQQQRDEAIQEANILKDVQRSYLRSVEAARGESILKSQENELLIEKLRKMTS ALQQTHEELDAHISYRTSAQEMIKNISKDNAKLKHEVTDLKAQLHVLSTQNSKGDQLP SVKTVLQDTSKAIFRFETQVQGNNIAHKTNFPLFGKSSTTSSCNDTTPSPSASNIKEE PASVYLQSRQVARLPATRLDRVRKLVNTETSKPIVPQQDGAASPPAPAAADVTSSTAI NPAPTLSRAPMKKKPTLKTGNDILWRGYGCPKNTPVPECTSADGYHIFNGKGTNRYAT KYNCAETFLVLCCRMERDTGTTVPAVTGNDIPDEVWRIIAQLVSSSTSSMTRYMAINR TFFNYALSQRYREVQWVVLDQALVKQLDRLQSPLIAQHVRTLHIRAWFIEYLLRRAVL YNPSSSFRKAKTALKKFLLPISSKFGAVHFLHIRPSRPPWILQHEQERAKDGMLSFEV VIRSMIQAIAGMTNVVEFNFEWRDLPVNRDTLMFLILTRTAFDRSLRKLNLRAPIAKF KELLPNANFDNIEDLTLHFDYRTSDQPSKTLADLDAQQLLDTVVPFIAQRRSSLQSLT ISSSALTDLSSFFSAFPTDFISLREFSFDISFHSDLLSDLNGIIKFLESCRFSLRHVS LAANWEHQHVGLLSYAQAFDDTNGNLVDNGSHSGDVDTRNHNPWIRMNNLLLVHSTCL SNLHNLAIPFISLSNTLPLVRRSCDTLTHLCLTGRFLTLDEVEGVAGLFSHRSLEITR LQIMVGCFDRRVLATLAKGMPDLGALVMAFENMGDLTYETREAALDEWRLSFIKTSIQ RDY JR316_0012355 MTLDEPTTETPSRGRSGRTSSGARSTSRPPMITRSKQREINQQK LVADTLAAANLQVEEATGKMEDLQHKLQITQERLESAARKTHELEKKVVLESAEASRK TVQIDWDFRYFITWPPII JR316_0012356 MENLLNDTIKEASEQVENLRTENTRLESALKGAFAQNNALQDAV QIATTKLRDGARRLDTETRASLEARGKEGEARAHARRLGIRIRLIYFNTLLAEKSPAH IRKVYMSYMDPYNLNTGPTHTTPSSTSSSTGNRGAFRASESASTSLRTGGPTNILAFL ESVSAYCARNTDFLESVSAYCARNADFLHRIHRIFDAFDAAHARAERTEARNAQLEHQ LLTAQNTIVFLRQNDFEQQGRIQELERALAERRREQRTAWIEDAVRIVGWVLLGIYAL AFWIDKGAVEAIGHVYKYLFCL JR316_0012357 MDKASSVLAALEAGKLPSTQQINVFIDWLSDVGIARVDANLAKA AEEVDEAVSAAAASQNVDVNANTNINADALSAQGRVLASGLRGVLDAYKQLGINKNGD NVLQQALHHLTQGDLTSTPEAQQTKDDALNDISAIRRALRTLLTTLWSSLSSEGTSLG EDILSLIRLSLADAAELVEGQAGSAKAAIRSVEEEVREGKRDALGREKKRMEEEREGG AKVRWEHGMDVVKDTGSTVIGTVQSTTQAIEEKKEKTGSRLRETFYKVSDRAKNDPEY RQSLDTLFDIVQKRLNSTIDAASDPNTTLSSFVSDPTPEQHIPKALNLLRTLVERLAN TSLEPLIQKFRTAAYTILQDPELKAWFDDFLSVSRKNLADPGYARSDEAEAKRQELRS RWKALLDKDSSGKWKMVVDDLKKELNNVQSGMENDEDLNRLKEAHAKLGADIERGLVD ASEEAKTGVQAAIEQATWFWQDLFKYYIPKFLSKMKDVPIPRTEYKDDEIEFVLENLD ISTFNILPSHVYIRNITDIDIQTSAKPSTPSHTNVGALTHVRIQALQLSLKDVSFWYK DKTASAIGPSEFTGLLGLKLPEKGIDVDLKIRLIPANATGSHSRAARKHFNVIESASV SIAEDVGIDIRDSNHSVLVTVFKPMMVAKMRQALEKTLTEQIRAIVDWADGVAFDVGR RREVFEDTGLGGGGSLMAAVWSEIGRLERESREGPVEMGWHATGTGLVVEQSVRVEGT GDEFGEGQEVRRSMLAMGAEPQILDGAKRGPVGSGSERIVDKLERRAEEMDVDVPEVD VRGVKRQAGQLAKDVQERAQGLYKEGERQVKGFRRSVERKKQVELNRQGWQSSSFDV JR316_0012358 MNSQNQRASSSARSGQLEQSSSAASDLWFYNQQNSPKGAQQPAT SSSMIPPTSSYYNPDDIHQNENQGQYQQWLDSFHGGSQQQQQQPQQVEYSSSYRRPTA PAPTVHQSGQSSFNFMQGQYASGSMNQYAASTPDTVTQGAVSGTSTYQSQSSDIYSAF YPEMLSMSNNSSAPSPENAHSYHTSTTPESAVHSYSNTPDPVYQQQQFSQQQQPSHLS SGQIEQKPSQSSQFLVPQTARYLPSQRNRSDFGTPVSNSNASSHASSLSPPPNIWTNE SIYSTKKADTSSAGHQSQQSKIRDNQVSAGKAGPSQINRVIPSSAKRPDGSAKTASPP RPGAAAATTTAAAGAKRKRAKRDDHTEWSNNQGSRYAAEDASDSESDDDDDGTGLGMS GAIGVGLGGLGVVGKGGKKEVRSRL JR316_0012359 MSFMPSSPSLSSTLSVSPSPSRCPSPAPSADFSPNISKREYLLA QIRQKDSIIDSLLKQLHNPYIATPLSIASYRMATSPSDSNNRNVLAWLDRLQSSVRDA GKPAGPRAFSNPRDRADEESDVDSEGKRTHAATILGGLRDDPEDTFAEAPADASEKLQ SSLPESHVPLGLIADLSLSNTKSKKKRDQTKDLGLNEEDLNDDNVGVANETYFMPGPA TDLDMRASLIEQHSAPEILVHNLVNPEDVEKLFEMYYVEKSEIYPIAMHFAKHSAANA LIDGWKSVELCQAYILMSVYAVPARRWEEDRSWLYTGLAIRIATDLNLHQVPNTKPHS ETQEREILNKTRVWMICFNLDRSTATQLGKPSTLKEDFIVRNAPDWYKKSQYNLKYDV HLCGYSALLQIVAKFHDEVFSDPSSPTGLNKKVDFRSVTLKHDTRLKAYNEEWSKRFK EDSDVNDPTCALRCSLLPFLVGYSRLVMFSFGFQQAYQRGFENEDRMFFTTCLDSAKA VIENMIDGLAPTGFMRYAPDGHFVFASFASAFLLKLLRPEFSAFLEKEQENEIFDLIG RLIQTLSSPKIAIDDRHTPKLYARFLAGLLSRHRRDGATLGRLQTVVPPQSQMAGSEN QGMSSMSLASMMSTSHSSDADQGGQTFTHAPSQAMETPIYMPEATFATSTGQIHFGAD FDMTYGGVFSDEEMLATMQAIKNPAWWQNMMMPGFSWPEGSPSPPAIPNANLSPLPYL GHMQAPSYGIFHAAQPQVMMS JR316_0012360 MEQLQIAPLHELLSHFRYMITLRSQEGKYHSLILFRLSTAQDPG IKDLPTSESCGSQTDDISLSTGPSSNQRQIYVMESSCPHLGADMSHADIEECETGLVA VCPWHRYDFDLKTGKSETGLKACTYDVEVKVDLKDGVEKVYMQTPEEGTNWRLVELRP VSEEFADPPPPPKTIQHSEATVHVETGEIEPVVPPVNPPKTLMQWAVLILNTPNPSLK VERTRYAVDLFRTGKLSSIGHKSASAPRPPDVPSRDVSYTKNTVAPQKVKSRKNLAVM LHALANIEQWASLLTSRLAAISPSTPYGSMPVHASLWESATVTSQSLRSRLAIIHLVH EARGLDVNPATIERFRKAGDLETVKVMEIIHADEVTHVTSGHRWFTWICAQEGATEPV AAFRDEVRRGWRGDIKGPFNVDDREKAGLTPQFYEDLKGEMGFIEKAEQELFKSSVEL SAIDIAPVNIEYDKE JR316_0012361 MFSKVAILAAASMAVFVAAAPTGSSGDIQDSCNTGAVQCCNQSF SSDSSEANLLRTLLGVVLGPVTGQIGLQCTPLSVLAVSGNSCSSQPVCCTDNTFNGLI NVGCTPINVNL JR316_0012362 MPPAGSSCLQTGSTPIITGNDIPDDVWRIIAGILSDSGYHAVRY MGVNRTFYDLALDQLYSKETNTVLKDAILSVASKLGINTSLKTNRGYDPQWVLQHRHE RSKDGALSFESTINPMVNAVAGMSNVMEFNFEWRDLPMNKNTLIFLTSARTSFDNSLR KLVLRAPISKFKDLLANTNFNNIEELDFHFDYRPGGTVSETPDPYVKILLETVVPFIA KRRDRLQSLRVSSSSATNLSEFFHALPSYFPSLRGFGVNISFHKDFLSDASGLCQILE SCAVSLRQVILSANWEHNHLDPVKSQSVQSVDRYARTDTNNINPQSDNKPWVRMNNLL LAHPRCLSSLRSLEIPFISLSNTLPIIQRSCDTLIRLCLKGRYLTTNEIAQVIALFSH RSLEIQYLEIAVTMLDIALLVVLAKGLPDLRSLVLIYEKRHDDVSLYPLKSEKPNPTL WKS JR316_0012363 MAPDPVAEKSGFLRMYMSNHPDTLVAYAKWFGKVKEVITSAEMT AIDCNSMTLTCTMKGGSKKEVRVPIEPPLSGYEDVKPRLLEMKAFAQEGLGMIKAPHI TTFEFPRDAWVMTVLYFFGLFIYNLPGHPSIFGQTADFITAYTGTTVVKWLLWTISGL HSLESIYMYSLCRRHSTGFALGAMYVVSTFFGGFPTWVNLRKKIQAARIDSVMKIQ JR316_0012364 MSSTVLITGAAGWLGGLLAAELINDPRTPDLRLILADIVEPNAP PLSKSICIKADLTDKAQLETLFSTEFGIPDTVYCLHGIMSRGAEDNFDLGVKINVDSV RLLLEATRSYCEASVKFIFTSSLAVYGGPLPDVVTTSTIATPQGSYGFGKLTSELFIN EYSRRGWVDGRIVRLPTILVRPGAPSLASSSFISAIIREPLHGVETVCPIGDSINSPE LSLKSWLASPETTIKNIVTAKHIPAEKFLPHTRVICLPGFTATVRDELEALFKVAGQD ALNLVKFKDDPVNRRLVSSWPSAFDNSYALSLGFAVDEGGMEPIVQRFWDRVHAVDK JR316_0012365 MLLADNRTLRILSTAENEGYGVLAQACYDSQMAISLVRAAEQAQ SPAIILLFPVTLQYGKGPFLRLCLDLAHQASVPIAVHLDHATDPEHLELALSLAEQGI IFDSIMVDASHAENDEENISIAKPYVDRAHKLGIAVEVEMGRLEGGEAGLRMISDAKL TDPAKAKAFMEGKDLYKEFRGKVPICLHGTDTLPDTLFAECIKNGVSKVIVTLPILIN INSWGRDPYARVLGEALLSKSFPEAVDIASDVLVKECLRFFDVFGSKGKA JR316_0012366 MASCANCGSITEYSLEQVLCASVDRQQLLKRYDLEERNLLQHND TAHMDTHIDSLQFLIDELRKRRALACRSRNRYAPVARLPVELLSEIFRFTLSTDIHKD HKCFQPTTVPLLLGKVCSEWRNIAWGLAELWSSFHCRVSKTRYSAQATLLREWIDRSG GRPLDIRITLEDEDSWNVSGITSTHIIDVLLLHCHNWRSLDLILPETWYNKLQNVREK LENLASVTIRPPGYIFILKSLNAFANAPSLRRISSSHYYMHDLLFPWEQLTEATLGTA STDEAIELIRRCPNLVSCRFDDLNSIEGEFPLDGVLHTGLKQLRIYLNIFATLAPFIG PLTLSNLSLLDLSVPPAHSNPLNTVEQLLDRSECPLRTIKITGISIQDEDIISFLVYN DAVKNVNNMMSKDQDPAVLCSTPAT JR316_0012367 MPSIISLVCVIGGGHAGCEAAAGAARTGARTILLTQKLETVGEL SCNPSIGGVGKGTLVREVDALDGLMGRVADKAGIQFQILNRSKGAAVWGPRAQIDRKL YKRSMQNLLFNYPGLDVRAGSVFDLLFDPTNAENPWGKITGVQLENQEVIHCSQVVIC TGTFLSGEIHMGMKRFPAGRLGDAPSIGLSASLNSAGFKLGRLQTGTPARLDRNTIDF RGMEQQVGDVSPTPFSFLNDAVDNAANQIACYQTRTTPATHQIVKDNIHRSVHIQETR KGPRYCPSLEAKILRFGHKASHTVWLEPEGYDSDVIYPNGISCSLPEEIQEPMMRTIP GLENVKMVKPAYGVEYDYIDPRELGPTLETNRVKGLFLAGQINGTTGYEEAAAQGAVA GINAGLAALNKPPLVLTRADSFTGVMIDDLIVKGAEEPYRMFTSRSEYRMSIRSDNAD LRLTEKGREAGVVSDERWKVFENVKDTMEETKSLLRSISLSPQAWASHGITVQFDGIK RSAFQMLRYPRITPFSLTTAIPSLQNIHPRILTRIGIDGLYDAHLTRQEADVKAFSAD ESLTLDPQMDYSTVAGLSSEIIEKLYRVKPTTIGAAKRMEGMTPTSLISLLKHSKRKW VNGPQKASV JR316_0012368 MSVPSFLGSLANFAHYDATPHIGTVFSDASVQLSQLLAAPNADV LIKDLATLVSQRGVVFFKAQDIDIETQKLLATRLGELTGKPADSKLHRHPLTEDGSEL GDDVQVISSAVVFPRERALASRGWHSDVTFEPVPSDYAILKIHTLPPSGGDTLWASAY EAYDRLSPAYQKFLEGLTAVHDAEQFHVAAKLRGFEIPAGPRGSPLNVGSELTAVHPV IRTNPVTGFKGLFVNKNFTKRIVELTSDESADVLNYLAVHVAENHDLQVRYRWEKNDV AIWDNRSTFHTATPDYDGLRVGNRVVSVGEKPFFDPASKSRREALGIKSKGL JR316_0012369 MATTSSKKPVAIITIGMAGAGKSTFVQRLNSYLHSRDPPAPPYI LNLDPAVTYVPYEPNIDIRDTVNYQEVMKQYNLGPNGGILTALNLFTTKFDQVLGLVE QRADSVDYVVLDTPGQIEIFTWSASGAIITDAIASSLPTVVAYVIDTPRTTAPATFMS NMLYACSILYKTKLPFILVFNKTDVQPHDFAVEWMTDFEEFQAALAAHGGARDSEGEP TYMNSLMNSMSLVLDEFYKNLKAVGVSSVTGAGFKEFLEAVEGSREEYEKEYLPELEA ARKQREQTLQAAKDESMARVMKDLAIDREKNPRGALMDRWEEEEEEDEEDADDEAELD LIDRTEERGPQEYIDVTRARRHEREDINWPRPG JR316_0012370 MAERLRRQFMESQPDWFPTQQDPRFGPPAKYPIFHTFRNRIECS KAGIHAPTVAGIAGTVKDGAFSICVSGGYRDDKDEGDFMYVSHFASLVGTGNGKQVED QSFTHPDNAALLRSFETKRPVRVVRGFKPNSVYAPAQGYRYDGMYVVENAYMSKSKET GYMICTYELRRVPGQPPIPKREL JR316_0012371 MSNIIQEYLEGKIDFAGQNLVDLISKATLAGSGVLAFVVGFVLQ DIRITFAIMGLATVTLALNPVHGPTVVQLPPQQKEMSTYPTALAPQLNQVPEDAVAGQ PTPSQGGMIGGHEFDMAIGQPSHYQQPSTPHAPSSNSNSNSNSNNASSVGSAPLPRGA TPNSHRARPVSMPPQAYAPSQPPASSRDADSRDRSQPAGDENRERRRHRDDHNSTTGR SSRSNRILGDYTLSKTLGAGSMGKVKLATHNITGEKLAVKILPRVYPNPPPQTNGNND SAARQASRDASKEIRTLREAALSMLLYHPYICGMREMIVHQHHYYMVFEYVNGGQMLD YIISHGRLRERVARKFARQIGSALEYCHLNSVVHRDLKIENILISQTGNIKIIDFGLS NLFDPLDHLSTFCGSLYFAAPELLNARVYTGPEVDVWSFGVVLYVLVCGKVPFDDQSM PALHAKIKRGLVEYPVWLSSECKHLLSRMLVTNPANRASLAEVMSHPWMIRGHTGPPA IHMVHREPLRADELDRQVIKGMTGFEFGTEDEIEQKLIRILESENYIRAVQHWERKRS MGNLNGNGNSTSRWGESVSNSSLAISFDSNSGKNDASASSSSKKSRRFSGFDYYRRKL FSPASSPPGSPQSHSPPHSQHHLAHPSFADSNREPMDPTKGFHPLISMYYLAREKLER DRVYGPGQFASSQLSISGDAANQAALNMTTPVDETSMRQQQYLATTQPHFTAPAVAKK DPLPSAKSKADYSMPLPRLPAPETSHYSGMSYDNTAAPSPTSPAFAQPRARDPGLPPP SPSVQQRQHIEIEQQQQGVAPKQNFPRPPAPTKHNRSHSLSQRPTNLGRAWGGMFGGG GSVTESVSADEQGVSRLPALPQTAGPEITSFAERQEEGAEKHHDHGSAFSSGATLVRK FGSMLVGGGRSDDGKRHGTVSGKRGTILSGASTSPRPSSGDVKSAEDEKTTQPIGEGA EGTVTTPSATRTLSASVSQPIGNVHRRAATILDPQGRTTRHERRSSTGAALVNSASGG TIGRHRRPSTGYGSSRPITERLFSKNEAEKDMAEKREEEEAQDGGQRTGENLGEEGME ETFREEDERHTNEKDFKPVFLKGLFSVATTSTKPPTAIKADIRRVLDRMQVQYRETKG GFECIHLPSIDLSSVETSTIRGNSHHQQASSTTSGDTSPSTPSNNRPSIARKVSKLSF GMKRDKGKDREQTESKDQEKSEKEKETSTTGRPSGATLMTTPSSGSSSFFNVSSNHTV VAASGDIQTNGVSTPNPGADSGVTPPPRSHSPAISSKDKGKVLPPIPHDFAPRPASPQ RSPSPMPTGEIDRELFESMGNNSLSVRFEINIVKVPWLPLHGIQFRRAGGDGWQYQML ARRVLTELKL JR316_0012372 MSGDRPPYFGLTGATLNVWVTVACTTAMTLFGYDQGVFGGIIVT DDFLKTMGNPSPTLQGTIVSLYDIGCFFGAVSTFGFGERLGRKKTFLIGVTIMSIGAI LQTCSFTVTQMIVARLVTGVGNGINTATAPVWQSETSKPSWRGKLVVFEMIMNIAGFS LSNWMTYGFSFTQGSIAWRFPIAFQLVFSIILISTIPWLPESPRWLLAHGYHEEGVNV LVALEGSHATPKDELIINQTENILEAVRIEQETAPSWRDILKGRTGNTGMIQRLILGG GTQWMQQLVGINVTSYYLPLVLQNSVGLSNNLSRLLAACNSVSYLFFSFAGLLLIERA GRRKLMMWGAAGQCVCYIFISALLSQANNPANGAKFGAGATAFFFLYYVFFGICWQAR HDGVPWLYPTEINSSAMRTKGAAVGTASNWISNYIVVQITPSGIANIGWRFYLIWVVF NAIFVPLVWLVYPETSNRQLEDIDRLYRDNKSMIFVFRNKEATQVERPQRFIDADQAR ISMLARSKEKDQGTPEESIQN JR316_0012373 MDRYQKIEKVGTYGVVYKAKDTSNGSVVALKKIRLEAEDEGVPS TAIREISLLKELKDENIVRLLDIVHADQKLYLVFEFLDVDLKRYIETGNQNRTPISLQ IVKKFTHQLNSGLLYCHSHRILHRDLKPQNLLIDARDNLKLADFGLARAFGIPMRTYT HEVVTLWYRAPEVLLGSRHYSTAIDMWSVGCIFAEMAMQGSPLFPGDSEIDQIFKIFR ILGTPNEDVWPGVSQLPDYKATFPQWSRQDLARIVPTLDEHGLDILKRTLTYDSAKRI SAKRALVHPYFADYKPMPA JR316_0012374 MAFRVRYVPLSPQGSKPRAMTSETELKPFEFVPLSQDIINKAKK GFEDLINELCPLPTPEQTSFAHNDFSNFASTSTFPIDDMQVSPPFLQPDSLSEEQHQF YSLLDQIAGSEFPRLYPTQDSSDVQMSTDTILDKFPSFEGDMTWNLTDEQLMELGSWE ISPDNIFVPETASELEDFATPVDTSDLENMRKQMEELERQFYGEQNQIPFELPDLSEK JR316_0012375 MPSLFSRTRTTSTPQKRHNLPADENYGLGSSDEFGRVNSRLSNR GVTLGTPSKKDKKKDQKFQKTFPSGREESEQPLDPQFPDGAFLPLNLEKPRNDNASEY HKEHDYGYLSYERHVVLGIEQVERLVEVVAEELETRGGITTPFIFSTTALDISSSAIK RLIRSFIGSCESFSGQKAQEAEAKWREEARFAGPHELGMCLRWGLARVIRSVGGQDVR GLVSWEHYVEFRESEAALQYPPIHFATHFLPPLPPPLQAIIVRLLDLLSRLVANSTSS GHTPPTLSPLFGPLFFGLGPATLAFHHAYIHYLRAVSAMEHLILSFVRWKDTPRVLQT SNPSASAASLGVPVRLKEWIKGYPSMLPDIQQKKPQPRRGARTVRVTSVRRNVRMYSP DLVKTASTWAYRPPGSNVSNGLANSKEWDRIAPATLKLAPRYSEGYKKRMDMPANFNP DISPGAAINMSPSQSTSSYLSTTSTSTGNSSLLPTSDYFGLSGVREGEDKFRSLTDLK WGEFESMGFGGLGDEKKLQFDLTESARTERSAKRQTLSWNDFSSAGFSRMDAPLSATL QFSTPLTHTISSWPAQSAEMTKKLKKTQKQLPAFGWDTEPVIGTEEVIEEAFLDVFCD LIYGGGWMDLERGEMLDRDCNWALVEYKSLPPSRTTASGGADPRTSTALLLFEEFVPL EYREQLAIKSSNRRRLPSLFSTSNKKTWKQAPTLNGRPYVVGHVPHSPSIREVEFEGL LHGTTSTKVLSLNPKASRLQTPVTTAPPPLPVPHATQAPVVKTVPAPTVKAESEAHAA PKSDEMHSDSTTSPSVKKSLFRLPTTPGSNRRSMIPAAYSSVDFETRMASYSDDDENV NPNEPEDVKQKRRESSADAWVDILVGSQSRRMGGQEAEFSDRKRGQGSKPLDPDDASL EVAQVLAAVQNRAPSPSSVMERVDQDYGMDHHLSDDYDIDEVETVPRTSNVEEDYDSE SGLAYDDSEMDHGDQPQSAVLSALQAARNQRRLGYFDLHPERRNATQSMIDEDNLRAK LSNNDSEDEDEEEGQVHNIAEIRPLPVPPVAPVAQPTIQQPSPQAPVRSTVATLNRLQ DLKLDNGAAPAVNNGLPSTPSKTAALIEMYRERERGGSPKTNPINVVPVVIAPLAPSR LPVRTASLPKESSLTATPSPKVSPRPSPSESLAIDLPRIPLEETGRSSPGRYQHGAPL QNVIEEDEE JR316_0012376 MLHLVGPFVRKTSQANRRAKIESREVSGSRLLLLVVILVLVLLA TLVICVIRNRRRSEASEREYNVEASQVDGPPTIIATEYNPTSGPSRVYSGNKSPYSGG ADGRMSPQPPMSGPMYPATAQHYSNYKPHSINQNHTAPHSQVTFPDAYPFTGYSNSMG PPKTAFVSGGFPRPLLAGDRLKDRIKERPASISSLTTTVPAPAYRA JR316_0012377 MSLSKDTKQESQPSDIEKLGGDPAHIAELALPDFDDPNIDKNEA LAGILEDDSPYPEVRSAVANTDDPSIPCNTFRAWVLGLVWAIIIPGLNQFFFFRYPSV TVTGIVGQLLIFPLGRAWARLLPNVKIFGVEINPGPFTIKEHVLSTIMASVGYSSAYA TDIVAVQRVYYNQTFNFSYQWMVVMSTQLIGFSVGGIARRFLVQPPSMIWPTNLVTCA LFNTLHAQTYAGVGNRGGISRERFFFYAFAASAIWYLLPGYLFQALSYFSWVCWIAPD NVPLNEMFGYVHGMGMSLITFDWAQIAYIGSPLATPWWAEANIVAGFVFFFWFLTPVL HFTNTWSSKYMPISSRGSFDNTGSTYNVTRITNPDTTFNQEEYDAYSPLFISTTFAIS YGLSFASITATLTHAFLYFRKQIWTQARRAMHEQPDIHARLMSHYPQVPEWWYAVIFV VMFVFGVVSIEVWETKFPVQWFILALVIAFFYVIPIGMIQAITNQQVGLNVITELIIG YGLPGRPVAMMMFKTWGYITMAQALTFTSDFKLGHYMKIPPRAMFWGQVVATVIAGTV QLGVQAWMFTNIPDMCTPGQKDGFICPSTETFGTASVIWGVIGPARQFSKGQIYYALC LFFIVGIVCPVITYLLSLKFPNSWLRYLNFPVIFSGTGLIPPASAVNYVPWAIVGFIF QYVIRRRHFSWWTKYNYVLSAALDSGVAVSAVLIFFILQYPKNGSIGIGLQNWWGNTV MWNTADGTSKTLLTVADGEFFGPRTWH JR316_0012378 MRIEELVIDGFKSYPVRTAITGWDPSFNAITGLNGSGKSNILDA ICFVLGITNMSQMRASNQVDLIYKRGQAGVVKASVSIIFDNSDKDNSPVGFQDQKQIT VTRQIALPNVSKYFLNGHKSQQATIQSLFQSVQLNINNPNFVIMQGRITKVLNMRPQE ILGMVEEAAGTRMFEERKDKAKKTMGKKEKRVEELQNILDEEITPKLEKLRAEKRSYI AYQKSVSELERIGRKLRAYEWTDGQKKLAKYEADILAANKKQDDIKTQKMKALKAITA AEKEMAGVTKRRDAEMSKGGKLKKLQDEVTEQGKKVAKIRAQAEIKLETIKEEEKKVV TLDAQLKEANAIYAKKKKEVEKVSSQFTKIKDKHTEFETKLASDEELLQTLLTGLSSS NAKNKGGGYMGQLADAKARIAQAASEEQQNKVKLSMNEKELANLEKKMQAFAKEAGDN MKKLENVQAAVEGLEGKIKNCGWGAEQDRELERQLREARDLCKNLAEQRERVKSRIPR LNFDYEDPTPNFDRRKVKGVAAQLITLPEEHYNKATALEIAGGGKLFNVVIEDEKVGK DLIKNGRLKKRVTFIPLNKINARTLANQELQAATRLAPGKVRTALSLVGYEEEVSKAI AYIFSDTLVCDDPNTAKEVTFKIGVKSVTLDGDVYDPSGTLSGGSAPSSTQTLIQVQE LIGVENKFREAQHRLQTLMQEEQRTQNARNNWKGLTRDLEIKQHELKLLQEQVGGSNA SLIAGEVEKVKGIIDNLRQALQSAKDRQQEAKEECKKLERDMDEFKNNKDGKIEELKV NIAAQKTALQKNSVIVKTQQKELQAANMELEQLENDISGEKEQLEEARAGIEALHQEL DKLVNQVASSEAEHAKAEERLQEEMATLSRFDEELKALDRDIKKHKEQITQADLDTQQ LDHDIQGYMTEKNKVAHAISKLESTNEWIETDKDQFGKEGTQYDFKSIDLLGLRQKAE ELQAMHDGLKKKVNTKVMNMIDSVEKKETDLKKNMAIVNEDKTKIEETIEELDRYKRD ALQKTWEKVSKDFGDIFAELLPGNFAKLQPPDGQDLMDGLEVKVQLGTVWKQSLTELS GGQRSLIALSLIMALLQFKPAPMYILDEIDAALDLSHTQHIGQLFRTRFKGSQFIVVS LKEGLFTNANVLFRARFRDGTSIVERTAQRSTSALYANGNGNGEDDENQNPRRARRIA GS JR316_0012379 MPPMEFSITFDEEELTELSSDEEDDAPMSLPTPKSAPPRPVITT EPIAPVVPVRAKSTRPTTQKATEDSRARNNKSPTVVPPLKVGRSTTYSISSLFEWLKN GPESLDLEADYQRGIVWSDVKQVGLIDSIFTNFFIPPLVFSVTYHPDGSESRVCIDGK QRLTSILRHQQKRVFYRSAPGKRRKVLDDKIRKTFDQKQLMCYEYESVTPEQEREIFH RVQLGMALGPADRLPAINGSYADLVRALRLKMESTEGFSHFSNESRGKDFQALAQIVY MIEEGLTKKTCDATTKYLEIFLAKQGVDTRALEAKVHSAIDLFVRILQDPDLSLPLLE YPMCSMEFVMTVYLVHLHRSFSLEHLSVAIRFMRHHWFTALTKKLFTKADTKAFLHLA NFVKTITKTKVMDWANHTQPVLVHPKGTNKRGRAKTAEDNESVLMTKTPGRKRKVEDD DEDEDSDDECQKISRPRKKLTTTVKKQGTVTPASTPAPTPAPDADKLKMKIEKAISST RKAKTTIKRVSPTKRKSVPVPTAFAAKPSRSGSVLNQVAKPSIKPGQRSVSASTPQPC DPFGPLDFSPSVSRASSTTSTSRLPPAAGDAAPSAGVKPPISVAQTATTAPATPIAPT TPTVPIVPTAPTAPINTPVAFGGIATPRASPMTYETQIVSASAGLASPPPSSASSSAM DDGHRLAPLRRAQAADRLGNRGSFSDSASSSMPPLSELLGRRQSSDSSGSSIPMSGSS GSTLPGKRSFSAPNATTNGDAAPAQVVSTSTPTNSMSGPEMEAYLLCQQRPVNNNIHS HANGVPKHPQAAPQSPPSDPRLRVQVQRESSSVVKTSTESRLPTPPQSGQSPQVSQEQ LFARPTHTHEQMTTATAAAGISPSARVWPGQTGPQHPYPVPGDRNNR JR316_0012380 MSFLHRRRSLQAPLLVKEKEKDQKQTVPAVSLIPAPSISAAAAI ENVPRKGTRTRTTSALGVIKRVSSIFTGSTPRKKAPPSLSIVDHALETNSRPRSFNFE VSSIISSTESEDDIRRPSGLGSPVALTIHSNLSLPPSPFSPTGPQEVYNAYTFDGNGE YQRTRAVSSPNLLRSLSWKVREKVKAHHASITPSPTSEQPPSPLSRMFSIQFPSEILV LVMAHLPRHDVAIAATVSKLYAAAARKALYDSLDFDSLSPSQAERLIAQLASRRDLTD LVTTFVCRAWPPFYMSGSGVHGQPSAQNGREFKHQDALLTATFTLALERMSNLHSLTL PSFDPSLLSQYSVFGLRSLTLLNHASTDTEIKEILTWLDAQANITCLRFPNLEDIPPK ASDIPVLNLDDTQSTTEPSTPFLRPYTSNGLSPHVSPLSSPRSTIFHSPSTPPSPSAI LPASTLLPNLKILHASSTLAMLLLPSEPHVRQTLTSVTLNISATLYNGLRPAALMCAL KGITHLSLRFSENVDKRTLEKVVGAAGASLGLVYHKSPVTVDVTPPDDSQTPPISTSS NNERSGLRSLEISFPKRSTAPSGREEALYKSLHASLARYKMLTSLRLFVDHPDQPDEQ DYDGVDSDAQKEKGKHNASTPPPPSALELILISSWVKLCPTLEFITLFSGSRWDRATQ PIQKTQSEPKVFKFP JR316_0012381 MPTIPDRSIRICADRGGTFCDVHASYPDPENPEQRKELVVKLLS QDPGNYQDAPTEGIRRVLEAVTGEQIPRGSVLKTDKIDYIRLSTTVATNALLERKGHK HALVITKGFKDLLLIGNQSRPKIFDLNIRRPAPLYSKVLEVDERVTLVGYTSDPKAEE HAVQFDEDGKVVRGYRGKGWDGQGDAEGPGEIVKGLSGEAVRIMKKPDPATVEKDLKA IYDEGFRSIAIVLVHSYTFPEHERLIGGIARKVGFTHISESAQLLPMIKMVPRGVSST ADAYLTPILREYLDGFFGGFDSKLKDGSLRSPRVEFMGSDGGLLDLNNFSGLKSILSG PAGGVVGYALTSWDEKRKHPIIGLDVGGTSTDVSRFDGRYEVVYETTTAGVTIQSPQL DINTVAAGGGSCLTFRNGLFLAGPESAGAQPGPACYRKGGPLAVTDANLLLGRLIPDY FPKIFGKSEKEPLDAEASRSAFEKLAEEINADQDKKLSLDEIVYGFIKVANETMCRPI RALTEARGHATSKHTLASFGGAGGQHACEIASLLGIKTILIHRYSSILSAYGLALADR AFELQEPSSTFYTPQNVPTLKARLDKLTKEVRTELGKQGFDDDHIHVERMLNMRFEGT DTALMVLPVDGDGEGDEDFEAAFKRVYKSEFGFLLETKVIIVDDIKVRGIGKTFDTLG QSVYEEVEQLTQTHVDHAAKVDSRYSVYFDKVGRVDDTPVFLLDNLEIGDVVQGPAMI IDNTQTIVIVPGAKAVLTSKHLFITLE JR316_0012382 MFSKFAIFAAASMAVFVAAGDINASCNTGDVQCCNTSYAAGTSE ANFLGSLVNVVAGAITGQVGINCSPLSVIAAGGNVCSTQPVCCTSNHYNGLINLGCTP VNL JR316_0012383 MFAKIAVLFTASMAVLVAAGDINDSCNTGAVQCCNTSYAAGTKE ANLLASLVGVVAGAITGQVGVNCTPVSVLAIAGNSCSTQPVCCTSNHFNGLVNVGCNP VNANL JR316_0012384 MLSTLSSFSSSSSSSSVSPSPSSSSSTPTPTSLPPPHSSVPPSS QTQTPTSSPLPSSSSPSSQPPTNLDKIATDHPLIYWFVANAINVHCLDARLGCAFGPF GRVKREGAGGRGDEARVKEGDEAQVKDEDEGNDMAGKEKDRSKDKD JR316_0012385 MDPSSSTSTTTSTSANTGAGTDKLLVEQHVAYIQKLGENKDDLT YHLTAHLRLNAVYWGFTALSILGRPDALDREEMIGFVMNCWDEEAGAFGAHPGHDAHL LSTLSAIQILVMQDAMERVDVERVVNFILSLQHPSGTFTGDRFGEQDTRFLYCAVNAL SLLGRLDALDEGVLGEGVLGEEGVVDEEGVVDGEEEEKGKGRGEGREKGREKGKGKEK VVEYLQRCRNYDGGFGRKVGAESHSAQVFVCVAALAILDRLDVVDVDTLAFWLAERQL PNGGLNGRPEKLEDVCYSFWVLSALSILNKLSWIDEDKLTGFILSAQNAESGGIADRP GDMVDVFHTHFGIAGLSILGYPGLVDLDPVYCMPAHVIERRGLRKGWKALERRVG JR316_0012386 MNTQPAAEIFDPIKALSECDYRWWVVPAPAPAPSSTSTSTSTYS AAQAPAQPQTQGQPQGQLAPAPQAPRQRKYAVPGKTLRRLLDIGWLSHEELRARGDEK DWESLRVYDERVRASLGVYASACMSSAGGGGSGAGSVGKTGKASVYEGGAAAQMQTQT WGGGAYPWRPMKVRERLAEGLAAGVGTGAGAGTGTGAGGVVQNGVQAISAMISSALGS SSSSSSTSSSTPSSSSSTSTPSSSSSSTTTTTPYTPCGPPPTPAQRLAALKALYPFWR YMDSTREKQENFERWERENEERVRAVILERERVGVGERVGVWAGAVSRNENAGVGANG QGQGQGANGVRRGSSKRRLDEREEAGDAQSQVVEQKQEQKQAQSERSPKRMRMTPERE MRSSQSQPYRQQSFSQPSSSSYSSSSAAAAQPHAYYPLFSSQPTPTSSSSSSSSSHPT HQYQQSQPAYPHSHSHSHSHSHSHQYQYPYSYPYAPPLKQYPAPLRSYDPQVYPDAAS VISGSGQPRANDALPLSQESASGRGRGQGQGGGRGKRLGRVDTPPFDGDGDGDGEGQG QGQEGGEGGEEGDGVFGSQSQGSPGRKQGGTRLVHPKERKAMQRALSRTQTYTQL JR316_0012387 MSLFDLDDSIGTGSDYESEYEPEPELEDEYLKFLNELDIDIDNT PHLQASPADDKPVVEGDVDELDLGDATYIGIMTEENVEVRVEIPRASTAPKAPKVNAN KRIDKDKDKDKDKNKDKNKHEDKDKDKAEDKDEDEDAVANAMFALYIHDDMYYLLSDP DPDSDNTAADTVPAPFRGSLPLPLMDIDTSESARESEESEAEGTSSDDDSNDNGWDDD DSDDSDNDDSDDDDDGSDNSDSELESLYGPPIMRRQSKVKRTLRATRVYMARASASAS VSSPSPSSRRQSPLWMTEAQKKARKKWR JR316_0012388 MFKLRLTSHPAFFTFNTSPNLPPSPTYAQPSQRNEDTTRTTPDA TTRCVHRSCKHDKGRVRVVRGGQQDDTTAVSARLRVRSHHRHLCSALSETTPPPSARL FSKT JR316_0012389 MDPDPLSLRIPAEIVYLILSELYEKTDLLNSRLISKMFMQVASP LGFRRLTLSRAPESLAGIARISDSPLAKLVSEVVLEEVEFPLKMVPLDQPSSDDPFRV GMALYIPKFHNLTTLIFSFPDFWEFENNFTPPGRNDQGSRSAFESDITASNLALHQQE RFFHMLGRCNPDLIPPTMNTIVIHNLMSFWSVHFDIDKLRPFLASLTNFKVDIQIVPD LRLEELFQHPPREHDPQFIPFWREFDLLLDAACNLTSLSLTSDADAYNTWGSVNIFPR LQNLSLNGFLFAYSYLDGDVVLRTCCLETFIILHHNTLQHLLLSDCFIDIMAEFRSWA EIFREFERNLGKLRSFDFQLSNGNGYATMSMEEPGGHYINANATNEPNFADTNMSEEE IKKADKLALLSLQNAIKSRNE JR316_0012390 MSDLLPIELWIEVLSHLPRGFRRKMIGVSRALFELAMDDIYEEI ILCADDDKARFAIFQLQYPNISRRVRRLVIFVRPDNPRKKALPIPQPCIGSDSDSHRT PFPKHTGSNEGKDSYARLLSIARYNLQSCFNLQDLKMDFSGSLLEPLKPYFKPFLRSL WHRESIGPRIRRLSMQASDLPISTVFEMFSVHGLSPPPVINIEELDLSFRHILYLSNE SRNYHHIASFLSALERSLVSFTVSGYLIPHIISVFTASGTFEQLKKLELHSTFNVERD IKEIVPLQQFIARHSKTLEHLIIDPATREANSDLSSRLFLRWLSDDGGFPLQPLPKLH TLELAYQYHMAYGSHRSSSLFPNLQHICPNLTALSIKNIELNFDNVMDLFVCLPRPNG LCQMESLTLQIDILTPKLLDLLAQNLPKLASLELFIASATENLENEAPEQHLLCQRIR ERKYPTLALKFLRITSSSSSSPYCHYPHPNLPIMEAVKPSLSVMSSYPYSVARSYDSG SFTDENNPLIASLLATDAFDEISTAEDFGAVERYIRNQGRVDSAGRTTIGLVFWVYPT GMRGPYHIGSLEDHGDHQEEGSGRVSTHGLLVTVERGAALGDAVTTIRVECRTSGISH VHIKKA JR316_0012391 MEDLLPFELWLEILSYIPRKHHRKLIGINRALYEYALDVIYQKI ELSHDDESTLFTFTRLQNLNIAHRVQHLVVKPSFLYYEAPAVLPDPTSATDTESRTTP RKEFSLFHNSRRSGVVTTHHLHSEPQTSTDILLNTARRSIQHCTNIKELDIILNDNHF TMLVSLETFFQSLWQPGSILQRIRNLAITASSSALSQLILPSKFPSNFDANINSLDLT INPNRDLLSLQNSSLPSFLDRLKDNLTSLTLSGLMDPHIMHVLEVCPKFPRLSFFNSY ISFNVQRTKQLEYLNRFLDAQSPTLQKMVLSPKPRVGTLNMGNYAYFRWLTLNGTGDE RDLSFSGRTLSQLTSMELLHYDRWINGPAYHEVVFPDLSLIAPRLTALTIGQADLSFE RVSELLALLPRHDGAYLLESLSISIEILSPELVDLLSTALSGLSSLTLRLSQYVLPSD LQQNADIPRGNYVIYA JR316_0012392 MSGSIGIITAIGVSAVLGWFLILGLLFSIQNLDGTLDSATGQPV TQIFLDTVGEKGAIVLMVIVIGAMYFCGTFSITSNSRMTLYAFARDGGIPGHQFFAKV NPQWKSPIRTVWLACTLSFILGLPSLGSLVAFSAATSIATIGLYISYGIPIALRVIYR DQFIRGPFHLGKFSYPVAVVAVLWVVFISIGFILPQVNPVDSQTLNYSIVAVGIIMVY SLGFWVLSARNWFIGPVQQIAAEEMGIDVMDPSKAQVFEKDKAAVA JR316_0012393 MGYRGLKAIVAAMERNWTLEKVNLFANNSLDESEAAGRTDDLAD YNRLMSMVKADFSTSQEELMVLAWGSGCGLSHLESKLKIIIGRNILYKRQVKKEAVDL LRYSRLMLHKPVEAPLSFGSLPIELQLSVLSHTAPFLSTSQRIRIFEYAVNKSTLPNL RLHLPVRKPSLDPTPSPKSGKKIGSLGPRNTNISGGVQRKMKIDEWLESVGCDSYDPE PVN JR316_0012394 MPGVNRHVHFNGKASPASSSSSWPSSGGPITPPPTYGYVPPHYP LHPTAESNGFVNPVLSPNNPTFDFNLTKPPTSMMDYASIPVSIWQQPATSPPVPSMAV VCEQLPWILNIPGNIQFGYVSVGDLVFGLYKALSTPVSQSEFLTLSPRGQHAVSKAFK NRCKVCFDSDEYRTEFSQGVKRVDFLVGFTKFSGVRPDKIGKWTLLVRADE JR316_0012395 MQSNLNKRAKAPKASLLGPGDAIGCGDSYLVADLLPTEIADTAF EKLKAEVKWETMAHRGGEVPRLVAMEGEIAEDGSYPVYRFPSDGHPPMLPFSPTVALI REHVQKVLKHPVNHVLIQFYRNGKDAISDHSDKTIDVVRGSSIVNVSLGAQRTMTLRL KKDKADVDDPVQHQLDSTPDDAPRQSVSTFGVPRVTQRIPLPHNSMFVMSLETNAKWL HGINPDNRPLHIKSLEERAEDGARISLTFRHIATFISKDQSLIWGQGATGKTKDQAKK VVYKSEEAKKLLMEFGNENQRNDFKWDAAYGKGFDLLDLLDRNAA JR316_0012396 MYQVNRLPSKVQKTSRYGSGADSKFKNMTASSPAAPLLPPEIIT IILNYVGDKRAVLRSRLIRKDFEAVATTVGFKKLTVCRGPIPNLLGFEAIAASPHLNY RVEEITFLEHDESPKWDPENTSIEEFCLSLLRLPQFPNLRALQLHFPHCHYDEYLPSS DPEDTNNLCLSTGYSMPSDIEISFPRFLQIKFFQKIASEGRLMRPPHLKALNICPLVA HEGLFGLHEFKWLLEPLTSLKIGTVTNDSEWFHQSLDFYENFWNINMRSALNTARNLT SLNLSSDIRTSIISWDQVDAIPGLEKLSFSSFIFTDYNEDSTPDSSSIESFILRHPNL LVLRLNDCCIDLWDSNVTWATTFQRFKDHLKKLQCFDFTPVPEGHDESSDISYFYSYV WPVCEAEYEEYRSDFDHRDAPDPPPNGTRNSDKSAYESLQQKISQRKA JR316_0012397 MAENNFVGEQALAQLFYSMLELGIRSRRTRVSIVQNMRLPPELI IAILSHVDDRSALLKIRLVSKVCNVIVSPRAFHTLTVYRTTASLEGYQNIGLELECME LREHVEEIILVQDPLGHIDRSNDKDQTLAEWTPDIPVKGWEYLCLAMVPYFRNVNSLR VILSSEVSDDWYAIEGANFDPGELDEIENPRTRIHLQDRTMQLLGGIHDENYKKVPEK MVVGQLQTLEITNLAAYPCDAFAMAGMKNLLGGITRLKFRFLSNVAAGDFIYYHPFVF FWERKDLPIIMNTSQNLTSLELSTNVLRICDWNLFETLPKLEHISLTNFVFQYTARGL TRNCLESYILRHHKTLCRLELLGCCIDLTRTTSPQPCWADVFTRLETRLPKLQSFQFH PLPMISARTSDIVDYEGYACGHDDRQGYKRRFRIGLHAPNDILPRDGVELVDKDAYQH VKEVLDKRSPVAIA JR316_0012398 MSPWSSEIMECFQKIKPDLESDFTPAAYNKLLNTLFPVNTPYTV FPQVHRHEDLSTPTARTTFTVYYRNSPVFLLDLHAYPTLSRISARENADDHIRIHVRD LLPFCPLPTLHAMSAFGTRLAFYTASAGNSIMPARMPPTPGQDCQDTTPSDWWDCDLM EDDGAIRLKEVVNKIRNQCENL JR316_0012399 MRPGNVLVPQAPDPELVQILSKVDPNRVKAIIEKLVSFGTRHTL SNQTDPVRGIGAARDWIASEMRSFAAASNGRMTVSVPSYVQQPTSRIPNATVISNIVA TLKGSTEPNRVYVISGHYDSRVTDILNFTDDAPGADDDGSGVAVSMELARVMATHQPA ATIMFAVVAGEEQGLFGSNFMATTLAQNGVDVQGMFDNDIVGSSTADDGTVDKTDIRM FVSGLPQNNTAQQNLNLAAIGGENDSPSHQLGRFVAEVAQNVVTQLNVRTIYRPDRYL RGGDHESFLQQGFPAVRFTEPHENFAHQHQDVRVVNGVQFGDLAEFVDFDFTARVAKV NGAGLWSLAQAPGTPKNVFIDATVLTNNSTLRWTADPNADSYEIVWRETDEPQWSRVI PVGKVSSVTVNLSKDNVQMGVRAVGKNGFKSPAAFPFPG JR316_0012400 MSEEDHQANTQEHELKQEDANATINIKVRRHSRKKRDFGEIIEW LIDVVNSQVVSSSGEEVFFKIKRSTRLSKLQGAYASKVGKDVGSIRFLYDGSRIQEDD TPATLDMEDNDTIDVMVEQVGGSLAALP JR316_0012401 MVHRPADSRLLTNLLQQEKEYIKQLNQVLDTSNVSLASFGAYAA ASPRPASQVIMSVAGSLAAADEALRRYVHGVEEWRDAMRALKDAEDEVGNIMRDREIL VTRLIKASKSHKSGSSNFRDSLLLGNQRFPSSSSLSSSFLGQQDSPSPSSSRPLSAAF SSSKLAAAQTELQACETHLAAKERELELKRNAAIRDGLGARAKAMMECGWAWSQLGKD SLRALEELKAENVERRASYIHEPYLGETLEASRENTDPHSTRPSFDLSSIGPSQSASQ VNLNNNDIPPAVHIGSIMNSGLFGEPSARQEHNENTQPNNDDENHQPHETTSGLRPSS GVSTTLSSVSATPATYKVETVTYAAAVAVHVPGREASSSAGTSSPQVPTSSELFKDDR DHRDSHNSVRIPAPHALEGYAFDIPTAVPDRQPVAGPSGQQQNLQRRTSSRSATPSAS GRSTPQTTPLVTPQPAASYPKRHVLERRITEEEMRSASQDTQRGGEEARQDDGASSTE DELEQEGKLIQEGKLEVVENPRFLTESRKKELEKEEREKAEEAKRAKGKGKAKDEDED GETKGKEKKRFPFFHSHSSSHSHIARPAQVQAVDEQQGNGHVSFATPESPLDEAPKSS NASPSKGFLGSIKGLFVSRHPKDSPSSPLRTKFKRDSTSLAANEVDDLYDSDDDQSPT TRGAGGGLQNLFRGSKDKERTSSKWQTRTDKNIQQLTRKGSFDDGSVGRRGVGLGAAL EGGLAGNAVANVGVVNANGVGLGRAPDARVGPRTRAASDVGVMTSSSAGAAAGPAGRK LKKNRTGPPVAPSASGVALSGDDKGTAGPVEVTTASRHPPADVGQKTAAEKPPVRAVS TSVPPASKATGKPAGVGNRRSASVDVNPERRDWVQEESPKDSGDMIVDLGWRRRTASE VGGPRDDGRRPAAVSSPPPAIPASRPVIPPVKPFHGVLRTTPTKDYSSDSAAVVSAPA VTRKKSLTKKKNQVSAGTTAPPPVPVASSSIPLAAPVQKDVVNTPSPARKSSMKTSGT PTPVKTSPGNSGAVGGATVMRSGGDRPSGTLVSQPGWTEQAQVMGGGLSRNSSITSSA SAPSGPGTRAKRQTTLGQGMGGSGLGRRSSLGNSSQVSLSSGKAGSSAARSGAAHTNG AVVQATPAVPSLMSIVEDVARVNRDREGWSRDLKVQKNAGGVQKPTGMIELVKAPPSL GREELRAMDAVQVRTLAAGGQTSTSITSPSSSTLVPPASSNARTPPKISMFEIKAPGS VFDQRAKDVELSREASASAPTLVTGNTHQPAQTSVPKSGHAQPRTAAVASSSSATRML GTPLRSALKSSRSPSPVGGVVPSTLPMKTSKDIKGKGKAPVRPTDAESGDNTDESESY ETGNEFYSDQEEVERAGVAGPSSSASTLKAPIANGGVVPRAVNGQHPENDGPRSDISH STSSTVLGGSSLHTPSSQPRRRKSVRVSLQPTFSPSPPAIEYESEEEEKRYSPWSGAS SSSQGRASGRETMGSEENNRRYSMPAPLPAPVPVRAAAPTHVGISHRQPEVVYDMWQD SGSDEDEQYVRAKRLLTRAAKREKDVSRMVANKA JR316_0012402 MAPPPHSSSSHRREPSSTPSPITGASGAPITSGPQLSSSETTSP TSRRHSKDSEGYPTWLPKRPPPPAPASTLGAASHIHFGSTPSPVDVDADAEGLGQTEA YADPEANYGYPAYPYDHEHDHELGHDPQRQAQAQIGGRKPTPRSVRIVSLQDSFVGAV EKRATGNTSREPTDQTLISGVNAPPRVFTRASYPNNNPTAFLPSSAQPMSPYAYANTN LAPPVQPRFNAKNLNLQILLNPSKWMKLYFYLWPFLVFYHIPLQSFFDFNAVFILVQV SKFPNSTSPSSSRKNWALGAAAYIACWFAWILFVCIMYELVYSFSRRWRLRRPLMLPI FLSSAGFNLAAMTSYTNFSFLQHLRISAFMRPEDAYLASGAGTAAATGPIVIPVTEVE EEVHVRRDGQQVPGQSEDVERSSMVEVDDGLDDEFTGEFGEILEVDEKRRRRHVAQAQ TPKASASNSASTPSSTQRVKQRRTALHGDDGDSDRKRKKKVEIAWKQGLAETFFFYSQ NVPTVALLLPRAALCLALLFAFSSSAPSLNGPSNRDGTFFRSDGSLTDYSRGILIANA AWTGWRALVVLVSWLGLWIFSNQRLGGLCGPRHTWDEYAQEKTRARYSAAASEYEAYR SSFYGFPGSPYAGTPYGADDRRSDYGGDELAWAWRETTRTRVQDAFEFCLMTRRRSGG GGVNDAGQALRWSAAPAVRHGQPDGLSKEPRGPKLDAAKASEKEEEFEGIEKVLAAVG LGGGPSPAKRGVLSKDLFSGPPPPMGSLIPDVVVAEASTSTGKGVFVPVGTELEMPKV AKRNSKDKEGPVIPYPFSNPGAGQVSSKDSVPFPASVSSDAEKEKKASSKSSKSKSSS SATSGTSEEIDDDEEEDDDEEEDDDDEDDDDEDDDEEDDEDDEDFEGSEEPSSGRASG SMSSLGQPISPSSRYPFSLRRPAGAGHGRTMSGVSSALSSGGAGSVITHSTGNQESAE SSSPGHIPMPPRHPAAAANAGRARSAHVPIAFPSVYRQRMDSGPTTSNGIIVDPAVSY FDHDDDDEAEDEDEHGEEEEEDDHGEHEDSVGLLGIPSSARNSRVSLSNTSSSGEASR SRTHSRHSVRSRTRTHSSSRVAPSLHSVRERANSLGASMRSLMQGAASASMTQLDNIM RGATGPAAGLGGFGNRSSSNGSASGSGSGHSSRPRSRVNSSMARLEEDVVLDLPPVHQ YQNPRFEQDLEAYSSSGGTHSRSGSESLSMSAENYTFGRPMPFLRQPPPPSPVHEQPE VPDTPVDPTTQGVPQSLESQMYFDVDERAESPASAAIPIPIHPSTSPTNTMNTALSGS PPQSSSDDHSGSSERQGIPIPWTLPASHRIFLHPPTPNTLALPPRQTANTETSSSGSP PDISTAAGSFVTAPATLDTDTATLSNMSQATIGPDDGSWGSSAVRRHRANMSGGPLVD RPADDTGPSWHIM JR316_0012403 MTTSDLPTELWLEILSYLPRSTLRKMIGVNRTLFELALNDLYEE VRLISDDKETVKTFKQLHHSGVSKRVRHLFIRPAFLPGIGEIDSKNGRGTKIERRISS RLSSFSNLVRWSPSEPLRNQIEDPSFGILPIARKAVKSCPNLREITITVHDHVATSMF MSFLDSLWASDSIGPNLRKISIDTVVEKIPIFLKPLTMQAKVLTNLEEFNLTLSISRY KHTSTDWYFATQALVSLFTEFRSTLTKVSFASMVIADLGAIFSSLPRLPKLKTFEFCA ITNSNTLPNPEGLTRFVSMHQSSLQTVTIKPYSRHVSFHHSDDTYTVWLNQQEPVDSP KLYSFSQLVLPQLQSLDIGLRDFGRYMIEPNLSTRRLLPDLHQIAPNLVKLVMTDVKL STNRLMEILDSLTPRVDIPPKLEVFDMLSQKLPKLNALTLQYDQLSMPDSGNRFQDLR KFSDAMQARSYSQWSLRYLQLLKPSPCGRGHPSIPDMKMAADRVLEHWVPLTQCLQRV CFVSSIFQPGFRWLFSDQPSAGTLLSKNDISGTVLPQDVMDAMIDILADLAYNPDNHD PDARVALAQCLLVSRSFYEKARPRVFHSITRKEQILPCETWDERRDNLFFELLEGDLL RDFKPLATQVRSLTIAACFPTTNKVMFLDGWSSSQEELDRQRERFPRILNTMVNLETL EIRPDDRNALVWATVYPGLKSSIRKVCRTVKVLRFHRIWGFPISILSVCVNLRELSLS GILATQSGIGNWVHSGLDDLEVLEISHVDEELLTRCSVDTLNTLEIFSFSGDYFSFSP DTKSKLAALQSLITLRLHLSASLSLPECFPPQGLTYFINSLVDDCPSSLEHIVLENIV RTTAQQAIDRQNILPAAWKRRWEKVDNLLTDRRYPSLKTLSIKFIEKRSAIVTHRAPS ADGGVFYSNSVFLDESELLKMERESLEWLRRYFEEALPRVHTSTVTIHFEYCISQSGD DPL JR316_0012404 MSSSTRPSISSASNVPGPKRNDTLPTEVIQRQDNEAPESEPPPK IWSVGDPFQYAPPKPEGDPWALLLEPLIKKDKMRCEAWKDEVQNLLIFAGLFSAVVTG FIIESYKNLQPDPEDVMINLLSQIATRLERVPGLNTTSTEPPLAQAQFSPSSSTIRVN TFWLISLVLSLATVLVGIVSLQWLREHQSYPDLTPRQRYAIYHMRDNGIKKWQAWSTF SIHWENGG JR316_0012405 MINDYFYAGYPEVDNLVQEMLATNPEERPTADKAMERLNNFLLL WVPRDPEGLQVLQFS JR316_0012406 MSSEEVLKEDKTTVLPEENRKREVHITQNTVRQACRVIAGKPST DRDRPETLQNVEARGTSLSVVRVRPMGTRYVPSDDMITEDLEDTVGEFSSMMTEFSLH ADEDNKDNEPATVSTSSSGSADSESDSLGWINLGLSQLFYFTNDQWKSQYEQHATMSF EEELEMYDLLDLDAQGNDDPVVGDLEAESDIDEVDIDEDTHAILSL JR316_0012407 MFSKIAVLFTASMAVLVAAGDINDSCNTGAVQCCNTSYAAGTKE ANVLASLVGVVAGAITGQVGVNCTPVSVLAIAGNSCSSQPVCCTSNHFNGLVNVGCSP VNANL JR316_0012408 MTENIQTTSFVHQLTIAMGLMALTSLAHRGVCELMSTSSKKKLN FCDLVAYKNIDLQGQVLYRELWNNLIPTLRKHQVILWDYDGEKQRPPNGDMPIKDNYI YLVDTGPSGLALLATYLPPDGLSHAARSTNALPRDIFLRIIAAEISVGDFTIGVFPRT LYTLLDAVVHSRVSGDKTSFEDILYLLLQALEGIHHIHCKGVAHCDMFLDNLAIEWIP QSLVKASTTSRPRVYLINFDKAIQFTQESQPSERLVHDRPLPAVTTVYYRADAPELSQ KGPYCPFRLDMWQFGYFLQKYYMTTIPEIDQLWADLVTPKPEERPTALEAMNRLNEFI SSTTRSSLQIGFA JR316_0012409 MGAYYDEIEIEDMAWDEEKRVYHYPCPCGDRFEISRRQLANYED IAICPSCSLVIRVIFDPLDFEDEPPDDEGSGEEEEEKEEDDDSSDEDHFEDAMEKLSI TDETPKVVSVAA JR316_0012410 MPTITAKDVVFHYTDSGLPTQCEEYSTLFVIHGHSFHSGKSGIL WYSLGLNPLCLRLGIFSTLFPVASKESLRVICLDRREYQGSTPYTAEELRIIHEGTDA ERLDLLTFEGVYLALGIEGLIRELDLPPGSGGGLVGWSMGNMFSLAVINAINHRAIPE DVRSRLKNLEGTQLLTYIADPPFQVLGLDSPPEYYSPLWDDDIPEGDRGSAFAHWISS YFNHDMEALAQRDPSKLNKRHPSSKKPTAERMTIDELVAVTDFRPGPKCDTAFGGPGY HTAQRLNYQNALFGAAPNSRNWHLRNVVHLYGVESAWSIIYTVWVLEDEDKTTKRIEF KAIQGVNHFMMWDEPEITVSVLKECMV JR316_0012411 MKQCVGDVHPDSLLCNSMAQKHGLISFLAGSWYFAAFSERILIQ DHNRSPQFPNNLEPHIKEAFHVLFSFLDASLKDEISSWPTPEGRPSLLFYITTLYAHG IGARLTKDPFFASLLETIRRYKRRTIDMGIVDSILESNFVENSDYFYIDGEPFSVSWW QDFDNGQTSDVGSNGRSSPSIVIFYPFDTPDGQYSTA JR316_0012412 MSQINEQSTHTDRGSVNEQSHAFHPPKIWDIGDPFEFAPPKPDG DPWSLLLDPLLKADKERCDAWRDEVQNLLIFVSIYGTSALSVIEVFQAGLFSAVVTAF VVESYKNLQPDRNDAVISLLSGIATRLDSTPGSDVVSYPQASQQFSPETSAIRINTVW FISLVLSLTTVLIGTISLQWLREHQSFAELSPREKYAVYHMRYQSLIVWHVDKIFATL PLLLQCSLVLFLIGIIDFLHSITAGNWTVTIPVTIVVGFTFLFLIATAMLPSLQALSL YFDFSTGHRRPGRGQVIPPSPCPYKSPQSRAVRSLSRPLMNLLDDHLDSMRRFFHRIK HLLRHPLSRLPDAPLTPHRFTQYLYYAALDTQWMDYDISWLAIRDGFMRRAFGKSHWR DVVGLREDEVLPLYDIVHGLDTQSYQKQSAAAAYHCFSEISEMTLQPLRKIANAYGSF EDQCRESGYLHNILEPTSGSAFLPDRFPFVQYLGSNKITRKSLCDILHQDNMYLFLHT HSFGDLPLLSTHRKELSLRLSAYFYRANYAMQLSDLNVAFPECLTFTPFEVLLDPDLN RDQFNGATYFDKLIAALF JR316_0012413 MSSPKERHYWLQLRGALTAGQWRSEYPARAPNNTLLSWPELLRK FNKHCQGFKDISDVASQTQSLAFLLSARYKDDDENDDTLLDNPTAEGTERRDGTQRGS LALGTEGMLLEENIEEAMVGYEVLKSLESSKYDTIHLALAYYAYALGNPTECLNHLSK VPELLQFHNRIPNADSIRSNGSFLGPSSYAPSTTSFAGSFASVADIGSPEVRDGRGWA MAETFRSICLKGMSYEKLYPADPTMALKAYRVALPALSSLRSEFTSKSIPIPLSSSGK AEPALFTHLREMWRWVERLLWRAIILTSKTANVFAEDETQPTSTIADRASDSQNSLFD WFKHYTTCSSYWPPHFRTAHRTTIYALHLRALVLRHGVLMSLPAVGVAPVYAQPSKKS IPPSSSSKASSRPTTATSTPHEASDPSSTSVSLLTTQSSWMNTARSVAQDYRAVLSVS TSFPRAGERNFKVEEFVELCVKVWEAGGAIGEQASWVIEILNWAQRLTFNSSVILRHL TRLLFLGSSLYLAKRTLNLYIQVVGKAWEASKEGVGEDMDDDARWVETLVFGARMLCA SVGGHGGGAFAGMLSGSSGRGQHMPIGDDGTEGIDEVLEARTILEKARTRLNENDHRL VAEVLLAEGIVWGLLGVKGQDPSTRPLNFDKAHLCLLHSIKSHPTPSAYYHLALSFAR RIPAAGGLSDAPDSQSEPAPFPHTAYEHNLTQAIESAGHAVEGCPHDVRYWHLLGLLL SAAEKWSAAKEILERGAALDDTDSSDTVDQASESIGDESTSSPGEENMTNGGGGDADT VRQAETDSNTLKVPGANGVVNLTDLANASATNKTAKARNNGTSNGSAIHTSDSSAASL NGSAAISSLADLLPSHTLLLRPDETTLPTASSLLHPAVAALYPPSTSGAASLSVPSLS IDQYPPSMADLFEQHLQLRMTQVALMEVVEGPEGAEEGWLEIFSWVAEKRGLSGGSTP AASQPRQSLDQPRDSIDLAGPDSASAPYAHSSIQVQEDKSRHSAASKDLYPGLGVTTN PPDVNDAASDSLPVPIGITISPATPDAVPRIEDEVQLQLEKVEQAQLRVQSRRSFESF TDAVDERNAERFSGNKHREKEKERMKEKEKRQFNGFSIPKAKRSTSIERDTRGDTSKS KKVQQMLKGGVHKGRAGISAVSRRIGNGVGKNGTLRRSTSTPDLHAVLQPMSYQASSI HSRRRLSSVAHSGEHTPSESPPPPPPPSLPSSGTQQDFSIRNQRSARENRLLSDLWLM SAATFRRLGKIEQAKGSIQEAEVRDEHNPNVWVQLGLYYFALGLYQHAVDTLQKARFI CSDDVGATVHLARLYLDPSINCKLHPTDAETPPSSPTSGSGSFTQGAPPSSTSASIDL AAGMLAHLTKGRGWDVPEAWYFLAKAYGMQGRKDKERETLKIALEFSEKRGVRDIGSA LGWCL JR316_0012414 MASDSSESPMILTPDSTGTNNGPNADTFPDSPSTTTSVTAAKKA RRQTAFYPNMNSSNKPMKPFSRSAAKRESVMALGSIEHLQHYFTKTGLAAKKTPLALA GKPHHGLVLAIGGMAHLPTSPSLSDIQDVPAFQMPPSPAIPAPPPQIIPPHVKIYEVD PESLLPGVIEDVIAVAQAWKVENYRDESSPPNLDTPFDVLEVLKTTTRAIKSVRNYLL SLPDESAGTIRAHFRPRILGPGKASSSSSSSSSSSSPPNPTQPDPIILIRRSALEVLT VLRQLEENCRLPLDDDAYDAQSDGGHSHSDPSASSPSNGTLDLPPDGLHHQPGHRSDH DHDSSITFSLVQVQGRYESVPVWEDEEGTFRVDDEEEKEKKDEWEERLVLGTGWLYRQ NVKLSDLVKERDVVDAYLDIVDEVLFEGKRAPGGERGWDKVRRKREGRASSRAAKIRR VSSGEGRTLGSVEAEAAKRRASTGMVNVMMRGMSLSEEPEDMDDIQEEEELTIEDDDL PEWAKRSAFIDDRLGRVHAVLEFFLPPHLQPALGSASSRADLLSSLSSGQLLCVAYNS CVRKSKKPWGFVAKDGVHDILALEKAAREAGEEKEGKKLWTFRRTDNLRLWVGALKLR YMIPIRVPNQQLPGSTSATNTPLSSPSATAQRFAMSKADREPPIHFDARVVAKKEEGW EEMLEVVLHRWIDKVVEERRSFQ JR316_0012415 MPTATVGNGITFHYTDSGLPVESDYFTVIIVHGHSFSSGLFQKL SLLAPSQSLRVICIDRREYNGSTPFTLEELQIINQGSEEERSALLTEQGLLLALLIDD LIQTLSLPLQNYGAISGWSLGNVFTVAMINAIDHPELPRESKGRLKAFLRTYIIWDTV SQLLGIESPPGFYFPFWDYDIPEEERGAVIKTYISSHFNHGADVLALCDTSRLNHRHA TPGTSTIDRMTEEELQSVVDFQAGAKCDTAILEPSYYKLHYESVQKALLDEDSNTSKW GMTVWNIYGENGCWNILHGSWALKEKSLQANKKINFKPVKGKNHFLMWEDPECTITVL KDCLV JR316_0012416 MSTLTLANGITYHYTDSGQPIESQYKTLILIHGHSFNSGIFRKL LPLAPSQALRLICIDRREYNGSTPFTSEELRIIKNGNDEERASFLAEQGLLIALFIDG LIQNLALPIHCGGAISGWSLGNLYSLAMINAIDHPKLPQDVRERLKVFLWTYIIWEIP SYILGIESPPGSYVPLWDYDLPEEDRGPVFGTYISSFFDHGTDVLAGHNIPLLNHRNP TPGTSTIEKMTQEEILSSTNFLVGPKCDTIVLEPPYYGTHRTNLRKALLDDISSVDKW GMDVWHIYGDSCSWNVVLSPWILEEQCLKANKKVHFRTIADANHFLMWEDPERAIAVL KECLV JR316_0012417 MPTLTLANGITFHYTDSGLPTDNQYSTLILVHGHSFNSGTFRKL TSLAPSRALRLICVDRREYNGSTPFRPEELRIISQGIDDERASFLADQGLLMALFIDG LIQNLSLPIQCGGAISGWSLGNLYTLAMINAIDHPYLSQEVRERLKVFLWTYIIWEVP SYILGIDNPPGFYLPLWDYDLPEEQRGPMFSTYVSSCFDHGADVLATRDKARLNYRNP TPGTSTIDKMTQEEILSSTNFLAGPKCDTFFLEHSYYGIHRTNLRKALLDDVSSVDKW GMNVWHIYGDSCSWSVVLSPWVLEEQCLKANKKINFRAIKGTNHFLMWEDPERAIAVL KECLV JR316_0012418 MQTYTIAAGLVAFVVGLRLYASAGKSKLPLPPGPKGLPLIGNLL DMPRTLEWQTYHKWAKELKSDIIYVNVAGTSLVVLDSLEACKDLLDKRSTNYSSRPRM PMLIELMGYGFLVAIMEYGERWRQHRRLIHQALNPAAASTITLPPTLKAARNVLGRFL EKPNDIMGNLRHMTGEAIISIAYGLDVLPENDPYIHLAEKANEGPVEAVVPGAFLVDM LPFLKYVPAWVPGAGFQKKAAKWKALGQAMINVPFEKTKENMRAGICPPCLTSTCLAE MEHGAADVAYSEENIKNAAGTLYSVGADTTLSIVGSCVLALIDHPEVVKKAQLELDKV IKPGHLPDFNDQPSLPYITAIVKEGLRWNDAVPMGVPHLIEVEDEYKGHRLPARSIVI ANSWAILHDEKLYPDPFTFNPDRFMKGDSLDPNVQDPGEACWGFGRRICPGRHMAFST IWIAIASLLTVYDIRKQVDEDGNAIENNHEYVSNITYSPKPFVGSITPRSKEAENLIR SFAWQSAIC JR316_0012419 MDLWSKPLTVVVFNPQSIIQNSKSEFRIECSGRSDADVILVYML RMSKIMGVTESQLSRKIPTYAPIDVPQHEGLPEWALQYWLAGLQDDLDVTGEAERSNV DQFLRSILLPPDWDGGPKTLVVGIDIPVLYGLYSVPLGDNDPLLIWHLFNFAHLVALP KEKPSTDSSATAGTLMAGSNPVASQVVLKMDSSSRLLHVARSFVSGMESSITEGEYAN SDYDSEYEPDSDTLRHLSVDDNDGEAPLDDATTSPKEDELLISKANNETDEDAMFSTR LDDEALSGPSVSLDIGTTIKDVDIGTAIKDDTLIVQESQDGETEGNSLEEDVDRDTEG SHSDASVDDSPENAHNDPELQIESSETKGLQKRKKKKKLAQVQTSSAEVKVKMSKKAQ RKARKKQKALQLQEEEQTSFRSSLAESLGTTSSSMSPEVLQIIHGIQRRLDVVLQDNT EVKSNFKTVQNELQIARKEKTEVTSKFKAFQTELQIVRKENTEFKSKFKAVQIELQIV RKENTELKQENKALTGNLQTLQEKFEKEVSKNKVLANKVEHNEATFGQDINDMMQYLT SKDSIFMNRIRRRRIIEEAQISLARMLALPRPDGQSYYTASLWKLYLSSQISVTGPTA SNIIDNQRCQAAQKILTDFVSNAKPGHQADLKWIQRLCGNTFAMQLISHRTNVFTNVG NSAAHPSFKSEDWVALLDAMYQQEEITLVQKKGFCVLLNIEDSEEH JR316_0012420 MLGTFTRELRIHDQDIFEETTMFIRESKLLFGPGILSSLGEQHR KQRKMLNPVFSIAHMREMVPIFYDVTHKLRDTILEKVKNSAQEIDLLPWMKRTALELI GQSGLGYSFDSLKEDEPSHPYYKSTQELVPVASKMMFARMYLGWTTRVGPPKIRRAIV NLLPWKAAHKIRDITDTMYNTSVEILNSKRQALDNGDEAVRNQIGQGKDILSILLRAN MSASGSDRLSDEEVIGQMSSLTFAATDTTSAALSRVFHLLAKHPDAQARLREEIIEAR ARYGGDIPYDELVVLPYLDAIAVRLSGFSIAMRVARKDVSVPLSSPIKGTDGQTVTEI FVPKNTKILIGILAANRNPELWGPDSYEWKPERWLEPLPETVTNARLPGIYANLMTFL GGSRACIGFKFSQLEMKVVLSLLIESFKVALPDKEIVWQMDAVATPTISDVPNPQAQL PLIMSLASGSFS JR316_0012421 MSDTVIELKKAVKQLQTAVNDQEIIAILSTLKQKQITEVILRES KIGLAVGKLRQHASKPVADLAKEIVKHWKTAVEKAKTKPAGSANAAADKKTTNSATTP VTPSGNSANAAVRTAKGDGVTGKTGDKTRDKCVEIIYDALAIDATAPIETVSQKAHEI EKCVLNNMKGVTPEYKAKIRSLFVNLKDKSNPSLRASIVEGSLSPEKFSKMTSQEMAS EERKAADEQIQKDNFFKSLSAAEKQAETDAFQCSRCKQRKCVYRQQQTRSADEPMTTF VTCTVCQNKYVYTPNSTNFQVLTSCLDGNFHRFLSDYYLSIICISRPPYASILSTLLN PKPSRDL JR316_0012422 MATASLPPLESLYRRNAKRTRDVFAAESGDILVEDESTSRVRLA VKIHDEYRDYKQLPPALLSQQGPVGPSRPPSQRKMITAGDSIDSNTSRIISTIDNTPQ AKPSTFSSNSKLTQALTLHKTTRTIKPEYHAPWKLVRVISGHLGWVRSVAVEPGNKWF ATGAGDRVIKIWDLASGELKLSLTGHISTVRGLAVSSRHPYLFSCGEDKMVKCWDLEA NKVIRHYHGHLSGVYSLSLHPTLDVLVTAGRDASARVWDMRTKAQIHVLSGHTATVAD VKCQDSDPQVITGSMDSTIRLWDLAAGKTMTTLTHHKKSVRALAIHPTEYSFASGSAG GNNIKKWKCPEGAFVFNFPGHNAIINTLSVNAEGVFFSGGDNGSLTLWDYATGTPFQH MEDVPQPGSLEAEAGVFCSTFDQTGTRLITGGADKTIKIYAEQT JR316_0012423 MELENESYLFKPARPDQQVAVLLLIENSPAMSQHWPYLRDTCLD TLAAKLEHANNSALITVSVLESYPCHSPSPNPSLPRQCHGGFREGINTVQFNPIPENS ISAGHISSCIDTLNQQNFRVSLPALHLIIVAANMPSDDDYGVPWAGHGYSSPWVYLAN EMRAAGGRIGLPRRNSYPLPDNFCREVFDEATGAPVSNEPDQPQSLVSQLQQYHGLTK KKVYGTKPTRQPFFRDERVEKHRQLPAPLIMPLAVMNSVPSPTSGGRPLSHSRIDRMN RVSQASPTDMHRRQYSGWPRRGSRMSTPEADHSWTTPPSHTDMSPGGSYTTSDLSATV TPIVPIDDVYGHQSAPVTLPSPPTHVMPGTGVYGATGRAEMNWSTPPMQPPQGPQQYV NHYTPGLPSYIPAEMPHYGYSDNSQPSYSVERVTGAMAAPSELARASNLAPRTTHPPM PLSPPNTVPPQGHHVASGASPSAIPPLPQPPVHAPPPQPSGHVERTSPTVANNVPRPT SSNKKTFEDENDERFSFSEEFVVATAHLFNTEVLPSYPDYPGSSSGLLSPSASEQILP PPPVPQAGELYASRDQSYVHSRANSRSGAAVASHSHGHVRATPYVPMSSSTINNDASL YALGAPHYAAQNYGSSLTGWAG JR316_0012424 MDFLNLNQYSELLPPFDIISKSFGYLLKDINAEIETAFIMKYVP INQRWTELDTLGVWNNQIKWRRYQHKCLIDPWHYTLRNFSEFVEFGYKEYAIYALDAN SELIEAYYLLEPILSSKYVVYVALVSRFIDKTDWKKFLEFFNIKDSEDIYKHMTTIHI CMNQFERETMNRPLLVEWHKLQSTAEFVWKILYIKYMDHKFGYDAEI JR316_0012425 MWHPMSESLVYTGGRDGAISLWDLRMNPSVRLSSGSTGLRSVAT IVHAHQVVGSSPEPTITGLVLQDTATYNVISSCSANGFLRLWDLRSTNTYLSCSSLDP TVYNHRQSRGIVSLVSGVKESDGTIFGLGTDARIYVYCVLDLNVYSSQNPCDSLWGSP SFYVKSSLSPCGRWLAYGGGPHNGDAFIFSVARDTRLDVGPIHAVRLRGGSSSSCGVD WSSDSLAVCAEAGRVRIWRSDPGKRRECEIQPDINKNKWSWATTISQKHGYYNRI JR316_0012426 MHLNYSMIAQNLLFLDEPTSGLDSQSAWNIVAFLRSLAEQSQAI LCTIHQVFDRFLLLRRRVAKQSTSVTLDTMLKLCCTTSTPTVLAHVFLKKIRAAEYML DVIGAGATAFSSINWHEVWKRSPRQSGLSRKSRRSIQLDEVNLLLKLISGLNTPPHGA TRSSNLSFKQGAADHYRIAKLILNVAGGFFIGLSFSRTRTVYKTFRITVYMLLVLNQP LVNMLQVPFVATRTIYEVRKHPSGMYSWTAHIIAQILAELPWNILGSCLYFLVWCWTS RFLSGRAGYLYLSVGVVFPLYYTTIALNDVRTLLIQALLTCCVVIGVNIRQRHARLHR ITLAKSRDRRLP JR316_0012427 MTFSSIDPFDLHGFASESAKQYREHIQELVYYGESRLKRAVDEI LKKPMEDQAPFQKCLRKELHYFLTYVGLITSMGQVVDVPFTLRSLAFSLGRIAPEDLP MQFNVEFVQALNITRDEDRGEVVASYKRPWWEEFRPSWRSVIDDGSIGECLSHHRKLI GIENKHRFIESLGSDITPSSFAMTPGERMLFEPLQNARRTIMVMTEAQTSHQSVIFRK AEEYWNLHDASKAEGMNRDHWKMGITEMGNNITEIGGVDYVDRISTPAFQRATSCNDP PLVRDSKHRSKDWKPSELDDDYSLSPLPSPALLYRSESIQTDQGTVPVETVPPHSGPD ISCGSPEEMGDLSMQASLSPGFASARSRDEMAELYEDIKPHIQEPIQSYSPEFHPPIR IPLADELGDLFRDIPSTPGRSFDMEILGIQSPNVLATLYEEITPQFGIDRQSSPEIKT LRLNPSADDLGELFNDILPPSGLSIDPDITATRPSDVRSTRDEDVTLQINVDRQSSPE ICALRLNPSPDDLVDLFRDILPPAGLYPNLDINGTRPSNVPATLYKEITPQVDVIRQS SPEIYAPHLNPSPDELGNLFRGILPPSGPFSNVEYTGTQSPQECTTMREDSTPKCYPS RHIASTDELSELFMDILPNPEPLKRSEAQTPNKRSGFTEDTPPTYHPNCYISSPDELG ELFRDLKPASELSESPSAYVAQSPNDIAALWQNTNQPNNHSPRHNASPNELGALFQGI TRLAQTTDNSANTALQGTSNVMSRPPASSNIHEDQSLSLGSSNHLNGLPSKRQRRQK JR316_0012428 MTKQSRTSSLNDIIKIGQDCLTLDLPPSLQVEQRKLFRQTVGTD YVLKAYPDIFNHSLQNDQSERLDLVEQYIIERLEMARYNVRKRKGDFHAFGITKQEMI YAVSRWTPDYIHGFLHRFANFSQTKMTEMEIELLQAHFLHYF JR316_0012429 MSESTELQSIHNLSTFQEAIKILDQKIRKSLVDGDVAKVMDSHL ETAKAVATTCGRFKEEKEKPQYNFLFAFLSAYGHAFRKREHRSHEFLEYCQNLQREID DPHDEPVQKPTLEGKDDQHSEVHVRQNVDGEGSRIRQDSEGPRRTTRKSRHQVANKRS RSSSAEKTKTVAETIVNDSANHSALIREPSPPLKKRRVQQARQASPELPPPKTLVSIE NSSDNNNEDGYVPSDIPSNINPPDTRVIAPAPSSDTSSGKRITRAMAAVAKGPTSTEN FGRKLTPEPAPHSNVKTNIKVQGRSETKQDRTPPVPTNYVHLQPCAQCADRNINCRVT YKGYSCFYCGSHRVRCDNRGDTIVRRLPEANEDITGPVEEARKHGKPLHRQKRPKRET GSPEPDENCGHHDPQHHSPALRDPKHSNGKQPISEQTADLNSSQTNQDKHPMGKVHYQ FVYTEAKIKTENVLKSWEAIPRYTAPPKASSSSLPPEKSARAAKDIEEFARNWEAWVA EDMARSEARAPAGPLPTRPTYIRNWEDNKADADNEAYPNEDRLARLEKNITKMNKVMK RIDKQLANHDHGFDYIAAKMAFSMAAIDMLSNKFEVLHSYHSDVLHKLVGVEITMENM HDDTYHRGEEGPCPFRRGSTKDQGIQTDSQTKILDSKGLFSKSSGKVGDETGIGENGE ESENGEEGENGEENENGEEGENGEEGENGEENENGEEGENGEENENGEENENGEENEN GEENENGEEGEKGGQDEQGEKFGDKDSDSSAEIDADKMPYIPVHSREKLEASQHQWYG GTSDQPIDIDFYTELAKDQTDVATNLRTSDQEGTSHAESNVAEVFGEEDVSSNLEARH GEEPTDGKMKDENITATLSTNNLSLVKFMHSNFREPHPHTTPSTYTNDDLTSTGRR JR316_0012430 MTEEQIVIIFKAVGQVVGFRLAFDRDTEKPKGYGFCEFADHETA LLAVRNLNNTDCGGRSLRVDLADLDPLLEGKTTVRGRIMDRGYTGSSEYRSRMHLDAN DGGKGQWNDNDTFLANIPPGITIPSGVSALDHIKWIVAELPESKVREALAQMKAFVIT YPEKARTLLIRYPQLAYALCHSLVLNRFVDPIMIERMLASSRRPAAAGSSLTQSPIDQ GPTGSDSQYPLYTPYSPLHGLHYSSSQLMPTTREQSSIAVKPHAHAQYLLELHRICHD PSLSPCTSPLTLVEPPLIKQQQQLAQIATAFVEMDPEQQRVSAISIVLGMTQEHIDNI PEPAKSQIVQVSLLITGGESDVSV JR316_0012431 MAALSYAVSKAYSKMSANQSEISDNQTVGAIITAVNSMMGRQEI SQPQVMSYLVGGGDHYTSHKFAILKWHSIRRFCMSHHTKSNQTPNNSTLDDVSNTNEQ ENEESVELNMGDRDITASNQRLDYCLRPANDKFIDLCLYDFVAWGIKQRYTKEMLHIE TAVRPGSFLNDEHPEYFTHYMTIRRKSCIPIILGPSIPNPLKSDQLKDDWARDMLLLF KPWRDISDLKTPSETWTDAFHNYEISMKLEHTRIIQNMQALTECSEARDAHRQRRRGK TSEDVVSDEVQDIILTDTEGNTDTLNPNDVYSPDPFQCIENPNEDFTTNLHDSIDNIG EETSRFLDMCLPLDTTETAVDTEYQKSVPVNQQTLTSHEVDDLLASHRAIMKSKRKRA MLHEPDTDDITTPPKRYRNGNYAPIAKQAILQDLYDLSHNYNSITDTDTMNNIAEEMG LLNNPEQLKAFRIIGNHIITDNKEQLLIHIAGVGGTGMSYY JR316_0012432 MDISEPYDINFCFPVPASLENDRVRLTPFIPSLHGQVVYDQLLL APHLFDHPLLFGPYKDIDDFLTNLVENRFRQNPQLLLLVIFDKTGHSQLSNYDCSAGA LAGLMGFRVNKDTVPNRAPEVELHVIILPPFQRTHVMSNAVGLSLNFLLNTPTPSYPN ALGLRRVVWHTSTANEASIRDAERMGFTVECVMRWQSVLPLGIPGSGVLPREGDPMKE NLGRDTVALSLCWDEWEGGVRDKVTQIMNRVA JR316_0012433 MVATPESEINFCFPIPETLENNRILLTPFIASKHAKMVFEELVL YPELFRYLPFGPFPDFKGFDDFMQTRMCQDSNNCMFVIYDKTRSSEPSSHEPSQGTIA GLMGLINTSAPDLAIEIGAIMILPPFQRTHVTSNAVGLLLQYCLNLPSTEKPNALGLR RVFWCANSLNKPSVRTAERMGFTFEGIMRWHRVLPPGKPGNGEAVRTGDPRAACEGRD TAILSLCWDDWEGGQKEVVQSIMNRVA JR316_0012434 MSILSHPSEDTPPPTPTRPPSSHGPSGKLPIELLSEIFLLCVEE RMDEWNTIRIPLLLSKICSRWRSAAISNPILWSRLSIQLSGAASKSMTAIVDTWLTRS GKCPLTIYVFWEEPPFSDNHIVLEKLMAHSERWKTMFFYLPYRAFKSFSSVRNRLPML TELSLGTDDDVVLPSPSVFNPSHFDMFEIAPRLRSLECVNFSPTILNFPWSQLEDIPL LSGNITDCLDILGRGKRLSKLSVIFVQGGPLTSPAQFPHVSHQHLTCFTIMTPPWNEV IDLSALFPRLTLPQLETLTICNLNSTFGDKFTQFLSRLHTLKTLHLRKTALPDDQLVE GLKHLSSLTSLIVLSSSPTHHDYPAENEPTVTRYLLEALTRNFFSENTMDGMLLPRLR RLELTVGSSAARETEAFIDMVQSRLRDDEEEGLARLEHVRVRPCVDLGDEFLVRLIEL RDFGLDVEVESMGNVSANVC JR316_0012435 MSKQQKHVRFDDTPSPASSSSSLPSEEEPCTPPPLGFNSPYHCT PLPGTSAVNPFLEPKNGMFNCDITQHPVYMAHSVPAHIWDQPATHPSAPSLVVVCDRL PWMLTIYPTSSHRSYVTVRDVFDGIYTALRKPVLTAELATLSPAGKAAVTAAYHERCR RIVDPEMFEMEMSKGFKRVDFLGKHTTFYGIKSSKVPGRWDLMLK JR316_0012436 MSATHRNSSQGVSDERSPLLPSRNDDTTNVHVQFIEPDEVVTTS SKEDEFGWKWYTFYAALIVGGLVVLGLLIKGFIDSGDKDFDLAGALKSALGGGLSGAA AMVLQVLTLMPLRTVMNYQYRYGTTTTQAIHTLYADGGWSRYYQGLTAALVQGPVSRF GDTAANAGILALLNSNPYMKTLPELFKSVFASLAAAAFRMILTPIDTIKTTLQTQGKP GITILKVRVKRYGIGSLWYGAFATAAATFVGHYPWFGTYNYLSANLPIAHTVFQKLLR RAFIGFVASVASDTVSNSLRVVKTYRQVNETRIGYTAAARAVVATDGLKGLFGRGLKT RILANGLQGLMFSVLWQFFMDLWKEKTA JR316_0012437 MAAEPPTTSFSSSGEDVISQVIEVTPDTSADVLSLEEFDIFYEI RRTAEEIVRGDYKRIALQFPDELLHDSVPIFRRLNKTIAELEAEGATSTIRELYVLAD TSYGSCCVDEVAAQHANADLIVHYGHACMTQTSRLPAIYVFGQKQIDVERCVAELMRV FNRDESERENTTEKRAVLLKHDVTFAHKADEIVQCLRQALSQSVPPIPLLYHKIPEMT YPSSATSAANPSSHPVNDHDPETDFQLEHTIIFYVGGESLGLSNLLMTNSSCNVYTYN PTTQTALHESATGRTNKMLMRRYVAVQKARDADVFGILVGTLGVASYLPLISHIRRLL ARKQKKSYTISVGKLNPAKLANFLEVECFVLIACPENSLIQDKEFLRPIITPYELEVA MQAEQSWTGRYVLDFEKLLADAKATAPGEGADDGTSKHEDEGTDSDPDQPVFSLVTGT YRHAKRYGGKHDVEAEQSLVENTSAMVIRNKDDQVAMIDSAAGQFLQQRTYQGLEVRM GEDAPSVLEQGRSGIARGYQDDKSHKEFE JR316_0012438 MANIRTFFSTVATFFGAVWASFICLFAFGRKADSKACDIEAGLP DSTKHEKELSTVRSVNQDVESGNGLRASSTNEFVDIDLNAINQAMKMSEKRIHSKFFE KQSNDARYDQPTRRPSSRMSAVDPVKSRILDTTLKNVQDRTMNVVKPVIITPGTLKVV ACTAKVEYYHGPIIEPSSAKIEYFDNHTPSSAKVEYYQGPVIEPSSAQVEFFDGHIAS TAKIEYYHGHISEGLSAKIEHYDAHVPSTAKVEYLEGPTLGDSIDQVVTAIPGSPAEA FGTLHDQSESSHETTAVDDLAHKPFLFSVKDVDAMDVSSPGIWPEHYKTMFKDNRREP EYEVSFTQLPEKKSRRVKEKRARQRESLFDIIAQFPDIPRHTPPGPKFSVARHEIIEE VPTRSSEDLQIPQSPASESSTSSAGAYYGSGSDDTAQTSVPSSPELVGALFGAQGGDN STGAAPLWEADINPSGGKFSGNVHCQGAISTQGSISGSLSNSGTNPRIHSNQHSYDIN EFQFPDVRKYPIRPEEESTADQFIIDTQQAAAYAILTAAEAYSDVSVESLLGQLQESS FDSTYIRPQSVVWFRDRSLRQAPVPEVTPSTSATQDASTTSSDTSGLTPASGPGESSQ DSVAPLRIVKKSRIPAYEIFAEAVDTGDSPSESTKTEADSSPSVEQDEVLLQEYERWE ESRQAGLKAFREAVVGDDDFYGLFYRDFGCASQPSLAIDIQHSLDDFSPASPSDSGDS DVVCPSDSHVADYLRSEESMEEFSSCYDDLSELTGVTTPENNTTGSLSVVFDCKELSQ ENANASSDSLSFYGDEDEQPPAWDPTSDSNIDRVASPSDGAESSFELEAL JR316_0012439 MQHDPRLKRTTTSLYHRRSSPIKVDKIREERPANSHPLVPIPNG AAVKAPVTVPTAPPNREVENIQHSEYQIETDSSPIIANSPLMQGAHTQDPRLRGRLCE PAPASAPSNSTKILVTHSQSTILDGPSSIIGLLDPIQQRISCDYQKMYEIHQRNFVDY QQDNERRHVEAGRRYDDLQEKLVDVEKLRSEEKGRWEAEKKELTKRLDHCKASYTGQL EEKKTRIVQLENDKGVLAQQLKQSFIDRNSIVAKLEEEKRDWEVERKILMENLETCKQ DAMASAEMFEQERANHAETLRKFDKETQQLRHEKTILTSRLKDERIAREGMITAMRLS GRDEREAAKFIEEERERKRLRLG JR316_0012440 MAPISTPDAASPSIIERVQDFVGEHKKTIAIAAAAVAVAGVGVA YYASTSKPAAGGGAGDAVKSKEKKKKTGSKGAKKGSKKTNDADGPILEERKPKSAKVE DEKSDTYYDKLSAEEIASLPEQERSSIATSLKARGNAAYQARNFNDAAEFYTRAIQIS PTPEPVFYSNRAACYVNMSPPKHELVVEDCDSALKLDPKYIKALNRRAMALEGLGRLE ESLRDFTSATILDRFQNQTTANAVERVLKVLATEKAAAIMKEREPRLPSYTFISAYFA AFRPRALPTLPENPTTGDQTLLLGMQALEAADYVHAVTLINESIEQGISWDKGRAEAL NLRGTFKFLMGEIPGAKADLEESVKLEPTFTQSLVKIASVHMEQGDPKAAFEAFEQAE KANSSDPDVWYHRGQVLFIMNEFQQAADNYTKSTELDENFVFSHIQLAVAQYKMGELA KSMATFRKTLRLFPTRSEPSNYYGELLLDQQRFEEAVEKFDRAIELEKNKTPSNVLPL VNKGLALYQWKQDIGAAERCCNEALRIDTECEAAVATLAQLSLQQSKIPEAVKMFERQ AELARSEPELVSALTYQYATSAQVEFLKTYPDMAAQLNAIAQGMMGPQ JR316_0012441 MVNNIEHTKKRKASSSPPLSPGSSRAHKSKKQRISGSEPCLSGK QQNDNTGSKTGDFGTSRNAKEQKSGSKKSNKKASDDGPFLFITGTVDTIIYTPPKRKC LRVNEPLESPAVNTLQPPKSSTSTLDDLKDISTHKLGMAINTNTLEPNILYGNDSVQI LGIQSEGPPLRHTIIPKTQDIQGREEPEHIVVVPEAPSGPDSQNLPENTTDKQDSLDA SLQTSTPQRSCNEQSRLRSSSIQAETPLVKEANVHESLADVENTSSDENGSKNMPFES ERTEHEALVGATMEKVIEKGADELMAVFLSAMEDSKNIRVEMVEKGTQTLNHETIISP TNGSNSNPIIRHTTASDSTVYALEKELSMLKDKVVVKERNEIWMLNRVKTLQDALERV REEMRVLEEEREVLRNFKKADTLA JR316_0012442 MSQDFTPNHISELTSSMSQILQLDLEPCENNSKPRTIFINCEGK SRVQVREEAEEKMAEHWGVPMERFTRMLPVPVLEFKIKTSEEAGGGEALNFLILQKGL DANAIMEAFIFPDSWKSGRRMVKVIKPTFPAGEKEVDSTHDHASGNEEVAAVNDTKGK QRASSGTALPDGDMSEEERMLEGALALLSLGK JR316_0012443 MDRQLPEKDTSSLEQLSVQSDLAYNDAIRDAFDAPAAPTAPVVL TRSEKLQMAVKQTYERKHDLTRNAKQVEEGWVKANEVREKADADAESLRDKAEAAKAE IWATIRRMEAVLKRIEADRAADRAKAEEDRVKAEADRAKAEEDRAKAEAVRAKAEADR AAERAKIKSLEAKFATLDSEVKMLKSKVEVLEFEKANVEARLRAHTQDIDLVFGMAKE VAQYVASQDSLWMNRIRRRAIVINSQEVLARILLPGSPPDNKNPPPDSKTWRNMLELE GKLSFTKTLINTLMTDDLAMQWISEDFGEFRDLGNDAAHPKYSKKVWQEVIDHMSIEN QIDEEEKRSLGVLVNVTSYFKNEYL JR316_0012444 MSNLVPSDASAEDSKSQSESILNKNQRKNQRRRETSKAAKASGQ SNASDATEDTKTLTGVEQTTGVSTLPMGRQPIETASPNQLLKTSTPAHRDAAKQKVVE VATAQEAATALPAQMALHSLPQASINELRNAAAQINMRQGQEQKRLDKELKQLKAVFE AEIQMLKVKAEADRAKAEADRAKAEADRAKAEADRAKVEADRAKAEADRAKAEADRAK AEADRAKAEADRAESWAEIKTLNAEAEADSAEIRAEIKMLKAKVEVNSAGIKTLESRV ATLESDMKNIEARLRAHTRDIDSAFAMSKDVLQYVATHDSFWKNRIRRRAIVVHAQEV LAQALLSDSSSDDKKPPPGSLWKKILDSKVSETDTKGRVELVKELLSTFANTTDTAAV TKTLIDNLTANDLAMKWISDDFGELRNLGNESDAPHPQYNKMAWQEVLDDMNTEKQIS DDEKISLRLLVEAMTHIENEYPAQSR JR316_0012445 MNSDTEDDDGSSVIYTSRLGSSSITPSTSSSGHLNVGGNSLGHT YTRASSSQSLRSRIFSLASSTSSPRVRDKPICTTPGVLCAGETETETDEPSRALPTAR IIPPSLPLIPPSTLEQRLTPLLFEFARLLSIVPAVFGTLYNIYFIIWPPKPTGVGRPP PERIDYFISALWAILTGYQCLALATGLLTRWRLYYPPLSTLVRLLALQGICWPATHLT LTMLGHEVRPIVTWAAIGTTTCCSRSIQIWVTSNLWWERRGAGEDSNASVPLGDTATS TMADGSSASTVSHTPLHKPPAPAPRQTYWKRWGGGGKWGGRRWDWREVGVKCALPAGV VYFIMAWAEQLRRELAVGGGLNLSSTYNEAGIAR JR316_0012446 MTRKPNKRSRIQTTGHGNAHASSSNENVKALKLPVELLMEIASH FKGLPDSFAGLHRGHYSQRTVVKYLERTITLRSLSQTCKFWRTIFFPLLWKTLDVYAT YSAPASWYRFLAKSLIKKCTAITLEENKIIAQHVRSMTVILSTSSASTVLPIFVQGLK SLPNLKLLYIVCAHDRMGTALQAALKGHTFPQIETIALPIYTHHILRSCPNVKRVICV DGYSPGHLIDAISKACKKVEEIERFNFPDEKSMKSLVKATPNLRSIKLYLPIQHAAIL KPLTALKNLSHITLPSERRTPEEALNNAMEGSCIKVAKDILRQSKSKDPKSLVLKYDP NSEDLLSDASGGWSKEIH JR316_0012447 MMASGSCLPDNNTNGAINVPSSIRLDSMRGQKRGPDNNFVQSPH EANGQTNGNSNEEHRQTPIQNSNAGQESEVQPTKRRRIAGGPADPKTQTTAASSFSGS AHSTHITSHPWQEPTVNTVQSSIFSNDRVNPSLHIHNSPPTPPNALPYVYPVPSIAIP VIHPFPTSQPLQHEYMFPGPTPAYNQPGRPATAPNIINPTPVPFSPSTARRANSKAST SIPSSSHESTLGERRGTPQSNSAQQPAGPSSITHFNLDGSLSAESTDQPQLTAFSNII NNSADAILDFLDRELQLVQTKHEADMLTIRTEHERSLTAVKAEHEQQVDLLRREFADS MQNVVEAHQITMDEKDRRLREAEERIKENAATAERLKSELEDTKLTLVKARDHANMAL EDLRLRKDELQRSAEAHFQLERVAWEEERKKSEQRKVALSQEVALWSSRHRELLQKSE EHTKLLSGAEKARDELEQEAALLKQLTVSLEAEKWELQNRLDTQSREFEEERKVRVEH ELARKALEEESGALSQRIAKLEAEALESEKAKTGLEEWVGKMKMALQQQAEDDKKRMS SITLAWQSRMERALREAKEKGDEEGYKRGEKVGHQQGEKDGFEKGEKAGFERGEKAGF EKGETEAYKRGDANGFERGKFDSLNRITAATTALSDAEKKYALEKEDWAQEKKQLEDI LTALRDKHDKEVEKMKEDMVKAKQRLDQHWAKEIEIRWEALLVEEAKKMEAELARRAQ LDAERDAQKENLEAEVEKLGKRLLDFDQKAIDWARAEAAAEAEINVLQKKIEKLELQI KARKLATEEDILLGQDATARSYSRSEAKHVLEQLVYGGQGSTSTDAPTDAPTDRPCHL Q JR316_0012448 MSLPDSSLSQAGPSGTSGQWIEQDNNGIVLTIPVEGPTTPPPST PSRATRSTKRSPSKSSHSKKRDTESPRTPKTQPLSYYIETAMAAESQVMEESPSPSVV QRKKAKARPIAATASSSSTHIPPSSSVTHVPVGQPATSSVARRAALEAQSQAQYLPPE EVFLNLFETMRSSVAADFQHQYNAHQIHIAAQHNETKSRLAETEKQNEQLRERLLSVE KLRSEERSRWEVERKNLMRQLEQGENDAERRERRKMQEEEARREKEEHDKVNRKLVEK YEAKKKAWAKEKDEMSKRVDELEQENRRLEEVIASDDQLLVSQLQEMEEEKKEQEESM EEERSTWEAHKNALIQELLQTKKELESERKKHHETVSKMYMLEMDIENLKSEEEELST QLQQQKEIWDTEKSILVTRLKNERDVSTQLKNKIQEREAKRKRGDDSETTFTAGNKKA KVDVYEDDDESPGDEEMEGAVGEWEGTGEECGGAEIEREGAEGEWEGANWEREGVNWE WERAKWDFNNTEDAPIRAAEELRGVGWEQRYEEQEQERAGDDSDGADAENAGIAESSN QQFDDDSDDLDGFYV JR316_0012449 MPALPFELWIHIADYIPPYDLPRFAGVNRLFFEAIMDQLYNQLS FISSDTRVFTEKVQALNSTSLAERVRVLTLWPGAIRDAIGGKGGEDSSDDEGSTRNRS PSPKFKHARYLMRGREYVGELLGHRRSPSVPSSRAGVKSSGSAHERRQMFFDAIRNLT RVEEMRIDWYYDKGVGGDAWTFAFFPEIWKHIGQNLQRLSIDIQVYKMNDAVKYCGSL PNLEELTLVLRCDNARGHPGDTIVPYFINNHASTLRRLSLKTIGHQDLSLIFQLLGIL PHLTHLSIGMPLDARHLPDPSGFNQFLQNHPKLQNFSIRYIRCCTDCAYDGFSTFDGK HKLFRNITLPAMHTLELGLHIPMAVGATDPLYYSIARLGTSLTSLTLKDRSLKLDEVK AILRLFPSYRLKRLSLFAQLLTPQLIDHIAKVCPALNSLSLDVETIVKSEDATDRRNN DVDGFSEALANYAVDLDNDRWRYRTWLLSDISIMHWEFKVGHQYNWACMNAIAYSVPS VRSFAGRGHMLDSNTPCSEEGKLIVDLGERTKPFDT JR316_0012450 MGPLPISARAALSPALSAMPPARACLSFWFVSYTVLIYDYFCTL EAEIDDVWSSQWSLGLTLFYLNRYLPILDIFLFLRPVLAGPLPIMECLFLYPVTFWLV TVGLIISQLIMLLRTYALWGRRRLILRVLTPVAIASIPTTLLSGMLSVTAWKTYLDVR NMRAISSTATDVVRRQQCALAAITLDRERRFALLGLYVLVFVGEALTVILTVIKANQH VTRLSPLWVSQLYKNGIVYCICMLVCIRMFSRTNHHHQHGQRASSPAPLLGTRRQRSP DSTPGPTESESDGRKLLQTKRSPHTKRLIKKGRTHPRKSVDAPPGPSPQHSVNTPIPL ADPHSAPNTDAAPPSTLPPPAVSSDIVAGQVAHLETLLDSILATVAIIQSISHGTVTL SDRTMHAALLLHSTLFPADPEYHPQNPERVTEPRSYATVAKTTPVAQPAHTPQRTSPA PIAGGPVKTRPHVTRPTRHYSSNNRLIASWDDFPLTQPSGPLTDFVERLNSELSPMSR DPPRHVLGANVSKSGRLIIHTANDTGTARIKARPMTILQAAQASDCFPNFDSTIGDPP TVHSDVPWHGIVVHDLPADSLRDSFDSATPKNSIWSLLENEAGVSRQDIRGQIRALCR DGEEFQKDRLSILIRFEDKNITERLYHSGIFLFGSWCRVSRYRERKRKPQPSTPTPAP HAENTTPQ JR316_0012451 MPNSNVRSHIGIYTRILLTLLLDKSARPTPKDMKLWVDVLRFIK RGATGGALGFFTYMELTIWLLGFHLLRPDRLRWLFFIMNGWGVYTSR JR316_0012452 MRIFKYLWRISYILTQLVIVYLFKAPPPKRSDSISKPHGRIAVI GAGVTGISSAAHAISHGFEVVIFEAGSKDSVGGIWSHVNTTSGLQLNSLLYRFHPAII WSKAFPLRDEILGEIRRIWKEYHLDTRTRFDTKVTCVSRAPEPKDKREWESDPDRTRW LINDGKEGVFDAVIVTIGTCGEPNWITLPGMPDKIANETNKSKEKEDTNDPWHPSQDS PSPDLHNDNEFPPLPEPGEDVQKEHGDKFMKTIIHSSELDSPTFKLKGGERVVVIGSG ASGVEAVETVIDKFGSVVDHQGQSSKGEKDQSQTKGVEVTMIARDDKWIIPRNIIIDT CIAGQPFGREMPLSFLWETFLRYFQYHGIEELIPKTTGIYEGTPVVNDVFLSHVRTGR CHYVRGSPIRLTSKGVVTEARKKSRRLENKANMKPSSFVEEIQQGEEKLVEADVIILA TGYKKPSIDFLPQDLFPDGYQRPDLYLQNFSTEDWSILMTNSAYMNAIGTV JR316_0012453 MPLAHLGITVQDISKARAFYEAALKPLGYTVQVTFLDDKVLGLG EHYPDFWISEVNARKTEEEKKALPPQSGPAGEFIHLAFSAKSRDEVDQFYEAAIAAGA KDNGAPGLRPHYGPTYYGAFVYDIEGRNLEAVYLGEA JR316_0012454 MSDNNNSGGRTLGGDPAEPLPSNWARPAAAPRVGRIGDWSGSSS TSAPRGGGRFATISGLGGGAGGGGQRQPQGDDEDEDDENKGAESWFAGGERSGINVQN PDRQRAVPGGDMVRDLLRRAAQAGPPPEAPARSSNVFTGGGHTLGSDEVESSYIPGNE TAIRHLTFWRDGFQVEDGELMRYDDPANAQILAEINAGHAPPSILNVRRGQPVELRVN KRTEDDYVPPKGTKVFSGSGHRLGAVVPDFNAEAGRSTTQMPGTFPPSAPATSASSED RPKIATKFEVDQDKPSTSIQIRLADGTRMVARMNLTHTVGDIRNFINASRPENLTRPY TIGTTFPNRTLDDDTATIQGAGLVNSVVVQRWA JR316_0012455 MPEMTEFSTLLSHPVELIQSISDELDIPDVCRLRLTCKELTAHL GPQLFHTFTLDISRSTIAKVIPRLEALSRGDHVVCYSAKKLVIRSLSPSHNQDLYGTI CSLTRTRPSNRLGQSESSEESYDVARAKEFMMAHLFDAIASLKNVRNVEWSPSSTDKA WSHQTVMNALSSLPTLNRISIRLSDVKIALDLQFLKYLHEVNLDGVTLLRQGDIFESL AKAIAQNQCLTSITLSRSWRQPFGGTLNQQNLHQLFKYYPATAPPLRLRHLSLRSFLV RLDSITLHHLSHLTSLSLTCIEDPDVPVVATFPTGVQDDSLVHERSKYGSTLDDIWKA LINAGIHLVEITVDVVPSALLDYLALYTGLKILRLMPGGFHGGGSSDTAADQFFSVAL KYHVQTLEDLQIASLFEGRWCFGSHNVDVISQCTKLRKLRMCINSDQLPSQQPHSIEE ANDMKLLLDTVVLHMPRISIVLIAGANQEALRPSRSGYISMVHFAAVNRKLSKIIDEY EPPPSCHRLPLLMVSSSGKLVYQCKTKQNIGTYSNNEAGLKESRGLKYVKAPVFTSVN DTYSEWL JR316_0012456 MEALRFIGHVPILSNGAYINGGSPPNIKKELNNKPKPLFSTFPP LAKLSTLTCTPFPSSLQRDIASCTDICDRLAWRLFSLQGDLLAHEDILRFASDKGESF NVLRDLTLAVIDCKAEISRTTELLTAAENQRRCLLARADQILELQERHNALCLDFEHL CSSLSLDPALLSDFENRLREQADILFPSRPSTPDSLPELEFQN JR316_0012457 MPHLVHLTSLILLDVDDPFSGNHLVETGQHSNPDVLALFKERKR YGSCTKDIWNALTAARVRLEKIVIDNIPQSFLDYLLSYSGLKKLCLIYPGSVHSDFSD GKASDAMAALLFEQSLSNHARSLENLNIYAAWEGLWCFGGHNRNAISNLTKLKNLGMS VRSSDLWNYRDKRKDKNSLPKRDPIHDLLDMTAHYMPDITTIRINPARRRRTGPKLIR PKSRSGEKIKDSVENYEPPPGCRHIRAMNIDSGVTIYVGGTHSTV JR316_0012458 MFKHLKVQRPDAHFVAFRVPGDSRPGSMAFPDLFNPVSRVESRK TQKLSSTRDTVYPTPRPTTCVESFISCRRPFQNLDWDVDSAKTVEVIKAIEVMSHTAP VSTGFSSNFFNLLSSLIPARTTTNIWFVSYTLMFCDYFSTLEIEISDVWTCELSFGVL LFYVNRYLPLFDLVIFLRPVLAGPLSAKECKFNFPTAFWLITVGLITSQTVIILRTYA IWGRRRLILYILTPIVTILFGSMLAVTSWKTYLYHVKTRDFSIPGVPFLPEDFKCTLA SITYDKNDRLGMFVLFLIVTIGETAIVVLTLIRANYHMTEFSPQWVGQLYKNGIVFCV CMAGLSLINAIVVLSAPVHYRIIFIPAQRILHSIFANRVMLLIFQNRRRLKATGSVTG SNSSRRSTTMDLFTSVYPEDFEFEQPSWRTRAQIEWIR JR316_0012459 MEQGALEARSQNRSIVEASEYVQPAPRGKGVYFLSTSTIAEEDS PVILPWRVDSNLSNGKRRTSTNECEAALIPCDDPLWHVDKDCNETQEHSSQDISSFAK SIYDDSSLCGLSFMLDSEGESYEIDDNSYEILPAGLPEVSLNDDSPSHWAEPVGSIWK NEVATAMNAFRSCRVDHDDIVTFRDRDEIEVAQSFVGGSKETDRLEEIKNWRNDFIAT DEINTSSSAADSITFCFRFP JR316_0012460 MQRPSVEIQAVIKMAHSSASPDIQKAAINKYFTSDAGLRHPLYN VKPGFLSREGVLGIYQWERALAPKIDVDIRDTFKVFDRLRGMVYVDLSLLLHVRLSPF KPVPFRTMSRYTLRMIDGLHYICMQEDFAHPDDMAALILPPFTPMIKSLLSLNTMVSI VWGKWAQVFGVWRAPLGVDHVPSQDIATIPARRRSGESRLRQKERREHNRSIRRTPPL TPPELEDSTFVGDDSYNADFDIFEREPFIIEPEEGIANWFRPPETVLDRPLLRTNGKR FSDDLRSMTAFASFVVPPEDTPGSPKK JR316_0012461 MAPKVNKRAKISAKSKPSVAGPISTGLGLQVDATFPIKKSKALQ LPLELLLEIVTYFNAFPSEPVGFYDRRYFDREASKYLERTDALRSLSQTCRLWRYIFL PLLWERLDVLATHSESGAWYQVFGETLIRKCFLVTENRDIASHVRSVSVVLSRYSAST VLPAFVRGIEALPNLKTLYIATAHDKMSTALKTAFDGHVFSQIETAMLPTYAHNILRS CPEVKKVVCVDFMDASKLISANAKCCKKVEEIEQFAFEGERQMRRLVKAAPNLRSIKF QRSIDVAILKPLAALRNLSHITLQSSCTTFEDALNHSSEMACVKAAKDILKQSKFDGP KSLVLEHYSSIYIRTHDQRMWSERIDL JR316_0012462 MLRGRFNYPDLDVLDSPVLSPSPFGSGSLSSIPGSAIAERIFND PDSWEETDGDEGDFPEDVDQLAWLAEEIEKFRAGVEPNKLRFDDSDDSPSTPTDKAAH LITTPTSSQGSLARRDTWKSIGKKTGMRPISLAALFENSNEDFSTEIQQQLSKILDSG GIPHHIRPIPHSSALSPPSTSSTNGTLNTPLHLQTSSIAPNNAGEISPSPVNIYSASA TLSFLEWYGIFPDSPRLDINGRRMQPKSARLKTPLLQVPSPRHAARPISLLSPTSGTD ETLTRNTKRSSSVPPPGLDPPSFTSFSESRPPTPPDLPRSPSPMREEDKPAGRTESAP EPAASLPNSSERGRPLRMTAPPPYARAQSPALPVSRSHSTSTAPSREGTPSRQGRRLP SIPPENMSRPSTPSKPPTVDSQPQSRSQSQTRSQSQPQPQPQPQPHAPKRVASNPMQP TPSRFATVPATHSTTSAQQGQQQVPRPNSVRSPLGGPAGPRIRSRASNDMTTRALGNT ANRPPGLRL JR316_0012463 MPPITPSSLSDLKRPQAWGAELSLPISLPIIIMSSIIASAGEAA HSFVASLLAHAQINPGDTIPKTEIKEDAPDQTVPLVLTGKNVLIGVPGAFTTPCNGHI PPFIESYEEFKAKGVNAIYIFGVNDTFVTKAWKEKLAPNGTREKRLIILFDSYLIVKP TAIHFIADDKASFVSSVGLAFDASGLLGGIRSKRFAIVTEGDKVVSVAVEEAPPNVTV TSAQSVLASL JR316_0012464 MSTTSSTAQPIFNAQERVLCYHGPLIYEAKVLKTKTFDAASPSP TTGVAGPHYLVHYKGWKQTWDEWVPSTRLLKLTEANLATQKSLQQANPGNIHGGSTAS KAQNKSAAGGGAGSKDNVSTRAVTRKDGTRGTKRAREEDDSNKKIDMKLNVPEALKSV LVDDWEAVTKNNQLVTLPREPNVVQVLADFAEYVKTTKPPHLKEPTLVIQTVIEGLQI YFDKALGSTLLYRFERVQYANVRREYWTGPKVIVGQEKEMSCIYGAEHLLRMLVSLPQ MIAATSLDSESVILIRDYANELMAYMVREKDRLFLKQYQNAALDYQNLSRA JR316_0012465 MDSAQGAVRLIEILRTSLVDVPEHNSPHPWKQAFSSREGDFHFR YDEFSLDITQSCTSFPPLQAIGPVVLWILVDILMILRIRALYRAQKSVGVTVIFIWLV AACVVIPVTVYCFRLSRPVPAPPPFDAIAGCVVPSINFKKIGMIKIAVMNQFNTAINA ADLVVSCGGPSTFPYVDLLQFWLGAFYSYAGCHLILHLRSASSSLTSLTSFADCADLE VRYPAKGKDSESPLNDDTCTMISLSARYGDALHNSTMGAESDIVQASRTSENDESSSQ HISTAV JR316_0012466 MDYTTFAGKYRLEEEIANGGCGTVFLGVHTVAGKEVAIKLEPAT TPGSTPSSTRNTPLKQESKLYKTLMGGTGIPWIMWSGRQGDYNVMIIDLLGPSLEDLF KRCNRHFSLKTVLLLADQLISRIEFIHTNSIVHRDIKPANFVMGTGKAAHMVNVIDFG LAKRFRDARTSAHIPYKQDDVHGVGTSLFAAINTHLGVESSRRDDLESLAYMLIYFLR GTLPWRKLRAPTTPPPLSLPSSPSLTPSGAAALQNQHQNTYNPVSATWDLIRDAKIAH EPTLTAGLPPEFDVLYRYARGLEFDDLPDYEGLRALFRGLAGRVGVDYDGVFDWSVKG PGEGKEGGGGEEGKAEAEREGKGETHGRGTGAGGRRYCEACNARRR JR316_0012467 MSPPDSPRIPAFPEEDLRQEGDDIPGYFPLLLGGSLPDNGRFIV VRKLGWGRYSNVWLAKDQRDDTFVALKILTREGTDALTAPGARQRSDELRMLEKISTA DAQHAGHAHLAAFHGSFEVSSRLGVHLCVVTEVLAPSIADYRTAAGPDPYTAAPCARI PIGQVKRLTKHVLQALWYLHEVCGIVHADIKHDNIVLRPKDVPARVAEALVDDPSCHY GSASMISIASPPAIPVKSQPISLGRNTAWPLHPEEMDVVLVDFGHSHWVDRHFQEQIQ PFALQAPEVVLGYPWGPSADIWNLGCIIAEWIFGFVLFDIPSPREWNEPWDRETELLA LMTEILDVKFGDDFLRGCTRRDEFFNGDGSWKTFSPGEDREVLTLDALIQKLALQSQT ESESGGTPMPLDDAEVKKTISFLRRCMQLNPGERSSARELLEDGWLEGV JR316_0012468 MSSIKTSWSDPNTYKNDPTTKAKCEQAVKSASETTSAVKRGATD AIIKGGIHQSPSDSKNHLTVEYKKNGTHVTTKHVHT JR316_0012469 MVKTKPIFYGHGFPEEDLRRQGQDVPGFFPATLGMTLGDGQYTI VRKLGTGAFSSVWLANDSRQHRYVVLKVLTCDATEAILGPGGASLYADREILRKMATA NDNSPGYAHITPFDDSFERPELDILRKIATGNNSSPGYEHIMAFYGSFLFTGPVGKHL CIVSEVLGQNLGQVRELRASPRMNLPMALTKRITKHILSALVYLHDVCGVVHTDLKDD CILFRPSNVSEIVEWALDKEPSSVYDPIDYVDEVDTDCNPDVPVRSQPIPFSKSDDPA LDEYHAVLVDFGCAQWVDRHFNESIQPVALRAPEVTLGYHWGTSADIWNLGCLIMEWL IGLPLFELPIFEDDLDPHHLNQMNDTLGEEFDPAFLAKCQHSAKYFNEDGTWKWRPRS TAIPLAESLKDLARPEGSSEFQDVERFVRRCLKLNPEDRASARELLEDAWLQGV JR316_0012470 MKTPEQNHQHAHEGGLTKEPEAQAAVHQKPKRRRSRQPTIPRSR DGILLKRTQETGTPNETEAAWSPPKLEARRMTPLPVRVASAAVSGVDATSFGSGKGQK VKKKKRWMLGSWIRGNETKRSSRGKPPTSQEPRQTKPPVMKTPKQNHQHAHEGGLTKE PEAQAAVHQKPKRRRSRQPTVPRSQAGILLKRTQETGTPNETETAWSPPKQEAG JR316_0012471 MDMLAISPAPASAPSSSFLSAAAVQNSLSPFRDRDGGQNRDRDR GRGRSQSQSQGQAGNWTRELNQYQYQYQYQYRGGNANTNANQNMNPNLNSTSDANANA KINTTPNPNPSTNANANGKGKGKSNTSASTTSMGRGPAPAPPPSIALPTPVTTPTSDT GVNTTAKVNAEMASPKDIAEQTSSTSSELNFTSIFESTSNLNLNLNLDFSSRSSSSSN SNSYSGLKRDDSPSSVVTGMQPSRSNFSQSQNPSLSRNISRYPSLSKNSSHDRDPLPN HSHSYNLHNQDSTTLFIQEVDAWLIKIRRRVEELERRHRRHISVSQGGWWTPAPVSVS IGGGGSSGKDKGKGKEW JR316_0012472 MSLDKDPFYLSTGHSGKHGHEFLEFEYSHGRLRYANNSNYRNDS LIRKEMWIGPLVVKELKRIVESSEITKEDDANWPKKNIVGKQELEIRIGNDHIAFETA KIGSLVDIQDSEDPEGLRVFYYLVQDLKCLIFSLISLHFKIKPI JR316_0012473 MSSLAEKRTVRTLAETDGDNHQSRSLVQVKPNVPVVVMLENRSK KNQRKQKKKKKKETMTRNQQVEEQRQRRSEDSLSSSGSSGISRTESETSDSLLNEQTL MVKPKVSNSTLEEYRKERLIRQAERQADAALFASIIKGMDALKKERESYFEITHAAIK DITTTLKEQQEENRLYWQKCNEAVLAFQLQLEEQEAKRRAERQAQQEFLRHISSKLSK IKGDAIELASLTSNVEHYFIEVSSSNMKVLKIQLHTDRSTDAVNLQIECGGKNKNHIE REFINKISKNARVPKAQIPRCAGSQLVVPLEPLDHEVGTSSEHSDLKAFLVPSHWLDG ERSIIVEYYSNVWHLSLAAPSIEHGVNDHEVDRDLYERMSVKAFFSKIIEGQKAQIEP ENISNTEPDYESSDKKKRKREKTRMKRQSLMQKDENNPSASSTRVEQAFTVVPEERNP TLEDAFLLLSLSKRSVSQIPEDLVTSEPNLGEAATGTVLAKDPEPLPLQEDKSTNEEY TGLQEGSTAEETQDPAEIQFNSPHNELEEIRVSYSTLQKDYDVLKREFAMQLALLQQS YEEQSIRGAEVQKKFKAEINDFYALLNAERVNFNEMKIGFQSTIEGLTRKLRKQEFEL QQLQSIIATDSLTVEDSIGAAIDQTRNTMDNIKDPVFINKIRRRRIIEITQEKMAEIL RLVPKSWKKRYGTARLWRDKLDQLRDQSLSEDDERLKVARQLLENPGTTLSKDHSAAI ESLLSDPLAMILVAHSTNTFREEGNNAAHPDHSLRFWTHAIEYMYDNKEITEQEKESF STLIKVNDILSDLDKS JR316_0012474 MATLFVEQPLNNHAGLLENLDNMRPTKVFGVLETITKMAYQSLQ RRDGIYDLVDMTAICMPDIEAIDVYPVYLERNRGARCGTGTVSYMDLVNGAIKINVQM YTSPPGCKHTPSIRSSFIFAWGVIYVGKPAQPSGSDKNSVQRDGQTQESDILRYIAGT IMNG JR316_0012475 MPQGDVSSLYAQVRRRLIESGEWDQLRAVMHAKLNEAGWCDEIH HKSKEVSKTIEPLSFKALHAKVAPQAENSVPLAVKRELSSLIRQHIEKQFE JR316_0012476 MPILHAALDASGHAHIHTCYSSPVNLIHLPPNDPEYQLISGAFL NGWKHPHKAKPRVQRIFYVAYSGAGLTHLCKFSDYSNKVGNTQMLFHGTRRACKIAET PSIVTTCTLTDCHLCHILRGSYDIERAKGARMFGPGIYSTAVSSKADDYTTNAQSSTR TRVMIVNHVALGRSKTMYEACHDMQHAPHLYNSVTAATFPEGGKVNYHEAVVYREDAI CANAIVVYQ JR316_0012477 MERARKAVGHFVMNAGLNLHDRSAPGTAKVEGNSSTSPAESSTS VASAKVVPQKIAPKKQASSKAVRAKTEPVPEYEALGLRYDPSQLPIVQTLDPTQVDYS EEVYAASVVHGRSVHQPFFHPRTHDIPVANIHFRSHHVKLLNLFTHFATHAASSLGIP TSKVVPLPTQRTLWTVLRSPFAHKKSQENFDRKVHKRAVKAWDADPEVVQRWIAYLRA HAMAGVGMRVTTWERLPLGVGSRNIKTGDDNAENKNLNAPKEILKLGKQIIKNEASGA PSRK JR316_0012478 MLSFELDGTPQRTFIGTDDNNLNWQVTHAPGSKLVLSVVDSTGG AGGITSKVIGVIAGNSTQCVVPSPAGQSFTVTANVTDLAVLTTCQPWGLTIKGGVSPY NVTLVQLNSPVTTNITMPNGLDRFTYINRNNPDSLLIAGVSDFTGSWAFGTPSVNTNG TFPDDTSCPGLVSSSGISSLIQQQLDAENRGLHAAKPKQTTIVGVVVTLLLLLVIGIA GLAFWHRRRRQKEEQAELAEAKATQFVDPPPEVKMLSINNYLAQEQKRNPNQSRGQTN RNRGQPTPASRKALVLAELRNIEPSGSSSQRERSSSMQGTHDFTMGLLASVSGVLDPV GSTGGPTGSRSGNVRSNSGFARFLASSNRQSLNMLESNVLAGRSPESDFHDSALRRGI EVFQHRDGGVARISLPPPYADTTL JR316_0012479 MTQRRFILPFQLLTLIGLLSFALPSVAQSSRQFQWKFANSAIAD VIPSCAELAIIVQSSDPTTNLTNGVPPYYMLSFELGGTPQRTFIGTDDANLSWQVTHA PGSKLVLSVVDSTGGAGGIPPRVITVTLGQSTQCVVSSPTTPSFTVTSNVTTDLTTCQ PWGLTVKGGVPPYNVTIVELNSPVTTNVTIPNGLDRFTYIDRADPGALLVAAVSDFDN VIVDSNGSWAFGTPSVNTNGPPVTDSSCIGLVSSSGNNTIIQQQLDAENATLQAAKRK RTTVVGVVVTLLLLLVIGIAGLIFWRLRRRQKEEQAELAEAKAIQFVDAPKEVTMLSI NNYLAQDQNQNQNQSRSQTNQNRGQTTPASRKAAIMADMRNIETSASSSRRGKSSPIQ GSPDSTTGLLASVAGEFDASGSSVATAGSRSGSVRSNSGFARFPTTSIRQSAKLQESN VFTTRSPESEFTDSTLRGGPEVFQHEDGGVARVSLPPPYADRLRRSQAASSSS JR316_0012480 MSTSPDITDWLHISPRTDSPTTSTQPTSEPSTSSPLCFQDLTAS SRVNVPQPMEYNLNYFYDLSDIANSTPSLAQSGGSAAGEDFSREAISEGFNRHHRMVS LESTTRTLINTPSPLRSSHTLMSPSDTAGHPAEDRTVSSFSPPLITSPETKSFLNYFC DLVPSTPPLLQPISISDVSPIVRAENLQRVDDGVVKKTKKKKTVRRIKHFKEPSSFVE RLCAAPQQTSFPVVFPNPGPPVTCPPLAPPPLMSPYRLPQRQANVADDAVISIAQPLE NAVPSERLDVGFALDTTQNELETIEPLSPLTPLPSSPDSPKLPLKIIIRPKRKLIPNA TPIRRSKRPRRNVAIVESPLSAYSTPASARSSPDYGPGEQNLVSVHSVRTFPDHIKIS DNFSPPRFISSEVSHPGGVYNPPRSPLDLYTPRFVKGKGVEKVGLCPICIEPHARGGE HKKLWFAMKFSAFKCYHMQYAHGISASTGRPFSPPMDFRVVARQNAGKKEKLHIQQGK CHKCLKWVAVEGIKDMESKLAVREEMPPSLRPLRVLLSSVFFVSLYLVALVKASALTT AIAPNERVCFYADVDKAGEKIGVQSGGSFDIDFDIKDPNQKVILDGERERQGDYVLTA NTVGEYAFCFENDMSTLTDKLVDFDIMVESEPRREPPAKAGQIAEHTSALEESVFRLN GLLMNIKRMQKFHHTQENRGFSIVKSTQNRLFWYAVIESIAVVGMAALQVYILQTFFT KTGRRYKV JR316_0012481 MEGWNKISSSLNSINFAQAGTKFTKGFSSSVQATRERLGQVSPD EITELPQEYKDLEARVDALRAAHLSMLKITKVYESESYDYPVQIQESISELSSSIGYN ITSFAASNLKGTNLPVAAPSAPPASQHKTLPHALTRAAHAATTAVTSTAAGDSDKLGK ALNLYASGWDKVAAARLDQDTSIQENFLHPWQTTLNSSLAVAIKARQAVRVSRLELDA AKQSLKNASPAKQEQARLEVENAEDDLVQKTEVAITLMKTVLENPEPIKNLNELVKAQ LMYFSIAAEALSSVQGEIEELSVAAEGEYRKSRDH JR316_0012482 MLDSILEHLQAVGSSSETGPARAALSVGFVGYTILIYDYFCTLQ TEVDTAWTRPRSIGLTLFFLNRYLPVLDIFFFLRPLLAGDVSQSECKIVYPTTFWLVT LGLILSQCILILRTYAIWGCRKLVLWIMGPFMMIIFSTMLAATSWKTYLDYKKIQTFS LDLPIPDALKCKIAFLTIDNRGRVALLVIYAMVFSGEALIVGLTIIRASYDVDRFTPR WVVQLYKNGILYCVCMLTLTLANISVVFAAPYAFKPVLLPMQRSLHSIFANRVMLLIF RQRRNNALNAIEDNGQQRPATFATSTMAVFTTANVVNLENEFGQTSWQTRAQLEWFQ JR316_0012483 MKLFYQRKHTLPSLAPEIVQSIGQELGLSDIKNLRLTCKVMADL LLYRMFRTLDLQISRLNMEDGVQKIEALSKGCGATSAVRELSIGSLSPLNDPTFLHYS VYDVQTNQWVPVPFPKPPPEVVKAEERLNQYLFDAITSMRGLYRVKWAPGKYDGDPVY RHILDALKSLPNLRSLEIHFCKFQIPLELDMFRGLDELVVSTVKSSPLLQDEILENLA KAIAQNPDLKSLTVTGISHFGRPEANRYQSLHQLFKYCSTTAPLRLRSLKLKYFLIRL DDINIRHLQCLTTLSITHVDDPNYMSPRLVQRGYFFDEKRQPLIQERKLYGSSTEEIW QKLISTGIHLEKIAVGGVPKSLLDYLSSYSGLKELSLIPGGFDEGATSDAMAIRFFNS TLKSHANSLELLRVLPHYEGEWCFSSRNSKQISTLTKLRHLAVSIKLSDLKLVSITSN IQAPLLSQQDAVVSYLIFYCQVPKITTLMMAQTLFLDTTLTHMPNIDSIDIRASVLES HRGVKCATIPGQYSREVYKEIVKCITCYSAPLSCQRLPTLETGFTKMMFVGMRTMLTS SSDNENQDVSSLLKYVEATPNITTRRRRPLPEA JR316_0012484 MVFAALWLASELGILSPLSPRLITTLKTDPPGTNGAMSVDGTVA SITSGGIVDVLMGGAGSEKRPVDSFLGETVQQTPRYSTKSTFVLQDAIKATSLEALST SKILPGGHCVMGGGPPYIEWNPELNALYKDNLIVLSTISQETEL JR316_0012485 MVSLADKIEVHTLPETDGGNNRSRPLVQVKPNAPEIVVPGNISK RSQRRLKKKKESTARSQQAVEERQRRSEDSLSSSDSNRTSQTQSEPPGSILNANTVMV KSKALNLKREEYQKERLTREAERNANAARLASITEGMAALDREIDSDLAIMDATIDEF ATALHEAQEKNRLHWEKCDRAVLTLKLQFEEQRAQICTVQQEWKEFDHQISSKLANFK EEIDELAAVVSFIKHHRVENLRNHVRDASGKKTVDVDDKNGSSNMKVLKIQLHTDRST DAVNLQIECGGKDKNHIEREFINKISKNAGVPKAQIPRCAGSQLVVPLEPLDHEGTSS EHSDLKAFLVPGHWLDGERSIIVEYYSNVWHLSLAAPSIEHGVNDHEVDRDLYERMSV RAFFSKINEGQTAQAEPDSENISNAEPDYESSDKKKRKREKTRMKMESLKQASGSSSL ASARAEQAFTIVAEERKPTLEDAFLLLSLSKGSVPQPPQDLIAPVNDQISEPNSEIGE VVLGTALVNDSEPLQEDALPKQGYSESQEGSATEEMQDLAEIKSLESLKNELEELKIT YSNLKKERDELESESMNQLDLLNQAYEGRRILQSTIQNKTTDMKKLTSQFNMERAKWK AEQSRLQSIIETLTRERQELEHKLQLTSKDRHEITSRIATDTLTIEGAIGAVSDEVTR DATDYTETQDPKWMNQIRRRRIIETTQERLAAMLGLVPIYLKKRHGNARFWREKLDQI LRDQSLSGDDKRLQVARQLLENPSTSLNKDHLAAIQLLLSNDLAMEWMAHSTNTFRDA GNSAAHPDLSLRFWTQVINYMHSENEINEKEKESFTTLVMVNDILPEP JR316_0012486 MQIPFVSGVLALLLSAHGLRRKSLSPSGAFAALVVGFLMMAGGT SVFGVALIGFYLVGSRATKYGKNRKAKLEEGYQEGGYRSGWQVLCNSASALVAAIVWN AAMDPRSVQAAVTRTLLGLDMGGTVLGLRGPVVYGRSSGGWCPTERTVADGWSRALVF AALGHFACCLGDTLASELGILSPSPPRLITTLKTVPPGTNGAMSVGGTVASIAGGGIV GALMGAALVLENGQCGRGAVFELVAWGMCGGGIGSLVDSFLGATVQQTRYSTKSKVVL QDASKADGRVISGLNILTNNQVNLASSVFCAVMAAWLAARV JR316_0012487 MSEAKRDVHNPLLFECAWEVANKVGGIYTVIKTKVPVTVSEYGD RYCLIGPLSYKTAPMEVEAEEPTDEHLAATLESMRAQGIKALYGRWLIEGNPHVLLFD TGSAYSRLDEWKGDLWNLAGIPSPPNDHETNETIVFGYLVAWFLGDYVSRQLTTAVIA HFHEWQAGLAIPLCRKRHIDVTTVFTTHATLLGRYLCAGSVDFYNNLQYFDVDHEAGK RGIYHRYCIERSATHCADVFTTVSHITAYESEHLLKRKPDGVLPNGLNVVKFQAIHEF QNLHATSKAKINEFIRGHFYGHYDFNLDNTLYMFTAGRYEYRNKGVDMFIESLARLNF QLQKAGSDVTVVAFIIMPAATHSYTIEALKGQAVTKQLRDTVTEIQNRIGARLFDHAA RFHGEEKFMPTPEELLSEEDQVLLKRRIFALKRNSLPPIVTHNMADDANDPILNQIRR VKLFNDSHDRVKIIFHPDFLNSNNPILGLDYEEFVRGCHLGVFPSYYEPWGYTPAECT VMGIPSITTNLSGFGCFMQDLIEHPEDEGCYIIDRRMQSVEDSVNQLTGHMLAFAQKT RRQRINQRNRVERLSPLLDWKNLGIEYSKARQLALRRAYPDAFYSSEVDGEGEEGYAD GFGEADYFGGGVERMKPGSMPASPRLRGMATPGDMGTLTEEMQGLNTSDYRGHTWPGT HVDEDDSYPFPLVMKVRSRSGSVMSGASTPGGGAFRSLSEGDLKKADAALSQVNGHTN GHGK JR316_0012488 MSLPCIFNPPTEDEHYLLSYDERRALAKLHFGIKRAAGHFGKMA YIVGKKGTEILNQLVHAVLNSNPHHNAVLFQSLNQCWSAEEDNDILKSTKPWGYWWDP GHKAHIIKGGPSESAAQAHSAAPAAAQHSERPTAGVFHPNQPSADASYTILSISSALK HQKSSGSSKKIAPQAPASKAKDSFPSGPQDSKQPFGSHSAAPPVANTWDHMSPIAISD HRPPYCPKCGQPIFTCVMRSLANLRLNLADMRKTADEAVAHFSDLVGRYLIIENIAYE YGRPPFEHNFLFPDEYKLQHPVHPKPDGWNVCLSEMQYNALSQMNDASFCINGIFYSF VALKELSFHPPWLYSTPLSRVVNVQTAPETAAKTLDPNVIPVKPFAVLASSSSANEVF VLDPRASLNNYRENNDDCASSSSSGTSVPADYLEQLAEQIEDEEDFEEFSDVIEDSEV SNDETQSHNDWSYEDEDQDGGYNLNNNFNTLH JR316_0012489 MDTHDIGPTPANNDEKSFTSQLLADPEIPTLFELDDRDDEDINL YEELDTSFHDQPRPRAEDNDGIPFKVIIHTSGVHYLPVRTCTCRSAVLPLDLQYLEMG LFATSFQNIRILFTLDLLEDFRIINLECKILGYQYYEKLRRITSPSFSKKVLNCYWEL KRLSRQYRNLILHKIHSQGHSEQALKAYMELKYPQQGSEIHPNQEPFGSITPPETEQI RNNSSSRQSEPNEVPKWNDTTSRFEVDPDDSDPNNMSPEPPSRNELDKRGSLRLFCPA CLQPGVNLSDDWVLEADSDIYICSYVANGNFKANHLNQKNKGDDVWLSVGEGFMTNPE PYKEHIKEAIFLAPRYKRELTCHNYHAQKAENRISPGKRVRGIGAHACARHGCFCPNS VVDFDKGEKQMHMDWSLTQMHWPPSVKIIFAIGLFHVYRHKSECLYNYASTYIPGVGI IDGKILEPLWSILNDTSQSTRLATTAHHAEVLDDYMAATIAAKYKRAVEQSGITEAFY QNLMEQQPPELVDTWEQEIKQAEFDRDQGVSDAMSQVMASKVKTAAGRQEIELHLSNM ELTSSGATGKAAWISSGLKLEQAQLELRSHVRKLGTHPSTAQQLDLAFSRTALTFLGE EALESIQGVNTPVLNNKVSNDEIADIGNVNITWADPERQSLPFPSALLDDYFRDLEEG MAHQLKGLQKLKLRIRQGHAEDCLEAVRSALIQLSWKYKYQVHMADSVYTGTYAWDGV KLLNASWKLHKKIYNANRIAMICIAGHSKEDIMQIRREFPVLYDHDCKHSAAILYPNV WGGSSNRLSWIWRSRQGLNADNQLYVNEWLKETDGKKNYP JR316_0012490 MPPQPPPSNTSDNSGRRPSAPPPGGTVGPDIPPNEEQLWTAREM RILRGNVQNYKDTPRLSKSDFIWNTIVPLIKATWDYKYSEVAMRANKALFNEWKAKKD RLFNWFANHASTPQNAKLDGIHGQATFQSVFWEKKAAKIEEEVQLLSGNARWGSPQWI KFYQQARKQVESRLIMKEREEYAQILEEWKKKGFSKTLKAKTAKRQGKKILHQMDRIK WLRMGMRSITFEGHYDLDGKIEYSMTQTHNLGLDDPRVPSFGQLFTDELKSFRRAFVK YLVKVSEIENGVLIPAVPAGTFLEKDLKFNSNGFLLNLYELSVCLGKK JR316_0012491 MLPPLQNREVGLPAPPSPPGPMSPLRQNRQVGSTAGSNLPPNLG HKDPPTPIHPAPASKSEPQPQHLPKDVEMDKVNEDGRSSDLSFPEPSNDEAPTEKAKG KAKQKGKKKVDPKVSNMAKRTRAARSGKRKVAKEPETPPSPKKPRGRAAKNQA JR316_0012492 MERGQTIVGFATEGSKSIPQYSRACVLCRRNKTKCDLFPGQSVK PPGMALTTPTVEYADILCAKKTEGSDLPGKGKRRELPVPKDIGELLVQLYTRVGEVAK ENAALHSSLTLLHARVTSLTQLSASQKAQMESMEKVMKSIESKLDHRRQDKHALPTPR PPASPAPASAFPPPALPLPASPPPASPPPASPAPPRFLSLASSNPA JR316_0012493 MASTSSASLKTDVEHWQNMARSSIDAGEKIFGSRVEVLWTELAK VFLLHTRNPKAYQLFDYIGPIINKACRDVSDNKGRTIHEFVVDFAELCKQMENFDKYA RDSKKQKEKEGKSKNKGNEIEEKEREREQEKQKREREEKERERKREKEKEKEKEKEKE KEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKEKE KEKEKKKKKEKEKEKEKEKEKEKEKKKEKKERETKKPSRRTEKKSKPMVESSAEDSGA ETALPAKVDKGKGKAPPPTLAVFGSESEPRARRSAQKTPAKLEMSAPPKASSSRKKPA SKSKSTIGTDTD JR316_0012494 MPTQANPNSSDHGQHTMYAIDPNNFPEILPPSATNASDEESSGS DESSDDVLESNDSPDDGLGHNKSSSNGLGDDESSNNGSKSANEPTIPLNDKGKGKQKV NLAVNDNSFVPPPDFDSNSERDLSSPIEEVQTHLAVNDSSFVPPPPPLGNEDAQSIVE MQTSRCLAQLLAPKPSATISSAIVVEFSSTKLHINVPTAEETPIVLAITPIISYTSVV DSIILESRPMNYTEQQLHQSHYKIKHTKLEDNSYLNKATTLKNLTLNQLQTQILHSNA LSKIDDGIAIVENDLESLIKYNPA JR316_0012495 MRNAERREERDVMGASKEAAADTMENLKERDTIGVNKKAEANTH SIEKRQERDSISANQEVVANICSTEKQEERDAIGGNKDTSVNMCNMETRENRLSSETR DEMGADKEAVTDTGNTAKRDEVVADKKAVGAFNGKKANTTGTDITGQDIKMTGDAYTS KKEDTVKTALYTILDT JR316_0012496 MVKTSLGRLGGRKEEEKKEKHKKEKHEEEKRQSEEERQKEKERE KREEAKQKVEEKKKEKKRKGKQERRRKKEEWRKRKQKKQKEERREKVKKKQKKKKRRK RKQDKKTDGETDKEKEKKRAEKGKGLAVEPLVEQGPATGSPKEKKKWKIPHYCLQHAW PLPYCL JR316_0012497 MFSRTNHHHQHGQCASSPAPRLGTRQQHSPDSTPGPTESESNGQ KLLQTKRSSHTKRLIKKGRTHPWKSVDASPGPSPQHSVNTPIPLADPHSAPNTDAAPP FTLPLPAVSLDIVAGQVAHLKTLLDSILATVAIIQSIFHGTVTLSDQTMHAALLLHST LFPADPKYYPQNPERVTKPRSYATVAKTTPVAQSTYMPQRTFPAPTARGPIKTRPHIT CPTRHYSSNNCLIASWDNFLLTQLSGPLIDFVERLNSELLLMSRDSPCHVLGAKVSKS GRLIIHTTNNTGTARIKACLITILQATQASDCFSNFHSTISDPSTVYSDVPWHGIVVH DLPADLLCNFFDSATPKNSTPQTTLELLE JR316_0012498 MILMLRSKLQHTATHLMAYILASAISQAPLMPTNTNQMSQSATH KDVGSHAMTVNSAINSSDLNMQDKGQNKDSVIYVDAGFYAMTINSAINPADLNKNSKV FSITVDPRKMQSMVGLPKEDAVPTFERHTISDKNINPALKALSHQSISMDMPIPQHHE IGNTSRHNSSAPVPYIQQSPAAGSNRGNKPILSTRTIIGAGSTMFPIDNSNSI JR316_0012499 MPDKDINLPELMARCLEVLSSIAQPAPTQIEKAGPLAFLYMLFI AVDANFKLKRKERKLDDIDFITDTGIFVNQHGYQEHISNYIEQPEHNAIVQASIQNTP DYTVSGVGLVLCSRHLLVCQNGVGDLQKEERYCNMDYIVLLALIGVKLLCIFIRMGEF EKHMQILSMTKIRTAIPSWHINGHVESYQENYNIRYMKGVAPSIREMVLAARCDALSN HWNGWNFHKIVGFCSAFAKKFKEAIKMQKKHKATFIQFLSTFSATPEMLEQWKKQVEE WKANPSKPNSYKESTQTITLQNTRRNLQNKEAAMAAIGKLPAHSVIMTRFLVTGLELE DHQYIYSWF JR316_0012500 MAKSKETAAKVIYRAQEDQIKSWHRNHKQPPVTEVSNSMGAPSS SGVLSLKQDAWEKYKIDWENTYPDTPIDITLFLFRNKFMRNHYAEQLDKVKAMVKEHR NKEKEDARKQNANFQSAIEKLSRTIVNFAASIYKIAGWHITLIMGGPVSADNGNISTI IYHHGVNKEEKNFETYYSKQEFDEMIAH JR316_0012501 MSVGSSNSSSENNNNVGSDQSANDTKLSKCKCFKESMASMIRRI SEFIEALLQTENPKNP JR316_0012502 MSDSAVTPASAPAPAPPAEAAAAPIDPNNVRDPNSKSAAKKEAK RLEKEAKLAAKAAKAPAAPAKPKGEKKEKEKEKEKEVEQPFVNTTPKGEKKDLSQPMA AGYNPLAVEAAWYDWWSAQGFFKPEYVLPTTPLAPGAPDTRDTFVIPAPPPNVTGSLH IGHGLTVAIQDGLVRWNRMRGRRTLFAPGFDHAGISTQSVVEKRLLKKEGKTRHDLGR ERFLETVMDWKNEYQGRITNQLHRLGGSYDWDRVAFTMDENLSKAVIETFCRLHEDGI IYRANRLVNWCVKLNTTLSNLEVDQKELEGRTLLNVPGYDPKEKFEFGVLTSFAYEIE GSDERIVIATTRPETMLGDTAIAVHPDDTRYKHLHGKFVKHPFIPTRRIPIIADTLAD MEFGTGAVKITPAHDPNDYEVGKRHNLEFVNILNDDGTINANGGSAFAGMKRFHARVE VVKRLKDLGLYVEQKDNKMQIPVCSKSGDIIEPMLKPQWWVNCQPLADEAIKRTRAGE LIINPKQSENEWYRWLENIQDWCISRQLWWGHRCPAYFVRFADGLNPDGKTAQDQSDG ASWVVGRTREEAEARAREIAKGREFVLEQDEDVLDTWFSSGLWPFSIMGWPQKTLDLE RFYPSSLLETGWDILFFWVARMVMLGAYLTNSMPFKEVYCHAMIRDAHGRKMSKSLGN VIDPIDVIQGLGLEELHKKLEEGNLDEKEVAKAKAGQKKDFPRGIPQCGTDALRFALC AYSGGGRDINLEILRVEGYRKFCNKIFNATKFAMLKLDEEFVPQPSLKPTGNESLVEK WILHKLNVAAKEINAQLEERNFMSATNAVYNFWLYELCDVYIEAMKPMTDESAPAATR KSAQQTLYTCLDSGLRLLHPFMPFVTEELWQRLPRMPNDPTPSIMVAQFPVNDDAFVF EEAEKQFDLVFSTLKAARSLAASYTLQSDIQFFIQVKSEEEEALFTPQTATIVALSKG CKSAKVVRDAADIPAGCGSAVVTPSIIVHVLVRGLVDLDNEIAKCDKKLDLAKMNLQK VVKLESQPEYKETVPANVRAANTDKRKTLEAEIATLETSRNMFAQLK JR316_0012503 MYVYPMRYDKDKDKDKEGRKDKQKDAGPIYPPIHIIFHCITLRH EHEHEDNHENDNYNNYNERENKNKKSVEEKRREDRGGYAAPMADTLTSVEVFARAKEV PWSTQIFFKHATVTATLAIYIYDYICTLDREVAYVWSRPRSIGTYLFVLNRYLPFIDL TLSLHGFNTAGILITQGILTLRTIAIWERNTRVSVILAVVGACTSTTCIVTAKLYLNS LKFGEGNPDHFFGCVLKTSNRMIIVTFIAVLISETTIVLLTGIRAVSHLRQSNSPWVH QLYKRGFLFYIYLLILTMINLLYPIFASPSLKTTFTDPQRALHSMLCNRVIFLIFSVQ KRTHTSPALSAALSAADPADPADPSSLSISISTSGAPRNSHNYKHGKRRRGRWRWPSR GKRGGGGEEEVDSGVVLSTILDTFVTMTSVPDHEYDQDEEEDRDRDGRGGGGGRASVG ARGGGEGGAGLGGRLSVSVSVEGGGGGGGGGSGGTGTVHEIRLGR JR316_0012504 MHLNYSMIAQNLLFLDEPTSGLDSQSAWNIVAFLRSLAEQSQAI LCTAEYMLDVIGAGATAFSSINWHEVWKRSPRQSGLSRKSRRSIQLDEVNLLLKLISG LNTPPHGATRSSNLSFKQGAADHYRIAKLILNVAGGFFIGLSFSRTRTVYKTFRIVYV LFTCFSQPLVNMLQVPFVATRTIYEVRKHPSGMYSWTAHIIAQILAELPWNILGSCLY FLVWCWTSRFLSGRAGYLYLSVGVVFPLYYTTIALVRNAYGLHFFRHGNTSDSEI JR316_0012505 MTFSSIDPFDLHGFASESAKQYREHIQELVYYGESRLKRAVDEI LKKPMEDQAPFQKCLRKELHYFLTYVGLITSMGQVVDVPFTLRSLAFSLGRIAPEDLP MQFNVEFVQALNITRDEDRGEVVASYKRPWWEEFRPSWRSVIDDGSIGECLSHHRKLI GIENKHRFIESLGSDITPSSFAMTPGERMLFEPLQNARRTIMVMTEAQTSHQSVIFRK AEEYWNLHDASKAEGMNRDHWKMGITEMGNNITEIGGVDYVDSGVDTETPKNRISTPA FQRATSCNDPPLVRDSKHRSKDWKPSELDDDYSLSPLPSPALLYRSESIQTDQGTVPV ETVPPHSGPDISCGSPEEMGDLSMQASLSPGFASARSRDEMAELYEDIKPHIQEPIQS YSPEFHPPIRIPLADELGDLFRDIPSTPGRSFDMEILGIQSPNVLATLYEEITPQFGI DRQSSPEIKTLRLNPSADDLGELFNDILPPSGLSIDPDITATRPSDVRSTRDEDVTLQ INVDRQSSPEICALRLNPSPDDLVDLFRDILPPAGLYPNLDINGTRPSNVPATLYKEI TPQVDVIRQSSPEIYAPHLNPSPDELGNLFRGILPPSGPFSNVEYTGTQSPQECTTMR EDSTPKCYPSRHIASTDELSELFMDILPNPEPLKRSEAQTPNKRSGFTEDTPPTYHPN CYISSPDELGELFRDLKPASELSESPSAYVAQSPNDIAALWQNTNQPNNHSPRHNASP NELGALFQGITRLAQTTDNSANTALQGTSNVMSRPPASSNIHEDQSLSLGSSNHLNGL PSKRQRRQK JR316_0012506 MTKQSRTSSLNDIIKIGQDCLTLDLPPSLQVEQRKLFRQTVGTD YVLKAYPDIFNHSLQNDQSERLDLVEQYIIERLEMARYNVRKRKGDFHAFGITKQEMI YAVSRWTPDYIHGFLHRFANFSQTKMTEMEIELLQAHFLHYF JR316_0012507 MSESTELQSIHNLSTFQEAIKILDQKIRKSLVDGDVAKVMDSHL ETAKAVATTCGRFKEEKEKPQYNFLFAFLSAYGHAFRKREHRSHEFLEYCQNLQREID DPHDEPVQKPTLEGKDDQHSEVHVRQNVDGEGSRIRQDSEGPRRTTRKSRHQVANKRS RSSSAEKTKTVAETIVNDSANHSALIREPSPPLKKRRVQQARQASPELPPPKTLVSIE NSSDNNNEDGYVPSDIPSNINPPDTRVIAPAPSSDTSSGKRITRAMAAVAKGPTSTEN FGRKLTPEPAPHSNVKTNIKVQGRSETKQDRTPPVPTNYVHLQPCAQCADRNINCRVT YKGYSCFYCGSHRVRCDNRGDTIVRRLPEANEDITGPVEEARKHGKPLHRQKRPKRET GSPEPDENCGHHDPQHHSPALRDPKHSNGKQPISEQTADLNSSQTNQDKHPMGKVHYQ FVYTEAKIKTENVLKSWEAIPRYTAPPKASSSSLPPEKSARAAKDIEEFARNWEAWVA EDMARSEARAPAGPLPTRPTYIRNWEDNKADADNEAYPNEDRLARLEKNITKMNKVMK RIDKQLANHDHGFDYIAAKMAFSMAAIDMLSNKFEVLHSYHSDVLHKLVGVEITMENM HDDTYHRGEEGPCPFRRGSTKDQGIQTDSQTKILDSKGLFSKSSGKVGDETGIGENGE ESENGEEGENGEENENGEEGENGEEGENGEENENGEEGENGEENENGEENENGEENEN GEENENGEEGEKGGQDEQGEKFGDKDSDSSAEIDADKMPYIPVHSREKLEASQHQWYG GTSDQPIDIDFYTELAKDQTDVATNLRTSDQEGTSHAESNVAEVFGEEDVSSNLEARH GEEPTDGKMKDENITATLSTNNLSLVKFMHSNFREPHPHTTPSTYTNDDLTSTGRR JR316_0012508 MTEEQIVIIFKAVGQVVGFRLAFDRDTEKPKGYGFCEFADHETA LLAVRNLNNTDCGGRSLRVDLADLDPLLEGKTTVRGRIMDRGYTGSSEYRSRMHLDAN DGGKGQWNDNDTFLANIPPGITIPSGVSALDHIKWIVAELPESKVREALAQMKVSNGI JR316_0012509 MPGNFYFVFELIGLLTTRNDNTGKCTSPPPVPNQSYNVAAHTDI KPENIVLVDDQMTVVTDISPDGAFYNKIKIIDLEDSVTGPRNIHFVPGTIGYRAPEVY GGVGWSFPVDVFAIGCLTFEIFTGLSLFPKTDDIREYFFCLERLAGQFTPSQAQDVSD MHPAVFRTKTKVPKVRGAAINTKVRNKLLRHVADVKWFKNEFKTFLVRGSIMSVQHAQ GDIPSFRLLDEQELQAQHESDFIFVAQRRAFTAFLNSFGKSVTKRPVRLRFAPYSVAL NTFHGDNPSNNKQGRDFCVSSTQSKSVNPNLSLPSDTVFPVFSKDNGNARDTLSQTTK INTKPVLGSLSTASNPEIQFFTATSPELEHPLPNYYGFAINLGQLFILRVPTSTVPEH PTKKACADLCISMALLIQTLPESPKTW JR316_0012510 MSADSGHPTTIKFNTFDVKHYKFSENPRRFETSQQMQHLTLPPN ARTHADEVTNFPFETRILWEKLEALFTGSHPLCRMIHFLRRYEDWIFCKYDAPSSGMR AAIQYRLPSTGLRDIISETPCVTSSVWEQTGSLFAIYRLLDIITLKPEYFGPSISITV PRNEPQIIDDLKDWPSLLRAAKKRIIREEPKAHKRLTTASISNASSTQLAQDGSPEAT LLLCLARNHFKSVLGKIVGNVYCASLHYQVLLAMRGVKDDEVVLPDIPTDTSTFVNLY ASGHPDAASFLSEITPSHLKIPLHIALFISPILLFSNTSWYSRKCDREQLLKASKALG NHRPPALLELEMEIWRIIANQNCDIYSELRQLVSSKCWVKCEQINPEDHAYNFFRPNT TVQNNTNDTEHHSESNESIINQHQPIHPRDLTSAGHNSDIDAEGDDDHEVNPGKLGEV LVPVKEYNETTTTQPPDHALTATHKPFDHATNSEITEKTYSIIQYEKKSDNASAAQYL NNMSVSVNKTSLANGLHIDPRLDSETSERNTQHEEERDDATGGQRPTVTAEKPFPTDE LYIDRDMDSRTIERITQPEVEKDDTLADQQPVDMSMVIDKPSLTDTSCTSRPLQLPLD NGDNDSVDKQTHGISTDELNRNDNDVDMEDGSGSKADATETSMANVVKHGVGGCLDHD KPSGISEDRGSEVVQDQEQNEGQKDHSPNEVIASKDIPVSSHTQTSGKATTPKANQRK NKKKRNRKPVNISPSEVDSSGGPSDNDENDYIDVDLFDSKIDLDVVSTPKKFWSTVEW VCFNAIGTQKTFRPVAHSQDELDNIHRFLAMVEADYIDGLPMHIARPDESCFMVINYR DHRHPQPSLDDFAERNIVIFRNTSFVDPDPNLFYRSVRHDIGDITSARSIEDLSVDSS HRTDRIKRGTLLQVVQAARTPDHHGKILNTHNIPLPFSGANSFDLSTDGHALRATAGS WKYKTPPPFGDISWGLVSTEGAFSEARISPNGFCTVIKPTHGLNLCITMRPRHPDRDL PSNQRLFLGDFLDYDAPEHHTDWIYEAIVLDPQSELICLGGHFYSSACLENTLTGIIH NFVAGDKAANTNRPTARFFLQQIMNWFYKTLIEGDRDPTDVHEGHIPLCDTQTGYTNM LALCIYMLFANVLDYDTYRNPDQIQSPKTPQQLTQWIMWDVNALNDEQRKACMFARGQ AIVILDWLCKRTKLIHYLNSYGDNQERRSKASAKQFHNMMIRHYARTIMSYTQEATSN GVHGAPFCTEALLKVQVLGACNGELNKLINDEIGQSVPSIPARPMLKLAVICLVECNN TNEYSDQLRTPKELMRLGASMRDMIYTNGLKVKLERVENTKFERSHPSKKPRY JR316_0012511 MVPGRRFFYSIVDHAVFRLSTKKRYHSRNLFRRLRHHSEQNNRM QITFNDLDTDVVDIILRMFYADSGAGTDGINTPPPITIGAVCSQWRNFVRARPMYWGF ITLRLSVKNLRIMEELFADWIQCASTNISLDISISTTDVAVDLPSTFKSLILGTHRQW EKFSCDDVGSIWSSFFSTAQSSDPFPLLHTVSFDLYLHDRIDFRPAKNLRYVYISNSS ITTPTFNWKHLRDLAWYQTTVKDVEVFLGELRDIYDMELVFDDNEQRKFGKAKSCILN HLGIFAVEGDSTNLQTVLNAITAPNITILCITLVPDGDSRWVNSLLDMKIRSSWFQSL THCTFSDIDTSEHDVVEILRGLCSMRVLKFYRPQFLLSDYFVSSLDPSFAFGGRCLLP CLEDFECYRAIISFTPNALFATLVHRLALWENRAFAPNAQEYKITDVRPITLFRVSHD CKEWSPLNGYLSPSHTKEWDKKAQSLKNMGITIDMIFIDLPEDNGF JR316_0012512 ISPRPLKRVKSHDSVNDRMSYRTKKVHNEKEGDIHMEDESVVDD DYVHIEAPELTSKNRLRSGKGAKNVNRL JR316_0012513 MVRFFSSSLTFSTHLSYVLRGTQKHVGWDKKLLKDGIEAALKDK EVESKLQVALGLTAYNTKFKLRVLATRAIASPSRTDDTPHFTARIFDAPGNGRYLGCI HAYPIGYSKQPSFYYPSQDRSPKWARREFVALRHRIERI JR316_0012514 MLVNVPGALDNACLLRLCPVALELFQSLSQHFRASTFDRIAITV AGPRIPINQNRKNYPLTLRVYMAITNSKNPLLLPNLSITTHPTTHISSYLQLPALSMY SGYSANQSLTNIPFIADGGSNHSARFPDLSSPPVTNQQQQQYGG JR316_0012515 MIDRPTHFTLLSSSSEKVLQSPTWDVYKRMSSSFWTVDTIDLET LTESWDLELDHDQRHIFGLALMVLLPRRLGRSPIVDSIYQSLDLFEARCAMDVRTMNE NIYHGVISELMSGLITDYAEMDRISSAIHGSTYYAQMQDWVMTWASKTSANANGSLVD RVLALAVSAGIFNSSAYTILSSSDALVEVPELSHAITMIQSSNHLFLDFVCHLFSGFS KKPCQSHVMQIVLRAVDIEKQMVLELFPLDIIGLTDTEMWWYIEFTADLCHSLSRYAQ FLFAPRRVGPPERVAGHNYELFRFKYIPRMDS JR316_0012516 MTLSVPFRFNKTSLPCLANELLDEITRYLPRRDQHALLCTGWAL HDVVSRQLYRDVSVYDSSAKRFFKTIIESTESYGTYTKELQYTVSTNNDVSITHALLR RAVPFLANVESLTMIMRTQLSPFLKYMLRYSVHPIQSTYADAIRLDDKRLRVVDSPIT FCNFPKLKKFNLHGDIKVIRFISIKSVLVKICLTEAMDDDSFTFFLNEMAPTGTPNTS LSILCITLQFVESYSRIGTSLYRLGNAFPNIKSLTVRSPKLDALEASLMLTLDPPLFR EARLLQFNDWTITTPVIIPVGSGPDHLKVQGIHITKAAESRRNLVSVNFGMIKWAKSV YSGGWEQTNKICVDSGDDDELYPDFDKDSSLFWDVLYINPEPLHEPLTECFFATVFRY YSSVTDKHVTDVTTGTVDLSRSNW JR316_0012517 MFNAIEDSLAKEQRNSGNLTSFEVYPFPSKLVEIAYPLDTRGFV IPPTLLKVYSGNHMFCMPKCFHGVDANIVVARNAYYNGHDGVHLVCGNFKHHSQCAFQ VNITRLLRESTDMVFTQYALTPTVPMKVPPSSPVHSSIVSLPPLEEAEQSVQLHSDYN PNEEGPNEDNTPRPTYTMALERTNIPDTCFEDRLKYDHRPASICDIPRETATTPSLLD RIETDDAFSTRTCRSTSPSLCQVKTSEQKDKSEHGRDICVVTGPGLPTMVIGAKNNIG TKTVKLDVDQPKQERADKLTKCSQTMSTNMTIRLRPDAMVFHPSFLA JR316_0012518 MVMLHHFLLSIVLHSVYVSAAPTALVIPFVTLGSATFVGETSGT VQRFLGILFAQPPVGNLRYHLPQPITYMNGSYDAKKYGPSCGQQSVGLPLLSGLTAEA VNYVINSIFGQVFPTMKIVSLTVNVVKPATATPGSKLPVVVWIFGGGFELGSTSMYDG ALIVKKSQDLGQPIIYVSMNYKLTGIDFLASKEAKKAGVGNLGLQDQREALRWIQKCI TQFGGDPTKVSIWGESAGPISVALQMVANDGNTEGLFRGGFMQSGATIPVGDITNGQK YYDAMVKETGCTGASDTLDCLRTIPYTKLKAVIHKSPGIFAYQVCVSVKIDSAVGGIE LPAKTRSFSISVQLR JR316_0012519 MPYLTSFWEKLKTLPPFTTSHPQTTLDNKKPQAISFCSTVHHTN KTNKNDKFAQAKKRATQRRHARELQDSFISVGLIPPRKPKASKRPPISRPPSVAEPWW KKEEKSYLAMSNNKSVLLLGSGKTKIHLEHL JR316_0012520 MSSQQVQDEISSARLLHEQELQMQYQNDVVFVAQRRAFNAFRNC FGKKVSKRPTQPRSSPYSIALINSSGDVVNNAHSQGYDMNLSSAQAKQTKPNVSLSLD SAFSDLHCRTSVDTDIDTLTQTTKTFTKPVYDTPPTVPSPFVCLRKHADYLCTNQSSF VSDIPFSGRTDANVNGFMNVRQGLETDTGTGPVASSQSFVDFNASGFIV JR316_0012521 MDDNQSSSGSENFVSSNVQKNDVIADDYLAVELINSGYSGEVWR CESKSCARVVAIKVMYTVGESVRATRAAHVSALLKNSSSVDASFICGLAETVFHLRHP CLVFELYGMNLKEMITKENVLPLPLFQIKAIMWQVCNGVASHTDIKPENVVLVDDQTT TVSDISPDGAFYNKNTCLKNVVSNVQIKIIDLEDSVTSPRNLHFVPGTMGYRAPEVYG GVGWGFPVDVFAVGCLTFEIFTGLSLFPKTDDVREYFFCMERLLGHFAPGQAQDISEM HPAIFRTKTRVPKVRGAAINAKVRNKLLRHVADVKWFKKSINQSDAVEFISMCTAVDP HRRLTIESALRHRFFTKFSRVKLN JR316_0012522 MAGLGGWIIDHSVDLKPDITHHEDLFTRARAHEMNAPRRVLCIV FATGGSIELMSIAPFNDRTSDVFDVGRLFPNGAISSRVTCIPGTAFRLGNHLRIIVSK NDRSGPVNKSVEKIYNIQWHGNIVVAQYDVNGIHPIDFNINQIESVVSIFSFINPNNN VKVDEKHGIYRT JR316_0012523 MSHTQEISDIMTIWQSKKLRKEDITFTKAAISLNTNDPLVVARP GLNNSKWIVCDTVTGKVAVLVHAGIWKWTTDLETGNFVPYGEEAPEGVPDGQIQDEPS FKCKFSYAFDTSRDTSIWDNIKIFEDHVCRQPGFNKGNRPRRPWQNGRSSTNRDKYII NTRVFANRSAFNTKEGGEYKVPYEVHPWLKEGITRFPEAHQIPNPDRPKYFEFTENRI SSLADSNEPTFKQGDIIWMTFKLGFVVTGGYWWPEIIPIEFVRVGKLPEQIHSKADHS LFPSVDESFNLLCAGDIVEFTDDHSPRPVKRIRLHDSYNQEEDSYRRKGHVEKEEDIN MNDEPVLDDDYVHVDAPETNSKNRLRSGRGGKNTNRS JR316_0012524 MIAYILVAVSETAVVLLTIYKGYRHMLNTRKSWVKHVYRHVITM ANVIIPIYASEPKYKNYLVVPQRVFHSIFCNRVVLLIEGQVTRRRLMAAHLSRARTVS KSNYTANIMEMETNIEGTRYTTHGGPDLDMDMDLDDDTQIMEMSTYHHQDWTM JR316_0012525 MKPPHIMTLADLSPRQINHIITHAHSLKIRSQKWLAPHIPIHQQ NASSKLRQNMRLPSQSLFSKTIALLFSKRSTRTRIAAETSAELLGGRALFLGREDIQL GVNESARDTAQVISGMCQGIFARVGDHSEIEELAQYSKVPVLNALSSLWHPTQILADL LTLHENAGAFSREALEEEKKNMKRMTPILPELPPLTIAYVGDCANVLNDMLVTYPRLG HKVKVAAPLQYRSPPEVMARVKELGCDQNIEWFEDPKEAVWNANVIVNDTWISMGQEA EKADRLKAFEGYQVTEELCKFASPDWRFMHCLPRKPHEVNDAVFYGPRSLAFPEAENR KWTIMALFDLQFGRWNLDPPKPETAFIEDEVVSEVEGEEAKPKEE JR316_0012526 MSPTLSQALGSTWQYASDIFANRPVLTVLIGGSAWLFSRVLYQM FLYPRFFSPLRSVPGPPLGHPIYGQFVTILRGEVGIPQRGWVKEHGSVVRVVGPIGIE RLIFMRPEALHKILVSDWVDYPRPNFMRSVLALVTGHGLLTVTGNDHKQMRRAMNPAF SIPNLMAQREIYWGPIEGLIDILNNELSNGGDQSVGKQVLMYEWLSKVTLDIICETAF GYQTDSLHNPHNELAEAYEILTSLQTGPNISRLIAVISLPGAARFLNSEWAYKNRHLF EYIPLLPTLIPFVDSMHRIRAVSAQMLAEKMSDSAVSLSDSETKRDIMSLLVRARQAD SKAGGYAMSDQAMMDQVLTFLGAGHETTASGLAWTLWLLAKDPETQRKLREEVSPIFA ENPRPDYRFLKDLTWLDCVLQESLRLMPPAPMTFRQAAKSDYIDDIYVPKGTLFYIPI RVINTWTEIWGPDAEEWNPRRWLNLPPKYNATFSSLSFLAGPHACIGKTMAIMEMKTV LAALINSFEFSPAYEGQVPQPTAAVTMKPKDNMPLRIRKVVA JR316_0012527 MAVTFRRRTLSRLFFFTVTALFVKLVFFPSQSPAKSNSNAHQIQ SHNFIERATRSDKSLNVQRHPFLQARMGRDEKEDIFSGLVRNGVRDYWERFQLPYIVN KETSSMDAQHVLGAIDQLLSLNGWVAAHCPTLTRPFGQNKRENAYDDLIREDHLYYVA IIIHSADHFLVDQLAVIVQMAKRLGTNNLFVSMLDYDSNDSTETLTDLCEAVLTLLGV PFRIRRVPGMTEDPAAAYYPLEEAYMRNLALEPLHELQAKRSIKFSRVVWLKGFTCPN DLLETIKISFANDAAMVCGMDWAEHNGFFIFSDRWRTRDIEGDQFRQSKSSSKPDGVP PRDQQGALRYAQHLPFQVFCCESGTHVVDPAQSYYRGIAYRAGTDFQNLSRSESVPVR SPDAPCLDSSQAWFCRDLWVRSARDAMEEVDRSNLVGARKRRRSVEEIAARDPLMEGR DAVKVKVGQAEEEVDDDAADRRKQGNGKVDPDANAGSDYDAMPDEDGGEDVPPADGNT LLIPNSVFRPARILVNPRCPTTYAGVSHTQLARDLFGEGDDDSLSAAGKYVLDDWEGA PESFVCQEQKQTGGRKATKSQRRLGFSIHDEVQKLLGQH JR316_0012528 MSAVAAREWMAGSLMSIILTDIRHSACAKHFGSLWNVVVKFSVN TPEIIVLPLAFPSSFVSDLCLNDPRKMYFSLQLGVDVFSELPGCHLASASEIIIVAYI FLGLSETVMAVLTAIKAYRHFQNSRSGWITKLYREGLLFYLYLVSISLANVLVPILAP LARDSTTSYAFSSLQQSVAAYFQTTAGVSHGQPIT JR316_0012529 MWVIIGPFDADVPGELAFEKQKLLKPSKTYSLGRKGQNLIVNSK KISGHHCDFIVGEHTVDHVGDHTVIPTLEILNTNAKDKTYSITRREDFIGAGQNEKVV LESGDSIALITGILVRVEWRPICIFQPPSRGKSSVSSATLNGCASLGIKLSQKMHENV THHLASHYVANLTMIASLLNMTRIVKPEWLSEILRLGALDKEDENATGTSLEERLALP VETKYRPAFSPSLLPKQKTFDVWEPNEERVNLLKPFRFICMQEKATELDAEIREAIDR GGGSYETFKVSDGVEKLPRVLARSRAKEGKKTIVVGDIDSLQTAVGASTWKEFVSEAR SYSTDIFAPSKIVQAVIEINTSVLEVPTEDSAPRSSPLPDNVPNSIPEEPTMQPDELP NRKRRSDAVVDENSERPGKRLAPVAAFSPEPVERSSKRLKPVSSPLPPEPVEPPATEV KPFASRGRLGMSRETTAELEAPSPPRKVGVLFSLLWLCLSKILLIVDSDIGASSKKRK LGFAGLLDTDQDAEAKRHERMRKRAEAAAAAAAAASATAQTDSAWPAIPPTQQPEEPP LKKFRPLFESTAKDPEELERFKRSLADPNMDVQAIVNGTQSQTQTQAGETQGSRRNAA GRTMGVSTMEILREEDEEDTQGGQTAPGERGPRGAKRARLDSMEESGAGMEEAGMVRS RSRAVSNASVGSTTGASAAKRRAVENVNAVQRTAESEPPAGPSKKPISTTFEAFDSRE AKNKAKEQEKEAEKAKCKQASSSKVDTDQPFLTAIASRKRGKKAENLDQFDKDFNNLQ IVKPKLDSDRRDPEEEWALLKEFGDDSDVRGNFMIIVDLEVYKKDEAAIEAQRQKSWN PAWDGRPNFKKFKQKAPRAPREKIELFATQDKEPTVDPSYWKVKKSKNMEGYTESQSY NNDMDMGSQMDSQPMPTATTALSQSMYDFGDEETQETGTVNIRRTSRKPPSTAESVAS TSRSKKPTSSSSKAKVAAKKKNALFLDSDDDIQEVDENVLDVPPKSSRARSSRYTQAE EPDSDFDVDQTLQTQSELKPKVKGKAKAPAGGTKRAAATRGAAGKGRSKAAPIVVDED SDDAVFKGFGR JR316_0012530 MNSSPPIPHPNANAFSWYVNCMRAPREVQQHELSKQAGKVWKSM SDEEKKPFYLVCNGLQFRSFVNFLSVQFCSDRLTPSLLSHFDSPLFFVAIFGIVFLCA ATGLSIPVDDDIQNALQPQYKLGW JR316_0012531 MVPITHLVNTPHSHPIRSDLFEGELVAQIQSMTDEQGKVHESEY FKREDRGGVTWSIVVRADDILFGNTFDRPLKLPWGTSAVLKFMHYIDPTLKHDLTSST KPWALSPLISTMPHFMHTRIPPSSSSCMLPPFSANQSIQDRNSGLYLALSDELDEGDS ASSSSGTSFRSASSSSDNVAPVSPSPLSTARSSSKGSSTGGSSFSVKSAMRKVKPKRA RSTLSTGSSSSSEQGERRMKRERKLQTL JR316_0012532 MEVSAIQPVVSPVPSAHNGRSQNKTRGCSTHTNKLSFSQTISSL KGIIRIPNRRKLSTPRIHFHSQSQDDADSTKSTSNPHFTSRPRPTHGLSHSLDTQAHL HSRMRSTGTAASNGSGNIDGIISDTEDYDKQQRRRSNIKNDPYAQFSRTAEGLNYTYK PYMSQPMLGAELSRGTGGDELVTGYDSESGSASGSGYGGGGRSPVGTGEGGGRTPLGF SATSPLALPSPSPSPTPVLLSSPPAIHPATEVAFCLGGKVEDTLIQVQHGDQGDEGEK EGDINDLYDGKAGGSLNNAAPSDTNTDTNTNTNIGTTANTAQSTTLAQISSSTFTPTS TIQTNSHARSNSPLPLLPLPDGPSSLTSASTSGAALRPRSSNGSGRTPAPRRIPQR JR316_0012533 MSLAKFKSGSRARGILSMLLPNTNKPNNVPETQQDFEMEMNELE VALGASESFQRYKAAHQMSKIQGSEICLVELEEEREEATWLLHEFQADAQQKYQQFTE SQQEIDKLEVLVKTLDILISETKPDSTGRNTAEERLQDYPTATHHIPGNCILADAADI NRAKQIELEYMCVDDLKKLRFLKVNKNQKLAKKDDAFLASEALINQIPRLVSPRLESS PPVSHAEDLTNKPTEVRSTIKFQLKKVLCLGVAVGHVQVNDDKVLGNVMLSINFLVSL LKKNWQNVKSLHIKTIMGKPVRLY JR316_0012534 MSPKGTIPRRNVPEGHDTPSKSPLRARYPVEMSPEGTIPRRNVP GGHDTPSKCPLRARYPVEMSPEGTIPRRNVPGGHDTPSRCPLRARYPVEMSPEGTIPR RNVPRGHDTPSKCPRRARYPVEMSPKGTIPRRNVPGGHDTPSKCPLRARYPVEKSPEG TIPRCQDGPDEVSFTEC JR316_0012535 MSLRFRDQTQNPPRPRYPRLMESCVGDHHLPPIPEELKQYIRSE IDIIIRPITSKFQQIHHLKATDINISQLVNRDQVPAELLSTETTCLSRSLSQVASLKV EELGIVGIDECVE JR316_0012536 MSASTNPPRYPRSLRACAFSTDLPPIPEATKQFIKDRITIVERA IGDKYLAMLAFHEVLDLMEATEPTGEWYGYFVSARIVQIFAEIHAEHASHS JR316_0012537 MAARKKPIPPPKPVSAEKDMSAVQDDREGKLDESLVEFPSIVDN SFDPPTASSNHVESQGLADDTNTESESMRPAQNNLSNKAPKAPVHDRMPSPPPSNNRS LAKQSSASFVAAGGMASPKTTQRGRPSKKPAEPTAHANSSKRATRASMALDAGTAKEV LDIVNTVKVLDSRLAALEQSESDRSAAPPPQVPVPSSQPLSSDPLFKRLCSAHTDLRS ALQNNMDNFREWRITHESDCAASKGDLAVLKGRVAAIADEVHGLRDRLDDDIRTPHFD VSPSSPHTYPRMQQTHLPPHSPLGKRPFNQDDADPMDVQHMPPPTRLRRSVPGDLLPE ILFGPIAQGDPMTRACDAIKDAGLSVDVVEAAAHARRKGFIAIRFRGSEPANAFLSKV KQGIGRLLGTTAYWSEPVNTRTNTPFR JR316_0012538 MDFPALVSNPSPNNASSSNSRRRSRRAQLMSETTTPSLEELNSR FASLAFSVHRIEDTLSLLLGKTNRHVYDIVHQRVLRDYRGAEELGTALTTIPELRSAK DALEEKLDAIEKKRHQEYANVALTLACISARLAAIEEGPADGNRRNQDAPPSVDVMMG PFSNEFTRRGTEDRLLAAQKVLEGDGVKVMDLQDRPGFLRVTFMDEDSAHEFVNRVAH DEELNVRGIFTIKP JR316_0012539 MSLTFVAQPENEVSSPSFPWPEHRHLFETICVAGNNALEIHLRL FLLRSLLEFRDTGILPGVRILYVQAQVGTVYTLTLAMKIQILQNLNIVLDMLCHPSSQ VETLYLSGPLFYSDWFMHQRITRSFGNLLKSNQLVSLKLNNVENLPRNILDGTSIVDL TLIACEVSNTMTYSNTNALRLPSLETIETDKLSVVRTIYPVGLHSTDGEEPSLKILCL RIDQTDTAGPQVLKEEFDILEEHFSSSIERLCVMFDPCGPPGA JR316_0012540 MSQERVPPEIQTQIIDSIVSDHSGYIILQPDCAQTLRTCLRVSP TFRWSAKRYLFKFISLFEDDEDAFRVKLKHLRSLVDLPDMEISILRHIRIFQMSAPIT SILGNPEPSRCKQIIEDLDFILNAFRHSEARLHTFEFHGPLFSSLPSKVVRSLQNLIR SPTLLSLRLCEVQDLPKDVLQGTSIIHLTVLDCNISDTMGAMDVHLPLSQIATIETTD LPMVHALYPETQRSMISNGEACLKSVCLRIDMRTCFFGGLNKECDTVAELFPSVKKDI SLGMPIEAWTKLDDILLNVDIFPHLGGVDIKAFCLFPNNIMTNHSRKAEQLRMEQEIK LALPTLHKQGKMTVAVSCR JR316_0012541 MSAGTDCSPSQSSKLLGPQTRVKPNKRLEDRDTSQMHRTQPAAT YLVGQSVEKQNDSRMLLDHQVPDSVQSISHKQADLIPETEISELNQPQVNCRDMHNGP CAGLQDIKRDYEKHINAVNHDRELERKELSETKMMLTSSMLDCATLLSEFQQAKDASD SQREYFETIIHTLSNELDAAKATYWKDVQSVLLDYQRSEANLRMMHVETIAAQRTLEE RSKTITELEARLGYERAARERLDDDLAIAKNALAGECNVTDQTPANPGRILDALAGES RQFEAQRSRERLMEAFITRMRAQVADATLIDKYENTFHQIPEVIDLIERHRNLVEIVG SFSTVIEASDQ JR316_0012542 MAIVTCLKILYHTIKQCLLQIGQHYYSLISGTPSMSFDSMNSLP GNNMTDMVVESAAERSVDKARRNVHEVEARNREIHRLLIQEAYTAAVLREQLEQKERC LNALKYLLTCTICHDSVKQPTM JR316_0012543 MPARAVISSFPMNSNRGRAILVSPPWEASDATTDTSEDEDTEDS DGGRIVLGHAFRMLVRRLIAEARRQESEVEVRLREINGRLFRAYTGINTLVARVNTLS RPSPARSNVIVREAQTFQQLRVEVERLANRV JR316_0012544 MSALYLERKRHYEQYKARQRNSNYAEYKTSHHASQTMVEVTTVK SNHSGTQIGNQKLREETKELAKQNRELQRRLDHANLEQELMCQRNTELNNRILELEKL EQVQETLKATNIVAGKTIEELQCSIADVNHRLSCGHIFCAACLGKVKELNDYIWESDH KCGICRERGPILDGPTRFVRQVFWLVLPRNEPSPAPLENQDNGEEHDRVLQTGGTDSL DEVDNPSHDYGGIEDDQSLAGSDDSNDDEYESA JR316_0012545 MEYNKRKSSLVVIEWKDATQSNNKGESQTKPPRRKKPANHIPRP QNAFILFRSECIRQKMIPKSVEKDYRNLSRICGKVWKQMSADEREPWFRMADQEKISH SIKYPNYKFQPIPRNVRVALLPSLKPGETIVDFDSDEPDLDEPRMDKPCIGNIYMDDT FMNDLYMHDTFMNDLYMHDTFMDDLSIDDTFMDELCDMGKLYMDNTFTEEPYTDEPCM SKVYIDGPCMGEVYLDETCVDESHIINEPCVCEPYTNEPCVGEPYIDEPYMGKSYTED EFSAMDWIAVMEAASLQYYPDLILTTII JR316_0012546 MARFEFEVSPPPKLPVILMRINFDHASHLESNSANSGNHADDSS ESSSSSLLTDEESIHPETPEESGALEVQVQQEEPVVNPVGPSEQLQQRPTQELQQRPT QEPRVPSPAISVVDQTQGLRIREWERVKILVKDTLSVKLPYSSQKKNVEKICAEVLRD QRWSELLQNYENNWPLYSAVKVALKSERDKADKALA JR316_0012547 MKNAPFQVLADAIERQGHRFLYQVNPALTLNPPLSPVLKSFLIV GIVAPASMGTALHDIGDADIANAPLCDGTPIDGVLTLMRPLEKDPFYDDIWDAQINKL CLYQSTMNARYRPKTDNPHSTQTVIDIKFPKIRPRERDDGIVTWRDISQVVPGATYHP SIMDHASHPVFNYRKDVLLQPNIYDSYGHMVPPWLLQSELRPHTMVLADVYPTVTDSV IISDTGTPRSCRTFEIWAKNVKVLQRGTLPMEKNFLPSYGAIERVSYRPREVRLKTKR VARMSTGGKPPRRRKSAQSGIREGDLTSNSKEVDRIKPRLRTETE JR316_0012548 MACNLTGAVIVFFFLYESSDLSLESVDNMYNEPHFKPWTSSSWA PAGYSSRYDLVEQTKAAQARKPLASGAIEEKQIEHAGQPNGVNGQAVPGYTAAPGVGA GFGGDAGTQGYRRASSRVPPPPSDSYTLPPESGFGFATGAAVKPGPGGREITVDMDPS RGLQGGVGLTKEGPPGGIGMSEADGHGNKGNRLEAGDLGRVL JR316_0012549 MPPVYVCHCTFRGCGNDPNGVTLSCRVYKDHQAREEKYQQGLNY LKLQREAIERQEDRIALELDNISPSDPIASFSSFTREAKYRADHKKRLIDNISLARRD VQALLKDITSIGKAPINYPPAHEIEHSLHQCSEIRKSVEQPSRVLHTAQTSALRKEPS IIAMREALHTDIDELVSLTNDIERSWIAASVERQEYERLRQGKSDYNTARIVDGGRV JR316_0012550 MIPGSFNFGSDGEGEPIETTLGIISNSREKGQEHADSEYRATNL QRAGSKRKRQEDSDLMEKINWNTESAQDSHKPADVNTNIDIGISLEGPPSFKRSRDDT KNTNDMQGRDSRGAECLEYDDRNKVRIIAPTSFDDIQDTARNINHSLAKELENAYSII RLYESREQDTQDTQKTNTSTLRSEDLANEMNGNVSLQQERDSIQETFVEMLRVHGSTT EHTELEKPQEALDSEKAQYQLFEKQLQDANIATQEMEKKLHQTQIELRNMANELTEAK GGLIDSEERLIKLQADFEKSDMEKMNALYSANQLAEYYRTLLIEEKNHCNTISARNEE QIAEIIKRADEELADSCLLVEETAQIYEATLEDRHRREVAEKCKAEVEKAAAMAKQSQ DALASKEVELREAKLAISQQKHELDASLESRRLLEGECERILQQMDDIQKNYNGKLAD QRERAEAHRLEISQLDEVIRDLQAQLRQSGRNEQSDKRRLEEELRATKRSNEQERLKL LTDHSSKLNAQSREHQIEVDRLREEERAMKNQLADVNILLQEKSELVISLEQSKLGLE TELDNQARKMEELVTAQNTVQNELEQFRSQCEQLSKIVEQQAKDIAEEESMVVTLNRE VERLTNAQKSEDIYEVENNTTITSEHGHPNRVDKGKGKERERTPVLDHMLREVRCYMN KLLEIKQDSSITTAYENGHFITEEEYEAFEEGTMDFEDISIDFLRPYWKKPKCSYNAL LAEDFTEKFVAENPEFEDHREEVSTHFIQRIGSLKAHLSLALSKAGESEAQRQERTAR KNKAVRQNTRRYNGYNRRVADVKARAEIDPAYAPVLETIECLGEYGYSSDETDTSVPR GQGYLVRRKLWRNKKLRRVIKMANDCRRTTNINGNSLPGAQQRPRRYHDSYGPISAAP APVGKPINYYDSDFLDELSATEFRVLRPTSPRPFIGEEDED JR316_0012551 MYNEPHFKPWTSSSWAPAGYSSRYDLVEQTKAAQARKPLASGAI EEKQIEHAGQPNGVNGQAVPGYTAAPGVGAGFGGDAGTQGYRRASSRVPPPPSDSYTL PPESGFGFATGAAVKPGPGGREITVDMDPSRGLQGGVGLTKEGPPGGIGMSEADGHGN KGNRLEAGDLGRVL JR316_0012552 MPPVYVCHCTFRGCGNDPNGVTLSCRVYKDHQAREEKYQQGLNY LKLQREAIERQEDRIALELDNISPSDPIASFSSFTREAKYRADHKKRLIDNISLARRD VQALLKDITSIGKAPINYPPAHEIEHSLHQCSEIRKSVEQPSRVLHTAQTSALRKEPS IIAMREALHTDIDELVSLTNDIERSWIAASVERQEYERLRQGKSDYNTARIVDGGRV JR316_0012553 MYLDSNICIPGTGASAALRKRVRRPALLKRLMTAEELAPLFNND DYIGWSGFTNVGYPKTVPTDRAAVPPSVRLFFVPPFSDTPLTSIPNEPIVFPQDLTYG YYSLRRNHGDPSKPLDWAIVEATAITEEGGIVPGASVGVTP JR316_0012554 MSTPNTDTYFTSTLRMVDETRSPIYTPPSTPTLEITSNIRPSDD VAVRDGILALMTHHDTPESKSTVRIIRSASQKLEGQESALSPIIDKNQESERAPVPCS AQNIQQQHRVAGHTAVPGDTVISAAQVGDSAEMYALRYAYENRLHAVSLDLHQTRGEL EDVRMMLASSMLDYDKMVTEYHEVTQASILERQQTEALLEQAKVELTTIKEAYRQGVE HAFAEHWRSEAKIHSLAVEVEEARRFIAEQAKIQHGLDMRLKSETVSREQTDKNIDTM RRCMEDILAQETQRFLEERATAATVGAVIEELSTQLDHGNFGKAEEHHFEVKAQGTEP PQGAAVHSQNGYHTVFLTLRNLIFHLQELCTHMRGKGLIIDFLGSLISTLRTRNVDGA GNLADMSGVQTASVRLSDTTLYNRRETLSKAQQERNDELAQHRLTIKLPCAGLKSITK PSSISVKGDELK JR316_0012555 MHRTQSAATYLVGQSVEKQNDSRMLLDHQVPDSVQSVSHKQADL IPETEINELNQLHVSCRDMHNGPCAGLQDIRRDYEKHINAINHYREIERKELNETKMM LTSSMLDYATLLSEFQQAQDASDSQNQYFQTIIHKLSTELDATKATYWKDVQSILLDY QRSEAKLRIMHDEAIAAQRTLEERTKTLNELEILLEYEGAAREQLDEDLAYAQNALAS ECEVTAQTPANQGCISGALARELRQSEAQQSRERLVEDFITRLIAQISDATHVDQCDI SSHQTPEAIDVLARYRTLAAIIGEFSTVIETNKGLTTMM JR316_0012556 MEVSAIQPVVSPVPSAHNGRSQNKTRGCSTHTNKLSFSQTISSL KGIIRIPNRRKLSTPRIHFHSQSQDDADSTKSTSNPHFTSRPRPTHGLSHSLDTQAHL HSRMRSTGTAASNGSGNIDGIISDTEDYDKQQRRRSNIKNDPYAQFSRTAEGLNYTYK PYMSQPMLGAELSRGTGGDELVTGYDSESGSASGSGYGGGGRSPVGTGEGGGRTPLGF SATSPLALPSPSPSPTPVLLSSPPAIHPATEVAFCLGGKVEDTLIQVQHGDQGDEGEK EGDINDLYDGKAGGSLNNAAPSDTNTDTNTNTNIGTTANTAQSTTLAQISSSTFTPTS TIQTNSHARSNSPLPLLPLPDGPSSLTSASTSGAALRPRSSNGSGRTPAPRRALPPPP PESPISASSSASAALFVDHFNRNSTTLNKSHLSIQCPSRLRPQSGRLPTTRP JR316_0012557 MFKHSFFTLSLYILQILSAGVIQALGAPNILRGRTAELPASNKV GGGGYDDESSASPPCHKFSWRPIVEQRDDSKGNAALESTLNDVGIMDGMSLMPRMTRQ KNIESKRRKYRHPGKKGDGSEPDDQKPDLKPWRHKDKKYGNEKPEEKNAVLFDVQVTR EQAH JR316_0012558 MAPRLRVLAGTSPSSMVPITHLVNTPHSHPIRSDLFEGELVAQI QGMTDEQGKVHESEYFKREDRGGVTWSIVVRGRFLVLYSADDILFGNTFDRPLKLPWG TSAVLKFMHYIDPTLKHDLTSSTKPWALSPLISTMPHFMHTRIPPSSSSCMLPPFSAN QSIQDRNSGLYLALSDELDEGDSASSSSGTSFRSASSSSDNVAPGSSTGGSSFSVKSA MRKVKPKRARSTLSTGSSSSSEQGERRMKRERKLQTL JR316_0012559 MNSSPPIPHPNANAFSWYVNCMRAPREVQQHELSKQAGKVWKSM SDEEKKPFYLVCNGLQFRSFVNFLSVQFCSDRLTPSLLSHFDSPLFFVAIFGIVFLCA ATGLSIPVDDDIQNALQPQYKLGW JR316_0012560 MDSFSRGSTLTPCRSPTPSIPVQPDHFYGSENIQLPPSPDSDGK TWLDPADDPMANRGIPVFKPTMEEFQDFEGYMNRVECWGMRSGIVKVIPPKEWSDSLP SIKEQLKNVKIKTPIEQHMLGSGGLFRQENMEKRKLMSVREWVELCTKDEFRAPGIQE VGITSRSAYVPTTRPKLQRKAKQEEPQVKVEPSGPGVSKVVVIKEEPMDDYHSLSDGH SAAQAVATPPNSEGSPPAAGPSNTAKGKKKTKKKPVKQEAPRVRPKRIGQTREAREAG LAERAARDLAFLDVFDPEREWLPPGTQASDYTSEFCQKLERQYWRNCGLGKPAWYGAD TMGSLFTDATTAWNVAHLPSALSRLLPSSSQGLPGVNTPYLYFGMWRATFAWHVEDMD LFSINYIHFGAPKFWYAIPQGRASGLEQTMRGYFPKDVSQCHQFLRHKSFLASPNILA NSSCRPNHLVQQAGEFVITFPRGYHAGFNLGLNCAESVNFALESWLEIGRHAKACECI SDSVRIDVDQLLREREEEREAAALAAVASKPSSPAKNKKSHKKAVVKKENEEVVLPPV LPKASAARKRKSDAKLDVQTIPTLKKVKLTHSPSKAIGMTSGTAPTTTTISIPPSKQT PTKLSITLKLGPRIEPYPCCLCVSMSKDGLLKVMEPPTRKDAVDAAGNPKVWMAHEFC ASIVPETWVDEVDQDGVKQKVVFGVDGIVKDRWNLKCTVCTKSRPKSHGAPVQCTKGK CSKAFHVSCAKDGGSGSDQGIVFTILGQVEKEVIFSDGPLSHQHQTLLQAAPIQNTSN TTAPAVNPPIIDQMQVDNPAPTPISAEGVGAVGAVEKAAPSDNDPCVFKTVKKLEVQI LCTQHNPAVAAQKRANKNEKIRNDLLALPSMSRIKIRVSAGVFEVSLIRVIEETSTVE VLWDRGQKKEFKWGSVVFGFTDGPVLQKPSDIAPPEPERGVQHNQQLQQPVYSTQLLN VPPGAMPVTTFPSVLAATASQQSYASAGAYPASMSATSTSEASKAVTSGAATPVTTSY QPHAGPYDYWAYVSAAQAQYPYGYPGYYANPATSATTGPTSAANAGASGSRQPQPQQV HYNPYSYAHAYNAAQYRAAVTAGTTAGTSTSAGTAEVAKTTPAATTATTQLNWQQPYQ GPALAPQAQSAEPASTAGASPYYRDRSQKAAVDSAASGSKASSTPELATPAQPPEAVQ ASSSNLSSLTSLSSESATPSSSNPQNSDTPTSMISTVQQLIAMADLKIEPEQLMEILR SNPQWQNIIMAAAANAGVQPSNPPSTS JR316_0012561 MPVVQTPSLPLAHKSYPPYDMNYYSASSSRIAKAPYVRIHTPSF DGPFPGQEFPSYDGYDDIHAPLSQWMSTGQMHPESDIFESAQCPPTFNAAQFDGGSYY SQPDDSMDSNIFSWPYDGASGYDASFSSIPEPSTFPNAFSPSVSVVSCSPRSESLSLS PQPQYHEYNSSFNFDFTNAIPQQFPSSSSSSSWSQTLTVDHSPRSSMSHSPAPSCSSI DANEHKPSSKSRDPSPSQSQAETDAQNQRRRFPCVIIGCERRFTSQYTLKVHMEAHKP KPRSSFPCTLGCSERFSRQHDRLRHEVAKHGKVCEFSCEDCGRFFSTKKTLGNHKCPV AQGGTRWVNN JR316_0012562 MDRPRRNTRAPIKAPQLIVPLPEPKAEEEPSGNDTNPSPTTRPA RVYKRKTAPDGEERAKTAGPPINHEKFFKTLMQSSKSQLVSMDMNDIINANTWEVLSE DAKLHLKSLLPPTAFKDWRETLGEDHPALEGLSMKVERAKEMVGARSVDMPTENGKDQ ENAMVVDDDSLPQSMPSDSNTCSAPNSISNSLMPTHPLSSSEISLSFFTDSHFHAALR TFQDHLYLNYFSDTHARKVAEYTDGIISGKLAAPWKDEVWERDNPVSTPSVAAELVPA GSGSAALSGALAGGASQVKLNVLAKRKVIRVGDVIAYKRTFSMLDIVVEKDVIVQSIH PKTHAIAVLTSRGTTKELPKHVLSSSSMDIDADALSSGPATLSLTINSPTMLETALLD IDARVDKARRPNGNAWKAFNVYRYRAGTDYNPYDDRGGRELHGALFYLRAEYYSENY JR316_0012563 MSFLKVSGTKLVDEQGNEVILRGAGLGGWMNMENFITGFPGCEY QIREALAETIGAEKSEFFFDKFLEYFFEDADAAFFKSLGLNCIRLPFNYRHFEDDMNP RVLKESGFKHLDRVIDICARHGIYTILDLHTAPGGQNTDWHADHGGHIANFWNHKDFQ DRTIWLWGHLAAHYASNPWVAGYNPLNEPTCPPPHTHRLIAFYRSIHTTIRSNDARHT IFFDGNTFASDFTAFEEANVHVEWDNTAYSIHDYSPFGFPVSEGKGNEVYRGSEEQKR RMRRGYERKREWMDRRGLCVWNGEWGPVYAREAFEGGDVEEVNRVRYRVLQDQLEIYN KDRLSWSIWLYKDIGFQGMVHLPPSTPYTTLFSSFLLKKHTLAIDAWGSSWSSSPLLR GIYDPLIQHIKDHVPEEYRNLYPHPVWKLEDRVGRLSRNVLVSEFLVREWAEHFRGMD EGRLDEIAKSFKFEFCVKREELNEILRRNAKLVG JR316_0012564 MELDHHHEPQPQQHPEASPPNAIDLVGGPFAVIESDPGVFTSLT RRLGIPGLELIELYDIEPWAVDHLNPHGLILCFLWKKDAHRPTDFADPAAERVWFAHQ LSDDACATHAILNVLLNCPRVELGEELSAFRRETERMSPVMRGLAVTNSRIIRPAHNS LARPADIRSSISNLAITTLDAEKQKEKGLKAKAKQEAKEKEKDGPPPAKRAKTGATQA KGSAKANANAKGKGKGKDKEKEKASANAKPRAEAKRKRKRKSKSKYDYEDDDDEDEIP DEDEEDDDDGGGSDDEDDEDEEGKGEQAEEETYHFIGYVPAHGKVWELDGLKSGPLEV GELPSPPPPPPPLSSSSPSSTSPTSTSPSPLPSSSSHPHNTNPHPHNGWMDIARPALR LKMDKYGGSAAGGSNIRFSLLAIVDDAYCAAQDEWEFCRRDWVGLQRALSGSAIPKTK TSSAGMDMQSGWETMVDPTLLAAADAAFTPPVYPLPPSASASTSVPVPHIHPHAHDTS KDPSPLSPLSPPPLTPPSSSSPQPTTAPQTQTQTQTQTHAQNHTSTSTSTLTPSTPRP LTLKPFAHDFASRRMARDMHIMRLAESLDLDRSTQSSEVQTNAQSSDAQKKKKMETDT ETETQKEKETGIKSAVPVQSPAPVQAQALAREWEKCVRDGIRAKIALEDEIVKGVRAN TDDIKRTFDYDPFIRAYLAHLKDEQLLYPLLGRDEEGRKVRVGGAGRGRGRGGGRGRG KG JR316_0012565 MAPLPLINPPLQNSSFNLDIFSIAGFFGGDDVLKSMYMGHLLAQ YVKQLPDFSDLREEPPKTRSTAVTIIDIKDFEQLDHLSSRSSTLHLLLSYFTMISSVA CAIFSLILFDDKFCFSAILFGTIIGGVSTWVVGTGNLTLQTVFSPSPGSPPGDGVLIG EDFTVLRGTEGRVNAITKGRFHLKLRGAPNYHIIGICSLLYLLQFIAQLLLIPQGHLA GQLMFLCSFSISWIYNRTIASIDKEAMQTELLRQSVKMDIKKYILPNRTNAVVFTCLS LRRSLDETEKNPVYSDFNPEALLATLLPKDSRVWRKWRQVVKDIHLRKQPPEYFDDAL NEDDVADLPEDQRKLLQILLNDANIGAPGKERGLPACDLFLRGTHKCHSVNLRDFLII LRANCQTLRVHVIEMCAALLK JR316_0012566 MAQNGKQLLRVDLEERKILNKGQSDITPTITRLSPPNKQGPKQA LIKHCTTAVLKRDGTNVVVGSNCAATSGSWVSPYDNVPTNLASDLDIDHVVPLKEAWV SGARTWTNAQREAFANDLVRPQLIAVTDSLNQAKDPAEWMVPLASYRCTYVRAWIHVK YHYKLSVDQAEKTALTNYINAC JR316_0012567 MMLVKVPMGKPVSPHGFVSYASDGTPTYLPLRSVSAKVYIVDVS ARVVLTQIYHNSDSNNNQCASAQYIFPVPANGAVCAFTMQTADGRMVRGVVKEREQAE KEYKFAIAHNTFAGLVYEATPDIFVITMGAIPGGQDVQVTIMYITPLADSDLPGYDQI EFTLPTYIGIRYGPPPASLPRIHSSSQRLASHSSHSHSEKTMLDIAVHIQMPSEILEI VSCTHDIKVDAHTTRTDSRFGLSTSYTATVTLDASGSPPRLNRDFVLAIRAAQLGSPR CVAEVVKAPYSVHKAKSASSVAFALTFVPRLKLRASVSSSTLSSKLPHVQRQHEYIFL IDRSGSMAHSRIELAKEALTLFVKSLPSTVDGAGVRTTFNVFGFGSTCVRLWNTSRVY DEANVRAALDHVKSIDANLGGTEILHALRCVLQACNSAIPTSIFVLTDGEVYDVEAIF ALVSSAVAQSTPTSQNISALSPSPAPLRFFTLGIGDSASTALCEGIARAGGGVCLMSR KEADVSAKCGRLLTAAESAALGVFSGGNGGVGLDLGTGSPSNSGGPKGQSGGTANCAG EIEIDWGHGRGKLLQAPTRISALHPENRFTAFAILEDVDVDADGIPDEVVLYQRGKDG KQSEVGRVNVCLVEDPMHTLSKGYEYGTRGANKNTPPLIHTMAAHRIIQQLEDGNIDT LHAVRGQTTNGIHSSRGTDERERRAEVVRLSERFQVASRWASFVAVEDTLHGDGDGNL GVDNKNNTGKVVANEDDNADDDDDDDDFYLDEEEEAHAHASKSRTVKLTSTANVSSTV QPNSNGNIHAKQHTNPIEHSGANPNATADTPDPSSIGGLYPKTDRPTRGDLNVKISGA RSGGNPPRMTDTSTYAPYAQTRPHNTNNMFNAVANHSTIPASTSCPVPVSSTSVSPGT PKPLAGSGRGRASTAWNYPPWPDVDNTEQVNGGQIYVNSNANVSAQSRGFPSTTAINY GAGLNGEGAPSTNSGAMGRPLGLRRSHTRITSASALPRHDVLPASSTMNTTAKVSSST DTRGTMQIPSHFVNAFRPPGPPTGSAPPATSNPCAGQNSVSNTAGQMHAKGSIYFLDT ISTIDEDNRGFAKNDAEVSSTQTSVGHVDGPRDVPQNTVLPPVDMHYKVVQSAAPPPV GRDACLDNAHAAAAGCHVHMRMPGAYPYSHGHVEPQSTCPPPPPPPPIHNPTPAPAPI PQPSHLDAPRHSSVPVRGGRLGARPQSVMYELETAVCIPSFLDSVSGTAVLGTVDKED EERDNEDAPLLFVPTFASKTGVYRASNTKQGDNEDESGTARAPIIAAANMQRFDGSFV LDAQLHVLMGNSRRNVSLERLREAIPARLKERVGANVHEAETVWATVLVAAYLKKSLP QEKEVWIGLWDKAAAYVEGKVGRTASQGLEGLSFARLVLEASRLVLAWVAVRMTSRFR LSYVNLVIDPDDSVFSNTL JR316_0012568 MSSDSPPPTSDPPNASAAAATPSSLSASTPTTQSAAHPSATPSS SSAPTPTTQSATHPSATAPSAAAAPPAQPAPPSARAASSLVSVPRDNGNAGALPFFGV NFSSSRAPRPSELLQPAITILVVTIGYIVGLFDRADQIDMNLLLRVPGYAIRQFFSWS EAVAYYTERYLAGDVHIVPPSPSTSTGLSSGSSPAPPPPAHVSVPVPPPPITARQALS TSRDPTAVRRTAAPAIAPVRAPEDPNARYGSEFNPIPVGMGNALTRRAVRLARLADNV PSPSSSTPPSNSKTSSVSTAPSKSKGKAPASVSQTSNSKGKNKRPASSTGF JR316_0012569 MNGSNWYCDPQDPENYIWHSRASPDRNSPSSAPPPSYSDLPPAT ITGNSESAAGSSSATGSRSSRASTNSANNRTRWRGNPDSPPWPNPPLVSRQGEGSSAS WNDLSSASQDADSQRLTQHQQRILSAAAAARRQRQSLVGSSQDSATIGNSQTLEQSVL FTCDADFFNHGASHPSLSSLMASADSGTSAPPGSEETPEMPQDDDDEDMM JR316_0012570 MARQRASKAIKTVNREMYLRRRRAYYEANCKRERRKSQERYQRR KSLPDNELALSRQKHREAQARYRERNRFTLKVKAKIYYRKKQNPPNEEEEDPDEEEEW IRLSALAHGITEEEWISLHGRNSYRGGSPVH JR316_0012571 MAEVTAPGPAKNLFQICFTCRDMMNDQVYSESLSAVTRSWEIFI NLCRHRQSNLLRSQPTFERERMHTSLLQAFFDLPGHIVAALQDPGFDLRAPRIHTPIA STTYASTPLERPLTEGQQKRVVKRNKAANNARAKKRQKESKAADAYVRARAHSKLIDK SAPAHCDLQTENIPVSSTGYIALNQGPTSDRAYTLEDLVGEQSEYKFRLIKHTGESTP IVDASNHVIGVIANHPNDPYWQDLQRQASAAIEARRDRCCIPIEDETHRRGAFISLNC GVSHGGGRKMPGNVKNSKPNAEVLAELNAMEPFQRFSSFASSVMYTWANQLYQYYATT LSKLHSKHPKLRRIFPDSIFSAVSYNFGPWTTCFQHKDFANLAFGWCAVTALGDFDYT AGGHLILWELKIVIEFPPGCTILFPSALITHSNVPIKETERRYSFAQYTAGGIFRWVE NNFMTRERYLAKLTREQRAQDEEANATRWKLGLSLLSTSNNAKTTA JR316_0012572 MALTTKASTYDFYRALEKSTNNTGINLPPSRYPALFRMILQWRH LQMLKWAGRGHSPTGAAGTGEGELAIQCPSCPHPSINLPVGWENAPDEMKFLYMVMIC MDANFRLKNQMVSNWSQDPGLGIGWAYMIPLKGYEEYVKSRLSDKDISTCVGFQALAK ANTKFSIGLRYTGLGLTVCGRSEMIMRVGNLHKGERYANMDYIFASILRTLAVQFVLA SYDIACQWFINLLRRIETQWPDEIKPRSNITLMPAIPKLHEPMHKQQNHELYSYNYMP GVGLSDGECPERVWAPHNVLANATKTQGPGSRHDTLDDHFGFWNWLKYTSMGTTLLRK YKNAVAQRNIQTEGHRGLTDSLEDPRLVPAWEKMCVEWEKDPFPKTTAKNPYYVKETG ASEAEVKKALHDEEAEFLSKGGTLPHKTTPSVFIGMGLDLEEAQRRLKRLATNTSADA TIRQEGTLTEQRNILTTRIRAWEQLVPIYMPGLLQYQTDNPPTEQSTHAEDIVLWLPS MVPAECRETICVAGLADVEQKLRMAHMTDSLNAIRQILKIKSRMIEFKNKNIRGQRGG TRSTSVIDRVHERARFAAGKYRAARKAYFELAGPGNWEQNYRILVSGQHYNQCDKATN KD JR316_0012573 MDVENQPEEGDLFLFNEERTKRQGSGQTRRTLSWIWTVRTNAAD TTSKEEKDDLLRVEWARSRARVMRAKEEVSMLKEEMRRTLVFLDWKAVWWRERKNAIS NASSDRLEAISAFAIVQADLQDSLAEHFRNLWRSPLQEATLRAAGSSIAATEPTSVSS TDPASTLTLLGDGDEGDDNGDDDDKYNEEEMFEESGVEELDITANSVAALNDMSTRKK VTEMLPSKGG JR316_0012574 MDEVLVASHTIAAQPLVVTSDLGASGSLLLLVKKTRETLSHPQT PLIAVLQLLLLVYSFFVCSTHLGRRWHIIFGAHREGVSNIEPSTSRSLRQLPSRTLRQ ELVAAFQNPENLSDESLFIEVPENQATHSYLPSPTSPLFSPSELPSPISGSFEFPTTT HSHISPFILTPSPTSNTLQLPLQQFMPQPEAATMSPHMPARGDRSAPSFDPTKPRELC RYFSDLEFLFSISNVTDDTEQKKHAVRYVSLDVADIWETLPEFTNPLKNYQSFKAAVM DLYPDANDAYRYTMSDMDLLIGNRQRLGIHTLSDLAEYHSQYMAVTNFLCSKSKLSTL EQKRGYVRAFQPALWAKISQRLQLKKPDHYPDEPYDIIDVQTAAKFVLHGTHTASALA IPSSTPTASIPDVSIKPEQLGSIFTEFTKSIIEALNSTQNRSRSTEPTTQSGIREVKC NFCGKDHYIRNCDLVEEYRRAGKLKRNTEGKIVLPSGAFIPRDIPGTLMKERINEWHR RNPNQLATATLSASTLFGAVSSVQTPLESLSARQTIQLTYHLTADDRITLLEAELFNL RAKRPGFTPIIRTRAQKERAPVAEEDREISPPRDSEAPKPSTSTPDTSSQPPMVQNND ITAPRATTDDPQTEHPFRNALDAVYMPPQSRNVAALPKPAPKKNEPAYRTFPPIYDSD VANTVYNRSLEAPVTITQRELLSIAPEIRSQYRDSTTSKRSANTDKIAQTNLLENRAD PTSNTVVFNASTVHPLPSSQHRSPPEGSTVIDDPFDIYYRSLRPGQHPDPNKIIVAKE SSALRSIYPIVDNSLKVECILDPGCQIIAMSEDVCHSLSLIYDPTIKLNMQSANGTVD QSLGLA JR316_0012575 MPPKGSKKKKAVVNATVEGQEVEQGPSSVAENEPPQAPEADPNG RPIRSTRGLGGVNARQEKTSNIIFIDFEKAGKRKSRAANVDTSAMPENEMAPPLKKPR NGVTVVSHVGPPIQMQPRPTPSVAPWDVQQVQPSPRQSQGAPPPVQIQRAAQRNQEHS ELDHAVQRPTAPIQRPAAPVQQPAHPVPRPVQHAAHPVPRPVQHAANPVQRYAAPAAE NSVQYYDAPVQQPVHPVTQHAPVQQPVHPAAYNAHQEASISHQDEEEQQDYEGIEQEE DEEEEEGDSDGERRSEEASGDECMQGIDEENIGDEEALQYVDGDEGYDDAGQDDEEPA AQLVDEVSDDEEERRARALLRQPSPHVVEVEDVLQEHRRRNRANKPPRPEALRKAAVS QGAVSQGLTRENNEASDDDEVLGEAHIAHKKSSTSSAREVSKHSVASFTGYWKDVLKI ARKLMCLYVVEEVPFPTRENHLLVADGCVKMAVTVFERMNTDKVLPDKKKTLLDRNTA VTAFVYASTFRGRLKTMIRPLVKNAYGLEVPSEVIAANPNMFENQMGEIEYIKDRVAY WLLNGKYHRGVAKTRYHDVPFGHPFVKKICLDFFYHPTKGVAVPIKGLETKTDFFKTS LPHKAFALVASCIHNCLEEWRDGIDPARGGPCSGIEFKGEEYSLRYDACMLVAAEAEK DTLNQGPRLARLCREVAEEGCAIMRPTKNPPNPYRMTLHSIPQEELDYGPEG JR316_0012576 MSSYKRRRLDSLGNRTDINPFIDIEAAVSDDDESSEELDYEGGQ LLNDNDEFSEDEERVAHSRLYHAMQNTDNADEWSDLLPMLLPSRMKIRPDNDIEPSSS RELIQKYGNPQPGGLGDNNYMPSATDIMYEIGCKVGREEAVAFKIMQMSTNPTFPIIL ARSVFAQSSIPGRIYVEAPSMQHAHTLACLVRELNPTHLVRLSSERCMEILSHPPPSR PEDQSWVKVAGKRKAWTTYANATGLVFTFQGRKSVVLIPRPPDNIKKSHLDRIFQDGF IITDFDAIDLKYLSNVLPTSSELEQFRECPFVTTETLAQASKAISMTRLKRYDRVKII GGEYLGLFGTVKSVSDAEVEVHIPSQGITQAVALHDLRAAFQIGDSVEVVEGDHKDLH GWVSDFDGRSVCIIAPEHEREVIVPIHTVIFYVPPAHATLRPRKRHSSKLGERDHNDV YIGLSVIVVGNNTFKGYYGIVKSTTPDGFADVELEARNQRVERIKISHLIIHNREHIN SAQDPGPSGGATPMPSTVASFLSPAWNPYSAIPVHSAVEIAELPSTVAHWLDTKYDKL KGLRLKVVDKSKGDHQVAMELLSLTDDTAHLALLGRTLTLPKSVLFPIHPVKKDDFVT PLEGDSMGIIFRIRSIDKDICVVHKYPVTRMKRGDTFPTFPTTSLIQIFPPSRGVKVV NM JR316_0012577 MLPESHPLRRMVDFLRRYEDFTFLNYDVSSSSMRAAIKYRQSLA ALQNSIVETPCVTSATWEQAGSLFAAYRLLDLVALWPAAFGPSLPVTVPLNEIQASTG TKEIFSRFKLVDDLKDWPAMLCSARKRILRADSKSHRNINTPSISDTPSSAFTQGGPS ESTLLLCLARNHFKGVVGKIIGNVYCASLHYQILSGMRGMKDDEHVLPDIPTDTATLA NLYASGHPDAALFLSEVTPSHLKIPLHVALFISPILLFVNKSWYSKKCDREQLLKASK ALGNCRPRVLREVEMEIWKIIAAQNCDIQSALYKLVISDCWMECERVIAQDPAFHFFI SSTSSAEVTANFNLARRSTEPHAQLQTVSHHGEKTTCEGSDADAEGDDDHEVDTTEMV SMGQRENGDNTVPTSNIQPPEDTSSVAGKDVSMGQQENGDNTVPTSDIQPPEDTSSVA GKDVPTDEQPGLPRSDDIGSGLLQTENTGPSSAGDCEMNVDPEQADVDHQMEDGPHTN GPENLGDGGLDKELKGLLTRQIGEKQGQDGERPDEENRMSEDGEEERPDDSSSDNETE KQGQGDVPPVQEDRMSVDGEGVGPEQNNDSSDMERNTLEDSQGEKEGENAPPAPLILR IPPVSQINRSKNTTADSSQKGKGKGRQGLSKRPPKRKPTQATDTFDSDDDLCIDVDLY DSNTTLDVVSTPEKVYGTKVWSTYNGRGQLKSFCVVAHSQADVDRVERVLELVESDYV DGVPLHIARPEESCFAVFDRSTTKSMYLMESFSERNVVLMSPSQEESISTCSDEFYSQ VRRHMGDMTSTRSIQDMSTMSSNPIERIKRGSLSQVMEAARMKGKRGKILNALDIPLP HAGAHSFDLSTEAAALRATSGSWKYSTPVPFGDMSWGLVATEGAFSDIHIDANGFCSF IQPLHGLKLWIIMYPRRLDVDLSSDRRVFLGDKLDYGETHHQDWIYEAIVLDNQSELF MRPNTLHMAYSISSVVCRGGHFYSSTSFEETLTGIIHCFTAGYRATNTNHSTSRFFLQ QTIHWFYKVLVEGDSDPEDFYEMYHVPFYSTQSGYSSLIALCVCMILANALDYETYRN PDQMFSTKTSAQLDAWMRWDTNSLSDEERKACIFARGEALAILEWLCHRTKSIHYMIE TDKNKDAQNIMATEKFHEMILCRYARMILAYDAEAKHNNIGGAPCCTDTSLLFVQLQG VCSGELNKVICRSIDQLPRSSVPKMLKLPVITLIDCDACADSSTQLRTPKEILELGTS VRDKLYLDGMKVKLESTNPIVCCSYRQTNTQILAGMKASGFTVTASNRSSSTSRSATP VSRDPSPEIGNFMEVDYIDNFLGASSEMEDDPPPKASKYNYPYVKEDYNGAGRAFGTG LTFMDQLKQDQFEPQRAENLYYPFASKDEWELSLFLLRSDMSVGMLNDFLKLELIKKL NLSYKSAKDLRNRAEILPSGPQWKSQTIIPEIPSKNQLTLFYRDGLECIKALLISPLL QDSMHFSPFKLFDKCNEMMRVYTEWFSGDIAHFMQYNKVPKDQLPKGATLVPPIISTD KTNISNMTGGRVAYPGLISIANIMMNFLHRSKAVNGMMAARLYHQCMDIALESVKQTA RVGTTMADALGNNRFCFTPLAALIVDTPESALAACVAGSTSSVTLAQYETFGDSFRHP SRTADHTINTIMAINNVKPPNHLEPYLKESKKHRLNGVHLPFWRDWPLSDPSAFLTPE PLHHWHKMFWDHDAKWCIAAVGGSELDFRFSILQHRTGFRHFKEGISSLKQVTGREHR DVQRYIVALIADTVSTPFILAIRSLMDFRYLAQSQTISEAMCLRIEQALQDFHANKQA ILDAGARRGKKNNPIDNFYIPKLEFLQSVVHAIRLNGCAIQWSADTTEHAHIEVVKAP SSSSNNQRYEPQVCRYLDRRDKLRNFDLFTAIREMRIDFRAIHSATITDEEEQEEGDE GEENGEVVMDTTSELLSTIMPMTTFQSAKSNRIVDYFYKASLYERGVLEGPVPYRTFS CSKNVVAHLSRDASSKRLHIDEVASIFKIPDLRPAIADYVSLINKESNPRQTNSRGYH IKGITGRRVSPPGCPLPYSKLEVWHKVRIQSTAYQYPHEILEAVTLNAYPPSNKHPFG YFDSAIINVDESEEWPRSGLQGHSVVDIRIIFRIVGETPSTVSPDITGRFLAYVQRFE VLNQPQSLGSAIRGPYPEPITGMYKLKRSQRTDNTIMGGILPLNQIRSLVDLVPQMGE KARRSLTTHNNQAVSTLSEIWHPQDIPSVFLPPAKVGGSSFPPTHSRPTSKQISSDLQ SIASHTHPIHPHNSHPSVSGHNPSPTLLLALGTKSDQILPLKSFVYKVLRHSRTSKNV LQIALCYLESIRPKVPQILQEENIGIRSYAQPKSSIQKATPEELAMDAELTALENSGK INIINNFIDNSMQTFRVADSGSQDLAESCIYPQDSLSSVDVQVSTAPLSTTLSLPSPL LCPRRAFLASLILASKFSQEKCYSNRAWARLSGLPPREIGRCERALAQALQWRLWVGK CAFGESAATAT JR316_0012578 MQIISYEEILRIEAEVLAPTFPDLIHPTTFPEAASLASQRQQYD LEMAQLVEQTQKMVLLTENRLILAILALFNEINWTTLDPRLLSLAKAKITEGDQWLKA RAEETLRDADSGSPEHILTQGMSIIANGQIHIRTVEDLIRECQDH JR316_0012579 MRTSLLSVLAVAAAISSSVASVLPRALPTPVSVATAKTYLAALT VEAESNSPAYNRDLFKHWTTVSGSCNTRETVLKRDGTNVVVGSNCAATSGSWVSPYDN VPTNLASDLDIDHVVPLKEAWVSGARTWTNAQREAFANDLVRPQLIAVTDSLNQAKGD KDPAEWMVPLASYRCTYVRAWIHVKYHYKLSVDQAEKTALTNYINAC JR316_0012580 MHNFAIQSIWDHSKPMQRQSSRDLLPLAPETLIHDALLLNFASG GLDYPPPSNASIVTLIPDAMLLIRVNAVYAWDVRTLLLTSVLFIIQMATSLIVGVLAV SSAQVYPRGSYPVTGCPVSLTANFARFTLVAWVPSIISQATYFLIMLNTLANLIRSLD IGTATSPASASAARPALSPSRLNASSLPPHSVLSDSQGVTARNSGSTQHSAPVSPLPT ASSSTSTRNVISSPPTRLSLGSNPPSRSTPSPVIFAQPFSESQAMPYPPRRSEAALSS PKEVRLHSSPHEPGSNIPTPKSSSHVPKQGRVPPEANKGYATSPLSFFYLFSWNRIKQ VKRLVPTMIVFISHGGWYFTLGILAKIANVVMIVMFTGPLQSFAIPFMMALYPITVTR IYLSMVYYLHYRVPMKGPRLVASYDGQDFASGFSGDEDDYIDDDYDYDDVVTDDAYNQ HGIETTWEIEERLTMGRLRFDERRYDGRGGIPARDKGKDSARDKQLPHRRNSDMRSNR IRGPRLGRITFAVRESLATSSVLDLGFLRDEEF JR316_0012581 MRTILLTTLAFCASVLAQSSVVDSFVATESPIAKAGLLANIGPS GAKASGAKAGVVIASPSNNNPDYLFTWTRDSALVYKTVIDQFIRGDTSLRGTIDNYVS AQAIQQQVSNPSGSISSGGLGEPKFNIDLSAFTGAWGSVISPPFMIISQSNSKHIDGP ALRSTALITYANWLIANSNTTFVTSTLWPIIKLDLDYVAANWNQTGFDLWEEVQSSSF FTSAVQHRSLRQGAALASAIGQTSVVSGYTTQANNILCFMQSYWNPSGGYITANTGGG RSGKDANTALASIHTFDPTAGCDSVSFQPCSDKALSSLKVYVDSFRSIYSINSGIASN AAVATGRYPEDSYFGGNPWYLTTAAVAEQLYDALIVWKKQGSLEVTSISLPFFQQFSS SVAVGTYASTTSTFTTLTNGVQNFAEGTLAIIAKFTPSNGGLSEQFIKTSGVPTSAND LTWSYASMLTAFASRSGVVPEAWGAKGLVASASCGTSAGAGTVQVTFNVQATTVFGEN IYLTGSLDALKDWSPDNAMILSAANYPTWSITVSLPASTSFQYKYIRKFNGAVTWESD PNNSNTTPASGSFTINDTWR JR316_0012582 MRVWIDNLLSVNSDSFKMPLRIGIIGGGIGGLTLAVALSRLNLQ DHIQVNIYESAPELTQIGAGITFWPRAWKILEKLGVDSVLAGQLSPGQHIPDGTPRLG FHFRKADQPNGTPIRDLVFAGAALSFHRAVVQEVLLKNLSPSIQCNLSKRLRCYSVLS NEIQLSFEDGTTAYCDLLVGADGLKSVVRKQFVRENEPFSQTTSDPVWSGSFAYRSMI PSHLIAAELPEHRALNIPTIYCGKNQHIVAYPILQGSVINIAAYVCDMEKEGTQYDGP SFQDAKNEEVVPFFDSWEEEVRCLVKHMSKPSRWAIHAIQPLRSYTYGNVLLLGDAAH AMTPHQGNGAGQAIEDSYILAHLISKAVQTQVPLSRLTNIYDAIRRPFANFVHSASRT HGLLYEFNAPEFLEVQKGEEVGLEKLEKLGEMIVGDWEYAWLTTAEDDLERGLAML JR316_0012583 MTPSRIFYGNSHVHRQAIITLHGKEAAHTLKLSHSGRFLAVGND EGLLEIYMLHDSVWRRFGIYESGTSIRALAWHPSEDLTIFCGSANGSLHRITMQLDGS YVTSSAIIPGYIHSLQLSPDGSRLAIGHGRSVSIVKDPFMEPNELGEMARLNVSLSPN VQSLSEYKFSRGIFFASSRIVIIPFLGTGGITAYSLNDYSSKWSIQLRDNTFLGSAAI SPSGERVAVMNMCDGIDFYSTTHRRLLSTTRYVLSSRTPPRNLIVDIIFVDEDTVAVG HSDGYVAFATFGVSEICGTFALDDNNYRAPVQAITFGLVNHQPHIFAVIPAFSPWMRT HGAIANTIHVGLIQNNDESGIGEIDSTLDDQYPSTRTRPLTMYSANTFHFTTMQVAML LLVTISSTLYGKYLLSTESHLPPPHLQVAAVTEHPTNSASETSVNPSSQPLFLEGSPT SPAHPFYAFTLTGMVTGKAALTRFPMATFPEIIEEFLSRVLLLPLDASREEVNIALAN CLHYEQQIRRWFAQHRNHPILTEDPYLGLINIFQVPDAVLRSRPRSDTENMHILTFPD NSYEEFPGSHLLPLQSGLVRPRGNRAIVPSIEAFLNNFHIFSHGALSRLPSWENIVVA GGSVLGCLSPPVNASSSNMELNDLYQSPAYWDSDIDLFIFGLSHQEALQKMENIYNSI QETIPFHTICVRRANTITIYTTWPVRPIQIIMRLYMSPSEILAGFDIDCSCCLFDGQS VYVNPRALAALICQSNLIDISRRSPSYEVRFVKYSERGFEVHYPELNRHNIAYQKLYD IDLQEYPQGLSFLIVGEMEHKRPHYYNNLSQWKGRVPKARRLYAPENIGTANLKELIF SNNYEYIRIPHRPGVNSRIIEKWVKRFDERANSKYNLVNLNRNLHRHAAFAGTMAECL ENFCMNCPSPQSAEEEALVTAEPMYIRGPARFIESDPGRQMIGSFNPITIDNWTEGAY RS JR316_0012584 MSATASYKGFSDSSPPTSLSASVTASVCSSESFQTAQQSAFSPQ FAESFIRGFDLNRTDTGSESSFGTHTGSLENFSPVSAPHNNDVKGSMMVACDETSDNS PQDEEMHDELSSDSAEETEDEDYIASDDEAQMIVTDSTNVRLTRLEANITAVLAAIQS LTAAAAASAQGPTTTPTSRLGVISTRPLGPNRRPADRTALMRQVRAELSRLLGDDFLS FENVLTRDELDVFGSIWKSSTAEPRPSCCDVDNFKVDLIGSPRSDWNQSASRVFAKHF VTTSAYSHSYFPLVQNYFYQRMKSLQAAFRRKNADDAARQAETTAKRRWQRKQNLFHK RLGIAQEHPLLQEHVPILQRLGIAGMSSDESDFEDGPASTATGGAPRYKVHPPAWRAS ALSYWLQTIDSLQVLFRRSNGKHRGSFPRLRVASGEDSDSKGFVTDLPITAYNPNWLA ARPFYMFDVRPTDENYNFNHDNRLFAYFQV JR316_0012585 MQSVIQDLDPNSDSSPPLNTQRLPNYDKPPFVQIEHFPDIDYAH TRPPYNSHEQREFSVSAAHSRYHSESNIPERQRSPDREGIQVSSHVIAQRSQQDWTEE ITRELRRISGSSGHSGQRTGHNHSGSVANPVERRESGPQEPFNRGRREMSLPFTPAPY RAGIEEKKSEVRRVIAYYFHSSAVSPQNVHQVVSVITGSTDDYGALLSQLNILGPPTR YEDIGEVYNRLHYIVFGEERNTNPPNSLSYTPVSAHNTSRRFIIDNDPGSISAPNTPR AQQRSPTSVREPAALAAPIAVYPLNPPTSRSTPSPPIQSRIDVGVGSLPARPVSQNIR ESSATSGLRTAPILSPTSQNQQSTPPHSSLDRMLSHASNLPLPPVSPASVPLAASSFS EGTPRQSVARHATPQSQVSPPHSLAPNSSASLSSATTSLSTATSTNNTSAYFTALSAK VFHTEMEGIDGPIDFTYTISCSTGPLDNPPVAALEEPPQSGDLLFNQFPHAAQSLRQA QVWMYVDGVSPNEQGVWVNISSNYGSFAGQPIPHPRVPSRCLSVYPGKEGRPRWIKEE TWSSNQKKYLAEADKKKRLDIGRASLTPAPSASPSSVARRSSD JR316_0012586 MRTVILLAALGLSGTVCSSPLRVRDIPTPVDGETARQFLSELVV AVDSNDPPYSRSLFKTWDLISGNCDTRETVLIRDGTNVVTNKACASVSGNWVSPYDGV PTTLASDLDIDHLVPLKEAWLSGARDWTPAQREAFANDLVRPQLVAITDNLNDSKDVA HFLPPLASYVCTYVRAWVTVKHFYNLTMDTAEQAALTTQLANC JR316_0012587 MANTTQPRLYSRVHQGDRVKITAGEYVGLVGYVRAESDKEMSVY LESQDVTIDIIRHNVRANYLVGDKVRVAQGDNIGMTGVVVEVEGRRVHVVDLVNESEV SVRSELLEFFEEAAQIALRPLKRHKGDIGPRDPNNIYNGKSVAVSGLHSEKGYHGIIK HTHPDGHADVELEAKQQRLQRFPLHDLIILPASENMNSSATQDVDGSRTPPVLSPMRD LSPAWNPASATPEPTEQSSEDLSCLNDDRLHGVRIMLTTTDAGPSAPIMEFTGINDRV VSVKMRGQIVQKCLSQILLVHPTKAGDIVTPRQGPWVGQIFKVREIIEDTCSIHKIPA KKLNKKESHPTMLRIELLCMYLPYR JR316_0012588 MPPSSTTTATTPKSPESVSVSIFWPRGTYRGHGVCYGWLKPAVC VAGVLDERGDLDITTLDSQKALSDALSHSPLWKAVQSSCEGNPIPLGLCEFSGVSTIP TSLKLWMLPPNIPDAENRTLSFSFVLYRPHAPESLRFYVMSRPDVSRSPSSIIYGMDD PYRKLSKYDFTRSKPRHIDEALNDTVLNQMNASSLVQKALEHPNISPKLLPTTASFLL ALASYLASLSNLSLWLSNVAANVTNLPLFPIPTYGRLGDRSKYLLLKDISATVQQFDV RVEQMVFFLTQIATLQRQNTKDIANYSDRYTNFFNTAWLIMNDMTIGFAFGAFLSENN IYLAERANALVQDLLFELPQTALFWLDAWPAGLKLNTQLSHFYVTTLIGLMFVLITAI IPPLAPSLFTTLGFLSSIGGFTLLLSLISDLLSLFLTVHLRVGYELARVVYWAAGVKL GGGLLWGVFRGKRRNVLRNRTDTWSYDIDQLLFGTILFTLLAFLFPTALVYYVLFAAL RLATLLFQACIETLLAFMHHFPLFALMLRVKDPWRLSGGVYFNLHVPNGPSGVECAYL SLENQPIPLSAIFFQYVELWKRLAKHYNPLRLLFQVLTGQHLASIPRYSIRYAPEAAD TVPLSKQKTE JR316_0012589 MESSGPPRRPDAKRKLVVVGDGGCGKTCLLIVYAENRFPEAYIP TVFENYVTLVQHDHRLIEVALWDTAGQEEYDRLRPLSYPESDVILIVFSVDFPTSLAN VLDKWYPEVSHFCPTTPMLLIGTKTDLRTDDTTLRMLSAQGQVPVTAEQGMAVAKDIG AMYKECSAKTGRGVKEVFDAALRESLKGRWGRTIKQRKCMIM JR316_0012590 MTPANHASLFESHPSGIQHTLAGRRMFPHLTTLKLRIKQTMRGF SGFITQINEMLSITPALEHLCIDNGFPLHIAPIPDVGKFPLRYNHLSRLRSITLSTFV PIKQIALFEGLFANDPPPSLTEINIETTINWAMRPANGNIPSTAALYVRGVQLYRLDT FLLRPSFEKVKKLVLRIQTTHQMQFAESDKTVEAIRWRDAMASAVPHLLGKKDLEVEF QLDFNRW JR316_0012591 MVFKSLKDFIHSSRLNHLSLYNVQGLQQGILSQSDIKHLILYQA TEASADSSLVEDLNSIPDSDSEEEESQLGICPLESLETDMGMTIAEHAKLFRVYSHSS GAEHHLLDGVMFPFLSRLRLYHSRIDTREFNIMVNEILLNTPVLEDLFIYNAGKLSIQ FRPEGSVLPLRYNHLTRLRSFSLGASAPHYKQMETLECLCADKPPPSLREVVIDIMID LRHGLLNGVRDAERYISWLGLHRTDAFLRRPGFEGVKKFVVRIGMAMDIFQPEDLDRE SVDGIVTPWRDTVRKAITGLLEMKYQGVNVQLEL JR316_0012592 MPNSASNSVILPLELVDEIVGIAVQPYFGLKTHSALALTSRRCH MRVNVSRFATVRIGTGIGLNVGTLVDPSSASVPGQDLDLERRMASFAQLIRAEDDLGS GTAFPGVCGFVREFVLRIGDWRGNEEVERSFGDSELRFILRRVLLEDKKEEVGGSTTS TQERCSFVLSLRYPLIRSSGVMQSIRDLMRSELSKVNRLFLCNVHGLQSGFLSGSGIR HLVLYRALAAAESDLDDNTFVKEEEAERQNVLCPLESLETDLCLTLAEHTALFQGVGR QRILDGVMFPCLTRLKLHYRYIHTREFNVVANEFLLNAPLLEELFVDNGDKLQVMIWP AHHVLPLRYTHLTRLRSITLGTRMPYKQIEILECLFAHNEPPKSLSEIGIEAQIEMRK GLSYGVNEAERYVRGLSLDRMNAFLSRPGFERVDKVVLRLGMALDVYELDDLDEDSPT VPWREALRRAVNRQLEMKALEVNVQLELYDHWLYPVASASYDLPPMSLDTNTVVLPLE VLDEIIYLAVQPCYGLKTQSAIALTFHRGRMYANKARFSTVHIGRYRMSDLQSDDTVT VTNYLEKRVSFFADLIRAGDEIRTMPGVCGFIRSVVLRVQDLTTTMDPGHDKVFINAD FQFILDRLFRILPQNLSFGVACPTRVECSFTLGLRYIWMPSATVFKSLKHFFYSSQLD RLSLYNVLRLPNGLLSQCNIKHLVLYKVVQVSADPSLIEDLDGTPHLDSEEERNLGSC PLESLETDMSMTLDGHFALFSSYSSGVIHRLPGHVVFPCLTKLRLHHKRIHTREFNIM ANEILLNAPALEDLFIYNGDYLPKILHSPERSVLPLRYSHLIKLRSFSFESYIPTNLP IEILMCLCADNPPPSLSEIILEATIDLRNGLSEGVRQGENYIRCLSLDKLDAFLCRPG YEQVRKVVVRLRMAMDIYQPDRELVEGGVILLRDTVRKAVAHQLELKSRDLELNIQLE FYDQWLYPDESSWGVKRFNV JR316_0012593 MLLSIKAVVLPLEIVDEIFGLAVQPCYGPKTQSAIALTSCRGRM LANKARFSTVYLGRYGMSSWRQSKSGTDTTMRYLQKRVSAFAELIRAGDALIEVVRTG VVDSELDWVLQSADFKFVRAKLWRVPTRDSSSGPGNGNRDTATPVGLGGCAFTLSFWY MDTPSAVAFQSIKQFIHSLQLDTISLYNVCGLQKGVLARSNIKHLVLYRADVSLAKPR SVGGSGGIRAPALDCDDEERKIGVCRLESLETDMHITMAEHAALFHSGAGAGHGLSRA FVFPRLRKLRLHDLWTPTQIFIRRANEMLLNTPVLEELALLYLPALERNELPPIETFE SLCAGDPPPSLSEIILESAIDLRNGLAHGVRAGVRYMKMLKLDKLAVFLRQPGYKRVE KVVVRLGIAMNVYQPEKEDLVEGSVISLRDTVRDAMGRQLERKVRHLDVNVHLEFYDQ WLYPKEAAGVDFERFNV JR316_0012594 MLSELPVEMVSVEELPGLIVRARSSEVDLRKIYVVHAKPEDYLF TLEGGKYERERYYRSPASYKSVFFERVAPYLTLLLNGAGWAPGFPRLMETKQLAVALN TAQYIGGARAMCVGDISCDVNGGLEFLPRATTVSEPCVDVGVDVGGGFGAGVGAGAGG NGGMGGGTGTTKAKVRMMAVDILPATIPLDASVHFSQALLGAGRLRELVAFHAGVKGD VPGVLRGAVVAEGGRLGERHRWLGAGVDRAWEGIARERRGVGGEGKEVAVKVEKGQRK ETRVLKKKRMLILGSGMVAAPAVDIVAQNPEIDLVVAGDSAIELERLVGPHQHVAYRI INAADRRTYAKLVADADVVISLLPVNFHVPIAEACLDGNTHLVTASYMSDEMAKLHER ASSKGLLFLTETGLDPGIDHISATSLLDNFKRQQKHILSFTSFCGGIPMPEEARRVPL GYKFSWRPQGVLSAALNGAVYLLAGKVHNIPGSRLLSNYWPDIPITPSFKLEGLPNRD SLAYRKHYRLNTPDVGGGLRTCLRGTLRHPGFSSLMSSFAALGLLENTSRISISSWRE LVPESLNIVRERRGRDISLASLLSYGSASSGYKPGKGKKGGAGEGEGEGAEEGEGAEE PVVDPERVDELRDALEWLGLVEPGVGGACYVLLVFRLCLPSPSLSKLISIFIPGPATS SGNGNPTGELSLSAAAMPPVPKGTLTPLDMFAFLLAAKLRYEKGERDMVVLAHEVVVR AGGAGAGAGAGAGAGAGAANTNTSNLGDSGTETQQLQQQQQQQQQQPLEIHTSTLVHH AVHDWHIGAPGLRPASAMARTVGLPVALAGMMVLGVGGFGGGGGLGGGGGGGAKPLYT SYNGVLRPTYKGIYAPLLERLRGVGIEMEEKVRVVPVEGGSGSGSGSGSGSRSGSEEI VFYGGGKRKGKGKGGAVGIGLVRGSVEAALCGLNPSSSSSGSSAGLSPAQKAMRNAEK VVREAMRGGWRGGEGGAEGEVEGGVGVGVGRGGGRGGGGGRGDEGWDFEGERVWRGL JR316_0012595 MDYIFASILRLLAVRLVLVSYDIACQWFINLLKRMQNDWTKDMK PNRSDIILQPAIPKLHEPAHNQKNHEVYSFNYIPGGGLTDGECPERVWAPHNAIANAT KTQGPGSRQDTLDDHFGFWNWLKYISMGSTLLRRYKNAIAQRNIQKEGHRGLTEDLKK KSPGLVEKWEQMCIEWEKDPFPKKNSNPYSLKDAGLSEAKVKKMLAQSEAEFMANGGT MHHKTTASVFISMGLDLEDTQRRIQRLAKGTSTTMTTRQEGTLTEQRNALITRIRAWE LLLPIYIPGLLQYQADHPDPDARVLRPPSNSANVATRVARHAEDTKIWLPSTIPESHR SKICIAGLGKIESQLREAQMTDSLNSLRQILKLKTRMILFKHKNIRGQRGGTRSTAVI DRVHERARFSAAKYRDARTSYMALVGPGDWEKTYCELHDGDIRGYQDPNRLRPREGRR GTLDDDQIAAGMTSAPQEEGEFVLFGEDRTRRDGSGQTRRTLSWIWTNGGIAEAAGDD DSDDILRVEWAKSRARSLRAEEEVLLLKEEMRRVLAYLEWRSEWWRSHQKAIPDASTT LLEGISAYALSQASLQDSLAAHFKKLWMGSLDTGEGVDVDEAIDDNNDGEEEDEDEDA NDEEDGDAEEEEEEFIANEEGVEEALHLDEDLD JR316_0012596 MASSRPNKRPRTSRNQSSYHDSVSVLGDFDLIHHSEGSVRHFGG SRLATRRHDRSPQRTAGAWEIVTSWAPPDDIEYALNPNGDAHDFVLEEDIVLADETLL PPKRPRSVRSKRPHVVWKKKHRQDYLDEIIRWEGRGDFRLTACPDCLARLVEDPQVPE YRCRECFTPDLHWDGVKFQDISLRSLGAVLQLNHSGGHCDNPTPCHAHMLVLHTNGIH SVDIRYCNCTRAIPQHLQLLRRRLYPASQVSVETCATFELLRHLHKLALTTKASTYDF YRCLEKSTTNTGLNVPKNRYQALFRMVLQWRHLQMLKWAGRGHDSTGVDGTSEGELGL VCPSCPHPGINLPSNWEDAPDNMK JR316_0012597 MATAELTSVCRTEFDLPSQMHAAFTAQDSHFDLADIELSPFSGP LTPLTSSCENSPRIPEASIPSLSLLESLSPITLEGSSDIIPAALVDDPRQLTMPSNDR RRARKNVKSNIARAKKRKLASSSVDAYLHKRGNPRKVKKALPLLSDLPTESIPVASTG YVALDSGPTMQKQFKLEELVGDDSKYKFRLLRAQTESIPIVDSGNRIIGVVANHPKDE NWDVLCRQAADALESNRGQCDFTPEDVKHRRGKFASLSCGVSHGGRQQGPDNLHHRKK NQKVLNDLNNMECFKRFAGFATCASVPFVDFSSYL JR316_0012598 MEYFRQYLIYRRKDLPPAELERIRAQHREAQARYREKNRLNINL RSRRYYRTKKQKEMGFEEPDEEAEWIRLSALAHEITEEEWIRLHGTNSYRGGSKRR JR316_0012599 MEPPPDPPPPSQPPTDVKGKSRATPLQGSAGESSHVNNSVSIPS TSAVEDTTPASSSSASSSSTFSVVHPPHPFELAFQVDEVPVPAIFVGPFTNTPSRYFG INFSGTRVPHPAELVHPALMVLVVTVGHVVGIFDREDQIDLATLFLVPGFVVRQFYTW YRARAFYAQEYHAGRVRIVPFPPSGSPSPTSPPSAPGGGPSSDAGPSSAPDAGLLSAS GAGAPLSGAGQSSTPGPPASSLPSASSPLSTTTPGSTSAALPPPPVPSVPSAPTQCAS ASAMARVSTPTVALDPNAPFGTQANPIPVGFGAARTRRAIRLARSDPRLASITTTARK GKGKLRIIKEDLSKPDYVKLTPGGKYYIQDPDDPWTYYAVDSIERMLPPPTYHFGRSA SEIAANPPPPIRLESPSTPSLPLPPAYSAPLAANQNPTVTVNSFNTSEQRRISQAMEI AAESTWSTEGYLWSDTWPTTSPVGPSYAYPVPSWAEAMTETLSDSFPGPLQSQDSQAS LGTTLGALSEASSLNNQASQAPTASLDSASRTNTQQLDISDSQMDVDQGSQ JR316_0012600 MPNPGAFKGARKDFLMSQKQTYATAVAEDNIKETVMSIQRRFFK RFPLDKPVTYEPTAEELALVDDDVADAETVPIGPLSESDRELSETRRQLAEFRKGQIA RWLAYQYARDNGKQKKADVSLLDILRQLTGKEACRPRMKTPANVWRKTQRDAIDREYE RQAALARLRGQPVKSSNKVADRDRIAREMYAALPKSEQERWKKVAKEEHEAAMEMYDG DNELSTDPADRQRAIQSIVEVMQPILDLLCEATGWKATLLVGGPEPAKDGLLNVIRKP TETYQYSLGGNSGGSEAEFWRLRANLPRKNVEPVPSVQTTLFVLENSSSSQYVDASIT EPASAAPGPSQQTSNPPQTALQPSVPTEVRSMPPVPPTMSTSTSNSNPFPFDLPENMS THGSPVPSPVTSRCGTPVQDFTDRTPNVVQPLSGTQEIAPRSIVHATDSLAATSADAS ALPHREQMLVPSTGKKSKKKGKKAGKATTSTAEATRTREKRKATAPLPDAPTAPAPPP RKRVKHSFYYEDARGNRVNKWGIRVDNNGNPLPLIDEPDGADEVQPQ JR316_0012601 MARIRKEKGRSSKALQSSSKEYAKSSTTSLKNDKTPRTLSKSQI SSLGGDEADLELLKGVKQDVEISSGSTVNDAALQNDVSKFLNELNIGSGNSNNTPKSK PNAKGKEKEKLHSGSKTTHEKKGKPVAEPQESTSVSKPSKKDKKHVQKTETPAPSTTT APVEERPKVVLPTKVTLNPKSQFVFQPISQWYNALPTLDYSSSASTTITPAQLSSLSQ KAAELHEKDISTFLTSSSSNSSSSEASFLAKIISSGTLSDRLSALTLLVQSSPLHNIK ALETLRTMAERGKGKGGREESLKALRCIVDWWVGGGAPNRKLKYFRDQPLLHPGVTDE YLLLWHFEDWLKKYFFSVLQVLETLSLDPLPYVRTQTISLIFTLLKDKPEQEQNLLRL LVNKLGDTEKSLASRASYHLLQLLQSHPAMKAIVVREIISLVLRPAAPTTAAVVAAAA ASTAASANKHIRFGDAEPPKPAPTPKGSSSKDKEKKSTNSHARYYATVTFNQIVLTPG DKEVALQLIDVYFEMFKELLGEGLGEEVDGEADEKVDHGKGKGKGKEEEEFKTDKGGR IMERSKGKGKGKGKVMEIKGAAGFTEVEDSNSKLVSAILTGVNRALPFAKIDAADVGI NKHIDTLFLITHKSTFNISLQALVLIQQISASLSSSLPPSSASSSSSSSAAATAKSIT DRYYRTLYASLHDTRLATSSKQAMYLNLFFKSIKADAGVGTDDASVKGEKGERVKALI RRFVQVLVSGGGGAPEFIAGGLFLLGELFSSIPGLRAMINEKPKAQKGDDAATQEAYD PRKREPQFAHASALPLWELTPLLNHYHPAVALHARQLLTSQPLTASADLSQNTLLHFL DRFVYKNPKKLGKDKGEEKEGEEVLGAKGASAMQPAASALDGVKLMKGEAGRTAAGMV MVNEAAFLKKRREDVPVDQLFFHDFFTRKNEREKAKAAKVNKRKDDEEESEEDEEEGE EDENVASDDEADADEPASEFSEEDSDADEAEIWKVMQATMPKAAGEDDDLMLDSDVDE DEDASVDFGDDSELNGSDLDIDDDEEVGSENDEDEGEEEDDDDEEGGLSLAEDSDNED LIPLDGMEHPLGLIEYDGSDADSDAGAVVDEDEVWGGISSENKKRKRGGKEDPSMSRE KRKKRKALPTFASYEDYAKMIEEGPEDDI JR316_0012602 MVGWSDQLWNVGRRQADSPSSMLSTTILLLLTCTLHTTRGSQID TSQPHTSIENADAVAHTATIHDCQVVFDPNDVRKGTSDSRIPLPVDNPTKSFWIDTPD ANPLSEYGSTGELTTDADICIIGSGITGVSAAWHLSKLLGDNSLKEYERQRSVVILEA RRNGGHLTPILFHGFSALQARYNTTEALKSFALEAHTSDAIVKFIKQNGLEHEVDLVE GGHLTLLRNKIEEQAFRDDWEKVKSAGSVGPDAKVEWIDNEELSKKYHVDPKLGYSAV HQRGHNLWPCKLVTHLFKDAQTPSPKVAVELHTKTPVTAITKIERESSKEPRRRWNLH TPRGNIDCEYVIHATNGYASHLLPFLAGLEEEDAESIVEYGLNEKPGSVAGAQDVDLS STESQLPRGAYGIKPTRGQVGAVRSSVNASSLGWLTSWDGGNGGDEYWFPRYQDTEHK NPLIILGGGRYASEGRTKEVGVWDDSVLNPRVSNAIRTYLPVLFPDQFAKDGEWEMEW SGIMAFTKSGDPFVGPVQPIQTAPHTQSIENPYAGQYISAGYSGHGMPRAYACAQAVA SIIAAQIQREDWTPPSWLPERYLTWATVP JR316_0012603 MNNTADDTFPVYIDPSAKAWPTVDLNWLAIRDRYMRTSFCRPLK WYRLSEQAEVDNALPIWDILQGLTSKTHPDISPAYHAFSEICDIALSPDIRSGLAVDC PQLSFLHDIVAAKMKHAVRDSALLLKHQNLFNFLFAISPENERMSMHKAELQVAQIVM MLFDQISREKSPHMLVDMPCARNDALRGYMISFGRRLSLSQHGLALLSQDPGITQIFD DILVFINRKLVEEMSSFSTSDTAGIPTLLFYTSALYAEECRLIISHFPKSASSFDSLL RALFQYRALTIDKGSRDEELERQLSSPVIQGSAYHEVPFSKKWWSCLDDFRHIPFDIP DSESETSIA JR316_0012604 MSISTSFVYAALALWVVKRLVDHASNRKRAPYPPGPKPLPLIGN MLDFPSSSLAQEYVEWGKKYKSDILHAEALGNHVVILNSREDAIALLEQHAKLYSDRP QMPIFPLMGWEFNVALLPYGDEWRQHRRVCQQNFNPQTARKYESLQMEKVRGFLQSLI ETPEQFDAHNKVLSVSLTMNMMYGYDIKSIHDRVIEVAEAGNVIGSRLMAPGGSLINI FPILRHIPPWVPGATSHKEAKEVKDLTAEMMRIPTEFVKKSLAEGTATSSLVTDFYEK KYSVGATEQEEDIIKNIAYTVYGAASDTCLKKTISSSGTFFCQMALHPEIQRKAQEEL DRVVGSKRLPTLDDRKSLPYLEAIYRELLRFKPPLPLAVPHSLSAEDHHYKGYFIPKG TLVYPNIWAMTHDENVYPDPFSFKPERFFDSNGKLNDDDRVLAYGFGRRICVGKSIAS STLWLQIASVLACFNIEKAKDKLGNEIEVDTSYEESGLLMRKREFECSFIPRSFAIKK LILEAA JR316_0012605 MAATTNDWENVLGVNGRGTFLCYKYAAEQMIKQKRGGRIIGASS LAGKQELKELIAMHSSHATHGGDLKTDTVTIIGATLDLQEKVVKQAMTPIKDVFMLSI DSKLDYALLKKICQTGHSRVPVYEEVDVPVSVVAGADEIEDDSHKPGQRTLKVKKIIG ILLVKQCVLLDPKEATPLRKIPLNKVPFVPNNEPLLGILDKFQEGRSHMAIVSRFSVE KAASVKKAVKRGLTQRLRERVGMGDTDSDTESEDEKNVTRPTKLGGRRKLMQGLKRKS SDESTQDGDTPDAMYKHQYDIEKATSKDSETSDKPKGTEVKGNDKEKEGGRKSEEARR TITGFQLPSLGGMTNMSSMEQSMPADAVLAKEGAAEFLQGFDPAVMPLGIITLEDVLE ELIGEEIYDEFDTQGAHGDPYEVPPQVVIQTEAEHGLPSSTHGAEAARTHAHQKGPST LTAQIPTALKGLGFFRTRSAPPVPREERDAPERSTDATEGATPVKSVRIGEVAHVDLN SGAREPMHNTATPIQMPKPIRSPGRSPPSVILEQHSSVSSSDSGVLTSLKPTSPDSTL NSPSTEATTAPSGHVNLGAAAPAAVTQPSFPLPLSVSASTSVGPNLSTAHKIDKVPSR STSPAPLEAILLDRKRRLAQAAHGQAQTSAVGTPVGSIAPSPVPSLGGGGLRVADALA ASAPGSATTSPLVTPVPSMMGTAAVSGSASHAGNVSGAGVHAGSTTTPGLRVAVPTTS KGTRFKSSPLGGVERAGVVIAEQLKAAYSSASRDGETDVDSSAGKNVTTDEGKM JR316_0012606 MVPVPISARNSHSSRLVYILFSLAAQHAPRFFHRSSGELSNAVL AKREKFDPHQTKDIVFVVLIPILVLLSGLFAGLTLGYMSLDETQLNVLSISGTPEQRK YANKIMPIRKNGHLLLVTLLLANMIVNESLPVIADPVLGGGVQSVVVSTVLIVIFAEI IPQSLFTRHGLYLGAKMAGFTRYLIYAMTIIAWPVAKLLIQRKTREAATFAEINPDYN KDVPASASATAVGFIGTPEDVAGVVSYLVSDRARFMTGQVLTIDGGVIFR JR316_0012608 MSLAYTTRVADGVDFAYRDSGAPPNKQDYCTCIIIHGHTFHSAV FLPMIEAAHSVGYRVIAPNRRLYPGSTTYTKQEVEALESGTPDEIERAWTQQGGYLLS FVDNMIQEHDLNEVILIGWSMGSGFLSATVCSMPTFAADAKARLRRHVKGLIWWDPPS QIHGIRDPPSGGWLPLLDQNLPPELRGKVFAEWVMQYFPHANLKEKDCSNLIYKNTTQ TKPATYSNFSFEEVLGKIDITAGQRGDNTVSGSSDFVPGRILFERTFLDKETRKEWGD IQFSVLYGEESPWNVVWAVWEIERLTEKAELPVYFKSIAGANHFTMQDNVAGTYDAFI QCIQASMDKGHKESVVQLSRLFLQLLFFGYCASSMSSMYTTRIADGVEFAYRDSGAPA SKTNYITIIAIHGHTFHSAIFLPMVEAASSLGCRFIAPDRRLYPGSTPYTIEETQAFK SDSPADVVGAYLKQGEYLLSFVDKMIQQHDLKEVIVTGWSMGAAFLSAMVCSMSTFPV DAKARLVRHIKGLIWWDLPAQNHGLPDPPSGGWVLLHDEEIPLEQRGQAFVGWVTQYF PHPDLHKRDCTRLIYQNTTQLKPATYSDFSVEQLLSKIDVTGGPSGDDALTGGPAFQP GRILFDRTFLNEETRREWGNTLFSVLYGEETPWPIVWAGWEIEAITEKAGVPVIVKSM AGANHFVSFWQWHVPSKLIHN JR316_0012610 MSVFPPPSLAPEDRINTGATVEPQTSGQHQQDRKYETDSQPPIP ATPPQISPRLFGSPEYSPRTGEGPIHLAPDVDEERGQAGAHSRFRFWPTTGRFALPDA LRTEPEDRGALDNMRRGAVTIIITPIAFIGIALYSCGVIIEGVALLLKGFGALGGRML ARRRQRSQAGEPLWV JR316_0012611 MDHFPTNWGRPRNDAIDAYGTYPIHSRPKNDQDAFSAGVQRTQQ PIVTGTSVLAIKYKDGIMMAADNLASYGSLARFKDVRRLHPVGDHTVIGAGGDMSDFQ YLQTILDELTISEFTAQDGHSLGPAEIHEYLAQVMYARRSKMNPLWNSILVGGVKDGK KFLSYVDLLGTTYSASTLATGYGGHIAQPLLREAVEGREDTLTEEQALAIIENSMRVL FYRDARSLNKYQVATITAAGVSISESKHLETSWSFAEGIRGYGAQTQ JR316_0012612 MSQGFGQTATPVSVIRGIIQRYPYSLGQISEIKQNSEDAGASEQ VFVLDHRQHPTKSLFHPGLASTQGPALLAYNDAEFNDSDWHALQYAHESSKVDDISKI GKFGVGFRSLFNITDCPQIISGNYIAMFDPLSTFTGSPGFKENLSSMITHYPDQLAPF LFFIHEGRRDFKGTVIRCPLRTEPSKISSKVVQPEELASEIFSKYIDRELAISLLFLR HLRSVKFHQVEETGMHKRLATLSVSASHVNHGLEWETYLFTTTIKRDSDPLVKTEQWR ILQCSFSKDEAIKLLSQTFPGDATEILRNNKLTPNIGIAFPLDAKSSTGTSGQLFMHL PLPISTGFPVHIHGLFAIEESRQHLVNPQTAGIVHGSDRHLTILWNRLLFDHYIPQAW KILIEILIEKDNVPKVFDTWPPPQGSPTSGEGVYWNLMPQRVFAAITSSLSHAWPLYQ HSQSPSLSIEYKTLDVVISAEPKTPLALLDALTAMGLQFTCPPRYIHGLIKSSGGFRF LSPEEAHKSLLERDTIRIASKGDKKIVIEYLLSSGNVQNLIGLPIIPVVDDRTVSLSA KGIPGIRSYTMLSEVEYNVFGACDDEAIPLHFLPVKAAESLRTLGPMHLNVETLSIPR IVEYLSIYPNKLGLDLSLLRTDPRAIKWLSAFWFWTSTYDQKEELLKSVRDLYLLPST QGLRKVMTPLFKSLGEHPKIVRHLSLVGIPFLDADVSHVSQNIIESHQLVRKISDIHA LLESLPQPSPGARGPTFSADTCTTILRHVVGNAAGCVMRNGPFTMEHIGRLKGMPIFP IATFPPLNSAGIVVTWDQIPHEVSLRAVDNPPFLPIVEGVAFIQLDTSISNLLDYLEP DTSKRLSVIDVLSLTIKHFTSQPDHVKVATLSYLNRYRNHVPPIVFQTLRDIKFVTVM DGSQQKAVDVVDPKSKLHALYVSSPSFQARAVSDSEKKIVSLLQDLRMLRSALTTEIV QERIEHISSSLSPGAIEVSRALLNLIISSRFDCSGLRLNPKQKWLPTDKGLRGYAECR DGNLTNPNLFDRVLDTMDSFKIPSSLIHALGWDAPLSREVLINQLERVLTEEDGNFMK VHDIVKELSTRSCNPTDFAELKRVTSGRKWVPTTDSHLVETQNAVFVVSIPESGFYQV LSLDKKMREFLELMGCQESPTTEAIIANLKALREEPPSLHNLSMSLNMLRSLKSDFTD AERAQILVPDASCQLLPIEELYFNDIGSHANLVSSGDDRIAHELLEEPLARKLGLNRL GLKYVDFSDSYVDMGQHLVTTVRNNLEQYKDKQFATEFLANAVDAGATQFSLLVNYYH PILDENIRALSDSMIPFCTSPTLVVYNNSVFTDSDFIGIRRTGIGGKEGKGDTIGQFG LGALTMFHFTEMAIVVSNSSVLFLNPSKEHLPIRDQASLMLPLKRVREYYPSHLACVD GLFGFGIANEGSFQGTIFILPLRNSRHLKGIETKAISQRTYTAEDINHILQDFRRSAI DGCSASECLLFTGIDTITKLNRNAMGDLEESWSVSAHRSEEEVEPDTGFSCAQVNITD SEGSTSTWQTVKTSVAKSSIPHDILTPILSTSRPRLPALAGLAVLRNATSHSPQPHRF FSTLPLSLQTSLPAHVMASFLLSPDRRSIRLDVLENKFNTWLLSNVIPPLYLCLLQKL LLSHDTNKSWWPGLPRHQTEDPYSRLIIDSFYSNHLKESHRQVLRSAYDPEIRLTPQE AVLSGEEPASVERLLSLLRPATVAKPSKSIFQLMKKSSLSVVSPEFVKAEIVRAASSG ILSPSLSRFQDIEEIIAYFLGDQMDGVANMHGAPILPLESGTLGTLQSRSNASFNFYA WMPKTPSTHHNFPQQYFVHPQLKRKLKEVLLKIDVNVAILNTESLSMFISAKFPLPLS FPFEASPELSDWIGDFWETWDEYNHLGLVTDDISNLPLVPLVRNNWFASLSSCKDVST LLVDGNTEQYELIRMFLARLGLNIVRVSDNGIPPSLMDIFRNDGYPMFNLENVLKALP GPLQSNITEIVLDMPPDVQDAFANWVRRSIDHELPPELQPVAQRLPLWIGARSGSAEE LCTASDVYMLPEGLPLTIAGPFMRVYVAEYGALRFLNGPRIDYDQLREMLILPDILSP QDLQSYRQLLETWLPRLVPSYSTVIPVPNCNSITRDSNVLYAREPLFEAVFGLHSDRF IHPEFQQLDQALQKYGLKSESSLNFESFLECAEVLDAMSEEDEEDKANRASILFEAYG EILPMRVPANREDLWHDLDEYAFIPRDMSARRLLPNEDTRLPGLNLPSNVTALGRVVT PSDLVRKEYESVAWSQRASFANQPHQRVIMAYPKLGQPSVTEVIAHIHFLTRLENLSS SQRRIVIHDVKESYRFLNEQVITAGQSVIPPDTQHQHIFLNVNDQDLDEWIWDCAEQL VFEKQDIDAHIRHVRRFLLPYEELLRAAGAVDVFHPQYPSSQTPASSSSKLELLRAGF QKLREDSKLFDVVFVIGDLSDPSAERLVGHRSFLAVCSEYFNDRFCGGFKEAKAASSE EPILCDVSDYSADCVRAVLDYIYKGTHPDVESLPIEHVLDIMQLSSYWTLAELFEDIQ REITNKVLKPQLIEQVKLAALKADAENLYRSCQEYEDRNATLMHKAT JR316_0012613 MSGRGKGGKGLGKGGAKRHRKILRDNIQGITKPAIRRLARRGGV KRISGLIYEETRGVLKIFLENVIRDSVTYTEHAKRKTVTALDVVYALKRSGRTLYGFG A JR316_0012616 MVFRQSLIRLSEKSKSKSASWASRQFRDPYVKKRLSDPMAYRAR SAFKLLEINDESNKFLEYPDVNAVVDLGAAPGGWSQVVADKLGWNRSPPGLMPLSRNP VTPKESHPIDNGTKRKKWNSKRTEELDYFDPLNIDSLDPNTGNMTGRGTIIAVDLLKI ESIPGVQTLQADFLLSSTTLAIKNLLRSHDNPDGKVDVVLSDMAANVSGNNAHDIEDS LQICEAVFEFATNHLRTAESIGRRRGGVLLMKFFAHPLLEQFKDDKLKPNFNHVSYIK PSSSRATSREGYYLCQGWRPTLP JR316_0012617 MDAPQSLCPGYQQVESFGQDDIYEDEEVFYVTLDMGNVEPTLVP SSTSYRLIGLDTPTPYIQLQGTILKGRHDLLLGTEMIFTDDKESHDWNTRSVVHVGNT EQRVAFQEVTLIPKIPATANEVANINMEPSTEERTAQIDRMTGLSGPPPRASRSRKST ASGKARSKGKEKAKETATSSSYATRSSKGKEKEVAPPLDDMLTMDATHDDDEDTDDMY VDE JR316_0012618 MLSLLPIFSLIIPTLAVPQVKLGNTVITGASFLESGVEFFGGIP FAQPPVGELRLKPPVLATVLDTPTFNATKFGPSCLNTAFPKALVSEDCLQLNVFRPTN VTSNAKIPILFWIYGGKPTSLCSNECLLICYISGAFSAGATLKYNATSLVAQSMTRGT PIIVVSANYRLGPLGFPPTVEAAKKGSLNLGIKDQIAALKWVQNNIEIFGGDKSKVTI FGESAGGNSVTLHFLGKHIKGLARAAIAQSAPIVPSFLPGRYQDRWTSFISAIPECAS LANSTNTFDCLRGNVTTASLLQGFAASTTDVRTDLTYFPTIDGPQGILPDLPTKLIPT ARLPVILGSNLDEGTFFIDQHVNSTEQISKQLTDLCKPALLGEKLLNNAMKQILRLYP DIPALGSPFNTGNQTFGLSSQYKRGAAILGDWIFQAPRRAMSTSLREQGIPVYGYQFT EPTAISPIAPDPRTVAPGSIAVTHGAEIPFVITGVTNGTAAAEALQIMMQDYWISFAV TLNPNDGKGSNRPKWEEYSVSKPTVLQLQGGDVKAVPDTYRDAQMRFINSIPHVFHR JR316_0012619 MFFPLISLVSCLVAHVAAVPTPSSNVGHDHSHNVRRALPGTWYH DEDHPAHSLFKRAPGDGSTYATVGTPAWSAGFPQSSPDPNALPAEWVNALNAAVSAGK IPNIPQSSNTPGTNPVYPTGFNPNGPEICSATAKCRIPGDIWDAPDGLFASSFDDGPT PSTPTLVNFLGSVNQTTTHFMIGINFLYYPNQFLAAFNAGHDIAVHTWTHPYMTTLSN LQIVGQLGWTMQMIHNSTGGRVPKYWRPPYGDSDARVTAIAKEVFGLQTIIWNHDTED WNNGTPQTIGVSMTGFLASPKSPGLIILEHELTDNTVNGFIGAYPQIQSTGWKFSSLV KAIGDGRTYRNAQNSLSNDVQPDGILISPPSNVTTSSSSSSSSSSSSSSSSGSSSSST TSSSSSSTTSSASSASNHDSGSDTKSSSALSATFVPISTHITLLILCLTSALIVGS JR316_0012620 MPPVETSAAVYHISEKEDNVATWTTSATTGVETKWAVPEHIHRS RMPGPAQTSFSNTFFNAKTEDLTGLVKRDSEYPSNGGGYADVYIGKLTRKGRSIKVAI KVIRSHTYTASNQWKIDKRLRREIRLWALLRHPNVVPLLGTTTGFGPYLAMVCPWMEH GNLSQYLNKKVLELTLRKRLQILADVIEGLAYLHSQSVIHGDLTTGNILMDEDRAHLS DFGLSNAMAEVRHNSFISSTVGGSPRWAAPELLHFGLAVPDVTKYCDIYSLGGVMLQT ITGRIPYENILLDVQVLMEVMKGRTPARPPEALLSDELWNLILSCWTKEPAARPEIGQ LREYLHVIRYECSEEELSANIVFPEKLLPGQDDDMMIGEAH JR316_0012621 MTTEMIPRTPTVTSQDALGQQYELRMPAYNSNATYNEPSSLESL LKRVSDSEWDHNMSLKRYLGIVRQLEADAEESASNGDLESAFVRYAQAAKLVLEEIPG HRQYYTTMNQQQRHNLALVRLSFYDYMCKHLNGVKFVFML JR316_0012622 MALPTPSFDGIGIANLPNQRHKIVAKRGAHFTIMVVGESGLGKT TLINTLFSTELSPPKNYSKRHFKQLDKLTEVEIIKAELEERQFKVKLTVIDTPGFGDY VNNRDSWLPIVEFIDDQHEAYMRQEQQPQRHEKTDLRVHACLYFIRPTGHTLKPLDIE IMKRLGTRVNLIPVIAKADTLTQNDLHTFKQRVREVISAQGIRIYTPPIESDDENADH ARVLIDAIPFSIIGSTEDVRTPDGRVVKGREYIWGVAEVENEDHCDFKKLRSLLIRTH MLDLISTSEELHYENYRQAQMETRKFGEAKIRKPDNPKFKEEEEQLRKKFTEQVKAEE ARFRQWEQHLIAERDRLNKDLEMAHSAIKQLEAELENLQVGYGRSGTNRR JR316_0012623 MSESKPRDNPYLAHLPPSQRGVGTGSKEITKDPLFGFLPRKVKG DQVRKAMDGDVNPFTKQPHSGQYKKILETRKKLPVFAQMDEFLKIFSENQIIVMVGET GSGKTTQIPQFVAYSDLPHTKGKMVACTQPRRVAAMSVAKRVADEMDVQLGRHVGYSI RFEDMTEAGTTFLKYMTDGMLLREAMNDPSLERYSTIILDEAHERTLATDILMGLLKG IAKKRKDLKIIIMSATLDALKFQKYFSLRSDATAPLFKVPGRTHPVEVFYTQEPEPDY VEAAIRTVLMIHRAEDPGDILLFLTGEEEIEDACRKIKLEADDLLNQDPSSVGPLSCI PLYSSLPPQQQQRIFDAAPPPITKDGPPGRKVVVSTNIAETSLTIDGIVYVVDPGFSK QKVYNPRIRVESLLVSPISKASAQQRAGRAGRTRPGKCFRLYTEKDFMKELEEQTHPE ILRSNLSNTVLELMKLGIKDLVSFDYVDAPAPETLMRALELLNYLAALDDDGNITPLG GIMAEFPLDPQLAKLLIVSPEFKCSNELLTITAMMSVPNVWLRPNNQRREADAAKAAL TVPDGDHLTLLNVYNQYVLNKHDKNWCWTNYLSQRALQQADNVRAQLQRTMERFDIDL ISLSDEKKLFVNIRQALVCGFFMQVAHKEGEKGNYLTVKDNQASQPSLISVVALHPSC GLDTQPEWVIFNEFVLTTRPYIRTVSEVKAEWLIEFAANYFDLSTFPDGETKRALQRA WNKRAGRGDKKSSKGSRQDGSDERLAKKRRTDGRD JR316_0012624 MSSEAKQTASENGATSSYDEHIDDVETAKAIDLSNNVQARIQNP LHGIPRDKLMKQVDKFVRDHGLEDKNDLFRKGALLAQSQKGFETMDELSESDKEVLRR ETTHRWSQPRDLYLTVIICSLAAAVQGWDQTGSNGANLSFPDALGISQDPANPRASIN EWIVGVINSGPYIGSSLIGCWLTDPLNHYFGRRGTLFWCGLFCTLTVIGQAVSQTWPQ LLVCRLLLGLGMGPKATTSPVYAAENVPANIRGGLVMSWQLWTAFGIFLGFSANLALQ GAGRIAWRLELGSAFIPAVPLMIGIYFCPESPRWYMKKGRYAEAFKSLCRLRNSELQA ARDMYYVHCQLVEEFAVLRGSTYFSRFYELFKIPRVRRATLASFVVMIAQQMCGINII SFYSSTIFVQAGYSSRSALFASWGYGLVNWLFAFPAVWTIDTFGRRNLLLFTFPNMAW TLLAAGFCFYIPDSSGAKIPLIALFIFMFAAFYSPGEGPVPFTYSAEVFPLTHREMGM SWAVATCLFWAAVLSLTFPRLLSAFGSVGAFGFYAGLNVIALTMIFFLVPETKQRTLE ELDYVFAVPTRKHASYQATEFLPYWIRRYIFLRKTTLKPLYNFDEVDIAQREKEKLSA JR316_0012625 MLAELAAENARLKLQTTKLLDETTEPVQTTIVTPAQAASPSFTT LNRQAPIMPKKDVFRWAKLSDLGALQEEDSTDDLVSGDASDSDDSIADALEAMNKLLV LDGQDSPIEENGNGLSFPDSAESSFSASLLSGVTTEDLTGFVVKDSEYPAHGGGYADV YTGTLSKEGKKTKRLRREIRLWSCLHHPNVVPLLGTTMSFGSHTSMVCPWMNEGSLHH YLTDRKSELNLRRRLQILSDVVEGLSYLHSQPVIHGDLTTGNILMDGGKAHLSDFGLS NVMAEARINSFLSSTVGGAPRWTAPELLHVGTDPIAPADMTKKCDIYSFGSVALQVIS GRIPYEDIVSEVQVIMQLIKGINPPRPAEPLLSDAFWEFIVLCWSRDPFKRPEIDQVR EKLQLLRYSCTEETLASNIVDCDKQPEQETNDNE JR316_0012626 MVQPRRRVSYIIPSPSEPPPRLQLPPHGVSRLGATGPLLTLYNS DDEPGGKSTSHNVSQPRHRLGVASLALDLSTQLIGRNAPEGILYSGGRDGLVMSWDLG ITMKKKFVDTANVGSKRGRWEAMTGWGDDSIDEEAEDGEERLVSDGDILGDVTTNADR RRRAASVSGELPHERQWETDILSFKPGMPTQFRQCAQAHADWVNDILLCNYNQTVVSA SSDGTVKVWNPHASVASDPSIIGSHSDYVRCLTHCREQSWVASGSFDRTIKLWDLGRP PAAQVEPLVTLNPPDATAPKSSVYALAADPYGKTIASGSPERVVRLWDPRTGKRTGKL VGHTDNIRAILISDDSKYLLTGSADASIKLWSLSSQRCLHTFTHHTDSVWSLFSDHPS LETFYSGDRSGLVCRVDVEGSPDISEGECVVLCNDAVDQSRSSSEGINKIVVMDDHLL WTASGSSSIKRWNIPQRRVARAIQSHSPESEGQTSPNVFKRRALVSADSPSEASTRPS TGQGHSRRMSFSPSVASLTSDSERWRDRETDGKLNGLPYDSLVKLVSPNDSFTPYSSN RNRDPEVATLYSAASVMSVPRQATRASVSAQTALSASPSGLTGPLQSSRTEETVLVTN TSRALYEDRELAADAIPLCTDPDGVIPGDHGLVRSVILNDRINALTVDTAGQVAVWDI VRGQCLGLYRPEDVAAASHAGSTANGAEEKERSPREALEAVRERIEGEGVISSWCMAD TKCGVLTIHLSERCFDAEVYADEVGFAMSSQFSDESKLNVGKWVLRNLFIGFIQEELR LHQSPDKDDKLPGTLSRSSSQETIDPNIRNRLPAHSESTTRKKHRPRASSTVINSPDM IPATQTPNAPVRSSPLLTPLIPLHTLNGIRENPNFSVLPPIPQSPPAQYDVTPTPGAH QRRARSGTIDGGAAATPIAGAKDDYFSARTRQPQGGVPASPDDFSGWTGPNKAEPATP STPSGLMGRLRNFGKIGKRPVSDVPNVSNVATPTAETPHPADGRTTVEIERTPLQTLL SAPLTPPSSADAPLHPLSPQTIVLISEEAQPSYTTLYRRDVAHIHEDIEALENAMPMW LTEYLLLNKIPLSTPLAKLSFVLMPWNKDPDVEPLPELLNTTQSKLTANRYLRVRKIV NHVQDKLEKMAHGSRAGSIRSSIEDSQTGSKAPRPRAEDEFEILCNEVVLPLGMSLAA VRQYVWKSGNELVMHYRRKRMTISGPLQ JR316_0012627 MDENSSIQFSIFKDCIAQKLLHLTSTPSDITEDEKSSTASNSES PLDDFSSYLATEAWETLPNVLKEATHETRDVVPDPDALSLDSLSTSFTDSLVSYGVVN DVDDATNFLRKIIGEYISQTCAPPPAWSSTRTENCEMCGRTVPLTYHHLIPRSTHTKV LKQGWHPKAMLNSVAWLCRPCHSVVHHVASNEDLARYFHTVELLMEREDIQKWQKYAT KQRFGIRRG JR316_0012628 MSVERGRECIPVPSTRRVSRSSMMRLENILNDVSINHDPAPTSR PPRSRSLGSVHSNRQDAIDVYNSTLIKLVITQLINIQIFSPPSPGSATSAPGRSQSPE DDIWKTTAAGTTVKKKKVKMHRCSECQKDFPRPSGLRTHMNMHTKEKPFACTYPGCLR SFSVVSNARRHMRTHGVGVTTEDVEQPPVPYVVGFEDPMRRSIQRLNEKGVTI JR316_0012629 MNTTTYPILPSRPIHPHISTTCTNARCNVPLEFPVPNPLPRPGT LLQIRCFACQNVLSHAFYPGQVPSSSVTYQQSNRSTPNSQSSTGASGSQQQQQQQRKG RKIGTQDRPLETGYYDILGVPVTATTDDIKKAYRRAAIKHHPDKNPDDPHAEERFKEI AIAYQTLSDDALRRKYNEFGPKETAPEGGYVDPEEVFGAMFGGERFVPIIGNISLARD MKTALQEAEDTDADEEQSHASRPKDAKGRAILSPEEKARKEEKDRIKAEKDKQKAAEK AAARAERVNKLVENMERKLSIFTESASGPDDPDVSNSWRTICQLEAEDLKNESYGVEL LQTIGFVYVAKAKHHLATNQTFLGVGGWLHNVQGKYHVFSETVSTLRSAIELKSVFDQ IQAAEKAGNLSPEERKKLEEQAAEKGIQALFKGTKLEVESILRETCERVLTDPTISRE KAQLRAVALQMLGEAYMSVKKDDNGANVGDDADYVKVDTKSSRAREAKR JR316_0012630 MSLLPGQDVQVMFVIALVYGLYLSTLFHCLRWLIFTDEGWRVRS RISWTLVTITIAICTFSTISKVLQLISAVKVVDDANKTSASTSQEYTGSTKLLWMSVV ICTNSNMTTLLADSVLIYRCWIIYNNSKRVIVFPVFFWLGGLMLTVLQAYWQIVQSAS ISGAWQPVNMQVGPGTILTPFWASTIILNSYATFMIVRKISSVSKRTDATSTSDSALS FAMRVLIESGVIYLATAIAHFVVWWTPNAFAIAIISDMNLGVTGIAFNLILIRVAQRR VEEKKASNDLGQIGAISSIRFTQSIGESKQTDTLERTPTIDIESVNNYFDQSSIIMAT PDEKYELITRRLQEVLGADIIKGILAEGRQPKCYWGAYYILNAHPSSNLTYRNCTDWK TTYWILCSIDEDRRFLASRSRRHYSAGRLVVCFQTQHILIDFTDIHAFLDNMKAPLEL VAHRTKYYEFLLRTVFKSLGIPTSTLRFVEGSSYQLTREYNMDNYRLCASVTEHDAKK AGAEVVKQVDSALLSGLLYPGLQALDEQYLGVDFQFGGVDQRKIFTFAELYLPRLGYA KRAHLMNAMVPGLAGGKMSASDPNSKIDFLDTAETIRKKLKAAFCEEGTVEGNGVLAF VNAVLIPISQLRLERKAALAAGTGTLLEEGLGDQRPFITDDAPEGTVFSVERDEKFGG NTHYASFKEIEDDFASKNLHPGDLKKAVANGIVRLLEPIRKAFEENEEWQKIEKLAYP DPNAKDKKKKKEKVYHPPPPGKGKNAKPTTETPVETEAASIPSAGEVAHADAEAAKQV PVSSTS JR316_0012631 MALPPGQDVQAMFVVALIYGLYLSTLFHCLRWLIFTDEGWKARS RISWTLVTITIAIWVLSTISKVLQLIGAVHVADHASKPSTTTSQEYTGSTALPWMSVA ICTDSNLTTLLADSVLIYRCWIVYNNSKRVITFPVFLWVGGLMLTGLQAYWQIVQSAS IAGTWQPVNMQVGPGTILTPFWASTILLNSYATIMIVRKISSVSKKSEAGGTSVSALR FTMRVLVESGALYLTTAIAHFVVWWTPNAFAISIISDMNLGVTGIAFNLILIRVAQRR VDEEKSSDFHGRIGAISSIRFNQSIGESKEIDTVERTPTIDIEAGTSHEINQCVETV JR316_0012632 MSATTESESAGKWIPLESNPEVFNFWAKKAGLVTSQAHFEDIYG LDDDMLAIVPRPVKAVVLLFPIDADGEARRAEEDSKIAREGQPKIDNTIFWVKQTISN ACGTIGLIHALANSDVTFTPTSLLQKYIIQCENKTPLERAELLATTPLFADIHAESAD SGQSAQTIDTDLHFTCFVEAPDADIRGAAQGAGISEGAKTDTKTSGMRLIELDGRRAG PVDHGECTDLLKDVANLVKARYMSASTSVYFNLMALTTAPQD JR316_0012633 MPPSSMSTELLASRGTSHSPRPRSASPPPPRRTEPREEYLRLSQ EPSSYVEAPEKARKLIVLDLNGSLLLRSAHQRRLPTPRQAPHSQDQSTDPYADPTVLR PLRVVHPRPYLSSFITYILHPKTKQWLDTMVWSSAQPHSVNDMVDKCFKERRQELKAV WARDTLGLTNNEYHRKTLTLKDLEKPWAELPLVSSNDPKPPSDRPPPPTSSSPTSDSV SLPNGAAESDPPATTSQTTHSARTTLLIDDSPLKAALQPWNHLVIPEYVQEMRNKDLK VAELVREKEMVQDRTTEQSQDKETGATVSEDLTDEIKADALSQPLSDAVPAPVEINAP SAASDSHLETSAADNGPDATADTQSNRALKRLQKKEAGKLKRAEEHAKRISEVVGDQK FDQTLLAVIGILDRVKWEDNVAGWMRSGGLVNVEGVNVHHFTGETSVKDAGVPTLQSV PDLENEREEETSKRPLSHTPPTRSRDASASPSPDDGTIPGSGSKKRRRVSDQAENLST VEEAALDTGMDTSVDGAVSQPNEATSTDISLAQMQSTQAQPDDQEKIEEEKAEQSATG IPVISSPNSMLVPQPPNPLCDDDGEQSKSLKLWYEYPEVLAFWAERGQRALGELGIEV TSGILLNGSARRKVST JR316_0012634 MQNSAHLQQFQNLVRSNEPPSDNVLIQLQSILAGPEKQLVEIDA QIDRLQDTIYELQKTRANIEKPISEYRCIVSLIRRIPSDILSKIFRHCLPTHRNPTIS ASEAPMLLTRVCSRWRNVTLSSPRLWSHLHITFHHEVPIKTVYDRTPLETVSALLRKR CDAIKEWMVRSGDCPLHLSIYYNGMSITFDNPPLSQSALLLLKIILSFSSRWKTLELN VPIQVFVELDAMVSTMTIPNLTQVRIIIQDWYQNDIFLRQPCPTLEILKISSLKHISL CNYHMDEIHLFQLMRLTSRNVTHFSFHSLVDLADIFRILESCPQLVHAMFSIITISDY NTVSQRIIQLPFLESLWIVDNSLDTLVSDALYKHIAAPALRWIQHKGPTDIEFLFSNN RFSRQNRPHSISSLVETSLGIRVLELDRFVMAPDTLRTLLEVPSTTFFLNTLCLISPN PTEIKVSALGEIIDPFDLNDLIVQEASDGRGKYVLLPRLEIFELNATLASDDTVFKFV SGRMDVRSPIYTLKKVVILFNRRMPKDGRDIRQEILDRANAAGIQLDLTIQYLDSDKS SSNLVDPLSPSLIRTDSSGEDVYSDGVSWPFDERGQEDYLSDDDG JR316_0012635 MPMKDEFEQVAKEKGLQLAHAIESSARSTFPILNSYDGNRLPGS QYSVVRHCRSCVYYRGISTTIPITILGRVPVPPERRILLQRRGWRTGMFGWKTGAVLG GNYGKDIDVTPDRVGLWDEDVLPDQRKKIDRDLEQYMSEKYAPVDQVILETDFIRIPA SSGDGYFRLVVCPHKSARSIIAATGAFRLASLSADSAHIRGATLGMLAPELALKHASG TVLSAAEGTLCAASPVVKSVETTPGTKVLGKWALDSGYRRVAGKETRARYNSLDDVYH HFIDEIYDSIPKVNVGVRTRHDLVEDKKIGNVLVDFRRRLKEAQLSDTPSIESFDARI FLEHPISP JR316_0012636 MAHKEELFPAIGEIAAKTDEIPAAETEEGSKTEGGENFDNEDKV VQEIESLCMKCHEQGVTRLMLTSIPFFREVIVMSFRCEYCGASNNEIQSAGEIRPEGT LYTAKILERKDLNRQIVRSASCEITIPELDLTLPPTNRGQLTTVEGLIRDVVSDLSMD QPLRRIQDEVSYTKIQEIIDKMKPILGDPDDDEEVQEQADYLNLPLTPFTVKLDDPAG NSFIEFYGGMSDPKWNLRTYHRTLEQNVALGLVAADDAQAQSEVIQEEVTPEAITDDE VFVFDGLCSSCGHAIKTNMKKVNIPYFKDILIMSTNCEFCGYRDNEVKSGAAISPQGK RIILKCEDREDLSRDILKSETAGLTIPEIDLVLTHGTLGGRFTTVEGILEQIYEELAD KAFAGDSSNKEDKEAYEAFLKGLKEVKNAERPFTIILDDPLANSYMQNLYAPDPDPNM TIELYDRTFEQNEELGLNDIKVEGYEEDAASEKKDEGNDKETSNSV JR316_0012637 MDPTKYPSAWLYDAPTRPNYPTPPPSFSQPQDEYTSFHQDAFPY PPESLLPAELALSAASLPIDPLQATTPEDEATIISISTSFHPNAEPSPDTIFSSSDGV LFYIDSATVLKACPTAFVAILMQPLSHPKFRDGNISLDISSPELNVIFHALYGTSPAA NRPSFDTLIQAVDRMPSYSIPPTTLIRPGGSLYELLLYHAPLHPLESYALAAHHKLHP LAVSVSSHLLSYDLSKISDDMAERIGATYLNKMMHLHMSRFTALKNILLHPPHPHPPS KECGFEDQRKLTRAWALVSAYLAWDARPDLSTHTMHTALNPLMEHLACTQCHQALEDK IKDVIVQWASVKVSDYIAWFSNHLFLRVEHAASGSNMEHYCREPSFFRVRVQLSGWNW GRAWRALVALSSLLTLFHVIVAHDLKT JR316_0012638 MSQLTSQNNKSYSAFLPDDILRQVFETAAQLDFRVTVNLASLSR RIRPWIDEILYSVVILRTLRTSYAFLRTIQTPNTKPRDFFAKCVKSLLIYADIDTDSL VTLLSICRGVINLSYWPSTGNAYAALPGYNPSSRLLGTPTPWNSHVHSTATPNISSSP LSTRQATKIYVPAVTEYFFFDLHSTSHIAPRRLSVMLHDSHPLFIFRPRFEGSPFFGD VTHLSVLNRWEEWTAWAGHRMTAEALPRLTHLKFDLSVGQAPESVRPRSLSPSCSRWL ETVTVADPFCPSPPESESESHSHAYAYSERKMSSSECAWASKIACVANALSDVLSRHP SLIACVLVLRFDSNPARTARLISNAVASRMASSKQRRSSSPRYSMDMQCDSDDMDVDM DSDSPPAVDPRLVFAWEKESFRYNYAHSEHEQIMWKSAESIAKAQRFMKGENPLR JR316_0012639 MIRNREPLPVPPPSPSDSDTLRVSESTASDSTCLELSNDNDIQD EGNKRKKVMFHAVTLVIDAGPENDMSQKSTSDESKFLRTAFSSYDYETKDVAEDLSDS GPASPSDTGEKTDASIRILKFLITTGSSTHTFECPAILSKCISELFPPLVSITETIPT VSLLRAAALTPLASTIEQKSSDLGMFADQDIAQGQCILSERPCLVSIYSILDGNHREE LAQLFDNLNPETTDEAISLLSPDDSEDSVWYEQIMRRHSFVIPLSSSTLEGCEDALKH RGLFLKSSRIRHSCTPNARWGWNTKSFSLRVFALQPISEGMEITVAKIKLDTLQASGS PTGFKCISKACVGHCANSPFHPHTTQLDKPKQTTNHEERTQYSC JR316_0012640 MVRRLSIPRIASSSRKLNATATNTRSTASVPISSSGRAARSRRH PYHNYARKQKQPVGGSKLYDEIDVENGSPSPSVSESGTQQHLQSGVVDITHVGVPLEG NTPGETPLSPSKDPELFDFRGLTCFPEENIVGGNPIPSPLYPWNMGPMAQYPPQPCNS SYISTYLPFIPPPVDLYPGPMYHEYGGPYYQPPLPYPAQVQQVQVQESFENIDPVLRP PMAEQFQEIQGPAPPQYSEAVPNNFYTYPVNLPTANLEEKVATAKANITDKNPYVFIV KTPVDEGAYPFIVETPNDHKPRARKERQVKNLGFRQQAGTRVEVGKVPGKVISAAWLS KAIAWKKNGSAKKNRKLVETVHFDEPCVYEEAPVHVKKEDLPEIPCPMAGCDELLRGR EELFNHLKDPTGPHKIVVNNSVGQTKVPCRAEDPCNVHVISGGMARHLVEGHSSVWFY CTTCNKCLIREDQMKEHFKKAHPGQRLPTKFREVLHRPYEEDSE JR316_0012641 MSSSLLLRSAFSRNLTHRLAARSVNQARYATSEASQYKYVPGGP IIQGTVNDPTTFPAPSRTHGSYHWSFERLLSAGLVPLTAAAFVTTGSNYPVLDGLLGV SLIMHSHIGFDQCLVDYLHPRKFPILGKVATWTLRTATVGTLVGLYQFNTNDIGLTEL IARAWTA JR316_0012642 MAALIAVSTNFFNLAVGPPLAFVGGAICNAVLNFIPALPKQVGL SVGLQRVVGIAGVASVISLDAGFRYYKEVGIAPVNYAAAEAPSADSSGSLTTQSAIDA QTQGVPAVQAATSAAGEASAPDTGASGNNNGEDDNDTPHNGDGSQIGKGDAKGESSPN RKGGEPVNAPPPPPPPPPPSPGSEVNDDPTPLSVFKLWLLLLLASIPMGIAAIIYRLR LKARKAKNKGRRKPIKLLKNACPVFAFPTGQCPVGGPDTFTKAIIPPPKEVLTIKPQV EEVALGPSPEPAVFNETASPVEEEVQSFASKPSETVSPTLKKRFESTTSRMPYRSPTN IAFRILSDIVYRIFLIFYILLIENFLFTLCTILVMSVMSFLHKNGLAFGCGTKVDKKK TFVPAAFLDGKDDDEDKNVDEGAVDLMSTLSTSTEVAGIERDTFYNTSFEANLPSQPD EHPEPSLELSRLDFDEHSFVRRQLRLWGFTVLDGVVVEGFEEESTAFIEEVNDLLELG GLMQDNRSGISDGPTLTADILEGPTETTLVGSLEDIVAEEVTQPIAPLAPLAPLASQE DLWTDNDTTSSIDILTPVEEVSSTLEQADGEVEIEARPNADIEAVVDEDSEDILAGLD RVVEEHPVAVVPREEEEDDQDILAGLDPVNNKVGGGVPPCEEEEHGQVVAALDEVIRE VIEEEPTVGTLVVYTPLRITMVEASEVGEPEGSVGAPEGSLPSNTCTTMVVWRPLQDP AHHQAGVSVSQIELRDGCRVRRRKRCRPKKKIQPPAKPEPTQDDSQAQPQPTVDDATV EDSQAQPQPTVDDSQAQPQSTEDN JR316_0012643 MANIIRSAKSGNEWTDNELEAYNINITFQDAPTFFGETPLPAPA VSQEVFTALTADDAANDIAYSLLTQLDLAMMPAEPEESAVVDFAVVLFHSLGYLHRPR AVRTRKKLRLLICGEKKYAKPDVCIIDRNENDIILLVHEDKRFGGDTDPHAQLIASAI ATFQNNNAQRRSAGLDPLNSKVIPGIIMVGTSPSFFKIPVTQELTRCVAQGQYPPTLT IVTGHVPDIPRPSRRFSEGIKPLDNRRGILQCYEAFKKFVC JR316_0012644 MSATSPIIRIDPARIIDEVDPRIYSGFTEHMGRCIYGGIYDPEN KSGLSDERTGFRKDVMEALKALKIPIVRYPGGNFVSTYRWQDGVGPRELRPRRPELAW LTEESNQFGTGDVH JR316_0012645 MFRLLVGQMESHDYAKKAFQWAKALRLLDPSIKLISCGENGYSD WDRVTLRKLAPVVDFHSIHLYTTSHGKHLVNVMGPAAAEKGIEITRSLIDLAKIEGGL SKDLTVCFDEWNVWDPVRAPGEKGAEEHYDLSDALGASLSVHVDIPTPALVYTGPTSP KFVQDLSVHTPDSAKLTKLVDVSAVLASVGQGKEIRIAIVNKSDDMDFKVPILFGPQA TVKDEFTVYEIWHEDLKANNGFDGERVRTVVKNDRLTGSYNLKSHSFQSIERFYCQPT IIVLIISLMIWYPESMGTPRKLKQVIFIGHTILNAIVDLVLIQSVSINSIMSN JR316_0012646 MGSDLKTQQYSEDSLPEYTPMPWSLKEIRAAIPAEYFVRDTARG LSYFGRDVLLAATAWTCATYIDPYFQQAATKELLTPIGAEVARWAAWLVYWWFQGLIF TGIWVIGHECGHGAFSDHKIVNDVLGFITHTFLWTPYFSWRISHHRHHSNHASMERDE VYVPKTREDLGIPDKANEEIDWDEIFGDTPIYTLYMLIRQQVLAFPAYLLFNVSGQKN YPKWTNHFDPNSILFTKAQRNVVIISNIGIAAMVYGVVHFSSIYGAGEVIKYYGIPWL LVSHWFIMITYLHHTDPLLPHYRGKEWNFQRGAAATVDRDFLGWQGRFFLHDVAHFHV IHHFFPKMPFYHGPEATQHLKAFIGEHYAYSNKNPFKALWESYNKCQFVENEGDVLFY RDKKGQAVRRPAAEYRVKSKTQ JR316_0012647 MFSIFKDGPEYIKRTQTPFKPTQVTLAEVHSVVPKHLHEKNTFK ALCYVARDITCAVIVYKLGWMIDSFASSLVTNYNVPPLAGSAVKWSLWALYWHWQGVI LAGWWCMAHEAGHGSLSSYSWINHFIGYTMHTFILVPYYAWRSTHHAHHKATMSIERD ENFVPRTRSDYGLPPESTARMSDYHEIFEETPIYTLGRMIFMQLLGWQYYLLTNVMGS PMYPPGTNHFQPSSPLFKPHERNGIIASNIGLSVMSTILYLWTKEVGLGNFLKLYFVP YLLANHWIVMLTYLHHSDPTIAHYRNKQWSFLRGAVSTVDRPLLGWAGRFFLHNVSHD HVAHHLFSSIPFYNQPYVTEAIKKVLKDDHNYDSTNTFRALYRTFTQCCFIEDDGDIV FYKNKHGQVARVLAPEALKNPTKKDL JR316_0012648 MSPFQTRVISRAVRSHSIFRQSTQGPAFRRYAHGHAEHQEIPFS TENKKALAAKCVAYMGTGFMIPFIAVYYTLTKNKGKGDN JR316_0012649 MSSIIQLPPSARPADSVPLKYGIIVHSGFQALDVFGPLDALNAL SLCYPLQLFIIAETLDPVSTKPPGSLGNPTSNFAQSIVPTHTFDTVPALDVLIVPGGV GNRIPEVVARAIEYIADVYPSLQFLLTVCTGSRLVAEAGVLDGRKATTNKAAWARTIA YRPQVNWVPHARWVVDGNIWTASGVSAGIDLIFAYIGEVYGEEVATLLSNFMEYERHQ DSTWDPYAELYSL JR316_0012650 MTAPSLSTVRTITSSLNVLHIRADDTVCPTYHNAFSDPDADAVL RSYQGTLYRVHSYTLRTTSGLFETMFTLPQPNSRHSSDCEEPSMSKINLPSVLDVYES DFVLERLLRLLTGLPLPRWNSIEEIERVLTVAEKWDTPGPISTMRYALSSHQFLQSHP LKCYMLATHFGWDAEAKLASTHTLTLNLNDKIHSSVIDQMTSKDLLPLLDLHRKRRDM FRELLNSPERFAAGNSSPYHCNRCGVTELDNYAWRAFKHAMFLEIERRPLGDTLGLAS GEAAEWPESLACWQAKCPKGGCGGLNYDRIATLRQIRLCISLLPTTIET JR316_0012651 MFSRLFLLGFLSTAANLISVNAYTGRGKHLPSSMQLPLSVAYIH SRDLATWDSLGTTPCPVQCPTTDYRVALPVDMFPNGENCCSTVHVSYQGTNIDVTFTD LFLAGEGSFNISLSHQAFAELAPLELGQISPVVWNI JR316_0012652 MFIYLVLLSLTATISLASAPPSGSAQCRQITIPVSVTALTQNIE LTAPKNQSELTGFITKVTSLSSNVTADVLQGQRNLTANYKIWSLLCLPVTVSSERAIT TVEFAVHGINFDHSYWNFGGKGSKYNYVDVANRAGHAVFLYDRLGVGHSSKPDGIQQV QTATQVEIAAQLIKYLKSGKSGYTFTRFIGLGHSYGSLQLLGLASKYGNLLDAIILTG FSPFQGGISTSLAAFGLTIASEQNKARFGRLSGSLPSSYLTTQSIYNDQSAFFAFPFF DFNVLKIASSTKGTATLGEFLTLSASVASNYTNPVFVVTGDKDFIFCGGDCFQKFPGA SVNLVEASGVLFPAVQKFNVSIPANTGHAINVHFSAPNVYKEIQNWIASNV JR316_0012653 MISKGLALAFSALPLALGANWDVQVAPEGNLVYNPEWITANPGD TVTFTFNPKAHTVTQSSFDTPCVSSQQFDTGFVNVTAGGPPQTKVFNVPEGNTPLWFY CRQVGHCGQGMVFAINPPADPSPRSFSAFKALAIAQNGTASSSTGSAPASTFTTPPPP VWQTATATVTFNNSVYTTTYTSYDGTPPPTPTANPVDHRIQVGENGLTYTESNITASI GDTVTFEFHAKNHTVTQSSFLKPCIPLLESTGVQGFASGFKPVAPDATEFPTFTITIN DTAPIWGYCGQTGHCAAGMVFSINAVESGPNNFEAFLNLAKQSNQTATSSDTGSSTST SASTSSTSTDRPNSRGFISSQASLPLTLGMGILAIVSFIL JR316_0012654 MATEDSLDLGEMFTEPPRPPTPEPTISTYNRDQDKISPDSSWDS IKIRLVGSHPLWAHHLWNAALALASHLDEHRELYSGKCVLELGAGGALPSIVAAKNGA RKVVITDYPDASLVDNISYNVAENVEAEERSRVEVQGYIWGRSVTPLLDVLPSPGKFQ LIILSDLIFNHSQHDALLNTCELVLEPLTPNSHEGTEPCVLVFYSHHRPHLAHRDMEF FTKAEERGWICKETPMFPEDSGEESVRSTVHGWKLTKPLSS JR316_0012655 MWLRWISVAVNLLVVFPAFPQTFGATYILSENIIGDAFYSHFDW EAVPDPNHGRVNYVDQATSVAQNLTYASSDSFILRTDYTSVLGIDGPGRNSVRIRSKN TYTTHVAIFDLRHMPQGCGTWPGVKEVKESDKPKGGLVDIVEGVNDQPPNSISLHTTE GCTMPAKRRQKGVSGQLDCNSLVNANEGCKVTDPALPDYGPQFNVMGGGWYVLERTEE HISVWFWTRHDPSVPFEIKHGFLEVDSTTWGPPVAYFPNDDCNPMSKYFEEHNIIINL TLCGDWAGGPAYEQAGCPSTCADFVNNNPAAFIEAYFDFAAVRVYI JR316_0012656 MSDDEYSDLTDFDSDENDDYKITHALSVPRPATYTTQALFDQIH NNDINLEPEYQRDVVWSEAKQIGLIDSIYRNFYVPPVIFTVQMNDEGAETRTCIDGKQ RLTSIHKFMDGLVRPPSLVFTGKRYWYKDTHTTSGARKKQLLHPRLRKLFANKQIVCM EYQNLSEEAEREIFQRVQLGMALTPAEKLQVVKTYRSDFVRELQNIYMKEEGTLSNGA LQWDTSRGGDYRGLAQALYCMDKLESGFKNVGMPQLEKWLSNDQRLEHSFVHQVRDTF RVFSALAEDETHQKVFREPVKTSPVEFVMFAVFIYMHKDKATMTQLASGISKMRLNVR KEHVDIRTNSKVMKTMSDFIMKWKPTTIPGDTGSIVGKPYKSGGKRKRGKDKDGDDYM DSDEDDDEDIYHEEQARKAARKSTNAEASSSTSSSSAAPLSKQKATKSAGKIKIKIEP KSTSIISPPPPTDRLAALRRARETLTQQQAQHATASQQSPSTSSSQTAATQSTETSVP QMLPSPGQPFSFPSSTPTNPPPPPSSGRLPLPGANARLPAAPPATSASIDSTLMASMM QHRIGPPSSSSRAAASNLLPSTSSAATDKRNDHDRDKAPHERRDSGQFDSRRGR JR316_0012657 MDNDQFKTPLNKSRLPGPGLRSSTMKRDSFAAELERDPQTSTAK RQQRAQVFTSSISHASLERQLLAAQTSKMELETKLRESELLIATLKSDREWLANREKE EREEKEAERESYEKAKKASDAENRSLRITVSTLREELADLQDTHQALVRNTNQTVSSQ KTEIMTLTHRNALLEQQYEQAKTLAEERSESLTQLQAAYDELSTAKESSEKRELEEES MSIVRDELHRQASYLRSLESSNAKMTAELKVLRERQTSVEVLREEKRGLERKLQMLDE FRTKAIKLEAEVEAGRKEREAWAENSQNAQLSSTPIAVTKALSDLRLAHARLLEEHGS TVALLRQREAELADYERREAQSTQNISTLEQNQRILKDKIARRDARTQLAEREVTFLQ ALVASFNAEDHMEGVERDEAKDQHIQQLQGLLQEYKALNDQLSDNLENLDGKPSLLNP AQAEELHAELEKERLEKAELQKGLDAATTSEKENLDKIEELEQTLFELRGEIAGGRHV PPNTRILSMKDNPEQQWFDLRQAAMDRLKSENEALIKRLKELEEGGVRMTNEGEGAGE DLVPRESWEAVNKEKVDLEEMVKQKEKRLLRLQQVFTSKSAEFREAIASILGLKLAFY PNGQVRVTSVYDLCASFVFQPSRGQGSSSAGSASMQLVAQGEGGPQDLPNLMEYWIEK EQCIPGFLASVTLECYDNAKREGRIDV JR316_0012658 MSTKPRREPRAYHPQFNSPDADVILVSSEDTGYRVPHFTLRNTC GFFRGLLSTHNSSLVQPELGDRSFGPIFDVDEKNKVLTKVLSMICGLHSDNWESIDEA DDAILLAQKWDAPGPLSIIRSSITAPMFLADPLRLYAITTRQGWLEETMLASTQTLSL NLYDEEHRPTLEKMSASRLMALFRLHRDRRDRFKTFIDSDAIFDAGNAEKYLCPGCGE EMNNHTWRELKTRMFMEMDRRPLGDTLCGLEMEDWPEFIACWEAKCQKADCGRLCYDK LSTLRDIKNCIERLPSHV JR316_0012659 MNFRATVNCDMGEGFSLYKIGEDEKLMGHIHLANIACGFHASDF AVMNETVQLAKSHGVAVGAHPSLPDLQGFGRREMAIEPDELKSCFIYQVGALCGFLKQ HGLPLNHIKPHGAVYGQTSRSLPLARAVVEVAKIFSTPNEPVAFVGIGGSAHQTAAEE IGVKFIPEWFADMEYSAEGKLLITKKHDPVPLDIVRKRV JR316_0012660 MVKEYDNHKLLVANRGEIAVRILQTAKRLGLKTVAIYTHSDSLS PHVLQADESVPLEQPESTVTNAGSSEAAAYLSITSIIQICIKHSVTLVHPGYGFLSEN AKFAAEVINNGIIWLGPRPEIIRSMGLKHEALDIARNAGLKSVPGSGGLLASEEEALN IAAQCGYPVLLKATAGGGGMGMIVCRDAESLRTSFQVAKNRAKTLFHDDGIFLERYFD AARHIEIQVFGNGQGDVVHMRERECSAQRRHQKVIEESPSPFCLAHPGLREQMCDAAV SLAKSIKYNSAGTMEFLVDEETANFYFLEMNTRIQVEHPVTEQIHPGLDLVELMIKQG LEESSSNKGFLSSSAEMQQATYDNFVAQGVNQGCSHAIESRIYAENPYEGFVPSPGLV QYASINELDYDWLRIDSWVETGMTITPHFDPLMGKVIVSGSTREEALSRSLIALGEIQ LLGPMNNVKYLSSIIDHHSFRTGMVTTTFLESFKVQPRAFKVLSPGIDTTIQDLPGRH MKLGIPVSGPMDSLAFSAANILVGNPKTTEGLEIVVVPGVKASFHFFTSTVIAVAGKD VIVKVSGKKCSTWSKLLVPEGSTVDIEASMTNATAGFRVYLAIRGGFPDVPTYLGSKS TSMGLGGYQGRSLLKGDLISLGNCSPAPQENEHVVPEHLVPTYSSHWVIYVLPGPHGD EEFVTSEGLRKFYTTRWRVSPSSNRLGIRLEPPSSADRIAWARESGGEGGSHPSNILD NGYALGTININGDTPVILTNEGPDMGGYMCLCTVATAEMWKLGQLGPGNTIEFRRISW SSAIQRSVSNIQWLEAVEQSCSSHKLKETSISRFTLLDTDVKDTEYPTVLHVRHVDRD ALAKRVTFRQAGGTAILVEFGAMHLDLFVRARIHAFQGIVNGKGISGLRFLCPCIRSI LCHYDPTIIAQDAFLAVLIESAELIPDNMDEMTFPGRRITFPIVLDDKWNKEAILRYM TTTRDKAVYLPSNVEYLANNNGLASAEEALQKLVESDWLVLGVGFYLACPFLIPVDPR CRLIGQKMNPSRTFTPRGAIGIAGPVAAIYPIESPGGYQLYGRTLPTWQTWGKGRDFQ ANKPWLLEAFDQVAFKPVSEHEYIEFEKQFDTGQYVFQIEPTEFSVAEYAKFLESIEK ETQAFCLRQAEASSREEQRERILLREWEEHKVRQNVQEDSSKSVYNGYSSTNAIFFKD NLDVEGGSRLTAPIFANIWKIQCSIDDVIESDSQVLVILEAMKTEIPVLAGEGSKGKK VVGFGHGAREGQPVGPGDTLVILG JR316_0012661 MLNPTRNLSSKASKLLWGLTLLATAAGAQELTDGQIGVVSARLA EAALMSWELGTRAQTILELNATEYSVFSSSSLPPPKTIPSSLASTHGLDPFFAIAHEV VANRSTANNNTSGPQAFLPDGSAGDPPSIGVAVLLADWTNQDDGRLDYAGAAKDQLDF LLTKVPRASDGAISHRVSELQLWSDFVYMVPPFLAYYGVLTRNRTLVAEAYNQIKLYR GHLRDNSTGLWMHVLLGADWNDPGFWCTGQGWAAAGMLRVFATMQHSEYSNTFKNEQK DLASWIKEIHDAVYPHLDDTNIFTNYPNQPVTASGNFYDAACTALLASTVYRAALVTK DGSHIADADRTRSTLFSTNTSQPPSSNASTALDGYAHLTADGWLTPVVNPHSFGMAGK ESAEAQAFVVQLHAGHREWVQGGSRVSAATRNGRAEASAVWMTLVLVLAGAFFLFSIF SKYLDDIYRLSPPNLYTTIIRLSFPLHSACRYLHNPHTLPTLQKKTSPNNLFDPIIA JR316_0012662 MTVNDYHLIKVTSNPSKDPHPTPKGDKPPHWVDKAGSSFHNPWK SFRAHSFRDKLNLLPAIASFPAPPKDIASLMPIRNPTWGRSAAATLDGKNKEDDEETD RSNSSKIKTTWLGHACFLVEFPAPPGSTDGRGVRVLFDPVFSSRCSPSQHIGPKRFTP PPCKIEDIPEVDAVVISHNHYDHLDTHTIRTLFRRTSRTPHFFAPLGNASFFKSLGIP TSHTHDMDWWESKRLVVQHSDAKDDANQPPLTVDITCTPGQHFTGRSLFDSFKTLWAG WAVEEVRQGEINGGGGGGGGEATRPPTKVYFAGDTAYRTVLDGDNEDEVPVCPAFKDI GAVFGGFDVALIPIGAYLPRDYMSRIHCAPQDSVMIFKDVKAKKALGMHWGTWTLTSE NALDPPKGLAKECVKAGIEDGAFTVCDIGQTLWF JR316_0012663 MGHQVDVTLVLGPMVFSVVFNAFLYGICVLQFCYYWESRARDTA IIRLLVAWTLLLDTFHTSALSYMIWEYVVVHFNDPSFLSTVLWPFSSTPIVTTMTSFP IQIYLSWRIRQFSRSTRVFSVLVAMAVAQATLGLVCSVAAFHVPRIGSYRQLIPFVDA WQITAVATDVSITLLLSWYLWKSRTGQKSSNNVICRLIRSSIETAAFGASFCILDLIT FTLLQDTNFHVVFAFPFLSRWEGYIREPCLLGRLSLFVAKMDRSLVGQKHPTNGDYTP SPDLLKKQKAQPFILSHPTSTIQTLNSRQSLRAELERPIVPDIALDQSFNTFTAPLRS GIDPGPPPPPSSTVLKKALSAEQQMQHQHQHQHYYHHHQQQQQQQQSAIMLNVTVYST SGAGAGGVAGGGGAGCVGDATHTISSVQICHSAPDGPFTSSVRLDIP JR316_0012664 MADKKASNANANKTAMSRALGAAFLSHQVEQLEKTVSSSSANWR DRKNPILYNSNGPGGPKRGSNSPGIKVAQRKIPAKERKDNEFDRSVNAAPGIIRRSYE EQRKDKDADVVVVDASVLIHALYQVKKWCREGREEVIIVPLEALNTLDLLKKGTSSLA QKARTASRILEAQVGTNPRIRVQRDDAFVLWDKISFNSEGTESSPTNSNVNSCPEWVR RIICCARWETEHPEEELKPVHAEKLAPMKVVLAVLSTLPNMSPQSMAMNIASSAEAPL TPVPLPAPTATHANRYEARSTGSLVASWAAKAGISLLEVDPALPGRGGEDDDRGHGQK RSQGSNRGRRPSTSDSHGPKSGLVERPPAVMAMMEMISQPSKVVRVLARGEKLDPDP JR316_0012665 MKQALTDQEIHNLCSKFDVEVREEASYILWCIWKERHTDRASLQ DCISALVDDDDLFIALQSAHSSGIYDVIRNWKQKPLKHSVNKDASIEADIRVILSELH PTMKKLVDGEITLPIWTPDFVDSAVSSHLTNLKIPCLKGKPNLLLHDLGSFKNDPMLE KRLKNVFMPNNHTFLVNTSGSGKTRLLLEGLCENWGFYFTSLVDSSLLGSSDVQNSIQ THVPDSPRFRSVLPPPGSAGYESALKTNREIANRLFRQIFLARLIIFNSFAETMNNSI KMNQLTNRAANQDSRTYKARWLLLQLRPSFVHPQVWDIFDELSSKLSGASDSFLNGRT KTLLANVRSLCSQIDNYHPQSSPAGGNFNEISDSGSQMSTSDTAQTPLFCVLDEAQHA ATQHNSSFRSDQNGAHRPILREVVKAWEGQSFGQGVFMVVAGTGISKDVVDQAMASAI MKDSRYRWCSDTGAFDHKEVQVRYLQKYLPQSLLDSNAGVRLVERIWYWLHGRYRFTA GYVSELLLNGLKRPHGLLNAYVQHFANFNVTDASMFVKQEGKEALPVLSQYKLDFSKL KKNSDMLTTIQQLTTHYLMRSVLPLTLGKDEAIYVEYGFARFVDSDTKTVAVDEPLVL LAATGWINANHRTSYKYFAKQIHLHDPSSNGFENYIAFCIDLLFSSRRRVNEVFHFSG IGYGAAPAWSSLEAELVALHRTDLDTIEESAVRHSQFFGPSVTLGTNAKSPEDTSSWL GHNSYTPICFPHISMGPDLVFVLRLSDGSLIWVVLQAKYSLGKNGTLSRPNLRKSMRS VTPSYFFLDKDGKHFSPTSYPDLVNEIHERLLGLPRRRADAGKYSLLRVVASFPADTN LKRCIDEDPDQDGHPIASLNMSLIKQITRRMSPIDFLQGLQDPLQNSGRTGKRKRRVD LGSSSQAASRATGSSKKLKLNI JR316_0012666 MFKNLRRNNSVSGRKRALSSLPTPLEASVAIGNSDYALKCHRIM ELYWSIRDLGVQAVFDVPRVVVIGGQSSGKSSLVEAVSGITVPRDSGTCTRCPMDCSM SSDASSWSCTLSLSREFDKEGNRLSMPRIEPFGPVIEDKALLELWIRRAQSANLSPHR PMSDFYSMTEIELKNNDDPEILPFSKNAIHIRLKDPNATDLTFVDMPGLIQNADEAII ALVRNLVENYIAMPNTLIVVAMPMTDDIENMQALALAKDPKVDPYKQRTIGVLTKPDT LGDGSRGRRDAWRKLLYPQQDEERVDKHGYYCVRLLHDDERSRAVSKFEAERISAQFF ETVEPWCSIADRSRFGIPNFVKSISKLLIELIEKNLPSLQNAVNNELSNVQSELRNLP RLSTNDPTTDVMVCIHNFCKAITSDEEPGVHQLKLIQDNRYRYFTFKHDIEMTSPNFY PFENVHPISSRLNVQSQCEPRALADVREVIKKSIAWELPGNIPYKATQTLTLQYTSLW KQPSLLCFDDIVDNTRDFINVLLQENFGRYKELERYMRGFVFSEYEAHVQKTRRILER YIEHESTPMYTLNDSYTKEKATWVSKYKSMCAGFNSYPSPAYINAGSWDPLHILYHFP PPAPTNRPAASTWDDEINLISGVQAYFQIAHKRFIDYIPLTIEHELNQRFATSIHQTV LNAFVKKVQSGEVDLQDLVKEDPVVERKRKDLEDRKARLTQIKHKIDCFFSGGK JR316_0012667 MELFPWSEFVPSSVDSSATPNCNTAAHAPVHVENSQSPTANTEE ITLPLSEDRSMVAESTPYELATWIAPILISCMNKPEQTNPINAWDSTDTEANVIGPSV PASSTGQTATQILPPVPQSPTANTEEIALPLSEDRSMVAESTPYELATWIAPILISCM NKPEQTNPINAWDSTDTEANVIGPSVPSSSTGQTATQILPPVHIPTGQLELPSQVGRS RQGNEKKRAEMAHPYIMGENVPETNKRATSRKPKTNEDLNTMSGPVTCCAGSTCSEIF TDLKKFGAHLKNAHHVSPGHIGTGLKGCPWRGCTEFLTVSSLIKHIFNKHAKALVISA KAGLGSNKM JR316_0012668 MPGNSAAVPTPTSIPKFIYERLAIHDITPKSPSIALLKHGVIYE YEDWVKKEADQS JR316_0012669 MDLPSWSENVIPLTDSSVSPSHNIGNSFQQLSLYDLANTTANTD LNFLDSTSSPSLCEEEEEERIGLSLDDQWYFSPQVDTVFQDAAALLGLDCPSTPESSS DQSCDATFNVDTFSATQDFPPVHIPTGQLELSSQVGRARQGKEKKHATNKKAFDAFPE SYSYAQEPAHLNGSTPVQVSMDVQPRHLQFPPSLQYSTDMSGWESSSRSTGRSGYTIN TSSGPQNFPLASTSTPTNIPFAHVPRDIERVAMPSRSTFQDGPIHQANSERYRVPINP LGPFPDDIDWPSQWNAGMSGNIPREADMTPVASSSALTIPYRLEHQSQPAASQQWPAQ VGINNGFEGSMMSNNAMISNDPPTSTVDDTARPKKRRGKKQQQQQHQLFSGTHDAILF CTCVESGVKCNEPLISQAHLGNHVANYHDVKRGRSGNEVKECPWEGCDKHLKVNSLWR HIIRAHGKMLPKE JR316_0012670 MDLPSWSENVIPLTDSSVSPSHNIGNSFQQLSLYDLANTTANTD LNFLDSTSSPSLCEEEEEERIGLSLDDQWYFSPQVDTVFQDAAALLGLDCPSTPESSS DQSCDATFNVDTFSATQDFPPVHIPTGQLELSSQVGRARQGKEKKHATNKKAFDAFPE SYSYAQEPAHLNGSTPVQVSMDIQPRHLQFPPSLQYSTNMSGWESSSRSTGRSEYTIN TSSGPQNFPLASTSTFTDIPFAHVPRDIEHVATPWRSTFPHDPTHQTNSAEQSGDGTF NVDTFSATQNFPPVHISTGQLELSSQVGRARQGKEKKHATMAHPYLMGENVPKSNKRA ADRKPKANKKALDAFPEYHSYAQEPAHLNGSTPVQVSMDVQPRHLQFPPSLQYSTDMS GWESSSRSTGRSGYTINTSSGPQNFPLASTSTPTNIPFAHVPRDIERVAMPSRSTFQD GPIHQANSERYRVPINPLGPFPDDIDWPSQWNAGMSGNIPREADMTPVASSSALTIPY RLEHQSQPAASQQWPAQVGINNGFEGSMMSNNAMISNDPPTSTVDDTARPKKRRGKKQ QQQQHQLFSGTHDAILFCTCVESGVKCNEPLISQAHLGNHVANYHDVKRGRSGNEVKE CPWEGCDKHLKVNSLWRHIIRAHGKMLPKE JR316_0012672 MVYYSALQALAVSVYILNVEATQPNASNIPSEAVSIPPLSFAVM GQNATFRENGFKTTFNPTNGTPPFFQIFHSDFLDILGPKATVRTIAVNDTFASGFAFE APFFNAPTNEIFFASSVFVPESSFNHTNRISKINMTLVEEALAEDVANINIPFTTLSL PDTIQIINGATGPFKGSFVLATRGRGNLPSSLVSLNPNPPNNATVLLDNFFGRQFNSM NDLKLHPSGNIFFTDDDLGFILDQKPPPLLPSQVYMFDPVTGLVRMVADNVVLPNGIA FSPDGKTGYIGDSAGVTNQTLPSTVYAYDVDSKTFQFSNRRVFAFIDCGGPDGIQVDS KGNLYVASGDGVQIFRKDGVLLGKIFIGSDVANMAFAGDGKLIILGNTRIFLAQINAK SGLTMI JR316_0012673 MLSYEQNNDVATPYTLCTKCTAAINFIRDYLQHSTGQLERPRHS LIAQYHVPEVQASGLSGCHLCSIISQATCPAHSPKDILADSDSVVRVHMARNISGNRD SKSPLIFLSAQMKTSKGELLRDVTLSVEADPDRFPDRSVPASWSFSTSSDATFDLARS WLHHCLSEHNLCEEVRKTPASAKSSAFPTYLLRIEPEKVYLCRARDENGRPRYLTLSH RWGGSRIMKLTSNNLSTFLSSIDINQLPKTFKDAIFITRKLGYEYIWIDSLCIIQDSA DHWKSESAIMGDIYRGSVCTIAALGATDGESGCFKSRNPLCFQRYNFELLSGQKVYIP PEKEKTLLNQTGYGPNVEPLHQRAWVMQERILSPRTLFYGTCGIYWECVLGSVESNVS SKMIQGSGTTTKYAIYQACTLNVTGRFDMSYKMFWQWWTKIISMYNPCGLTYGTDKLV AIAGIVNLVQSKTGLHSLAGMWREYILPELLWHVERPRRRPSGVYQAPTWSWASLDSE VSPGIQDFNYTFNWKIDITDAAATLVAENGQISSAYIRVRGPLLKVRWEIPDEDEDED EYSGYKLRWGSMVPEPNSADDRVIFLPDIKPNPGTDVYALQVVHATSSSSWMKMGLVV ALKAQDSDEEDAGEEVWVRVGSFRQYDWPVNTTSFFEEGQADVHVQELMIV JR316_0012674 MFRQPFLLARNLVRPTTVHPSAQRISLRNLRSISSTTRREAYIR FSTPGGPPTKPQNNLHGKWDPRMKGAVVILGLGGLYYVSHLEQVPETGRWRFMNTSPS FEAQFAEMTRKQMRASFGSNTLPPNHPVSRHVRRVVSRILHASNLGVLHGESPLISSP FGLGHDSEGHAWNPDAGFGAAADPGDAYGPSKEWDVIVVNDVKTMNAMALPGIIVVFT GILPVCQDEEGLAAVLAHVARHSAERLSAQTIAWTFLLGLAVLGIDMGITDFVQKLFV DLPNSRTQEHEG JR316_0012675 MSALKLAVIGGGPSAFYVASRILKLQPEAQNIRIHLFDRLWAPH GLVRYGVAPDHPENCTHKFAQAAEDTRLRFFGNVDVGDTARTVPHALQLPLGSIFKNY SHVLFATGCTLPTLHDALPPSAYCIPALSLVHWYTQHPSGPPPPALDKLSHVSLIGNG NVSLDVARMLLTNVDVLAKYDVPEPVLDVLSRSTIKHVSIIGRRGPLEAAFTMKELRE MINLPEASMVPLNSSLITPSASASDPPLTRQQTRVLRLLQQGSKCAPGSTSKTWSLDF FRAPIGITPPPGSSSSAQLSLAHTSVDPKTSRAVPTGEVSTLSTDLVVTSLGFHGEPT VRFYDPGLRHLRAIEGRIVTSDGHILKNVYASGWAATGAKGVLATTMMNAYGVADAIL SDWKATSGKEQDAVADPQVHDLPPLNANPVLDDVPEEVQKALEQKTVTQYDDWRKIDQ EEVRRGEVLGKERERMGWAEARHLIS JR316_0012676 MLSSSFRTLSRLQTLRKFSVSNTRLQAEHLDSDGLARMERIRNI AIIAHVDHGKTTLVDQLLRQSGTVEQLSETEQLLYTPTSSSNAVGSGSSSITADSQIE DGFIKRLMDSNDLERERGITILSKCTSVDYEGNLINIVDTPGHADFGGEVERIMSMVD GVALIVDATEGPMTQTRFVLSKALARGLRPLVVMNKADRATARPSQVESDLFDLFATL GATDEQADYPILYASAKQGWAQDTPPKLVTSPTDATSSTYVADSTSMTPLFDLIMSHV PPPVHLSRTGPFSMLTVQIEADPYVGMLYLGRIQSGVLRIGDTLHALNSEGVKIGEGK VKKLFGRRGLTRVEKESAGAGEIISIAGIKCANSGAGGGGGVNVTLVHPDGWGPEGPK PLPTTPIDPPTISIHVYPNDSPLAGREGTKLTSQVIRERIYKEAETNVALTVLPGPTS ESLEVRGRGVLHLGVLLETLRREGFELSVGPPKAVMIPDPEKNPEGKANGAWLEPIEE VTVMVREEYAGSVVQKLTMRKGEMKSYDSGEPEEGWVKIVMDVPARGLIGYMAGDFGN DTHGQGQVCLNSTINHIFKGYEAFRGAIDTGRNGALISMASGESSGYSMAPLQARGTL FIHPQTQVYPGMVIGESSKTSELYLNPCIKKQLTNIRAAGADEKIVLASPRIMGLEES MAYMGDDELVEITPSTIRLRKRDLTAAIKGRTAKAKK JR316_0012677 MPLSMSSIPDLVEAKDILPKPLKASSARASVSQTLANDKSVLHL KWNNLDEFVKWKLDEEKRLSIQLVSGLTHHSAHAYAQQHGGIIYLCRHNGAESKVSCP SFLSVQLPSDRGSVTAIYNKKHVHTQDDAEKSLKGTAIAKNKGKKPAIRVGETSKQAE VPSACVPINPLSSKAKDPSVKSNTLPAHSSNGTLDWDDVLCNQYSHDIINSIANLELK KHIDVGVQTDNITDRDSLPSMNIPQHNWNIYAHAPNGHGNTIVEQLNERANSSQTGAN HALNGASLTDTGLPFNSLRVDEQHDLETSAQRMLREVINSMSDTAMTVNNHLIELIST ENTKQPVLYPNSTTNQDAASGPQPLGDHNSSSVIWPTTTADKQDPPSRHEPENSHGTV SEVRKRKQTDANDNVDNVVQDSDKRARSGWGLPTSGGESFAFYGALPESSSSALDTSD AEWFTPAPDAVSGGQPIENWDTWWPDDVLSWGEADWEKAINAAYEGGFLASNVPEV JR316_0012678 MSIMEKSYCGPVDTQSILAFVPHLPASAPGATCESAKSRAQSVF TRLLLVVTFCFATCIHGYQILLNYGYNATFGYEVPEAYTIVSSSSDATNILADTVLYS EITADSLSLNNTVVIYNNEQRTYSLVDASYPDSGRYKIRLVESSPRRGLLAESIAFFP PGVGMQSSSASTSSPISTTSFGAITISATAVTSSLFSNYSSSYSPSKSTLPSVAASSF NPISTAGQSSGSVGGPPLAAIIGGVLGALLTLILSLLIYLCRRKRIKFQRLKRQDSLS GNPTAMYSHPSSSAFFKSYSSQSRSHQISTESPSDPFSGGVLYSSRDDSGSALASSST STNPAILEELNSLRAKIKDLERLQQSMPEAPTDVITLIPLSFSDHPASMARQSQSLPT TNIPFFLLAFACKSTRALFLPNENEKIPPKLGKYDLDSPNFKRQFSSSSSSSSSFQSA FFPSDDPFTFFPTGSISIDFFPTTSASSSQTFFPFTSPTSFPFQESTTSPFFDDFSST SSASSIETTSFSFSSSSMSFETSAASPTDTQMSVATSSGSKSPVIPIIIGTVTGCLIA ISIVLCLIFLRKRRRRRLKEAEQLKVEKAFGAEGGFHLLVDVSGSDPTGINPYTAPPT TRPVVYPDEKSPSSDGLLSVPSGSTINTQSLAGTSSGSVSSAPVSPITEYTTPTSALN QQALLEQLNLLRAQIQHVEALAGISASGPSCQASSTGTQDSSEPPPEYDANLGDASTQ RAGGKGAALP JR316_0012679 MRIPITLAFVASSVSWSSRVYAATVATDVAVGVDATVSTDSASA GSASSIFGSYFLSESIVGHDFYNHFNWENISDPTHGRVVYVDEKTSVQHNLTYAKYNS FVLRTDNKAILDPDGPGRESVRIITKKSYTTHVAVFDVRHMPQGCGTWPAIWSTTAPP DPSWPNGGEVDIVEGVNDQGPNAATLHTTTGCTMPETRPQLGTPGQNDCDWLVNYNTG CTVKMSGPNTYGPSFNANGGGWFVTERTGDHISVWFWQRNDPKVPFDVKYGSPITTPR TWGKPQAFFPNTNCDFKKFFAPHHIIINLTLCGDWAGQADVYASSGCPSTCLDYVNNN PAAFSNAYFDFASVRIYQPTPFLHNRGHHSTF JR316_0012680 MLTRRKLICTLLLSLAFLNPALAKTYALTDTVVGSSFYNFFEWE AIDDPTHGRVNYVDEQTSRALNLTFASPDSFILRTDFENVLDPNGPGRNSVRIRSVNT YTSHVAVFDVRHMPQGCSTWPAIWETKESDWPVGGEIDIVEGVNDQVPNAATVHTSPG CVMPADRAQTGTSAQLDCNTEVNENAGCSVSFQSPSTPNSYGPPFNANGGGWYAIERS DSFIKIFFWPRNDDSVPREVSTGARRVNPDGWGTPAAFFPSTSSCDLAEHFEENNIII NLTLCGDFAGAVYPASGCPGTCVDFVNNNPSAFQDAFFDFSSIRVYQ JR316_0012681 MASFLRRFTTSASAGKTMYFTLAIASALPVYAVLNENSAAASPS GSLNSRANVFFDVAINSKPSGRIVFQLFDDTVPKTSRNFRELATGQHGFGYAGSIFHR VIPNFMLQGGDFTNHNGTGGKSIYGPRFADENFAHKHSEPGLLSMANAGRDTNGSQFF ITTVKTPWLDNRHVVFGKVVEGMEVVKAIEKTGTESGRPTAKVTITSSGTVE JR316_0012682 MLVDASSSPYFNNQSRPAQPQAQPESVSVSVSEPVSEPSKPKAN PNQAVAPKRDVCTQCRCLFEQIRFKIYKTCPKCREKNRIQNRKYKERQDAKKRAWLSD LNAGKGGDGTGAGWGDMIVDENVRAGTGTAIGTSGAGASSVSVGMKRLPAKTLDEMEG EEKRVALASMKNGLKTSSVVRKANKRANTGKGVISVAGDGKEYQTATALYEALKSRAS KAYTKGKNPKFHGFHAIIANSTIDNLRRVELVARDLRKIARVRFDHRNPTPSCDFETM ARTATYPCRCLGDQIVPVAPAPAPALSSSKTTMKGTQGDLVRQARLAQAQTQTPQVTA KTVPRVCGGKVKVTVVDDGRHPLGIPAQRISILIDHPSALTEYFAQNPVSLVDVN JR316_0012683 MDLEKHIDIGDKSTPVQVAESASSSEPSLLKPKEFDVKPNRDIL PEKTGTRTTRYLNYNFFSTYRKIFTVIFTANLIAFICFVVKARGVPFSADVAVASSAN LMSTILFRQENFVNLCYEIAVCVPHSLPLSIRRRLAKVFHYGGAHSGSGTAAVVWFLL YTALITKEYIHNPSGDALANLITCYILVTMFVLILGSAWPRFRIMFHDYFEASHRFAG WTALVTFWIHNGLAAKVLAREQGIPIGLYLVRSPNFWFFCVSTSCSLLSWSRLRRRDV YPEILSDHAIRLHFKYKAMQPFYGLKISDRPLFEWHAFATIPDEDENGKINGFSVVVS NAGDWTKKVITNPPTKLWVRGYPLHGLLYTSKLFKQIVVVATGSGIGPCLSLLYAGVT PRRVLWSTPHPETTYGPKILNAVLRADPDAIVWNTRTLGRPDMVALTYQLVLESNAEA VFIISNPKVTRKVVYGMETRGIPAYGAIFDS JR316_0012684 MSLQQAPYGTWNSPITAEVITKGANSISEIIVDRVTSEVYHLET RPSEKGRSVLVHTNSNRDIVGPNWNVRTGVHEYGGAPAIVHDGVAYFSHYVDGRVYRV GVEDDSQPVPITPDNKPYRYASLDQHPTHPELLISILEDHTIDIPSEIVTTLVVINSA TKTVEPLVSGADFYALAKFSPAGDKLAWIQWFHPDMPWEGGELHVADVVFSGDKTISI KNSKRVAGERGRISASYPAWANNDTLIFTTDESGYVNPWKFVRNTASPLFSTPIEEGF GQPLWILNFFPYVILGDRGEIVLFKASKDGRDVLYLFDLEKDSRPTPLPNPFVVIDNI RVVSAKNKVFVFTGEKTNEKNSVIQCSISSLLDAEFLPLTASSSGEGDTPLSPRLVSE PQPFTLKAPPEGDPLHVVYYPPWNPNYSGSSIDGEKPPCIVNVHGGPTGLTEQGLRWS KQYFTSRGWAWLDVNYGGSSGYGRRYVERLNGKWGIVDVQDCTLAPQILASAPYNLID SKRLIIRGGSAGGFTVLAALSIAKDVTTFAAATSLYGVSDLGKLAEFTHKFESRYLDH LVGGTVEEAPNLYKERSPIYHAERIVTPLLILQGEIDMVVPKGQAEAIYESIQSRGGV VEYKLYPGEGHGWRQEDNMRDALERELGFYERIFNLKK JR316_0012685 MKEVSTVSVCSRTCTHSAASQPPTPHLSWSPSPSPSIIGSPRRS RSVLSLVNTSNTNLPSASEVPPTPTQSSTFGRRRKIVDEDDLATNQVYGGPAKDRLLL APGELAEEIRGFQDEFGPGCGRLVCRKCCYEDVPR JR316_0012686 MSTQLIGFSIGGIGRRFLVDPPSMKFSDVQRTIRLPTGIPLPGL EGIFTGDEGLELLSSTISTRGSYDNTGKSYNVTRIIDSRNNLDVEAYKAYSPIFLSAT FATSYGLSFAAITATLTHAFLFYGKQIWTQARRSLHEQPDIHARLMSRYEQVPEWYYA VIFVSMFIFSIISIEALETDLPVWGFILALSISFMYVIPCGMIQAVTNQQVGLNVIAE LVVGYALPGRPVAMMLFKTWGYITMAQASGTVVDVFQYRRYLRPSSEKRFCVSKYRSI RDGVDYMGSHRSRSPVLKRSNLLSPGANNFADVLSAALDAGVAIGAILVFFCLQYPLN GSIGNNTIQKWWGNTVFYGTADYLGTPLKALKDDGSRFGPSSW JR316_0012687 MYSNNPYAQAGWHNPQNPHSINGGPWRPKTSLPPTFGALPPLDH KPSSVVEFYFTSFSPDIFNCVVWGPNQRKFFEIRTPSNITVISKPDEQFALIKWSQHP SVEAKGCLSLQRTGDFLKLSPDSSYRTMKIASKTYIWVPRDNGIYLYSGGPNPPEQFA RIRLSSDTTKVVLEITSEAFQAGLFEPCIISTLLLFSSRNID JR316_0012689 MRDSEAVFKEPKYALSNASTVGDIPQGEIPLPQGLPIPEGGFKI LQQPKFALSNASTVGSPFPGSGFKVEVEPAVPLPPKPVTELPFPEGGLAGWATALGAF LIQFCGFGYSTSYGVFQDFYVREYLTKESSSAIAWIGSINAFLVISGGLLAGRIYDRG HFYALLWGGSTLISFSLFMLSLTKENHYYQILLSQGFGVGIGIGMIYVPSVAILSHYF RRRRNLVMTVVAAGSSLGAVVHPIMLNNTIPKIGFAKATRANAGLVSGLLFVACLIMR TRLPPPSSTPDLRKSLIKFSKDKAYICCTLGFFFFIIGFYYPIFYLQLDSITHHLSPN FAFYSLVVMNGSSFMGRIFAGFTGGAIGIGNLVVISTAICSILIFCMIFISSVASVVI IAIIYGFSSGAYVALMAPMVANLADDFSEIGLRMGIGFTLAGFGGLVGTPIEGALLTS RYIWWRPTVFSGVMATTGCIMYIGMVLFLKQKKKRQAAAKDIVLGSIKAAA JR316_0012690 MSVIPNSPYALRRAEYRYTTPPRTQRSELICPPAPRKPRKRPVL TPDMVRDIPEFHFTPVKRFQTPPPPTGTNFICPPAPRKKVRDERFSTALHGHESPIAA LQATSYYWLRSRKVPNTRGIGTALPARRSNRSERMYMETMRTRKFRQPSRTNKPSPVC JR316_0012691 MTNALKRRRIGASSSTETYRDAIPLPDSFATIRSSEGTAEGRVN YSTILSAGAWDEATSWIPQDDNMYSLMPDSTGFDLAVEGDFMDGEDDDIVVPNAEGEQ QQDEIQSIPITPAVPGVKAKRSLVSKRPNVVWCSLYRQTYLEELIRWSGRGDFRHLQS CPDCVARGVETLGRAEYRCRECFSPDLTCNSCCVKRHRLHPFHRIEQWNGSSFVDVTL KELGLIIQLNHAGAYCDNPIPCHSKMLILHTNGIHSCNIQYCGCSRAIPPHLQLLRRR IYPATQLAMKSCATFELLRHLHRLALTTKASTYDFYRCLEKSTTNLGIDVPKSRYRAL MRMVLQWRHLQMLKWAGRGHDERGAAGTLPGELMVKCPSCPHPGINLPDNWRDAPDNM KFLYAVMICLDANFRLKNQMVSNYSQDPGLGLGLAYMIHRTKYEAYVKSKANENDIST CVGFQALAKANSKFSKGLRYTGVGMGVCGRSEMILGVGNLHKGERYANMDYIFGTILK ALAVVSVLASYDIACQWFLNLLRRMQEDWPPEIKPSSDMRFTPAIPKLHASMHKQSHA NHDVYSLNLIPGAGQSDGECPERVWGPHNPVGNATKTMGPGARQDTLDDHFGFWNWLK YTSMGITLLKRYRQAVADRNAQVEGHRGLTESVKKENPQLIDVWEKMCIEWELDKFPK DKKKNPYYMPSISEFSTFSKLNDFTVIMTGSALSEAQVKKDLAEKEAKFLAQGGSFQH ATTSSMFISLGLELEEAQRRVRRLAKGVGPHSTIRQAGSLTEQRNILSTRIRAWEQLL PVYIPGIVQYQKDHPITTKTSYAEDAVLYLPSSIPEPCRSRICTPGLANIEKELRFAQ MSDSLASIRQILIIKSRMIDFKNKNIRGQRDGTRSTTVIDRVHERARFAASKYRSARA AHLALAGDGDWENTYRVLEDKDVRGYQDPDRLRPRVGRQGIYEDGHEPSLNVEVDEDD GINLVNQLRNRRDGTGQTRRTLSWIWTVTMGVRTEEDHDDILRVEWAKSRARVMRAKE EVLLLKEEMRRTLAFLKYKSDWWVERQSALPGAPKDLVEGISAFAISQAEIQTSLANH FRKLWAAPFSENVEEDVDEDEDEVEEVEDVPLDEDEEDEFENETEEPL JR316_0012692 MPPTLRTDFSIDVALEEWTDLCGQTDGLFSLSPLTSPEPSPPAS PVISAKISLPEHGTPSLKCQDPSPTHDHAHDMLLPPPIFPLHISTSYSSSPPFSQCTI PSEEMVLAPSLHMVTTTADPKLKPRKKAKPPYRAARQHASANAARAHRREQTKGSVVD SVPRESSHRKYVKSAQRISSTFVTEKGRAARTGFIGINDRSKSSRMYTLEELTGPTSE HKFKLVKWDGKKPMFVSDSSGRAILILAGHPDDGQWHNLMGLAVDALEIARTRFIPPK KPNRRGSFDSLHCGVSFGGGQTSPSNLRNNKVNQVLLDWLNGLEPFKRLAGFASGAMH TWAKDLFDAYASNLKELHKDPGLKHVFPSSIFSAATYNLGPCTVCHRHKDFSNLPYGY CAVTALGNFDPTQGGHLILWELGLVIEFPPGSTILLPSVLISHSNVPISSNERRYSFT QYTAGGIFRWVAHKCQTKAKYLATLSPDGLAAYAQEESLRWIKGVNLIPVVLRE JR316_0012693 MNPELAFHRQSHNPGYLQFLNDLPNVFIFIVRAPLHVIINEYRS IGVDSLLPLAERDLEEFLLSRQDSGDVHFIHPPHPDLPGPPRRITPVLVVVRGPIDNM LRRSTDFMVEHVLSVPKDVLNNFLDEHRKTGALQLVPAQSWSADYESAPSTRLRRLPD TVYVRWPYFGRLSAASTRIRVGRNPRQQAAGSIDADGVAIVRSSTHGQHANAALNSDN DNDIGNTSSDSSDLRESISVQPRRASARLKASTTASTTASTSATSDTRRSQRLENLRL VSSCPNCKGKKAHRH JR316_0012694 MPNPGGFQGLRKAFLLEQKPLYARAVEQQLVSETLADISRRFLK RFPLDKPESWEPTEDELAAVKDDEAEEEIPECDPETLSPEEQVILGKQKKERQHRLQT FRGTPKASSANNDAQNLFTLLLQQLVGKEPNRPRLKTPVNTWRKEKANRDAIEAEIES MDPPVPVKLLAKTRDEIARRLFQELTSEEKRKWTKIASDEHSDAMAKYNADIDISGES LTPEQRQRAIEGIGPFMTTILDSLCHITGWKCTFVAGGPEPANGGMLGTISVHSGVTC GDIQMNFGRAERFRYKTIIIPIFGDFLRKCYSKTQCKEWALPQDQEHLPMARSEAFRN EDESIQAIPIIGSENMPVSESVARTQKKKSRKRRNAVPTLRQVSPAQLSPTAENEDLV DVDSQSHLKGHNPFPEDWPYTLEADDDNVSGNEGSNGENSPQPSPPPSPAAPPSVPPS PSIPRSVPPSPSACVSATQSPKANLEHRTNRPMVEKVVSPLENTSTPTSISPPVGLPP RTNHPSVAVTNPTNAASTPTLVTNTPNTISRNTMGVTTAIEAVSKTSSKRKRDSKSKI SSKKRKANTRSTTQTSAPPPTSAPQPTSAPPPTSAPPQTQRLTRSTAPTAAPAQAKLP PMPTTSVVLTRNGKPVKSSKFWVYAEDDS JR316_0012695 MSLPSASVQLSEIRSSTNSSIIFTFLMGSYAVVYIHTFHLYLIR KQAPQRLIVLGAITVLFLIAAVQFVVQLYIGNVSLPQTNSNLRTAYVELFQIPIWTHV ALNVTSYAMYIVADGLLIWRCFHVYGGRWRVIALPLLLLSLEIGLVTASIVYFLVVDS DATQNKINISNDIQSATFFMSFATSVSTTGLIAYQIYASSRNINGTRKRFLHILEIII QSAAVYSAVLLVAAVSGAIPDGDSWPTTAVFALANYSSALLAPAAGIAPTVMAARVAE ASSQEEHSRSILPKLSQLEFHKSNGSTMGERNFNSELYLTFSNRDSSGENGDNDKQDL A JR316_0012696 MPSFLSSPRARLLLGGALLVVLLSFWKLSESSGSSYLPILKSSK PNAAIFILLAPNRITQALVALQNVEDRFNRRLKYPIILFTAEDEAHFITEDIKAKASH ITNGRASFATATKESWDIPAWMDEKRVNSSLETIGFSTGYRAMCRYYSGFFWKNPALV SYEWLWRLDTDIQFHCDVPYDPIEVLISKKALYGFVQVNYDVSWVQPSLASNVSQFLS NNRHLIPADANQHFVWKGDAGVAKAMAGTAGNDDWTGACMYNNFEISHRSVWESSLYT KFFDHLEKAGGFFYERWGDSPVHSYGLAMSLRKDQIVQFDDLGYGLSSSEHIVKFTFG RYQHQGWAYECPKLDRCACIEDDDTRDFNNNGDAWFKPTM JR316_0012697 MAVSIQVVAVITFYMVAALVMVFVNKVVLRTAPNLPTILCAFQS IATVLLLSITSLFTSQVQLPTLDYTTARRLAPLMLIDASGFLFNTLCLRDVEAAYYNI ARGLVLPLTILVVSMHSFTRPSLSVVGCACIVTGGFLVGVSFEKGLPSQAVPGPLALF YGFLSSLSIAMHAVLIKSSLPHVNGSATKLSYWSNLGTAVLLSFTALINGEVLSFLQM TQDSNWDWTTFAWGNIVTGVFGFLISIAGILSVKITSPITHMFSSASRTVLQMALGVK IFGDILTTRRIMSASMILLGTLLYTYVKANEPKPQVGEVMAIPKVKDVESQAAPREKI JR316_0012698 MASLSPLSRLSPVDQSLFYSYGVGRPRSTIIPIIHHAIEKHARQ QPDAIAVEHLSCKETLTFRELEAHSNRLAHALRSQGVGPGRRVCILARRSLELIVGIV GILKSGAQYVPLDAQTITDETLRFVLEDSKPSIVLVMADYSHRVHGTSSIILESIFRP YRLLYEEVDPVEDLSSPDDGAYIIYTSGTTGRPKGVDVRHRGVTNVISGPPGNVGMEP GMRVAQLLNIAFDMGAWEILGSLYNGCTLCLRGNTSKEWAALLKTVHVVIATPSMLAP HEPENYPTIRHVIVGGEPCPQALADKWAQYTNFNNCCGPTEISICNTVQRHTPGYPLS IGTPIPNTNVYILSRDPTSTTPVPIGDVGCMWVGGIGVSKGYLNLPDKTAERWRIDPF VGGGTGMMFNTGDLGRWRRDGQLDHMGRQDDQVKVKGFRVELDGVSASMRTLPTVKSA VTLLIESELWGFVTPATVDLDLLRAAVARSQPSYAVPKHYYALEDFPLTSNGKVDKRI LRSLVQGQAQQITRPTLHHYSSWSSDVGATQLSEKTRYWYKESAWWRWLGVAMMLLIM WFLLTGAGSPSGF JR316_0012699 MCEVKYNEAIGTPFFSFTPPLSVAESLEASKTTISFNHRSKSDL IHDYMNGPRVLSDEHLCHLVLSTAIPTAAAPPGHAEYDLFMCAPHFLGDGTSLHLATH DLLEILASTSTDDQLKYELNLDVNWIMALPPAYEARIDVPKAALARAVSKVDFAKSLD REIGGHTLPRQQHGPQKTVVQETAFSEAETSEILRKCKANNVTVNHVIVALCNIAWAR NVSDASLMRNPLMMYTAVTLRPYLSVISATSTYWFVALTYFNIVLPAFLPENEKVFWH RARMVKSQIRQMVQSPFLRSRALEMGKIRVARSRGQTTHLPSFSDIVKGTEVTTQSLP PAPSAALLGLSLIGNLDATYIRSSYPSFHLHTVTTASRQKAGGILLLEHTFANKLWLH LCWDENGFQPGLIQRFWSTLHDVVREFLLQNNWTETFNDWEDGLED JR316_0012700 MSFIPDTVYNLVHGNETVTAALAKDPTSSVDTIAWKLFAKHPKR AANIKVGQHHPTPKDLDRVAECGTFISRPSDLFLQIYGEVLRTYEYDPLANLVSPSLS ASTGVMPLSIISVIPDIMRHYADCIVRAEKEVFFATSIWEASTAATVVTDALRELSHR AGARGNRVVVKIMYDRGNVKQIYNPHQIVPVAEYTGDAVKLPHPDEIPHIDLEVCNYH QPLLGTFHAKYMVVDRKIAIINSNNTQDRRVNMEMMSHIEGPIVEAFYDMALMSWSTV MKPPLPLLTIPPVKPNVYKFRNDHPHVAAKDTEVAKLNARDTLRTHEFPDSMKHISQQ DLYDADDAAEKQHNEEGLHEGAWRTRLSKITKHLSGYTTLQPDTQATLPEGVDLEDFT PHIIHAPHDPFPIAMVNRRPRGAIEYTDVNNPQDIAWLAGFHYAKQKVFIQTPTFNAA AVVEATLAAVRRGIEVILYINLGYNDGGESLPFQGGTNEVVVAKMYTELEEKEKDLLK VYWYTAKDMCRPVNARKKQRNCHIKLMIVDDHVGIMGNGNQDSQSWYHSQEANILIDS ADVCKEWADGIRANQNTHIYGRVDKDGIWRDPKDGSVLEDMGTTKGGSIGAVAKGLVD AVKRVQGKGGF JR316_0012701 MNTKHLYNSAEGLVLKSLRGAVALNPNVILHEPSKTVYTPIHSP HKQVAVISGGGSGHEPAHAGYTGKGMLAACIAGDIFASPSAKQILKGIGLASSPNSFP QSQKPPAKDLSDSSAPEGSLKPQLDVLAIINNYTGDILNFGLAIEKARGTMPGTRIES VVVADDVSLLPQNRQHALQDSGSGTTNKLVGPRGLGANILVCKILGALAERGASLDVV KAMGDAVVGNLYSIGVGLEHCHVPGHLTEKNRALGKGECEVGLGLHNEPGVRRREIGS PAELIGEMFEMLFRSGARGKEGGIMKGTDEMKLQAECKEGTVLFINNLGGMSQLEMGA VVDDVLQRLALYSIRPKRLYCSSYMTSLNAPGFSISILNLPGTSNAFRSNLGGRISSE ESEITVEELLDMPTDAYAWVGARNSWPAHGTDGLGTSHSDHLGSTTNLSSTNDSSIPI RPTDVVDIEESPAGRNDRIQNAIRGACLRVIEIHEQLTEFDTVVGDGDCGDTFKAGAL AIMNALDNGSLKIQKYMDSVIYGIAEIIEDVMGGTIGALLAIFLQALAVSVTQDRVEH PNSEVRWGYALQYAKDTLSRYTPAEVGDRTIVDALGPFCATMAHTIVAGANDSSATVE DTNSKDNTWKSLIAMLVEATKQARIGAEKTRGMAAHLGRAAYVASASSSTSTSAGAVE LPPDPGAWGVCAILEGFLDGLRT JR316_0012702 MYPRRFRFTSNNLGRDVTLALMFDSPAGGSLDQKFFPVCWKVLT FAAHGISSAAFEYEGRTGFFEAEISDRHVIPQRAASCEPGQRVIITNEHDIVVGSGVP GVIQCVNQTDAPIHIGVGLFSDGGSRHVQPIYLWRDIQVRTTVSVEFTPILSIYATRD YGEREMIKLPIELPVIYKQNILDLDSREIEYTVSFNHATGLVEISREN JR316_0012703 MLFTASFLSLAAAAVAQTVVNVTVGFTASDPGGIFQFKPNNFNA TNGTVINFQFTGAPGNHSVTQAAFNDPCNPLKGGFDSGWVFVPSAPSPVPQWNLTITD ASKPIWFFCKQLLPSPHCGAGMVGAINAPTTGNTFAAFQKAAQSAGQNAASVGQGING LVGVGASASADVGPVASGVTVIALSTTIAGSAPAGTQTAPAPSGSAGGKSGATNVAAN SLLALGAAALGFVLV JR316_0012704 MLTVRFRALPPEFGKAYQQALVDHFFLDTEDRIRTVLQPPPEPT KPYTFATSFYTNPNAPDPNAVGKDGKKKPRTRAPDRILKTQMKIFKEQWTGMGLSLDL GLVRSDMELAGAVWRNILGGRGAQGIAYEQPSTSKPTFRRAVNLVGGEVVNVAKIDLE KEETKDDGSGVHDFTPAEMDKYLTYPQVMLDVVGYIRRELSRLDAVTDEDIIKGDWTK LTFGRVKEVKE JR316_0012705 MSDSSAAFPTSIEEHVIRGNLNSSLLLNFLMALYLLSLSNFMVT WYFTDWAFVLHGQTRESIFVNTLENVPTWIVVVEDLFTNSLLVISDGLLIWRCYHVWG RSRRMIIIPFILWAGEFGFGIASVTINGLFGPIASASQAALANNITSTVIFLSLGSTL ISTSLIAYKIHISFQLSQSPRKRLFNRVSIMIIESAAPYSIVLLFYAILDILPSQTIL ASPLIEAGFYLEQVLNVTAGLAPTVLVARLAWTNTEKPMLSEGQMMGISNLHFNSSRK THDMDIAGLVATNLNQLNSSMAADIDHHLKDDVERIGSQIQDVDV JR316_0012706 MADDSIKSFPPLVLDVFGQVPGLSNLYTQLAFIFSLDTQIQHDQ IIGNLSRGLQYLSIAFPWLAGEVVKDSSTGVYSIVPRYPNPELVVADLQGNPNMDIDA LRTARFPFSLLHESAICPRTTLHLSTSSPESSPVLVLQATFVKGGMLLTFVAQHNVMD MTSQAHFIRIFDKVCRGKDIDDGDIKGGSVDRTCAIPLLDEQVPGPIPFNPSPEAFTP TCTWEYFSFSGESLSSLKKLAQSTLPATRGFVSTDDVLTAFVWQRITHARLSRLSRTT SVSTIGRAIDPRRFIGLSETYPGVVQNMSYHSFSASELVDLPLGVVASDLRGGLTKTV SPFSSELDISHSTKAFATRIAFAQDKSTVPSVTSSLDLAGYDLMLSSWANQGSLHDLT FGLPQGTRLEAIRRPSFTPVESLLYFMPRETDGEVLVGACLRVEDMERLRGDSVFTKF GEWIG JR316_0012707 MSENRVKHGDSRTMNFAAKDLPKDVSLCLMFTPIAEGELSKDML PNCWKVLKLKAGITAGENQNAKVVYTADTGLLVPQVDSGSVISSGIARRCQTGERCEL KTDEHGDNYLEAAGKGTKDVIQCKVDTEYPASVAFGIFNTEGTKLDPFCTWKDVAKGN NLSIKVDPTLKIYALSDYKSTRVVTSDLQNDALIEQNIFHLPPQSEFKVSVDHNSHAI KVEKVNKW JR316_0012708 MAATATPHEHSDAASISVNKFVDGAVAGNNRALSFFSRDLGQDI DLLLMFEPPAAGRLYVDLFPVCWKVLSLSAGGLSTASVEYTAASGFFVPQVESGNRVF ASNSQPCETGQRCTLRINDNGNGNSITAPVPGVGGSMQCLNTTGRPASIGMGFFNTTG TKMEPALLWDNVANNSILSIQLTPRLKIYAVRDYKATELIRGDIESPLLFERNLIALP ASTTWKVFIDEGTGEIKIEASN JR316_0012709 MADKDSGNISASNSPTDIVEKFAYKSASSTLNSFVTTGGNTREL EFLSNDLGKELDLLLMFEPPQVGKLYVDLFPVCWKVISFGATGLGSASVQYTADTGFF VPQNRSGNRVSASNAQRCQSGEKCALRTNANKNGSYITKAVPSKAPKALQCVNETERP ASIGIGFMNEAGTKMEPALVWNNIAKDSTLSTELTPKLKIYAVSDYKETQLIRGDIES PLLFEKNLISLPNSTEWKVSIDEGTGKVVIEEA JR316_0012710 MSYYKAICYDYHANSMLNFVSLASLALAALYLVSPVIGHGHVTV PPSRQERCRAGEVPNCGGAQWEPQSVEGLAGSFACNGDGARFQELNDNSLFQNAYFTV PEGTEELAFTWVLTAPHRTLVWEYFVLTQDNTLLLSEPGFNVTPPAIVTHPVPLNGIK GRQTVLARWTIGDTQNAFYSCVDLLIGAAETATAVAGAVATPMPVAMPYGFHDSLGHE NSANRSDSSSAESSRAGAHKAIQNFLYIQGPQS JR316_0012711 MAIPVGVFNLFYQPQNVEYYITLNPTSQFQPTISVFLPGATSQQ WNVSGDQSSSLRSVCSVSSGMCIGRSAVDINNTLTEPTPILWYLSPSTFGLGWFSANS NDAGPWWVVGINPSSGLPESIILDSDGWDIFFLRPVATIQGTSSKSTGSSTTFLSTSN AGHIISQSSTTIYDTNTATSTTAAPFFASTSITNVPATPTATAPSTGEQSNGLSNGDI ASLASSIPLGVLGLVIGVLGIYYTRKQMQAGKPVWKSLKTDFISLYQRPRRDHVERAS HVTGNEIHQDLI JR316_0012712 MLLKTVLTSGLSVILCFFSLGAEAASTTPEKSPSSNKDKCADPK LTARYVTMWDAGRTTHNVDQRWLFVNNDVPASAAEWTFQGDNFCAWATPQNSTVPYVL SADGSVPAPSGLANNGIRAYMYAKERKECGTVPLYELSKPSVGDHWYTIFERERDAML AVGSGWVDEGIFAHVLPLKQISVAARVRAFLRVPA JR316_0012713 MFKVISVAFLVVAAMNAVVPAMGHGHVTIPPSRQERCQKREVPG CGNIQWEPQSVEAKKGSFRCDGGGDRFHELADEALWADHFLVVPPGVDSLNMTWVLTT AHRTQSWEYFVLTQNNTLLLSVQAFNTIPANPTTQLVPLKGIKGKHTILARWSIGDTE NAFYACIDLFVEGKPTSISTSTSTSTSTFTSTSTSTSTSTLDPPRNTPPSIPGPPRFT PPCLSPKFRCMSCKLKDAMILLEAA JR316_0012714 MATNDEGKNYFTPAVDDDNLRAISCHIKTASPADIAFGTTNGLN DKEILPIMRYRNLGPDCTLSVKLTPILRVYAVKGYHGQDVITPDLDSNVVLSVDLTSL PQFTQWGVSFDKDSDRVIITRQTD JR316_0012715 MPITGNARQLTFRANNLGKEIDLMLMFTPPAEGKLYSDLYPVCW KVLQFHSSGVSAATVHYSASTAFVAPQKDTDNIVSAYNVESCETGELCALMTNDKGNN YLTKAAVGSKGVVQCKVQTSTPAEVAFGLFNADKTTVNPVFKWTGLGIGSTLAVRLTP ILKIYAVSDYQASEIIKGDVHSEVKFEVDLMTLPHETNWNVIRDPVSKEIKIVRA JR316_0012716 MSITGNARKLTFVSKNLGKDIDLMLMFDPPKEGTLFTDLYPVCW KVLQFSASNISTAVVVYTADTAFIVPQANDDSNIVSALNVERCQGGQVCSLMTNNKGN NYLTHPGVGTPGIIQCKIQSAKPADLAFGIMGKDQSVAPIFKWTGLGVDSTLAVKLTP VLRIYGVSDYHSSEILTANVNTDELFRQDLTQLPHETTWNVVRDPSSLQLKIVRAK JR316_0012717 MSGNNRRLEFEYSDLPKSYQLMLMFEPMAEGKVYTEMFPTCWKV LRLIKEVSGVAKAIYTSDTGFMVPQTDCGNIICAGTARPCEMGQLCTVMTDNDGDNYL EQATTGAKGIIQCKVDSSLPATVAFGIFNTEKTAFEPLFTWRDIPKGDKLSIKVTPVL KIYAVSNYQETEVVRSDVVSNLLFREDILNLPAVSRWTVSVDSNTKSIQIARALQ JR316_0012718 MSTNARSEEERQLSFVFNDLKRDINLDDTGLVDAESPTVSCQVG QRCTIKTDDQRKNYLVPAVYGQPKVITCHFDTSSPADVVFGLIDSGGQSPLSPISK JR316_0012719 MSGNSRSLNFTSSDLGRDVNLLLMFEPPKNGTLYKDLFPVCWKV LKFTPSGISAARVEYTADSGLLVPQRDTGNLVAASNAQRCQTGQMCVMETNDEGDNFL NPAVAGDPGVILCEFKTHRPSEVAFGLFNKAGNKIEPVMKWDGVGVGSTLAVQLTPKL RIYGMTDYQETELVRGEIRSPLLFEENLIALPNFTEWIVSIDPASNAIKINRS JR316_0012720 MTEITFASIDIASDFRALNFISSDLGEHVYLLLVFDAPNGGTLY KDLFPVCWTCFSPTFPLDEHRKPGLRLKRTKMPGSIGQICTLKTNDSNGQNYLTSPEE GDPDVIQCRMMTATAADLGVGLITKAGTKIEPLFLWNDIGRTSTLAIKLKPMLKIYAV SDATDFVGGKLIKSAFRTAPVLEINLISLTTFTEWQVYINPSTKAIEIKQID JR316_0012721 MSAPTSLVPGNYVIFAEDPSNTVKTGTLVNGRSNFAVTCPLSSG GNCTITTLATTSSASPTQIWLVTGDGMILSKSSSTGQLAQSPTFYMSYSNNSPNIVTT SAPASPAPTTKFVAQVSQMAASNKGDTTTYLATLMTTDLTDQFWTVDDLQNNDIVKAN TARSAKNWVFVPV JR316_0012722 MSGNSRSLNFTSSDLGKDVNLMLMFEPPSNGTLYKDLFPVCWKV LKFTPSGISAARVEYTADTGLLVPQRDTGNLVAASNAQRCQTGQLCVMNTNDAGDNYL NPAVNGDPGVILCDFQTNRPADVAFGFFNKTGNKIEPVLKWDGVGVGSTLAVQLTPKL KIYAISDYQETELVRGEIRSPLLFEENLIALPNFSEWIVSINPASKAVEIARG JR316_0012723 MLLNTILKSGLTLVLCFLSFGAEAASTAPGTSPSAPKSKCADPK LTARYVTFWDAGRTTHVVDQRWQFVNNVVPANAGEWTFQGDNFCAWPSAEDSTVPVYL FINPSTLDYQYVLSTDGSVPAPSGLANNGIRAYMYAKEKKDCGTVPLYELSNPNVGDH WYTIFERERDSLLALGSGWVDEGIFAHVLPLKRRLQLQAPGSSPTGVSPPDPKYLSEI REILGLQSAKPDGHFAKTFLFR JR316_0012724 MSKTLDFSSNELGRDVTLVLVFEPHNDGSLYQSLFPVAWKVLTF SAAGASQASVRYTAEGAFFVPQTAGGDNVFSIDYKRIDIGGKCVMETDDEGMNFLNPA VEGNPNSMQCEINTSQPSSVGFGTLSGTRSTVEPLMFWNKVGVSNTVSLKFTPKLKVF AEHDYHEKQIIRGKIGSTVILEQDLDDLPSSSQWVVSIDSGTGEVTIERA JR316_0012725 MIISNDLGKDITLLLMVDLADYDTLHNPPTPICWKVLKFEPTGK VHHTVQFSNIGVLIIPKKSDKNLISSVNAQRIKTGQTCVMTTSESGGNVLSAPSVGAN ADIISCKFDVSEEADVAFIMPGSDSVIKGLYKGDGEDVDPIMIWNNISSGH JR316_0012726 MNSNNVQSSVPSSQGLEMSEMLQCLHDMIPYMSTRQIQDTTRWL IAMSRDVQQPCGTPAGEWEGSTSTLDVPIPHYLPHSTTPDNIHLATEPAPNPYFDPLS DLAAPPVDEYAAGDLFQQSVDEWDRLPEPIYSSHHSPFNLVATKAADSAQVNLYQSDW ASVNEKNTMVPAPELFCPYTEALFSEGSSGNQSHSSITEPFRPLAVPRYVETGEGSSQ SCNMFSSYREAEHKHTNRSIEPDRNLASGSLTGPVEAMYGRDQTINGGWECRMRLGDA AEVQRSGSDEEPRGDAGAGQDTPSELAMQEYAGGRVPTSRGSRATDYPRYKSAVNPAY SMTRVPNSLRSGDVKPQAVVMEGGRRWTALLEGGKGRRVPAHRRPERRGNEYSKSEI JR316_0012727 MSNFDVDIGAMDEVIFRLQGIVSDKMLPPMAKPASHDRTVKQQP YLRTAIAITGLGDIVFNKVMEKLEEVFLRFANNFPADSVSGYDPVLHKDTGFNVFYAH SQYFTKVSAYQDKSDNIGFHPLVNPDNVLASMVGDSFIHAIDNKVQFLRQEILPDGTA RYYSYNPASIRIGDIVEISVAFVAFPAQGNKYKFVVALCGILVLDQEAREKADILRMR SRYTPAKRQVAVLCRTKRQLYKGQIDIEDTQQRMARMRLNEDTVHNSNTMSQD JR316_0012728 MASALSPRFQQVFPPPMDDVYMDQMDLKTLYHFSWTCKELNDRV LGYMRRAFRPKNLFAPIFKPNEHLLFCLLQFKTGLVISGSTVLHFTCFSGPTQSVA JR316_0012729 MAAKNSSRTTRSASSKSLSKTTLPKKPTKTQAKKAKSTRRAKQK EAEMLVESSDGESDCSEIENEAEGEDLSKCSIENLTLLSYISNLKQILTQFNNMAEVY VESASTPTLNQFVDREATCGNTRTLEFYAKDLGTQIDLLLMFEPPKVGKLSRRAKVLT SQPGISTFARRVYVDLFPLAWKVISFSGTGTCNASVTYSADTGFFVPSFQGGNRVSAS NAQHCQTGEKCTLKVEDTHRYITSPVPGVKGVLQCTNLTERPASLGIGFFNDTGFKIE PALLWDNVANNYTLSVQLTPKLKIYAVSGYKTTDVIRADIESPVLFDENLIGLQPNSD WIVSIDVATGEVRIDPAN JR316_0012730 MKSSPCIRSREPNENDNSSYKSLCTAFDNRLRLFMNTELFPVNN EREQDHIPAAQNGMQSQFGAPLRLGGNADARVLTLTVVTSHTDNLADAPNAALGTPSS SVTAPLATCAHTVAVDSPRPRRGRPPGSGYKQKRAAALALEGVAPPAKRPRGRPKKQK LASPAVSIEFRTSTNPGTSRITPPALLAPPYSFVPPSRSSNHSPRPSVPPQLHSGQLV ASNPCTTRPPPSTHTTPPHDTIIGSEDPQREIVPLDDDDASGDGVGVEDDLDDDEDCP SPLSSGAPMVKPLPEWLMEQFRQHLKESRQRDANGLPPLYANQHTFWFPTKSVYFILR NSSHPSPPDCYDSRFMLWDPLPLCNDGISCPKCGRRLQRHSEIPRPRRCVDMDSTFYI IGYRYRCPTCIYSKPGTRTVTFRSWDPEILKMLPSSLAAEFPARISYRSAISKTAFNW LRTCIQNGMGTKQFSDGLRTQHLLRHDLLNLQYLTHLCERRNTLDGTWNNRKFESFLP FNDTSPRGRHGYIPSAQWIRDMYDSFIEKHGHDFNQHTAMLTGEICAIDHSHKITKHL ARVEGEQVFTGLLTVTNEKGEIRTCNLVATKAQSQSELPLKAMAKSLDLYGHSQPQLF FTDNITDKAFLERCFPSLRAGVVPVEKYADLEPFELPPQVKLLPKDSPHSINDAARSI LDDVPQDQGYIAVGFDSEWNVELSSRGHVTHRGKTAIIQIAYQDCIYVLQISEMLAKG DLPHQLKLLLAHPRILKVGRMIKSDLSYLQKASNSSYDFVGGVDLGKLAKDRLLISNI SKTSLADLCARILHKRLDKNVPERISNLWENIVLSPEQLSYAAKDAYASLCLYKEMQN IKVPSLLPSLVEPCMPVILFSNDNTTIIAEGQISRHARSQTYDGIKVSARRTVIDVLK VLVPGAIIPQHRRQTLKSFGQTPFSIVCLQSHLRLYDPAASSTRTIKFCESASSEPET ETPYESASIFQDADSECDFAAPNDSEPSCSVGDLLSDDLSEGCSTDSVQGFEVDPESV LFCKEYLGKDPDQWDYTLHSRVIKDVWHVFHMFYIPATHGLRKQFTRDLRDAIFIPDK DDKDRIDAIGATWSPPQTYEQLRNSRSEWVRQRCKHHIPSPHIVYEYLAKVFRTYGPL RDPKTKKPLFSVEGWKTAKCILELAIGGYLSDPPGIPLYTRIGTDKSTGLPIYRCARG TNTTEGGVHTHIRSRLPKFGTSFRHMQACLKDFVLRHNLQTGTYNSTGQPYRSHFSIW IINKLQELTISLDNILINPVQIDGWVNGNLYSPTTEVLGILPIPESIRTATGMLPYNS NTVIPNQPQMHQYLANIQGTRKPVLPVHTATEKKLFHDLIKSHAAFSPVSGEPQWRDA VPVWNAKADITNDVSYKLVEQLSAYYNKWKTLSHIHETLSLTADIRGPLSIIIHDPSR SRKAPVVPFKPCPQPLTACEGLLETNDNGNRMLAPLPITTNAMHVDSDLPSQQLSRDD MASRDIEFWRETDVISAPQQLEHQQMCPTSPRSHQLQNKIIMEKLARQRVVESLQKTK PIKKPRKRRTCRKCGQRDCSGSSNVNLCRNRCQDCGQYNSCKGRNTKRPTKKCYEGWN JR316_0012731 MDPVSIGYGVLSTSFKEIMALHQSYVAARGNREDLERLAKLIED SVNEMKAVLPSEEVAQGATLFHLIENLDASRINCLELVPSEDSSNRFERIRNRIRTWM RGKELNRRLNQIRHEMESYHDVFQTSSLARTERMVEAVARKLNISDVVDTSVVSTELT TTADNIGSEITVSTQISTQSHTLSPDDISYVFLLDQLNNINTYLNQMFSGQGLSFSLD AFPPSQLLHLPPTSNTVFCNMFDRKLIERQVIALALDVQASLSGHRKPASFQSSSQNI ANLCYGLCRLGLYSQAITIAVWAVNLCRAWAETDSTIYHRYLPASLRLLSEIYLYSGS DNLSNAEDTINDAIFIGRKLLTGDPSNDAEVNALLGTLLLQLSCIMLAKDKLEASSNF ANDTITVYENIVTKDQDVSEGNVSSPITETTALSLRSREDGALCRYVHAMIHSSMFFW STHQFERVFKTAVKALDMLLQGSQHTLCDQCNGTHQISVFLSQLCSDEVMSLNLLDEY KYLEYAQNCVKELRVFHKQSEDRDFRGELCTALKIEAQFLKNLKQYDAAISDWKEIST LAYADTFEDHVFRSEALFSLVTTLSSLARYEEAATYGTQLASLLRDTSRGPSGLVAEQ YFDNGMNYFQAGMYPEASRELQECLLQLRMLVSTTDENVYHTPITVGVVLNRLVHIQF HNKEYAKAFQYGGEALRFFSVTMKSKPTQASHYVDCLTLNFKLTVVVDLEQSIRLERT QTCLEHAQRLAEQYPDKYSMVYIDSINHHTKVLMSLDRLEDALTSIQHGLSWFNRHPA EAPDEVVCYIKTLTSYSNVLHVMSAPGRKDIPTPLDALDKAIEIGSCHPTNDDIYEEL IMAMNQRVVILGDLGRYAEASIASKKYETFARMHFEEHHHEYISCLQHCALASRNTGD YDAALGYISEALQLSLSCGDGCGNSERGDIYLFLSHVLGDVGNDIEAAQTSERLLKSI QSLEDSEEAYHQPRYINALEVHAMHKASKGLVSDALEAIQKVRVYYEARTAKRRSSFP SLARALYLESTFNCALGKHEEGISLNAKFKELELIVSREQPEIAKRARRYVDKYLTFP STISMLSKLNITCNHHSL JR316_0012732 MKLNIGTYHINGIFDKTGTQIEPIFTWKEIPKGDTLALALTPVL KIYAVADIKVSEVVKGEVQSGDIFAEKLIPLPPTSNWEVYVH JR316_0012733 MQSIIQDLDPNSDSSPPLNTQRLPNYDKPLFVQIEHFPDIDLLT HDFGELNMTRPPYNSHEQREFSVSAAHSHYHSESNIPERQRSPDREGIQVSFHVIAQR SQQDWTEEITCELRRISGSSGHSGQRTGHNHSGSVANPVERRESGPQEPFNRGRREMS LPFTPAPYRAGIEEKTSEVRRVIAYYFHSSAVSPQNVHQVVSIITGSTDDYGALLSQL NILGPPTRYEDIGEVYNRLHYIVFGEERDTNPPNSLLYTPVSAHNTSHRFIIDNDPGS ISAPNTPRAQQRSPTSVREPAALAAPIAVYPLNPPTSRSTPSPPIQSRIDVGVGSLPA RPVSQNIRESSATSGLRTAPILSPTSQNQQSTPPHSSLDRMLSHASNLPLPPVSPASV SLAASSFSEGTPRQSVARHATPQSQVSPPHSLAPNSSASLSSATTSLSTATSTNNTSA YFTALSAKVFHTEMEGIDGPIDFTYTISCSTGPLDNPPVAALEEPPQSGDLLFN JR316_0012734 MSATASYKGFSDSSPPTSLSASVTASVCSSESFQTAQQSAFSPQ FAESFIRGFDLNRTDTGSESSFGTHTGSLENFSPVSAPHNNDVKGSMMVACDETSDNS PQDEEMHDELSSDSAEEMEDEDYIALDDEAQMIVTDSTNVRLTRLEANITAVLAAIQS LTAAAAAAASAQGPTTTPTSRLGVISTRPLGPNRRPADRTALMRQVRAELSRLLGDDF LSFENVLTCDELNVFGSIWKSSTAEPRPSCCDIDNFKVDLIGSPRSDWNQSASQVFAK HFITTSAYSHSYFPLVQNYFYQRMKSLQAAFRRKNADNAARQAETTAKRRWQRKQNLF HKRLGIAQEHPLLQEHVPILQRLGIAGMSSDKSDFEDGPASTATGGAPRYKVHPPAWR ASALSYWLQTIDSLQVLFRRSNGKHRGSFPRLRVASGEDSDSKGFVTDLPIMAYNPNW LAARPFYMFDVRPTDENYNFNHDNRLFAYFQV JR316_0012735 MTPSRIFYRNSHVHRQAIITLHGKEAAHTLKLSHSGRFLAVGND EGLLEIYMLHDSVWRRFGIYKSGTSIRALAWHPSEDLTIFCGSANGSLHRITMQLDGS YVTSSAIIPGYIHSLQLSPDGSRLAIGHGRSVSIVKDPFMGVIEPNELGKMARLNVSL SPNVQSLSEYKFSCGIFFASSRIVIIPFLGTGGITAYSLNDYSSKWSIQLRDNTFLGS AAISPSGEQVAVMNIRTPPKNLIVNIIFVDEDTVAVGHSDGYVAFATFGVSEICGTFA LDDDNYRAPVQAITFGLVNHQPHIFAVIPAFSPWMRTHGAIANTIHVGLIQNNDESGI GEIDSTLDDQYPSTRTRPLTMYSANTFHFTTMQVAMLLLVTISSTLYGKYLLSTESHL PPPHLQVAAVTEHPTNSASETLVNPSSQPLFLEGSPTSPAHPFYAFTLTGMVTGSASI ALPTVGSNSA JR316_0012736 MSTNANFPKQRKLKFFYDGLDRDVELVLLLARPMDKYAFCWKVI KCQAGVKHSELVTYCDTLLTCTAWLQEDTGRVIPGSPVVPCQAGQKCTMKMDEEGNNY LTPTVDYEAPTSITCYFDTTDRADIIFGLLEGSDKTTMCPVTKFRDIKPGTTLMLEFT PMLSVYAVDGYHAQDIFKSASESQPLLCQNLNDLRRYTKWEVLTDKDSGCVKIVLQDD JR316_0012737 MDGVREGWRLTIKIVTADPVLGVEMASTVKVACFGYDKYEVYLK ALILTGIVPPKKNILFSIGSYKEKLEILPLVQKLSAAGYNIFATSGTSNFLTEHGVHP RAVGMVSLMRWRTLRLEMEAGLMKEISAPCKSAQEDIKAKIIRQTWLKLPLVIPDGWD LSR JR316_0012738 MATATPPGLPIEVINTIMEIAVATLDPQSISSITLLSHHFRNIA NKQRFSKLKFDTVTIWTLFQRLAILVEEAAGYPMRGIQTFITSIYIHIPDDYDPDKMQ PHITVFNHLFRDDVILFSPIRKLSLYMTDVAGSFNMDPALDASLRSLLKESHINFLEL YHSEEIPCDLLHGSRIEHLSLWDAGLLSKRYSVPMELDPVDIHRSTTMTSDHLYYFPP HS JR316_0012739 MATDTLPVLPLEVIDTIMEIAVDTLDPKSVSSITLLSHHCRIIA NKKRFFYIGGDSKTKTSSTDYDILATLIEEAAGYAMRGIHTFIAHVDIIIQEDCRARQ MQVYIAIWNQIFRDDAILHTPVRKLEIRTTYFAKLQDRHPAMEPSLRSLIKESHINAL ELHSTTGLPFDLLWESKIEHLSLSWVGGWSVPDIVSDRLVPVYLKSLNIVTQPIGSFE VLDLLILLGGPKFSPLQYLTKLTLLTVCQAFPIELIEQTKRLETLSLNCCEEAYKVMA DRLNPGGSLLSSRQFIHLKSLDLHYKLHAQSPSPSGPLAVCVVGNSLPPQLEKLYIKG SLDDTIRSTLTAPDYGLLDDNVLLKEAVSWDDNLSSAVSRRTKKPAVQIDLSIRCTFK KEDSFSCIWKDHWRQKFESAFWQCRSSLTHFSLSIHTSSALYEPWLFVLRSS JR316_0012740 MHEWYIYQVYLASSRIKEKEISFKVAIMESENPVVSAIRFPSKL EEKLKDANKAKVKAHLPQVDWNSVSSFFKAGNAVWLVARDDAAKVIKEREDKNNPKPP GVEYFTVVWLYTIAETIVTFPTFNPGIEHATYGMLLVNARSNLMDLKKDVHAVKTLFF RAGKEKDGVIPGDKTEIGKQVLAYLQNAAKTFKKEITALLNNLEPKFYVFYKTLLENI LATPWCNEDDWPTLIEANKRFCVTETTGNLYTRVFSDWIEDNGYYEVSLANENQVDQP VTDA JR316_0012741 MGQLAAQDGTFWPGIDHITFAAEIANSAENLEYMTLADHKFKSG FFRAGSNPNDPIYELQKALLKTYLQTHAQSLFERTNKYIVAVVNDPTDPHREFKLALL QNILLTPWCTGAWDPFFVKEKTSPFSVNLTLDHYVPNAWVDDNLFFRAGRLNGKKDPE D JR316_0012742 MHRLSAPTSRSLRRAASKASSSKLTSAGATQTRNAHKDIKFSND GRAAILKGVDVLANAVQVTLGPKGRNVIIEQAYGGPKITKDGVTVAKSITLKDKFENL GARLIQDVASKTNEIAGDGTTTATVLARAIYSEGVKNVAAGCNPMDLRRGSQAAVDRV VSFLSAHAKTITTTAEIAQVATISANGDAHVGGLIAQAMEKVGKEGVITVKEGKTIED EIEITEGMRFDRGYISPYFITNTKSQRVEFEKPFILLSEKKISALQDILPALEAAAQA RRPLIIIAEDVDGEALAACILNKLRGQLQVCAVKAPGFGDNRKSILGDLAILTGGTVF TDELDVKLERASAEMLGSTGSVTVTKDDTIILNGEGAKDQIAARCEQIRALIADPTTG DFDRSKLQERLAKLSGGVAVIKVGGASEVEVGEKKDRYDDALNATRAAVEEGILPGGG VALLKASLQLATASAQAASTSSPVSGDAQPIRTANFDQELGVGIIRRALTQPTRAILN NAGEESAVIVGALLNQGPTGYGSADKFSWGYNAAKGEYVDMVREGIVDPLKVVRTALV DASGVASLLFTSEACVVDSPEEEKAGAGGMGGMGGMGGMGGMGGF JR316_0012743 MEIAVVILDAQSVSSITLLSHHFRILANIQRLSHLDFDSGTIRK LHQLHGLATLIEEAAGYSMRGIHTFIASIYIHIQEECDAYQMQANVTILNHLFRDDAL LATPIRKLSLYLTNITGLFAVEPAMEASFRSLLKESHINFLELYYSDDIPFDLLQESK IEHLSLLCVGGLYKPYNRPKALIPIYLKSFSISLYPTTALEIHDLLVLLGLSRSNPFP HLTSLSISTALITFGTELLKLTKCLETLTLDCAEETFRFMAESLNPGKNLFDSQLFRH LKFLNINYRLHARHLGPDGPLAGSLVGQSLPPQLEKLCITLRLEDMILDTLTGPDYGH LDEGVLLKEAVSWDENLSSPVYRSRKDFVVQMNRQNTPPPFGRTIGARNLILHSGGVN RLLHTSFCPSTQLPPHMIEARIV JR316_0012744 MTDLPIEIINTIMEIAVTTLDPQSVSSITLLSQHFRTIANEKRY SQIGFDNESFWNDLPQLATLIEEAGGYQIRGIHTFITSLHIWIPDKCYAEALLPYVTI FNHLFKDDAMLCTPIRKLSISVTNFAAALCFAQVAVQELSHQPLGNVFRNKYTL JR316_0012745 MQATQHVQVVPYLNQLPSPPQHHRPGLVPFAWGAGNFGQLGMGA DALGDFSKPKKNAWAIKQMENDVFGDDNGGIESVVAGGIPDRENPGSFLSVDDLSAVP HPLQSLVDEGFRAVKAASGDNICAAVSDKGELRVWGSFRANEGSLGFSSGLRHQFKPV PILELSRRPGDFERVSSIAAGDNHLLVLTTHRHIYSWGAGEDYELGRRVLERHKIHGT VPEKVILGTQTRKATLVGAGNFHSFAVDETGDVWGWGLNSMGQTGTGYASSEDSVVQL PKKIQRLSKQMLGNDSVIQIAGGYHHTLFLLKSGKVYSCGRSDSGQLVLPADHPTFND RTHPDFVSEPVLVSFPDPGDPVVQISCGVHNNSAVTRGGALYSWGQGIQGELGLGDKK EAETPQLVVRKDGGSWFAAAISCGGQHSIGLFRSKK JR316_0012746 MARIKEYIPAFGAKVAELDPEWRGHCKQLSDWKAKTVNSLLAEE IFAGKLSETETLKQWSSAIVHHFVVENNDRIVALYRQISQERGIPGGAARQIAIQQLW SKEDHKAWEEKVRHMRDDIDANRAEFDSVAQEALEGLLTGGQLGSCVLSLSYAFRKGG NIFGGKVSAAFDSNEGALIDDGKFEYDTASLERWNNHAKSVLPHYTQDGDATIPWDAI YSNPDMYYDTETFNLPIGALKSPQVLEPDKILALTKYLRLLPDATPFKFYSKDRIAQH QRQAELQKLQPSPSSHSPSYDDASPSGTLPSPSRLTNVAHFLTPPAKTQSRPSLLPPT SDQAASFSTPPPKTLPRALLSSLSPMTPLPSCSPPMQHTDVSSNVPQTVIGPSAAGSP ASKEGHSAKQGAGDDITTTAASTATIKPKKKPKKRVRTEGPPVAVRRTGRPRTQAALG PALVTDPSLPPPKKRKLRDTWYMEPVSSPEKPS JR316_0012747 MPAATAANKKLIGAFVQTAADADDLFRAGIPVWVIREAKWAGND RVDSFPNKYITFGRFARGFLSYGDAYNLRAYEAPIPQSTGSEQTSSTFKIGPRVATSR EIRKSKQPYPIPQRQDFSEPVSVYLPPSQEAWALGLCKINTDAKRSLLVSRSMAQAAT ASSSTSTRTSSKSSQRGEILQRIFENFDFHASGVAVNGHKHQVAWRGQALIKGQELDI NLVREVLWELSELNFWFDLIALDHHLYCRTLKVEREGQILQSREDDILLCFAGGPLGT SPFLAHIANADIGIAAGDWMVRRAYIIYLQNAMRDWEGFDSAAKQCHEVDLFTRSSDI LSFAEHQYLLFEVVLVRLFIQLFYDAFGRAPVIPRRLH JR316_0012748 MPPAPPPASFGPLSGAHPEYRGIYKTAALEKVKQNIKLFLLQHT KNPGPQKPHKPDHPESRPQLCLGGGSHRANAGRWLQVCQKQSCYMHQRPRFVTEPLSP EVLSGLDALFAIQDEIHARNRAVPAVFSTPTSQPSSSKRRLSPPPFASTSQASSSKRP LSPLPEPDRVSPFKRRKILDVLDELPPPNVPQGIDEAEIRRRINILLDALEPAAAPTS PPVAPPARSPPTPEAVDEAEIFDLTQPMNGPLVCGPPGT JR316_0012749 MKLTAKTLLKRRAQQEAENESPKRLRPTAPHLTEYTCRNSTSIT HTTILADNGHIPSLQSKEKVEAKLPPSVSEPPAKPLELTEELQAFQDNKDELLDYLFE REHHPLIGTPCQCGQETRLVCCTECLGHNATCRLCFIAAHLSMPCHWALVWKEGEHGG FFVKRDISQLIPGGNFAIPLGHGGLRCPGPETEEENMFFQIIDHNGVHDTRMHFCTCL GRPNRVRQLIQFGFFPATLKQPKMAFTLTVLKQFHLHHLESKESAYDFIGALRRLTDN MFAASTTNPYPQFLRVMRFWRVLIATKRLGQAHGIDQLLPHRPPGNLLVFCPACPEAG LNMEKNWDQTPKEFSHLNQMQLTLDGNFHANRYNKNSSDDNYSLYEGRAYYPTDSDFK SYLRSLPAQDIADKIDCPIKAVKNRNLVMENLSETGIINVQCPHVIVVSTVDLQRGER FANTDYAVALALRRIRDTGKNNSDQYLTWLAAWISYDMVCSYWVNIVSRFKVYFPDLV EIVKRLSFLIPLVHVHNHKENCEYLYSSAYQSGAGHFHGETAEHEWVELNQLAPQVRQ MNNGHRQDTLIDHHGDWNFKKMANMASSLETDIVHSRKIFHKKRDDFIGKTALYSDRA PLWNCLDRELRTVSADKEIQCVFRHKTGKVPSQAKIYEGLVDRLKKLADKSDNTFLNM ESSVRLINEGIIIERKQQELASKLASEEYPMAPKEMLSHRTKLAKLIKGWKTLQQDVM GTALCSVETSSIDKPECAKLYLPSSFLATDRAKYDLEGLAADELLLRKGNIFDTICNI QDTSKTLSLMRTERQQNDRGQTARTRSKSKLEDVERLLSLQIHIYNLCRQALVSLGGD EYSSMFPEMTVADTYWVPTHLRREIGTSRKSDGRIFNPGITGGAQGRAPGVSNYLEST MDVIQTQGSKPKPRNDKKLGKDKKGTNCGSGISPPKNNEKVKKDGWIWSLAELVNLTP EDIEAWSDESDRVKWFHAEAEMRRWQEENKINQAQFLRCIRSFHVMSKHWTQLAKMNA TDPGRVAYAKKMSARFSRLESDAKERLGGSEHRAKRGASDLFKL JR316_0012750 MNKPFDPDYGVSSKKKEKPPVLPQYMELGLSMGRRYVQRTIASI PEEALTEMRKHAFSPKRDKIHKNLGLAVSERDWILRNELNLLEDERNYEELEFEVAQE VMKSKMIQMQTLARTVEDEHLFLFELGLSRFFLSNVPEDGSSFKYPQFHGIPKIHKKP TGFRPIIPCHSVVFNPAAKFVSKELKPIIKSTPSIIHGTKDLFTRLSQLRIDPKRQWY FVTGDVVAFYPNIPLDLCIEIVCSMYEEWLLNASEENTALAHINPNSLENNLVKLGIF KRAIEIGNTQLITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKILDHPNVAF YGRYIDDCFAIVYAESEALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFIFKESGKL HWKPFVKTGNNRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAIRDLNALY LMRGYPENLVMSWCKKNIQERWEKRFALRIAEHDESILVLKTRFDQVWNWFSAAELGK TVTEYWSAWYEHAEKGLYSVDSSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVP DLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQNVNETY HSALVEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0012751 MSKNKSVGSTFDRLPCATSTRDTLFIRDLSNLTINIDRFVIVDN KGVRRITDWVVSPQKKAECSALQTILPAIFSHIKQIVNLRHRALAIKIEKKRSTAATA DVEMADATKPGPSIQSLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQAKKAGPSKFKP SSTPSQKKPQTKASNKVDNKKKGKGRAPVKNDPKGKGKARA JR316_0012752 MSSQYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSVDQVIDCVFFDYRAALREFLLNLASWCDKRETVKASLERLESA VSAGNTPNRLKVKAPEFQLTKEFADAGSAEALRVSTTFSSARDAFQKAINDGAVQAKK DELAFWDDKCALNSCYEAAALIVKATYDDRKSSYKLPVFSTDNKGVRRIAEWVVSPQK KAECSALQTILPAIFSHIKQIVNMRHRALAIKIEKKRTTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQARKAGPSKPKSSSTPSQRKPQTKASN KVDNKKKGKGRAPVKNNDPKGKGKARA JR316_0012753 MSSQYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSVDQVIDCVFFDYRAALREFLLNLASWCDKRETVKASLERLESA VSAGNTPNRLKVKAPEFQLTKEFADAGSAEALRVSTTFSSARDAFQKAINDGAVQAKK DELAFWDDKCALNSCYEAAALIVKATYDDRKSSYKLPVFSTDNKGVRRIAEWVVSPQK KAECSALQTILPAIFSHIKQIVNMRHRALAIKIEKKRTTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQARKAGPSKPKSSSTPSQRKPQTKASN KVDNKKKGKGRAPVKNNDPKGKGKARA JR316_0012754 MYEEWLLNASEENTALAHINPNSLENNLVKLGIFKRAIEIGNTQ LITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKILDHPNVAFYGRYIDDCFA IVYAESEALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFIFKESGKLHWKPFVKTGN NRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAIRDLNALYLMRGYPENLV MSWCKKNIQERWEKRFALRIAEHDESILVLKTRFDQVWNWFSAAELGKTVTEYWSAWY EHAEKGLYSVDSSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVPDLRKIGLLGS RWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQNVNETYHSALVEAAEQ ISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0012755 MELGLSMGRRYVQRTIASIPEEALTEMRKHAFSPKRDKIRKFLI DNNYVITMTDKNLGLAVSERDWILRNELNLLEDERNYEELEFEVAQEVMKSKMIQMQT LARTVEDEHLFLFELGLSRFFLSNVPEDGSSFKYPQFHGIPKIHKKPTGFRPIIPCHS VVFNPAAKFVSKELKPIIKSTPSIIHGTKDLFTRLSQLRIDPKRQWYFVTGDVVAFYP NIPLDLCIEIVCSMYEEWLLNASEENTALAHINPNSLENNLVKLGIFKRAIEIGNTQL ITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKILDHPNVAFYGRYIDDCFAI VYAESEALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFIFKESGKLHWKPFVKTGNN RERVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAIRDLNALYLMRGYPENLVM SWCKKNIQERWEKRFALRIAEHDESILVLKTRFDQVWNWFSAAELGKTVTEYWSAWYE HAEKGLYSVDSSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVPDLRKIGLLGSR WIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQNVNETYHSALVEAAEQI SIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0012756 MPVLLPIELIDHIIHQAVHTAQSHPKGTSSIALISHRYRTMLYR ERFSSVAFMDWPTDYNKDKFTYRILGLAKLVAESIQYPRMRGVHTFITSFSLIAWPYR EILNDTSQISQSLITILDNLFRDPSSLSSIPYRTLTLRTPHGWPKNKALAASLRSMIK TSHINALCVDDDSHLPSDIILGCNIEHLTIKRGFCLDRKFKSGAHNSYFNPVPLKSLT ITGVYESEFVFHNITMVICGQNFPAPDMFAHLTTLAIYKSFPDLIACVVNNTNILESL TIQFLQRLLPCDAAKIPRIHFKSLQHLKALNISYLRKIDKETPPITPIAFFQHEDPPP LLEKFTLKITLVNSFPPPFRSPEDDLNSAIQEKHEISQWDRYLSSLKPLCNLHTITVD LLIRRLVCFPGEIQCYPSWSDTWCPYFSDAFVKCNRVYKAVHVTVRADTILHAKVKNP EYFYRAFCKLR JR316_0012757 MLNSIPDMCTTTNAASASTRAPKLHIPFNTSPASSSSCHRINKE PAMASSSLYQSQAFDDLNYLGFSSAPEGINFVDPANQLSMSGAGIISTRPLVSRNSSL NRIIPRSEGLFSTSTCMNTPPLASSSNQALSFRNPAACQFVDQDERYLNEPSTTSTSA ASKPGKQNRYIDIIHGGIWGTDPEPPEQAAHTLTRVHTEDDKRRQQFLPTLENVEQLV SYYQHGSHANIPRSATDSFHSYIGEMETSYQDRSIKMKLNREEGSGGNPVESLAKLRQ GNGGMTNHCTETRNGDSQVATASRYTRKRRLSTANGDRGESASALKRPRAGSLLVRGS GTSTSAQTEEDQKGSVSRVTATLTAEPRPQLRPAQPRCLAEGFSQGRLVIEKRERHRK KDGGLTFQVMRPTHEPQGFRAVI JR316_0012758 MKSNEEQLPSVDKKTTGIDNTRRPATSDSVPSGGDSKTGRRDNS KIHEMLDSCSPEHPDRQAAVKYIVEYMLDHYSEIIGKAMEEVPVSQDANKLKNPIPPD HIANKLLDKLTNDVEVAIAYYRELLNRAPPDHYYRPQCLEGLCSALVSRFSETREIED LDEAILRQREELAIHISRGSNRSVSLNNLANMFSMRFEETDEMTDLDDAIKYHRESLS LRSPDHPHRYVALLGLGDVLKERFDLGGTIDDLEEAIPAYRELLSIWTTGHPNNSMAL NRLASCLIVRYEQLGDTEDLKQSIAYLCESLRVCPGYHPEHSRCFDALATVISVRYNR FGEIKDLEEAMVLHKNALDLCPPGHPQRSMTLINFAISHKKRYERLGNMEDLEQAISY NREALTLVPFPTNERGKLLNNLANALQARYITLGSTKDLEESIIYFYDALNIYPEGDP ERALPLNNIANAIHIRYELFGEPQDLEKLIMYRREALSLYPEHHIERAGALNHLASAI FLRFASFGSMEDLEECISINRTSMELSPADDDRCAALCNIVNALQTRYEHLGTAEDLD EAISYIEDGLRFESRGNLHLSVLLNTFGMVLQHRYRLVHNVDDLEYAIILYRKVLELQ PPGHPLRFTSLNNISISLQGRYIRFGSPEDLEEAIQFLRESMACLTKSHTRYPFIINN LSEALARSSEKENMDEAVDLGRQALLMLSGGHPGRSRALLNLGDTLLSRFNLLNNMED HDEAFTLYEQAAGTVTSPSFHCLFAAVRWMYRGNKYQHESVIRACKASLQLLHRSSMF QGNVESQHRFMIVANTRLPLSMISDAASFAINTGNLELAVEFLEQGRAILWSRVNNYK TTLEELRNIAGGIELADRLESINSQLNGIVFESKGGMIGKQDISFWNIFDAQMKNHRL LSEQREEIIGKIRKLRGFENFLQALPFKTLQLAAAEGPVIVINVGAFRCDAIIVLNDG SPILIPLPLLDEIEELTEQLNRHRFSRQIVSVLRNLWDLIVCPVVERLTKLGVPHKSR IWWCPTSDLCTLPIHAAGPYRPGEKNLPDIYLSSYTSTLTSLIRARENIAKSYEAPKL LVVGQLGPDLQSVQDEVDAIKEYKNDTSVLMGPEATPDTVLDGLKAHSWAHLACHGRL AKDNQLFRTHFELSGGELTLLDLIRAKIPNAEFAFLSACHSASGGVLTPDESIHLSAA MQFCGFRSVVGTLWEMADEDGPTIAKEFYNYMFRNETEINFRDSAAALYSAIRVMRKN KASPERWVMFVHTGA JR316_0012759 MSSPSFLANYEPLDVIGNGSFGIIRKVRRKSDGVLFARKELNFE RMTERDRKQIVAEVNILKDLHHDHIVRYHDRYVDRDAGILYILMEYCGGGDLSAVIKQ AAKQNRPIPEDTIWHYFLQILHALHHCHHPNGHTRSSSGSGSSLDAEGASRRVQILHR DLKPDNVFLDENNTVKLGDFGLSKALAQASFASTYVGTPYYMSPELMQEKAYDSKSDI WSLGCLIYELCALKPPFHEAKTHSELSIFIRNGRIPPLPRGYSQALTSVIKSMLNLNP AMRPSAAQLLQHERLELVNKVSEAEKMLATVKAHRAAVTAKEREVLAREHNLRESEQH FTAVLSAKDQEIAQLQHAVSQLQSQLTSATAQGAYQYTRQDLEHAAKQAVGRREEELR VLVMKREEEVAAAIAKREEEIMEAVRARETEIDRACVAREEAVRREVDERVRWVVERE RVLREEERRIEGVRKEVEEAKRRAEAGVGKGRKDKNPLEEVKNVLSQVTPSQPRRRKL DPQPTPRANGSKTTASSHQHSASNSSTSSNSSSSSNATNVSANNAALETPTASRPFAT YSADYMPASAMKGVVLTSTGETLATPSPAELVSLFTRSPKVGLDFGKIFSRDEGGGSG SSGQQGQSQNQIPQPQLNPATSCQSQQSQQQQQQQQQRVAMQYDDEELDSPPPSPSAR KEREREKRDMNGMVSAPPPPTRIRRPSIRTSARSAPARTSGFPPSTASSSSSDSSSGN SNSSHTATHGNAVNGNANTNANAKQNPKPLPHPHLRPSRSGSNLAAARAAAHAAAAAG RVPLPPAPVYDLADEENLPSPFIKRTLGRTQSHPVVGSNGSGSASASGSGSASNQHTT AAAAAAESSTATLGVPSSKTKRRGSSGLLLRAVAAANSAGRTRSAVGGLRSPLMDEDG AEGGVSFPPAVPEPGQGMGESARPSLASARKASEEARRALLRS JR316_0012760 MTVSRKFIDLIWQATAKWASWDPGYEIKVGDYGKIDKATGVFEK KGNIYVNTEIFTDENVRKVVLDNPPKYAPRDDQYIATSSNVKRTELKLEPNVNVVGLA EAAIKGEWTFGSKRGALLVMAQPRSVYIPHGMLLEHLVKVKALEDMHLVTEVFSCPAY SLYLSSGNDDVVKLALLGSAPIPHVPGVSAGARVGIEWWSQFTTGLFRHGREPQGEDS FTPLYMLKEIRKKGWFKRGLPPPVYEGDDLWEAIEPPWEHLDEEGNEDTFEDTVSLLL JR316_0012761 MVEDESENIQRYAKHANVLMGPKATRRAVLSGLKQHPWVHLACH GRLGDKGQPFRASFELYDKPLTLLDVIRARLPNAEFAFLSACHSASGDANTRDENIHL SAAMQFCGFRSVVGTLWEMNDEDGPTISQEFYRYMFRSSERSPSFTDSAEALNHAVRA MRKAKVPIEHWVMFVHIGA JR316_0012762 MSLANALTTFYDQSGSVDLLDEAIVHYHECVDLHPPGDSNRPRT LYNLSNALQMRYEHMGSKEDLEESIACTRESLILCPPGHPRRSAHLDNFANGHIMRYQ SSGLAEDLDLAISSHREALGLRPPGNPDRDLSLNNLAYSLQTRYDQVGRMEDLNEAVS YYHELLELLSSDHPTYRDCINNFANALHCRYVSSGGPQDLADSITYYEKVLSITPPEN PRRYSALNNLCDSLKTRYDQMGDINDIEKAISYGREALSITLPSNPHRANFLSTLSDA LQALYNHTGRVEEVEDAISYRREVLSLRPVGHPNHPSSLTDFAAALQSRYNHLENLSD IEEAIICLQESLSFCPTGNPHRFYSLENLANALMVRYERLGREDDIDNSVAYHREAIE LCPSGNPIHPISLTNLACALHTRYDNRRRLEDLSEAIQLHRKSLSILPPAHPNRALML TNFADALFSYYKEIGESKDIEESISTHRSVVDDLRPPGHPDRSRSLRSLANALLSRFE KNQKSKDFQEAFQLYEDATSDGTSIPSHRLTAAIFWMNEARTREHKSLLTACKACLQL VHRCLISHGNVESQQRFLATANVPRSLASDAASAAISAGDLELAVELLEQGRAILWSK VDAYKYPLEQLRQVEQGGELADRLEILGSQLEHLVLLSRKWTNDGAGSTTEWFLDNQA RSHRILSEEWEEVITAIRKIDGFENFLQAAPFRTLQLAAENGPAILINVSKYRCDAII IVRNGPPVLVPLAHIQTKDLLAHIVDVLASEMGAKQAKCSGRAYNTPNARLTVKWRVS FTRTSNHKAVKCSRILRNEMVEDESENIQRYAKHANVLMGPKATRRAVLSGLKQHPWV HLACHGRLGDKGQPFRASFELYDKPLTLLDVIRARLPNAEFAFLSACHSASGDANTRD ENIHLSAAMQFCGFRSVVGTLWEMNDEDGPTISQEFYRYMFRSSERSPSFTDSAEALN HAVRAMRKAKVPIEHWVMFVHIGA JR316_0012763 MQDDSSEQGNIIFHHNTPGDANIVPVDALDHAAYLDRISTAFLT RYKNSGKSEILDEAIAAQRKALSIYVAHPPTNPECLNNLANMLLIRFDRMKQMKDVHD AITYHLESLSLRPPGDHSRSIALLGVGQALKCRYERRGSVWDLEGAILAYKELQTICT TGHPNRQGALNGLASCLIIRYEQRGNIVDLEQCISSVRESLKICPGNHPEHSRCFDSL AAMIMARYNRFGEIKDLDEAMGLHQKALDFCPAGHPLRSLTLVNFSISRRKRYERIGN IDDIAQAISYTRETLKLHPFPTPLRATSLNTIANSLLTRYNALGSINDLEEAIAYFHE AINIYPSGHHERAKPLNNIANAIHIRYELFGETEDLEKLLTYRQEALSLYNEKHIERA GALNHLASAFHLRFIHLGLMEDLEECISLHRVSMELSPSGDGRYSSLCNFINALQTRY ERLGTVEDLDEAISFLNDGLRLESRGNMQLSVLLNNFGSIFQNRYKLLNRVDDLDNSI TLHREALEMQSLGHPLRYMSLTNLASALQARYIRLNALEDLEQAIQLLCESLENIPAG HLQYSLSIHNLADVLSNHPEEQNVNEAVELGRQALRLLPTGHSGFSSFLKTLGDVLNS RFQKFGNIDDREEAFRMYEQAAGHAMSPSLNRLIAAVRWMYLANRYTHQSVMRACKLS LELLHRSSMFQGNLESQHRFLATSKFKIPSTLASDAASFAIMAGNLKLAVEFLEQGRA ILWAKVNNYKTSLEELQQISGGAELADRLELLNSQLNGIVFESHGGMIGKQGINSWNV FDTQMKRHRVLSEKWEETVEQIRNLPRFENFLQALPFKRLQLAAAEGPVIVLNMGIFC CDAIIMIKDSLPILVPLPSLDKLRELLIQVNQYRFSRQIVTVLRRLWDLIVCPVVEQL NKLRVPYKSRIWWCPTDMLCALPIHAAGPYRPGQKNLPDIYLSSYTSTLSSLIRAREN VVQSLQVPKLLVVGQPGPDLQSIQDEVDAIKMHGYQASILMGQEATPDIVLSALKEHS WAHLACHGNLGEYNQLFRTHFELSGGKLTLLDLIRAKLPNAELAFLSACHSAAGGILT PDESIHLSAALQFCGFRSVVGTLWEMEDGDGPTIARAFYEYMYRNGEKADFRDSAEAL YSAIRTMRKNKIPPERWIMFVHTGA JR316_0012764 MPASQKMRPNLRSFTLKIDLEKLFIKIFVHTLDPAPRYDKFYIF NLLAMDSNVNCGTVDFKVGDETFHTWYKVLGSLKSEKTPIVALHGGPGMTHHYMLFPV VFYDQIGNGASSHILDKPDTFWTVDLFMDELDNLLKYLGIYDNFLLLGHSWGGMLAGN YAASRAPSGLKKLIIANSPASIPSFIAGTNALLDLFPADYVERVRSLEAEGKTDSPVY QTDVMEFYKKHVCTTDPWPQGLVDSFNAVSKNPMVYHSMFGVSEFNITGTLKDWSIVE ILHQIPYTTLLISAPLDEVQEIAFLPFFVNIPKVKWVEIPTSTHLAMYEDPERYFDAI VKFFS JR316_0012765 MAMNATWIPLEDSLTLLSERTWLSGAVLTGVGYGVVLTMYFLCV RQLLKTMDSSNRIQRIALLTYTSLITLFGTLFVAACTRMTELSFVDYRLYPGGPAAFE NDMFSIPVDELGNVAFVLANWFADALMVWRCINMYRSTNYPTILVVAIPGIAYLGSFT MGVMWLLQVSASQSSPWLTNGKVNFTPPYYWLSLALNMTMTVAIVIRLLFFRWRISKV MGRKFGSQYVGVAAMLVESALLYSSFALLFLVPFALNHPLANLFIQVLAEVQIVAPLM IIYRVASGEAFSTNKTAHMLSTNGVDGAVKGIKLSKLTERSATLDGGPHSSSKLAVKT KENMTFENSSEPYVV JR316_0012766 MPSIVDLNLPKLLVRKKAAECSEDSSSKELLLESEQRDAERDES KPFFQDTHSSASLLNTFCVLVCIACATLSWYNSFSHPLSVQNAPFPTDNYRTVHRSKY NSLRRPSPFIGFDYLPRSKPAKPRRLVNFPVSISLVNASNVDQVFSDDPGSEWTETGL ISREDRTVMISPSVSTFHVGSMDQITYIIRLKVSTIVQFRAVDYGMERCILTIRMSSA TTDVLDVPRGVSIHRLDASHGPLDLKKLSYSNRPTRISTMGALQVSPGTLSDWQTEFH CMWDTLHIFEFGYTGQAIDEYRDNSTFTIQWSQNKEEEDPDTGTSSTMYSYCSCTDIL LAIFLVQRESI JR316_0012767 MHGIRCIAQHCLGVETIRSSPAIEAIAAANIRLETATAAWHRIH KKRRENRPANWEDIHKEFKDAKESLTALLKDRKSKEINQETLDSVSNLETTAGFMSTS SATSYLAAIVASTISKVPLAPVEQTTGAPATILQPKTWDRTIIDENQVEETMPAEKEP VTGPPRKDDEPCAPTNSVVYKGPVTESAIKSTVDDANIDPVLKALSLPSQSADTSIRH EMTIEISGFPAGVVNAATPPHQDLISGDARPIQIDSGRREALGSNGEAPILNDCIVTN MDSFGIQIVGGSTMFPIPPNLQKELDQCTAESSGLLQKIHTLEEELCAPAQRTMDEIR LKLREIDSGYAAIYAVEKRVSEIQNIIAEGERSFTANERRKHLLKRKEILDKQRDEGE AEFSRLTVADLPEYQRKRNLLMAEIDAVKLELQQLASSKSKKASKGTTIRSKFAKASR QARITKSSGGDKAFSRANTTENGSGDDDESSLSEGSFEGDGEGSKSSKRKSSTKFDPT IGRKRTKAQRLMLEVVYSDELKEEEEANATGLREFRVDEWDCEEDMKEEANWAAMTPI QRVEYCDDIVRSIARFEKTGNLQDFRPKYRRSLRHVQSLLPEKCFESRRVAIAIHMTS HGNLICRFHKRYPKTKFFDNGPGLYRVRGVPKKPVYRYRVEDAATPLRETGYMSCGCL IDDVLLEFYFWKTLTISSPLPSLQGLEEPMKGDVFEPRHRSFLIKVFKEQSLLTADDI YDPNRPRDIRKFEREVRLLDLSITRLAKKWEEKTGIEMRIIFPQREAELERMRREAAE AATKQGQAGESSAMSQ JR316_0012768 MSQLAKNRCTCFSLAAMEKQLSVLNSDCTCHVAGTTCLRPGHFL PPEVERWYSVVVGRRPGPVQGRAAALDNYTGISGGHALFCPSELIAKAHFYSALERGE VVEVVPPVRTVLNREDVSTCTGFRPEDPSTPEVGTWTLVIVGREPGIFSSTYRPQGSI VHLNIQGIRGSQTKALPTRTDAVNKFLQLVDAGEVVRVSGDSNLRIAHTPETYLELCR YCQNM JR316_0012769 MEGSASSCRVGPDGLTKQQRYLQKNRQKINAKNAVRNRLRRQQE KLLRQEEAEIANTLEVDSEDVIISESRVEQPQRDSAISVDQSTQTELENPDQPRPEGY YTSQEYYASQEFDDLMHEKLMKLERLDRAKKAEEAFEKGIQEYEDFSKALKQRWTIHS GWKYPRRFKGSRLEERCRQLQGFLQEADELNCNLLQETPPSEPEWFEIFLQVREIVAK RGHLQVVYHTLMDTV JR316_0012770 MELCANPDCRRDECVQRSTINIHVFSSLTPRLPFMWYIRAKNGH FVYGDDSHLCHLTRASEVPLLRAVRLGAKRFDWKEFDAFAPIEPEDFDHYMIFKCADV PDSSCYMIEHFLRRLHNNLDDGPDPEEEGDEDEDETDDENRDDSLIDSD JR316_0012771 MGRKESSLITKRKKQFSNAAAASRTPPRASKRKTTSTPIEPQRQ AQKCQKCPGRPLRAQCEHTKRGKEYLARQAALNELEDDSDNESSSDSSNSNVGPGPST SLAFNNAGPSTPDIFASASTPASHSVVATPTPASARSGNMGVLTSSHLAQLSARTGST TSASLSSASASSSRQARRTSARDPYNGFVEGAYRGSEIYQIVRGHALPSPIADNTRAV RRFTSTINSIVEKCEDLSRQTSCWLFIGAQHSTARSPAISYASPRLRRDAAEQVGNIG TQFSSITRNLIQARTQENADLQRQLDQSRLDAQQMAQSLEKAAQTQKELDKQLKRYQR IHGLL JR316_0012772 MYDSPPPIVYALPPESPSTIQYAPVKPQDCELITTPIRKKRKVS GPVKRTKVADRQGKAQNTEHTPGQQSTPATFTDFPEPNVLETSNQELEATDDDIDTRL LPNFDENYSLFLDAVLAEECGLFQLTSRLFVVNGWNILRGESTRLWYHVLRVEIDGIF TSVCLCPAANGQSSCFHSRFIDQNAGVGSENQAFEVEKGNQTTFLFSRHESIKDGQYM NYFSTPSFTRFSTIKNRAVVEHQGDDTGAGVWKCNKDSGASSCSHIVSARHTLQQYLT GNCDAQDDNVGKDGDTGLQYNGTALRTMAGIAESVSYRPLPPPGWSRVASDPPSMPRV TFDIPPTIISLSTNDSCCCTTPRERFKALEPTIEKECTIYTLTRAFKAVITLQKCGKC THRCIGPDCSSQGIFNFNNRSLFTHELLDDYTSAFSSSETPFISWVQTVSRRYQARNS PIPFANEKLFRSSWFSYARLIDFGPDMVCPSCGPTPDSTIWDGVTVSFSRKNLMPTLR PPTTIGENSISRPDVRPLPNLQAIPNRSLRLLIRYILQGPQLTTISDATHPEGSPEYE RNRRMVERLTQIPELVRKLMALDPSVGELFDIHFGMATVLGKRNAHDVYSKFFIQLSS DENVLQFIAFSCLDNLRTFIRRPYLSNVHLLRYIPALHLLIKHELTMGTLTNQVLGVC KWLYVRVTVVYTLLKVHDGPAVVSNVLQENLFMDDWLKDVATPCRPFVTVHNIQICHT SQDMTWEAQKLTKTYAENTTPPIQKSD JR316_0012773 MSRSSFLLILLAFLNVYFAMKTLSEVFSVHTDTFKETPYTYIGM DHPFYHPGLSMTPAALTLQETLHYTLNTSDPEGTHEWELLFQFPKGTGRVHLGSNHRL FISTFYHQLHCMVQLRRALLKRSDRSATPHHVNHCLQYLRQTLLCQADDTLEDDDFME KDFQTQRIGSDVQCYDWEASYERIGEEYMAFQAWLNAAEVEQ JR316_0012774 MTRFQYSGAGRDIDDAITRYQEALDLLSPNQVGRGTVAFSLGLA FELRYAKFFVPRDVDDAISNYRESLRLRPPGHPARTKCLYQLFHALMRRHEQQGSADD LEEAISLGHELLSLLNHDQTHHPATLSGLLAALMSQHGQPESMDHYGELVSLAREAHL VDYDRSLRLPVLNNLAVALTCRYEQKASMDDLAEAISLCLEALKLLPPHGPERPPTLN SLAGALMRKYEGQGSIDDLEEAITLLREMIEILPPHDQNRLKALSNLVLAIKRRYDRL GSIGDMEESISLGRESLRLCPPGHPLRSSSLQNLALSVRSRYEQQGSKEDLEEVISLL RESLRCCPPHHPNRSLSFNNLAFALINRYEEQNSTEDLTEAIFFGHEALSLRPIGHPL RSWSFNCLAIAHQMRHSRLGSKKDLEYSISFHREAMKLTPVEHMYRSSDLYNLANVLR TRYTEEHSQNIADLEESIYLYRNAIKLRLPGHPGRSQYLHDLAVSLLFRFAHMGTRND FKEAFQLFAQASCDVHSFVKHRLSSATVWIKFSRDFNDESVKPACKTALHLLQRSLIS RGHVEAQQSYLATTQSAASLACESSSAIIPTGDLETAVELLEQGRAILWSKANVYKDP LQELRQANEGIELADRLESLNIQLEKIVLQSQRSIIQNKEASSSELLESQMQKHRILS EEWDETVEKIRKIDGFENFLQAPQFKQLQAAAVEGPVIIVNISSYRCDAIIILHHSPP VLVPLPQARSETLLDHATKLQSSREIVGVLRDIWRTIGHPVVERLSDIGIAQKSRIWW CPTSALCALPLHAAGPYRRGERNLPDIYISSYTPTLSALIRARSKISKSQGVPKLLVV GQSGKDLHRVKNEVDVIRRYEDNVDVLMDSEATRNAVLSGIMDHSRVHLACHGHLGDD NQPFRSSFELYNERLELLELIQAKLPHAELAFLSACHSAAGDTQTPDESIHLSAALQF CGFRSVVGTLWEMHDEDGPTIAKHFYDYIFSNGSTANFKESAQALNIAIREMRKQGVP LERWVMFVHTGA JR316_0012775 MFNFFASAATLLTIATAALAAPQRSGESPGTIPVVVPAPAGFNI TSLGVNGSGCPPGSTYYLLNPDRTAVTVTFSQFFAEAGPGIPISQNRKNCQLTLGVYV PPGFTFGIATVDYRGYYQLDKSVTAAQQSIYYFQGVVTQATARSDLTGPVDGADYTYR DAFDLVSTVTSPCGVSSVLNIQSDLRVSNSKNTKGSGYIATDSIDTALATTFNFQWQK C JR316_0012776 MSFRITFWSILLCLGYAVVALGQDYAVTDYIVAGTGCPPGTAKA LISETGKALVLVYSNFFATSGPGTKYSDSRKNCQATFNVQVPAGYQFALNNAKYHVAY QLASGASATYTTSYYFQASIEGSTGNGFINGTTSGSKNVNTALAPAVWSPCGKTSLVN VNTAVRVIGGKAGYFTVDSFDLPESAFVWRAC JR316_0012777 MCDTWTKGRNVIIEQAYGGPKITKGACSVVLGEAACLLTRVWLL VDGVTVAKSITLKDKFENLGARLIQDVALKTNKIAGDGTTTATVLARAIYSEGVKNVA AGCNPMDLRRGSQAAVDRVVFFLFAHAKTITTTAEIAPSVCCPMGYGSADKFFWGYNA AKGEYVEMVCEGIVDPLKVVRTVLVDASGVASLLFMSEACVVNSPKEEKAGAGGMGSM GGMGGMGGMDGF JR316_0012778 MAPHARRHRVEGASAGGGMKALPGPGPGMEKGSGKGKGRAGDEF GYSSYGGGGYGYGGYGGYGYGYDDDPTLPRPPSPITFAPDERERPVSPILFAAPFDHS YAGGSGSGSGTVRRKRSAGVGSGAGEEGGGVGGGEGEDEGVGAGAGGEEGGGAGVGGA GEEEREGRDRDRDDGYDEDDEWDDAEEDWDLDVDVGGEGASASASANANAKEADADAD APPPGGRIGPAPMPVPVPVRAPLAGAGAQQNGNGNGKKKKKKGRFNPLALAKIGGGGG GGGGSAADVVPYNPSAASAASTSTALVRHTSHAHPLTQQHTTHAHAHAPTQPPLPSPP QIDTSPYVLIRFSTGQILEDDFVLSWYGIRSDELVELHAARKPVSFAMGVVLPRLLVD PGYVSGASGASAGAGGGGGGGGGGGLGEGGGDKPGGGETEGDGENPDAAAGGGGGGGG GAGAGAVPAPPTTIPAAYLSRDPCLTPLPRHTLAAYAAPFWEGWVRALRVVWQAEVPA SSAGALVVASGGGGGAGGTGGLGGGGGGGGGGGGGLIGPSGMPNVQGGGMAGGMAVLV REQGVFGDAAATGGGGAGGGGGGERERERERRKGEKGKPKGTQRLEWRERWVVIRDGT INLCKSREDPEPTHCLPLANLTSIRDASHLVNTLPHPHRLRRADVSRDRREYRERERD RERDYRDRDRERDRDRERDRDRERDRERDRDKEQRTRRSTSLPRSSTSTATPRNPQPH AHAGGSGSRTLLGESSASSSLGAGAGVGVGVGVSQALVLRDAYSRTRTHASSHASSHL QVHHHHHHQSAEDAARRRAEEDLRMIAERERRRREREEEARSAEYLHQWDRISLFGSK KERERERERERERERERERERERERERERERERERERERERERERGKGKAKEKEKEKE KERRRRSKSRSRSRSHSRSRSHYLHHPSHAHGHRSGQGHGYGYGRARSHSPPRSKSHS RAQGSVSHVGLGGGGGGGGGGGEGYSGKGKERERDYRERDRDYRDRDREKDRERDREK EREREKGKSKGKAKFDEKTYIPTTREEAEAAGMKIVCAKFRSVRSAAPPSSSSHPSSS SQSNANANANANPQSKPAQKPKPKYTHTGPTLAGPPPPPPPTQAQVPIPVPVPADWYS VGRPGHPSHPAHREHARWVREGGGGGNYRGEGKDNDVKEGKEKEKEGKGGGGGGSAPR KSSLPSLALTLGGGLGKDKSSSQSLAAAAAAASASAAASTSGAGTGNNNQTMTVGMYT PYHHPQHHQVQVQGHVQGQGGPTATTTTTNTNTSASASVSASAIAAANAAAAALVAGP RFGFGSLFGGGSGGREKDRERDRDKGKGKNKDKDKEREESETDDVYGMSRKERERERK AREKREREKEKEREREKEKESESAGGGGGGLSWKLGKKKSGKIGEKEREKEKEKERSK TSLALSSSTTLATLATLAVPLTPLHTSVESGYPTTTTPTTPREYRGGGMGMHQPSLLS INTVGTAVSVGSGKAGGKAGRQASASASAAVARTGARESVSASEAEGDDERADADDTD TGAQDDNVGAAQAQAQAQDENATDNDTAADNDNENENDNADDNAEDNAEDPGSDSGSG SGSLSSPIFAHTPDESEISDFEIGGGRGHGYGVRRWERERVREREREREREKDKEKEK EKDKDKEREKEKEREKEKEREKERDRRFGGPQTIPSAGWHQAQERRRREERERVRLRE EAEREERERERLQREAVEAGVGVGGRAREGDREREREREREREKEREREKEREREKER ERRRREDERGEWIVLDMGSDVAFNSILRVFHRYFSHPLTSAFVPPSMAQAAAEALEME FEREREREREAEAERQRQREVERQREAERQRQRQREAELEAEVERQVEVDRERERERE RERERASLDSQLSPTTSSSVGHGAFAFASGGDVSSDAESSLHGHGKEKALEKEKSKEK EKGKSKHKSKGKGKGKEHGKDKDKGSSAQPTPQTSGDRLRQDAQSYEHHTASSSQSQS HGQSQSQSQSQSQSQSYLDSPLLTAFTSSRRHTLSSSGAGAGASNVSSSTGGPGAAPA LPYPEWRHAIIARAQRVGRRDEARAIDYVLASASSASASSSSFPAASSSNPANAAAAV AEWTREIDWGILIARHNLREELRKREEEEEREKWEREAVRWAREREEALRRIAVLRGG AGAGGGWLEDVELKDGDGDVQVEVEVEEGMVFASGDEVRWGDDDDDDDDVDEQEEKAD GVSVLSVGAGMGSKERERDEGRRMSQVSHVSTLKALEMNAAAAAAASSSSARSTYSGD VDVDVDVGVGGVVGVGSNVNDSANVNNRKDEEDQAEEEEGEDEDEAKEEEDDDDDVDV DGDRDEPEIWVDAQGEQTESESVVGYRDDAYHPPSVDSHGAASGAARVAFDLEAHVKA KSKSNSKSNSDDVEGDGNDSDKSNSNSNADSSSNSNSDSSKDGAPLGMESMMDDSEEE SEIEWVAWVTDLPRQDAVARRRREGKRREREREREKEREKEREREREKEREREKGASM AHGMFSNPFAATSSDHTQAQSKSKSKSKSQDTKDAKEPTTSTSTSTSFVPPTSPEDER AFYADARRRLEPRAIGRANPPPYSSSSFAYYSSGGAGAGAEQGLFDPLQPYINQSAQQ QAAYQQYLAAQQASSAQGQGQGQGASGGYSNYNYNNVPTQVRVEERVVRSRCVDVGVG LMVAAVGGGGGGDGDEEEAEDGDGVGEVGKGGAAEEVEGEDEYGGLEYVDEPEPQESV VSLNRTLSSPSSNESLGYPLPASSLMQLSSPIRSTFTFSSTTTGTTANNNNNTNTAYS NADEPELDFDPLMLSRDRRYRQLQMQRYPAPAPAPVPSPVHGSGSTAGSGSNSNAGST PNSNAGSAVNSPVGLSRAASKTRGHGAGHGHGFGHGLGFAGKSQQQQYQQQHHSRTHS RSVSGMEAFMPVHGSGGGAGNLGAQGQGRAGAGAGVGEPRLYHSASMPLNQGGSGAGV GAGVGVGVISGVISASPPRSNNSTSSTLASVTATSGQDRTPAMRIPIPIPNPIPSPIA IPKRQTQTYNQNEMGIGVDKPILSPKQISEIEEAVEREAQEREREAKEREREKANVGL GLDWSQGVGMGMPPRSPRRASSAGMSAGVGAGSPPRAGAVGLGTGVGSLGRSASVLGS SVGAHSGAHSGASGSASGRNVLRKKTSDVVLAQRDGASSAVSASASAMASTTTTTTTT ITASSGSGSGSGLGAGSGSGSGRQQRPKLSLPLPSALAAMASASSGAAMGMGGGGGSY SAYPSSSMGMGMGASSSSYDIHSPTSTTNANASHAFHASASGVGVGAGVGVGSGAGVG SGSGSGSGSGSASAGGGNTLNANTATATATQGGGGSGGGGGGSGMRNSILRRMRSGSS LRRQLRGTGGGGTGGGNEEEVVPVPLSPTFAGSAFGEGREGREREGKKGVWKR JR316_0012779 MPYSSATSNSYTATHSKRTRAARSSSSYAPLLPPTPTPTPTPAA IAPALTPAPAPALALAPATLTLPLPILARKSAAPCTLSLAHVHAGMTMNTSTSTSTST SKGTMSVVNVTPHKGRARAEISMQLDLKVDVDVDVDTDFDLDLVRWASGTVPVPVQVQ LVGSAGADAEREGAGAGEQGQMQSQTQPKHAFLAHPPPPHVPGQSRRAVMRARKRGVR TLKSADINCIPFPTSVAPLPSSSSSSSSSSSYSSSSTYSTSNSSTTSIDSGPSYTSPS LSSSSPHALLRQSSRASAPARARHTKPTKPTTKLTTTAAAAPAHVPPTLPTPRASLRA SPSVQPSLPSVHVQVQRQLARGAHTSVPVPVQCVQVYTQFQQFQEGYTQFREGYEQQQ GYGYPERPSSPAPDAVSQRHIPHPQHSPQQHAQHAHLPPTAPLSSAAQNPAKDAPTTT TKQDNKETHTSDKDKDRISLAALAALLNDKKAQFQVQRFKKEEGEVDDPPPPYRRSPS PSQGAQVQEHEHEQAQGREREQAQGREREREQEIKQVKQGTQPIPIPIPPRPRPLPLP LPQVVAPIPIKPRACSGAGAGSGVGGVSRIPGPVLSPSPSSSSVPSSVASSSQAYSQH HQPQQHQPQQYHRPSQTQTHYQHQRTRIRISSSDLRVLVHLYRTIMDGVERRYRYRDE MGRGAERASSPGSGLGSGASLGSGASLGSGASSTLGPTLGPTLGPMAGSSRSTKVPAQ TQTPAPGRMVRVRSVVDDNDKDDDALAGAGVGTKHVAHAHAQRNAVIGSGSALADDLK ASGSGSSRVGRFGRFPLVEDEDVSSSSSSSASAYSKSSVNADKHADKDAEDDEDHVLP TFPSSPSPSTSKSTISNTIPTLSFSEAQAQAEIRRQRAELEVQDALLAIRLRGFLRMQ GVSEAELDLRGPPPPPPPARAGSTSGQVNEVGGVEAGRKGEEAGREGGRTREEEEEEE EEDRRMMEEVEEEMIKIRDEARAAAGRGKMSSQSSQSSLPQSQSQRQPSQSQQLQSPS QTQQAQQAQAQPQQARPIRSSPSPPPQPRRTILPTSYMIAFLLMKHHMAPSSSSSSLS GTGRRGSFGAQGSYYTTSGSGSGNGKRASGRGMRSALRSCAWVADPDPCSRSVQCDGV GGGGEEGGEWEGQEGGGGGVGGVREVEEEYDTASVVDWHPDDVIPLDRYRLLRAALRS PFSVIHFFIHFCMT JR316_0012780 MSAGQQAPGERFSVILCKVDSIEDVDNVIALYHRELEEFPPQHP NKCHLIGSFADALTTRFQYTGAKSDIDNAITRYQEALDLFSPNQTGRDTAAFSLGLAL NLRYEKFFTTNDIGDSISNSRESLRLRPLGHRGRPKSLHQLMYAYMRRYEHQGSVDDL EEAISFGRESLDLLGRDPEDHSSTWSRFFTNLISDIGQQESTTDNYGKVLSRTREVIL VGDNDPLRLSIISNLGAALTWRYEQGQLLHCAEPYCTRDIHSVECVRFKRIR JR316_0012781 MSSSSESCSLSDSSYLSYLQAIIDYGESRLRNALCEIHTKPLKH QKGLQLLLRKELVRIQIFQGYSTTIGHVVDVPCFLRTLAKSPFGRVSPSDLPISFDIK FMQSLYSGLSNKAISRTVDSYKQAWWKDSEVLGGQATGKAIGDWSVEECLRYHCEQLH ILVGPDMSSIIKPNHGMQNWSFGSDPAVVSEWISVVACAEKDNLLVVGHRGDRTEQPA TPTRVLSSSPAANANQKVTGRPRYHAKDWWPDVNSNMSVSSQESPSPHKANTFNVSRT ELPTSSSPVDAQYHISPNDNQVGEEQSHASQKRREYKNVLLHTQFEEDHPLYASPSTL THLNNPTPNASPSTRTHIGKSAPTAFPFRDNLAALYNSLKSGGNSALHSDGDMFPSDD DGPLLHPGSQQNSHYASNHLHRPAFEDNLALMYSDLTPSANDIASQNEDKLAALFCDL SPAFPNNPDRSAVNGIASQKEDTLAALFCDLPPDLPNDPDHSDDPSVYSAKISSPYHD ESMSDSGPVTSNSLLSCEDKLGALYSQISDCDVRHTSGCRIQSVSVGSTELNASPTTR TSYINVEAAQERMHPPLDTPGALIQDDLAFITAPGINTLAM JR316_0012782 MGRKSKRSRLVEGEVDAAQYVTVRKVRKVDEDGKVDVQRIIKPV QDPRASYKQQHNGAATGSNVQDEWEYHNNGVNDNETILETPKKKTQKDYILQFVQRVD TFLGALLSREALLDNERLCQSCRGGKIAIWRCKDCALGRTMCRKCLRHAHMEDPFHRV ECWNGKNFRSAELWEVGSTVVVPHHTGTRICDSLQLQTKQLEESECIKDEAEQHSLRR AIPTVDNAPVDIAQDGEIDGDNGKPNGELEQRTTAAADINLELLQDQNFFEYLDALCN GSDSEPIEEPDDDAEASEENGEDETEPTNWNDMNYNHAARVRVVHTNGIHHISLVTCS CSGMHNIPCDLIAFRLWPTSFIRIRTLFSAQVLDGFRLANLELHASAYQFYHLLRRIT SPMNPSGVVDLYNEFRRMTRLWRWTKKLKWAGYAGHNGKKVAEVENGELANYCPACPQ PGINLPSNWEEDPNRFVYRQILMADGNFKADHVQPKKPSHDVWLSEGSGIIPNREEYH AFLKSAIEKLTVWSAQLGSILTMLTKENLQGAPCENTFRAITGALQASKSCDVTGVVG IACARHGCYAPNSLVDLFKGEQQKNVDFALLAAIKSTGVHPKQGLMFMYDVICQFFVY VKERIGHLLPEGLDLDRAIGLFHVHAHKDECLFCYSPSFIPGAARVIGEILETLWAKL NGISPTARTATLAHRAEMLDDHATDSNHKKALDMPKDLCRRYVEAIETRDSTQKYFAE VSQVVPQDLVNLWTCQITDAEAQRLTTPNVMDIYAAKGRGQDGEALDSDAALITEDPV EAYIQFALIVEEKEIEIRLCVRQLTKLPRHADPHKIQTLRDKLRPLLGELERLQEGAG IIEENTTRQGSIVELLDWADECSPDEVVEERQATAPSVELIEDHKICLPSHGNASRSL APHELKMRIFQAKSHLNKIRNLIAEKSFQYSNVICKAPRKGVRTRSRAKIDDMNHQIT FYSQLYTECWARLILLGADNATLQKFQVLKKEDVKTSTAILDPNTPGSTRVQLSWIWH TAIQRLGPNIIAEGGEFHVANAENGAIDLDETDPETLTEFKRVHWLRARAQFQRWNEE ATILDYEMLWTVNYFVHKAEWWRCSASVHSNGEVLVGDMVQRGRIAYAERQASLWDNL ARQADYLFRMTNPNYKKQF JR316_0012783 MSQLPMNVLDRNVRAYNREERALIDVYKEDYITSSTPNNRAAIV NTKMFPALKTHWDKNGINYDPVKAKMDLLKWAQNCWRLSSQKGVPKSSKVLKVRKSDV VARTMKDAVEAEIDALLDPEDSNKIQKRFSVRNLAVSNIIKRMTSTELKNLEEKADKM SEEGYPQEICRQLAEKHSETRLKASAKAHWLELGFLSVTFAAFEDSTGRVVAEIHDDI AELLGVQKSMKIKSFEETYPKQAHEIQRLMIEYVRNVIDKSQGREMIAAQENTLGSSD DGFPIIGPSWNKEKLGKADASKLYREYLSKHYKLATNGRTEQVPYGDIMMDVSKFIHK DYLPLGFVFKDPHNTGIDDIKAFFDHIYDREQNVQPAEVFRFHSITTSRINGTVVPAC YGNGPENHEDVPAPLNKRQRRKNKKSAQQKPDSVNTVNTNNADVLPRPNSDGEINAET LAHPELPGKSNAETTHPGVYRKESVGVPVRLHNHGEGNADTPNRLHNHGEGNADTPNG LVSNGGSNANRGLQLNVDGEIVEYHPKLSRHNVLGRIDPLQVDDEVMFQYLCDIGAFS FPPLENVTVPVASSSSGQSSTTNAMPQLSFTEMLMEDYHVPGGNLFDISETPSMARNT IGNSKSITNGPAPVGMSLELPSMVNNEWSYTGMMNENAKNDTAIRVSNDAAKAYMDTI PQASAVQSRVPSPKPLVWRQVNMNPTLAPTYSDEEMITGTTIQLKTANTPTIIKAAGE LNDASQETHGLNEHSASKQPRVRTARNKRVVSDSSPAQILNRVLTDMPMTPTKATSQP PKSNAVASKKPVETSHNLNGLEQPAKGVVNQSKRKANTAVDESGNAQNGRTAKKVMTS DVLAMHEADSMKVKGKRVPKKRIRE JR316_0012784 MPKQTITDLKEFRNAVETLDKKVRRGLENGNVAEVVNLHWDLVT KLAVATSTAEECVAEKQKKSNIFLFQFLLAYGTARRQNRHHSSEFLKEMRDLLNKEGA SNAPEHQDDSTSGRPGEGRKSANRMLNSTRREDAQPLPKKRRGTEKVGLASAPPAKRD STRASATKTFAELEASEDDNGTFIPSQNPSDPESAEENIKIKAVRQKANRNKTSARQS KEKSVGPGHDERLPVKATKWIHHRRGCRGKGEEIERCSEVDENESITSELEEDRNPKI TPRGALTLIKVQSSNLVNSRNRSAERQDAVKATVTPAIAESKVQRAAQDTKESASLTI SDKAKTHRQTLERLTENVPQPPARSVPSDTVKKKQPKKNDEAKTPRETSERPNVPPPP DRPILSEKAMGKQPERNVKTWNEWFHEDVSQSSSTRPPPPLPPRPSYIRNWDVESDPE DDVTNCTLSTEDRLTKLEKKCAKIIRKMKKMDDQLTSYDHGFDYITGKLAFFILSLEL LDSKFDVLNHFYGEVIERVTVIRREVNAVLHDIYEHSSSEAGDHTHHEPPPTQSSQTH HSENNADSNTADLAKASPPAPISIDQKYVPVHSHNKLAALAKVWSGGSSDRPIDLEEH DSLPLASSNHVKHAEINAEAKLAKPVSSPTRVNAAYLPSHVKPTEHAEVVEHAPSPTR VDAVYLPVQASPSNDVESMDTHLESPNRTNTDTAKVLTNDTSHTEAPLLQANPQAAAN EDHAENAERVENTECIENAEVADNTKYVEKAKHVVSNSDAKGKDKGVELQQGDQSSPN VSTPHNSHDDKSRGAIVPYHPVFTVPSVKILTTQAKPPPLSPSLIPLLKIGPDGNLLT HPGPQDDEDDMVEY JR316_0012785 MKQYEQQPSIDDLEEAIKLLREILQIVPPQDQNRSNVLSNAVLA IKRRYDRLGSMEDMEESISLGRESVKLCPLGHPRRSSSFHNLALALGSRYEQLGSKED LEEIISLLRESLKIAPTPHPSRSIIVTNLAFSLINRYSEQGSIEDLTEAIYFGQESLR LHPPGHPLRSWSLKCLAITHQIWHYRLGVVDDLENAISFHREAMELMPLKHMYRSSDL YNLANALRIRYSKQKNIHDLEKSILFYRESLNLRPAGHPGRSLYLHNLAISLLFHFEY ERMWDDFTEAFQLFEQAACDATSFVQHRLSSAIFWINSARVINDESIKHACKTALHLL HRSLISRGHVEAQQSYLATTQSATSLACESASTIIPTGDLETAVELLEQGRAILWSKA NVYKDPLQELRQANEGIELADRLESLNIQLEKIVLQSQ JR316_0012786 MRKHRILSEEWDETVEKIRKIDGSENFLQAPQFKQLQAAAVEGP VIIVNISSYRCDAIIILHHSPPVLVPLPQARSETLLDHATKLQSSREIVGVLRDIWRT IGHPVVERLSDIGIAQKSRIWWCPTSALCALPLHAAGPYRRGERNLPDIYISSYTPTL SALIRARSKISKSQGVLKLLVVGQSGKDLHRVKNEVDVIRRYEDSVDVLMDSEATRNA VLSGIMDHSRVHLACHGHLGDDNQPFRSSFELYNERLELLELIQANYLMPSSHFFQRA TVQLEMLEHQMKAFTYPRHCNFVDSEVLWEHCGKCMMKMDPPLRSISMTTYFLMDQLP ILRNPLRH JR316_0012787 MPETAGEYVDIPALLKGILNGYPGNTAIFREYLQNTDDAQATTQ DFVLDERVFPSERLLDPSLKGSQGPALLAVNNKVLEETDWTALQKIHSSSKAEDESRT GKNGLGFRASYHLTENPHLLSGRKLLVLDAHRHFNPHAGGVLIDFVQEGPNYPDQMAP FLSYLDSPDCPYTGTIFRFPLRTESQAQTSRIKDTATSVEEMLELLEMFCMNEAEETI LFLKYTTQIKVTHVQRDGNTIVLGMVKVENEGQRGEGATYRHITITRRDGSETTRTWC IYRLTTSREQAAAVIQSQLGYDVLAKLHTEKLSAVVELAFPLAGPPANGRLFTLLPLP ISTGFPVHLNATFALTPDRQSLKNLLEVGNQRSRERLLVEWNKIMFALWAPSAWAGLL VELVSRDSVDLGYIWSIWPPQQLDKRTYWADLPRKVAQEVINRRLPLFPCLPRDSLPI SFASLHDPSVLLAGPHPGIDLEALVNLDVCIVQPPPYLFSIITSLGLPFKASILSPQS LYERLIKTYSETRPPPCNETDVHTITDYLASLNSLPTIDLIRHLAWFSCTDSENSRVA LGSVSKKYVIPLTEEETILFGAHPHMLSWGCMSERLRTHVSNASNFVTLNISTLKPND VVTVLNTKFRHFQPRTSEIREEDHRWLVHFWSWMARWPKLDEFFRISLNKCGDLYILP TAERSLRKLSSRSFIFRNTAPAAVEAWKLLGVHPLHPDLADYPASMMKPVVEAPKSIG YVPLLIRSCDTRAQSRLSQDALIALQKSIFEGLPSAGSPRFILSSQECSRLAAMQVFS LRTQQGDLHTLGSIRGHAIYIEVDDAFPLPLQPDMDDAIYVDMRDEPTARFVKLVNAT LPPVLNEVDFVRIAFDHWALQTLDEKDYIISWIFDHLRVVPQELLTRLKDIPFVEVEG TAQRVIPSGLIDPTSSLAPLYAQEVAKFPAGVFRTKYLPTMRNLGLLDLRLDVNVLKE RIDYLSTGHSDPDRFRKAKHLMHLLNQSWEPQFKEQIVYARSRKWMPCSPNAALPQCL ECPYQCRDRYSGRGKNENPFYFDLALSILPSEIQVVSNAFREALGWLDIIRPETLVKQ FRMTVDLEPSSERNDRLMALIHYLGSLHHDHKLSFEVVEDLIAAAADKAWIPISKYGE DTVKTKFALLLPEIDLKPPFFHIENFQYPAFLEEMGCTARPKLDVLVEELARIDFESA RGVAASICILQEIADAHPGFDAGLILIPGDNNEGLPLHRVFFQDIHFLGLSGCMTELV PTHSSVSRALAESMGVPFLSALMLDTNPGGVEDENEEEEQMSEDFVNRIQGFLREFDV RYALNEFLANADDAKAS JR316_0012788 MLNTPTDVGERFLSPAFKKLAGCSRLVLFNDAIFSEADFEGLRH VGLGGKRGLANTHGRHGLGALSFYYFTDVVTVISGEYVMFLDPSGENLPPRRHGKRTA LRRTIKQVVNQFPDQLKGYESLFKFTASSGFFEGTLFILPLKSSISEQQTSFLDVHDM VKSSYKTLSANAFFFTTLQRISAYKNAESDNEDHLLWSFEASRQSPIGLDDRYTRHTL TLKHRCGRSAPITEKWFIVTSSGIEIPQIHSATAEGLNLSRESPLSVQLAIRTDNAGG LVLNSTSSLFSTLQLPKLISLPFHINSRFAISSNRQNVVMHPAGSDNQLDPKTAYNIW ILKELIPPLYLSCLDYLVHSSQRKLFYRDVWWLLNAKDDISRLVQAAFYGILTESTKL LFQDATNDWIAFHDAVFSASQPSQITELLTSIKAPQFVLYEHLKGMANCKSASTVDGR FVKQVLVVTGLHSMRNLISAKKLDTKGIARIMDYVRKEDDLAMLPLLVLASGTLVQIP DKNMPAVYRSVPDTVSALFSTGRFMAPVYTLESANRLLEDENINVVEITPSSMAGLII KELNSKGPDAQKSWLDLFWRAFPILPASPNLTLLEDGKIVQGSSRTLKLSECKNDRVV YQSHGRSPAIEPPIANMLHKLGLEIVNVTANPILDGYLKPKFADTISNVLLCMEAKGI YNLAHLTEAERQNFSHWIRNHLPATISKWNTQGSLVSPHFLKRIEIWNAQTFEGQSLH SVMGIKLLPSNVPPNRIRPFQKPDIVVSEYSYTLYTFIQFLSQANIPPKTLSAKAIMD VVDVPRQIGLGNEAGITAMKDFVASMISLPPNEVRHACLHVPDRHGILRPIGTLFDDR MPLFSTTLHFTQPSSFVHPAFQGLQDQFRGLGLNHQVNSQTFAMCARAIENALQLHRN GVLERNILFEMSDAAYEVYQTQLPTLLMMDENKWNSIDSINFVRRKQERREGATYDVE RYFDEPLPLLVSPSLCVRQHIQSIAWTQRALFHRDPSAEVIALNPTIGIPSAKEVVEH LKILALRIAPHHNNDASLIDDLAATYTWLNAHIDDAKEYLLECRNMPLYLNVNDPRND TWDNAWSRGTEMVLGLHYDTARLKRVGQFLDRYNNLLDASGCITMKALNLIMAAPTQN VRNVTQDLRNTFNEMRKAAELTDVALIPTATESSATVPAKDDPELRAHYSFLAATMPY VRRHAQGWSATKPGEIKFFGSTFGAKALLGMYFGALTWLPNVDRFTWVDFAYTGDFKR PAPTADAGLTALLNDLLELLPIADEWDIPQFKCLIEHKIIHEYDMIQKLPHHYSIMVQ QADVYSAENLRKALTQFQKENADFLRVLQDAS JR316_0012789 MSFTEESNQNSTPTDYAYQVLQKLLHEHLKLTGGELMKQPDQIR FLNLVRPFLPILPEVSSPDRKVPFNQKVLWTAVTLLIFLVCSQVPLYGIMSSDSSDPL YWMRVILASNRGTLMELGITPIITSGMIMQLLAGANLIDVDFSLKEDRSLFSGAQKLF ALIIALGQATVYVLTGLYGQPSDLGAGVCLLLIIQLIVAALIVILLDELLQKGYGLGS GINLFIATNICESIVWKAFSPTTVNIGRGSEFEGALVALFHLLFTWHDKGRALREAFW RERLPNVMSLISTVIIFAVVIYLQGFRIEIPVKSNRFRGQRGTYPIKLFYTSNMPIML QSALTSNVFIVSQMLASRFPRNLLVKILGVWEPLEDSPQLRATGGIAYYMSPPHTIKE AVLDPIHTAIYITFMLSACALFSKTWIEISGSGPRDVAKQLKDQQMVMAGHREGSMYK ELKRVIPTAAAFGGAILGLLSVAADLSGAIGSGTGILMAVTIIYSYWEIGMRESGGPE MAAFGDLL JR316_0012790 MSLSLDSKPKPLSSTPLAYLYIVAIMDAAGPYTSEDLIVPFQMV VVRDIRSNMYEMYLKCGATKRKHKWWKMDQDTSVARGRRTPLWGENVQPVLERWHEVV SFESTEHTTVANACNVFPNVFSLPQDFYNPRISVESIESLWWVCAVVNVMEKEGYIPE GSLESIPKATN JR316_0012791 MGQALSYLSISVSTIDKTTIPTFVKPPTELNIEYVFDFHLENNP TYTAFQHPDSTQSGTMRYYTYAEVVPAIHRAGGLISTSIGRQDIQSDTSPVVAVFAEI NNLTYATHLLGLLRAGITAFLISPRFHSTVVAELLRMARPTHVLVSDNLRDIALQAVS DMHATKSSSDEVVPVVVDLPPHEKLYPGHNSFDRLPRHHENFSKKSLIVHSSSSTSSC PKIIPWTSNYIRANSIGIAPSKEYSDVNFEGKVLGGQSAEFFHTAGLYILFGMPRVGF IMAVMPPADPRAVIPANRDMVYEGYKQSQIDIGWASPRFLEAWSKEPENISYLSNLDC IIYGGSALSKAAGDKLSSHGVKLCNAYGATEVGAISKFVSEPQTTDWEYFNLNPGINY ELLPREDGLFEAVIVYQKGYHEIPVCEVEWKGKPAYACGDLLTPHPIKRNFYKVVGRN KDMILLSSGQAVNPVYIEDALRAHPDIKDAVIFGQGRPFLGILVSPNPSAPVLGKDRE AMCDRIWAVIEEYNKTLPDYSRLRKEAFLLSDVARPFLYGEKGLSKRSLILDEYRDEV EKCYMNLL JR316_0012792 MAEQTNELKPTKSLTPSARYRADLEHFERHDGRAAYHLNMAEIK LLGITGVGFFLDAYDLFIINPVATMLQYRLYEGNHLPTNLEGFMKAGANIGSVVGQFA FGYAADSLGRKAVYGKELMLIILATILTLTTPTGTLSPDSCLIYLGVFRILLGIGVGG DYPMSASVTSDRANIRKRGTMLAYIFANQGWGSFAGSLATIIVLLCYKSSMEGRGETS KVDGVWRIIVGISLVPAFGTLYQRLTLPESTRYLSAQKHKEAMEAEATDEISQLKKAQ AEEEIKMANLSGAKKDESVTTETRKGSETSSDIENTEEDPEVLVKKSAHFKEFFDYFS EWRHAKLLIGTSVCWFLLDIAFYGINLNQNVVLQQIGFDGSSGTPWNRLFKISTGGII ITALGFVPGYYVSVLTIEVLGRKWIQVQGFLLAALFLGILAGCFETLSKVSFIVCFAF LQFFFNFGANTFKASAHGMSAAAGKAGAIISALAFNSLSKSIGTPACLWIFMGCCIAG AGFTFLLPEVKGRDADLIYAEELRAKKEAKAKANQAKQ JR316_0012793 MMCSSFLSLPLELLDVVAEKLDLADIKQLRLCCKRLGSSLESFT FHTISLRINCHNASSSSGIRKLQYLATQRHSGPSRMTKNLIIDCLAPDYDPTFEAESY VNGEWVANVPPKDLPQAIISRKQMKLYLFYALKSLKNLRSISWNIHQYDEQWVQCTVM NAIQTLPNLRSVRIEVDWARIPLPLHRLRGLRDITIIDSTIPSNSGNIYETYQNLGKM LARSPGLVGLNIQRGGYDCTHVDTSASLHHLLRCYPSSQTPLRLKHLVMNRMFVKLDA ITLPHLHYLKSLDLSNVLEPHHITNIEHLTVDSKICSSCTQLHGSQKLVGSSVDGIWD ALTNLGIELEVLKVRKVTQSLLNYLESYSGIKRLHILSSAHPTSPTEYDALASRFFGT SLGMHIRTIEKLDIEAANEGLWCFGPHNMSVIASCTALVFLGIPICSIHLQTFSCDDK PVLGSEDGAFDPIASLYDTITIHLVKINTLKVSVPLSIDRFEGYWCGYSVSRQFSWVC DTVAERLCAFSNDKPCYPPSCLPDIQIGDKTCKEKRLAPFATVRSVPAVDGAVQFRQA FCYKET JR316_0012794 MFKISTLDGWLVVVNGTQQIDDIRRANDEQMHGMTTVAEYLQLE HTLGPELLDDPYHIDVIRMSVTRNIAARFEDIHDEVRRAYSDAIKVNENDWTALPAYE LQVQVINRVSARYFFGKPLCDDREFLEICDKATIEIFKGRFLRVLPVFLRPKPNTRLG ARAFTNIHGLRGKVAKYLAPIIEERLEQERLYGAEWPDKPNDLITWLMDGARASNTEI SAEDMSIRVLFISFGAIHTSTATITAALYQLCLSPGSVKELREEIENVVASEGWSKAS LGKMYKLDSYLRESQRHHFMNLFAMSRVTLKDFVFSDGTVLPKGTNTTVNIVARHHDE SIYPNSNIFDGFRFVRDPKEGITRPMLATPTLEYHAFGHGRSACPGRFFAVTELKTMV AHIVTNYDIKLEKDDGYPKKLFFEQQSFPNMHAKILFRKRNT JR316_0012795 MVEKKSETASIDEKRVGTPSDDFDFGGDSMLPPPPTLTPEQQKK LWRKVDVRIIPILALMYLLSYMDRGNIGNARLQGLQTQLHLTGNQYNVALTMYFIPYC IFECPANLVLKRFRPSRWLPGITVAWGIVMTLMGLVKNYPQLVGTRVCLGIAEAGLFP GVVYYLTLWYPRHLLQFRISLFYGSASLAGAFSGLLAYGIGFMSGTGGLLGWSWIFIL EGLLTTIVGIIAFFVLVDFPSTATFLTPEERSFIIHTKRYDNSSVGEEEHFEMRHFWA ALCDWQVWAHILAYMSVVGPIYGITLFLPTIINSFGHTPAVSQLLTVPPYVFATTCVY TFAHFSDKVKMRSPFILLGLLFCLFGFSISISNASSGVKYFGTFFAVSGGYAAFPGIV AWLGNNLAGQYKRGIGLAIHIGVGNFSGAIAANIYRSRDAPRYLVGHGVELMFVGMGL IVVPSIVFTYTRINKNRDAAQQQALSGGDATKYSKQQLRELGDRAPDFRYTL JR316_0012796 MPALLDSSSSSTPTTTRAGVIESHLHLDSHSERQPQPSSTSGMK PPTEDEDKAYYDSLPINTSPNVTPASPPNAQPTIGLIGMGAMGRMYARHLGDAGWSKI NVCDIPEKYEQLKADYAGNPNICPMRDGHAVARSSDFIVYSVEAEFIEKVVAQYGPST KVHAIVAGQTSVKAPEKQAFEKYLPRDVHIVSCHSLHGPTVSPLGQPLPPGQILIKHR GSADALTLVENILRPLRSRHVYLSYDEHDLVTANTQAVTHAAFLSMGTAWAAAQSYPW EQGLYVGGIETAKVNLTLRIYSNAWHVYAGLAILNPAARVQIERYATSATELFKMMLE GGSGGEEAKRRFRERVEWARDMVFGGVAREGSTPGGGRRRRPILLSEDVLDRFSLGKL PPPSPVDGSSPFSSSPTEPQRPPSRYRPNSHLSLLAMVDCWAHLYINPYTHLSLAATP LFRLFLGVAEHLFLSPTSLLEASIHSALHDTWHRADDLEFVCAARGWSQCVSFGSFEV YRRRFEETRAFFEGRFEEVGKVGAEMIRAVMESEIKREEEEGVV JR316_0012797 MAKTAFSLAEEVKAMNRNCQNDRLKFLIDKLVDHLHAYVVETSL TADEWSAAIHFLGEAGKVCAETRQEFDILSGVLGVTTLVEDINNGKPAGATEPALLGP FFKEDAHKVENGDSIASEGKGDYPYVEGRVLDLQGNPVANATIDTWEADSSGLYDFQY ENQEDCRGRLHTAEDGSFSFRAIVPVPYPIPEDGPAANLLTSLGRHVYRPAHLHFVIS APGYESVTTQFYFKGDPYLTSDATFGVKPSLIVTPEIVEDLALSKARGFKDAKPHTYI KKDFVLVTPEQGINARAAVAAQN JR316_0012798 MRGTCLERCIHANGHEHHAPPAGARAPKTQRREGQREDQRMIEE RERRDNRSVTVQLTELQEQTTKLGTSREIIGVLRFLWDKIVHPIISQLDNAGVPHRSR IWWCLTSELCSLPLHAAGPYRGGERNLPDLYTSSYTPTLSALIRARSNIIRRKAPTLL VVGHPDDALQHVGEEVKIIQQLSDSAKVIIGKEATRDAVLQGLREHPWVHFACHGNLG DDLQPFQASFQLHDRRLPLLDIIRARLPNAELAFLSACFSAAGDVFTPDETIHLAAAL QFCGFRSVVGTLWEMNDEDGPFISKEFYKYMFRDSGKRPDFKDSAEGLSYAIREMRKD QVPVERWVMFVHIGA JR316_0012799 MERDATNADDAPGQNDPNALASQHNGTVLTTPSEPAECAQQAHD ARSDETDSKLQRVCSGNDWADDDDFALVLTRRMTRSMPTRGLPRRQPESPAVHASLTS LSSEDTVLTVAVTSLEALQTQGTRSE JR316_0012800 MTRFHHLYTVYRVKTRQKNTQARVIAPAFSLAEEVKARVEFERR FGITETRNHDTQNILIKHRGSADALTLVENILRPLRSRHVYLSYDEHDLVTANTQAVT HAAFLSMGTAWAAAQSYPWEQGLYVGGIETAKVNLTLRIYSNAWHVYAGLAILNPAAR VQIERYATSATELFKMMLEGGSGGEEAKRRFRERVEWARDMVFGGVAREGSTPGGGRR RRPILLSEDVLDRFSLGKLPPPSPVDGSSPFSSSPTEPQRPPSRYRPNSHLSLLAMVD CWAHLYINPYTHLTLAATPLFRLFLGVAEHLFLSPTSLLEASIHSALHDTWHRADDLE FVCAARGWSQCVSFGSFEVYRRRFEETRAFFEGRFEEVGKVGAEMIRAVMESEIKREE EEGVQGNTVTAAPVRARANIGSMMHDPFRSLQLIKPTSTSSSPLMHLVLHRTDSDSTW LFTLERDLTAIKHPTGTHENRAL JR316_0012801 MAKTAFSLAEEVKAMNRNCQNDRLKFLIDKLVDHLHAYVVETSL TADEWSAAIHFLGEAGKVCAETRQEFDILSGVLGVTTLVEDINNGKPAGATEPALLGP FFKEDAHKVENGDSIASEGKGDYLYVEGRVLDLQGNPVANATIDTWEADSSGLYDFQY ENQEDCRGRLHTAEDGSFSFRAIVPVPYPIPEDGPAANLLTSLGRHVYRPAHLHFVIS APGYESVTTQFYFKGDPYLTSDATFGVKPSLIVTPEIVEDLALSKARGFKDAKPHTYI KKDFVLVTPEQGINARAAVAAQN JR316_0012802 MAPANHTECSPFLQLIAERDIEIRLHIDIYIVRGEAEPSDVNVV DFIVTSAKDKVTRLEAYIKELSVVDNVDEAALDLAYEELEEMYPATFEVSTGLGRGRG CRT JR316_0012803 MASSSGQDIQMDQSPSHTLVQPQTQHQAHSPTPALGSSSKKRKE PDTQPVASGPSSSKKSRIIAPLPSRPKRPKKPSDYHLRKSDIKATDKNIKSALELHIR ILWRLASQNAVPPTVTPDDRAAFNKRFNPSDATSSSALRVSVMSSLDDNSVNINAARN VVDNFLSNLPSSGTIASRIRRMAESDLLLMFRTVAAMGLRKWAPDLLGNGPGTAYNLL HEHIALVTFEQVLLSYGYSSLGVDASASGNHTLLRKLYRSFVFSYMHGNAKLEAKSPG ALARDNTMKGVYSRRKELRKQRLNVLNDFMFNNRTRALVDSNDAHSDDEYCKDTVITE GTPRTEEYYKIKTVPFRSKKATAFFRMLSERNQESTLNKVGRRPNAIAFRTRKMPEEF IPSKFTKLPKSGPIDLFEPDEWNKLPIRIRIKYLEASGGAYVALPLPEYCDTWAKCGT WKDMSEKRFMRKYGNDVLLDYNLPTEKEIKRYQDGSPDMSEEDESSGSEDELGEVENA TMRGPEDNILNEDEEFNNDSRVIGQEAPLNNGDEDMYANDSA JR316_0012804 MDLDNSIAIYRQVLRIGSPTHPYRSNVLTDLGNFLFTRYQQSSR IGDLEDSILAFQSAIALRPPGNRDRPMTLLNFGTALRTRYERLGDMKDLEGAVSSHRE ALHTSQSDQPEYHLFFANFAGTLNIRYDRLGNISDLEEAIKMHRQVLKLCPAGHPDRP MAATNFGCCLRSRYERLGAMKDLEDAVLFSREAQSRISPGHPDQAAISMNLAVVLRDR YHQLGEMKDLEDAISLYSNSLGILPPNHPDRPLSLMNFAGVMICQYQRLGRVEDLESA IANNRDALRIQHPGGGETASAMTLMNLGTCLRIRYERLGRVEDLKEAILSHISALNLY PPGSKNRAQSLLNLASSLFNRYGRWGNLQNLEDCISMCKEALDITSSGHPIYYLGLMN LASALFSRFWKLNCMEDLDLAISSHREVLRLRPVGNPDRPMSLMNLANALLARYKRLN KMEDLDDAIGFHRDAISLCPPDYPNRCLSLLNLADALWTRLQRCKRQEDCEETFQLYE QGTNDAMSFAEYRLRAAHHWTEAAQEYHHHTLLLACRSSLSLLNRCLTFLPNVESQQR FLATASRTTLAPKSIASDAASASIDGGDLELAVELLEQGRSILWSKVNSFSYPLEQLR QVEGGGVLADRLETISAELEHLVLLSGKGPGALDEKPTSAIAFDAQMRRHRILSEERE DVVAEIRRMEGFENFLQSNLFTDLCSAAAEGPVIIINISSYRCDAIILLNNTSPILVP LPQVQLTELQDHWRIAFSLG JR316_0012805 MAQTTASPFNGINNVGGLGQAGSSVSSSYPYSSNWSGNIQKSKL WRCGDPYRYPFPESEGDPWNCVLEPYRKNDRIQCEAWKDEVQNLLIFAGLFSAVVTAF TVESYKNLQPDPNDTIVYLLAQLVDSSSNVSNVALPPPIFVRSASALRVNIFWFLSLV LSLTTVLVGIVGLQWIREHQQYTDEIDPRNSLSVFRMRSHGLREWFVPQIFTGLPLLL QSAVFLFLIGIIDFLYSLDHTVSGWITVAVALTLLFLLATTALPTMQLFVPHDGQHTI PPTQCPYRSPQARIFMVITTRIYTAVMLLDAFIPFRKFRRIKKHFAARFALRQNFKSW IAFDAWWLNIREAHIKYRHAPKFDGSHNIYNTISLWQNASTLPTELEAEALLDALDQY SHNDAVVFALYHCFSEVAKVQNSIQFELFQRYYIQATGLPYRETYWPSRLMPPFDSST SRLLHHENMVWFLLSLGRNGHSKRVEAHIVPHLIELKTRITAYTMITCRSVIKNTDFL DYSQEHMHFALGKPDGNYLAYSAFGEPNFRYPVSDVMYQETLNQAYLIYERFTRKVAM IKESTKLEETTYNHMICIKFLDIPMSLLRHDMWAQDTAISLHPILYRTLQFMVTTLDR VVSENTERPLLFLSASYVLNRILQPLEGAKEHYTSNIYYRTKNQSDILPWIVQTPIIM LMEALIRYHDHFHPTRCSPFSLRQLSYERIFLWKLEPGAVYEFKRYVEIPRDPVLDS JR316_0012806 MLSPRLSVAALLLGSSTVLAGTAGSFAQAGNTLVSALLMFLGNE ETVYIIDKAEGNAAAVAGHPAWGAKWDIASQQAEVMDIRSNTFCSSGMHLPNGSFINL GGNDGITINGAPGSIKNTDGSGTGFWDSIYQDFDGRKSIRILNPCGSADDITSPQCRW YDDSSVLAMKSGRWYAAVEPLGDGTIVILGGFTAGGYVNREFPVKDPITQSGQAQNTY EYYPPKDVAPPLVQFLVDAGGLNAYAHMFLMPSGNIFVQANRSSMLWDHTSNTQTPLP DMPNGVVRVYPASGGAAMLPLTPANNYTPTIIFCGGSTMPDDDYGNYGGPHAETWNIP ASNDCQRITPEPQDGSAPVYVADDPMLETRTMGQFIILPDGTLLMINGGLNGTAGYTT NLNEFITELPFGTSLASGPVFTPAIFNPNAPPGSRWSNQGLSPSTIPRLYHSSAILLP DASVLVAGSNPNPDVNLSTVFNTEYRAEIFYPPYFSASIRPAPTGIPKTLSYGGAPFD ITIPSTSYTGSSNDAADNTTVVVVRGGFTTHGMNMGQRFLQLNNTYTVNKDGSITLHV SQMPPIPNIFQPGPAFVYVNIHGIPSNGSYVIIGSGNIEQQPTSPPGTLPSSVRLDSA TGGIHNGTSQDNGSSDSDPKKSSNLGVIIGAIAAGVAVVAVVGALIAVFLARRRRAAA RLAPSKEYPLSTAGAGWTSHNTDSSVFVPLAQAKYDDTWDPRTSSINAPYMDERRAAS SIGSRSQVFGEYDPYSGEPAQTHAAPISHSGYRESPSSFR JR316_0012807 MPKGFSTQRAEYIRSIRAQAHKEGTIFKVALEESKNTKAAKAVL LGYRTEEPPLSQRNIRGWYSDAPLIDDGDIHLYEDDIYDFDIDLSNVEKKHETDRCVS IMDLARPAKRKGVAKDFEMVKNESKGKLLDGEDFEIWEDDLLWEEDWEKIYNEDPKDI KRSYSAVLRGYDT JR316_0012808 MWSIASSSNTCAVDPTYLHVESTPVKMALDGSLSSPFLSTANMS DKKDSTPSMDEKRPGTPSDDIDFGGDTTLPPPPKLTPEEESRLWQKVDLRLMPILALM YLLSFMDRGNAKLQGLLTQLDLTGNRYNIALTMYFIPYCIFECPSKWLPGITVTWGIV MTMMGLVKNYPQLVGVRVCLGVAEAGLFPGVVYYLTLWYPRHMLQFRIGIFFGAASLA GAFSGLLAYGISFMSGTAGLLGWSWIFILEGILTVIVGLIAFCVLVDFPATAKFLTLE ERAFIVHTKKYDNSSVGEEEHFEMRHLWAAITDWQVWLHVLAYMSIIGPLYGITLFLP TIINAFGHPPAISQLLTVPPYVVATICAYTWAHYSDKMKMRSPFIILGLVCCLIGFAI NISDAPNGVKYFGTFFVVSGSYAAFPGIVAWLGNNLAGQYKRGIGMAIHIGVGNFSGA IASNIYRAKDSPRFLVGHGVELMFVGIGFIVVPVLVLSYTIINRKREALQQEAINRGE ANKLTKQQLRELGDRAPDFRLMIILTTI JR316_0012809 MFSFKTLSVVLAVFAASVQAERHTVHFVNRCGHGTPQLIQNGRV LSTGGDYTSNGPLVAAIAYLQTGNCGFNGERCITVETTLQNPTTPGSGSSTDLSLIPP LAFSVTTGFGYYNGCNGAGASCNNQNCNTAFHKPDDNQVQVACQQNDVNLAITFC JR316_0012810 MAKSTANRKTIVSNAARDLVRALEKRGFTCILVQDMAAFLQGSK VVPKDVKLVVLPQSVGIPVNNQLGRDLVEQQPSKFRVRQRKNKSDVLSYYDSSIAPPK KTFCDFELISVKYPPPPEYRPELTSRVDGLPVLSLLAIVVDKVNELLEQYDASSNKSD FIQRPPRKLCQTIRTLLPTSGHSICTPTDKSFRLEALDCFIRASALFPEFSSGLTTLI RACQNMKTIRLSRPDGFPVLDVAHFFRGIDLAFESRRELALPVSSMEVASPVSTASSL EPSEKAFSLPDSDATAVDEIKTEAQYRTEVTCLVAKKVVDILQNIGVETALFGSLACH LYGNERAPNDIDIITFPPPGRLMTAEWLKQAIYNGDPENFILEYGKNPNIAYRVLYYR VSDDLAPSNTFHKDKCKVDVLLPGTMNLPFLPSYNIKWRQGLPVVPFSLLLLQKLQGW DDHRRMPEPYKFEKHKVDASDVQSLLQLEHAVPLRFSKPWTNRNLFNEDFYDLSLKRI KEFSAIYPACADGWARLGFTV JR316_0012811 MAFVCLDRSEEFRAGASIACIVLGPAPQTIGFWGSGVTILSDRS LWVWWNFALHPCLTPKAAPDVWYDDEKPKYRYHLEQNTGDWERCAMA JR316_0012812 MSSTSSTELTSPTVNQGSSSRLSQSLITPNATYDGSEAVSVFAV EARNENAFRNLIKPSVEGALDAIFKTIATQSAQQIANSTNLSELLTISPQTLVTPVSY RMTNLAPFDVPLATAVTFVGLIYQLILSFFIVMITNAAREGSGLDKTLPTRSLIILRL FSSFAGYLFISLFYCLLSVAFQLPLSRNGFLVFWMLNYCSMLSVGLALESLMTLLTVK GIPFFMITWIISNVAVCIFPIEVMPIIFRYGYAAPFYNVSRAMRTIVFGTKNIVGESF GILIVWIAIS JR316_0012813 MASTTTSVEQDQNSNRTTLPLWPLSRLFFWKGDDEELKKAWNLY LNTVIPGVMLVAITILAVFSIFWGSAWKNPDHTLPGWIVDFDGGQVGDFVAKTLLTER GAILWEVVPALTAFPRGLGELTQAVKEDRTWVAVVVNADATLRLQDSITSPNVSYDGT EAISIYAVEARNENSYRYVIKPSVEASMHAVQLAFAVEFGANMSSISNINSIIAMSPQ TIVNPLSFKFINLIPFSQPVAVATLSTGLIFVLIMSYFVVTIANGARLASGLPKLLSF RHLIALRLGTLFIVYFFLSLVYSLVNLAFKLDLGHKYGHGGFVLFWIVSWTYMLAVGL ALESLITVMKQFVPFFLITWIVVNVSTNSYPIEVLPKIFHYGYATPFYNVSKAIRTIV FGTRNTLGKNVGILIIWVIISCTTLVGFQWYVRKREIDAVREARHEHGVETPNGEKQQ QV JR316_0012814 MADLAPTYAALILADDGIEITADKLIALTNAAGVEVEPIWATLL EKALAGKNIKDLLSNVGGGGAPAAGAAPAAAAAGGAAAEEAPKAEEKAEEKEESDDDM GFGLFD JR316_0012815 MEENYKQAKEDFVSGTTGSSITHINAISLVALTSVALYAAVQTR SRMAKSSTGFFASWVLLILPMLSSMTVAAEYPRVVWLSIILAGSTGWVLRMPLVERGT PLPSSQKSPARRVTPGVGGTVDVDVGVSSGRRDGEAGWRSMHEKQRAVRLPPLPALTT YRAHMMLMTVLAILAVDFPVFPRSLAKCETFGVSLMDLGVGSFVFSQGVVSAIPLIKD PRYLRAPLIPKLLKVVRKSLPIIALGIVRVLLVKGTEYPEHVTEYGVHWNFFITLALL PVLQVLLHPLLACFPISNSVLGVAIGVVQQIALSEHGFGLQNYVLLAPRTSVVSMNKE GLVSLLGYLSIHLLGLSTGTKVLPPTPSFYGRRQKALAKSLDSGVGGGRKRRNSDPMD SDEEEEEDVKGKLKQGSGSPTDLDLSAPRQLDKTATELCGYSIVWWALFGVARLTGVD GGWRRGDGGVSRRMVNISYIFWIAAFNVSFLLCYVVVLDLWIYAKGPSKANTQKRLDK DTTPAMVEHENPPRLLEAINDYGLSVFLLANVLTGLINLSMSTMYMSDAKAMFVLSLY SMVCCAVPWFLSSNKRKRMDTSSLGVVQSENKYK JR316_0012816 MRAVADASDAIVHHDVDRISLLPPELLGEIFTYTSSFDPDAPLR VGEVSTLFRRTVRTTPQAWTRLLLSLSVSPSDLLQDANSVEDADGRAIRKAELWFAMS KVTLVDVSIELSSSAQGPSKNGSRNHTHILIPMRGQNLQDVQIDFSELILPHVLRSFT QRIRALDLLTTTENEAQAFLSAMYPSPANLLSHVEGDQVYPLQSLAFHASSDGSGHVP NRRRTDQVRAITRRGTCSNINTTTGALVLPSIPCLRHLSFYNHLLPPLSPENVKNLRV LQLHYPLRFSPISITTLLGVLNEARSLERVEIEARVTLENVSPSNSTSPSSNSASGSH NIAVSPPTTHPSNLATVALVPLLSPSDSNHAPSASSSLSLDSHSTSNDQLPLISLPRL TYLHLRINNLPSVLSQLLLPSLHTLSIDDLDGKRSGAAKQMAEVLRGLLVRMEMPVDD VENVDTPVEKKKQLDVQKGLEVLDMCSVALPASQGHHGGLISATDAQAAHAVWAWCFR RMRVLKEMRVKKMDADSLFELITPRLRTSRSGVDVGAAATTNSHGLENGQNEKDDIPL PALQKLVVVEQDAYHPKSSAALHRMNAWGHGDNHEFSSAVSSSPFDAPHSPASSNLNY MDGSSSASQDRNMDLQAQGSALIRFQMRRPEVEVIYDASSRQVVSSSVGVDFLDLYAG JR316_0012817 MSSSSHAEERSTSQGYLPRGGACKCDGKRPICSQCDRAGRAEDC EYTVGQERSTVQILEENISRLEARIQELQNPAPPASSAIELHQPYGVSSSDFNGIGSN SRYLLQDLPAHLAEALINNFMPHAGQIGFFLNLPRFQASLLNGQAPGRMTRPSCALAA ATYLWAIRLSNDRSVKAHEHTYLTRATQQAATALSGQHPDRVMQSIQAEVLLATYFFA NGRFFEGKYHVTTAVSMVFSAGLHKIRSSAPQQQSVTGSSTRLPEPKDSIEEGERIMA LWTVLDLDKHWAIALEHTPNFEYSTHPLATKVDTPWPLEMDEFEQDRLPSYAITSNTI YNFLNSITTPDLGISLRAIESKTAILWERVAVFTRKCNARTSQQSLQPLVEEFTGLSN LLDSVLGLLPSLDPQSIGRIQSVEMARRYGVVYSILCSAFIRLHAPFAFSGRSESSLR KRLSMARTILELAIGLRGRGVGYLNPIIGTVWIEASQVVFDEVSRIRSMRTSGTLLPG GPDERVMLGLVNRATQAMAGFTMNIPLTSFQVAKIQETSQLN JR316_0012818 MTISVSQTTEHDEYQYLKLIRRVLDTGDTRPDRTGTGTIAIFAP PSLRFSLANSTLPLLTTKRTFMRGIVEELLWFIHGSTDSTILAKKGVKIWDGNGSKEF LESRGLGHRREGDLGPVYGFQWRHFGAEYVDCETDYTGQGVDQLRECIKKIKENPTDR RIILSAWNPKDIPLMALPPCHMMCQFYVQLPPASNPSQKPKLSCLMYQRSADLGLGIP FNIASYALLTHMIAHVTGTDAHELVIQLGDAHVYRDHVDALEEQLKREPKPFPKLRWA RDNILDIEDFVYSDFVVEGYTSHPAISMKMSV JR316_0012819 MDETDEKVSLKRKLNSDDGEQSAAYSPRKNASTYLKHEMHWLLD GNMLLQIGKTRFKIHRSRLASESRWFQALVELRAGNSPDIPTEYRNTIDEAIKSAQKV GECDLFFLDFEDGPNANKFAALLSAMQYGIEFVYENPSFSTVADIYEASQFFDVPRYS KFSEMYLKTMFDDSFESIPPNPSPYAARALILGDCYGLQDIKRRCFYDLARTHPIAIP TEDDASDCVPSASDIDDANSTILIDLLNIQRRLALSWDSIYLAIDEGKFCDIPSCTHR HCAADLVGLKAAKIQHPFDPITAMNVMLATASQKKSSCKVQKKRLEDFFDQQSNQIWE EMAEWAGISESEALE JR316_0012820 MKYHTAPTGTADTITRGRVDPSNALALTHSGHATDVALTHGESN TDVTDSDNSCDGGEEKGTWIEHDTRWITDGKTTVERSIRFKLNENESRLESRRRLRRI LCYESDSGYDSDTSDSEQKPTYNQHETHWYMDGNVQLQIGATCFRLYQRRLSSQSLWF KTLLERHYNELEGNNFDDQEEIDRVVDTCVVVEGCVHFKLDGVGTFSSNGSGVSAEDF AVFLTAMDDGIHHLANRPTFSELQTILKVALAFRFTSYTDTLVYAIGEMFAAEPADLD PYILRHSVNGIRLYRDYGHLYPELSHIPCCAFYVLARGNFPASSSDMIGQGFTTEIES DTNVNMENLSQHDLVLLMDIQKRLSWSWENIIDSVASIEFPSIEAEKIIYDVVTLTRK KYPFDPIKGIECISEESRVTETCYTIRNMLLNTLEEEKMKIWENMNVWLKIDA JR316_0012821 MRLLNSGILALVCLLQLQLGFSQDTNPTPSCTFSCPPENLASWK LVKRPFALGFDTFYTIFDCVYATLHPTPDDIVSERKCSYNKHTGSQALEAAGDNCPPQ AIPCPDPPSPDSDAQSTQAHQEPLFSNIKGEEVLPWVEGGRYLLYLSEHPPSES JR316_0012822 MHYVPIRRIITESKFRGLAPELLANIFSSLPRRDLAHVVRVCKS FNLSGCLLLYQHIDLRSNDLYLEYTLDFLRGHESIDQKIVSAVLTTMPPTLIQTQQVY QWIAPDIAKRWINLRSLELRGYPFSNGPGSDRFVYTLHSCCHQLRRFVYRPGFRTLPD TFDLSRLQEIEGHFGQNDSDSDYCLPLNETMFASKNTITHISFVGEIRGEIYSSLHTF RFPCLRSLELGTLAHAENPAQVNTQITRFILDHPQITHLSLGKTRPLVENNVTNAHDL FLQFDGNHLLRDSLPLLRSFEGFLENITLLASHNVQSIFTLASLSIYCPYKDTTLSER LKQMVRAIMHADTTPRDQKFPFVRKLRLEFFTELHSMMEQDTTSLIHRECMDQFAELC PGVTSWYGRLPPMTSTHLGIMFGIPQYVETISLPWSTISIRKESEILEYFKAIAARCK HLKTVIARKSEDTTGNNLIYVLHRDRYGALSSVTVLPVSDDCYV JR316_0012823 MAHTLPPLPYPYNALEPYISEEIMVLHHTKHHQTYVNALNAAEA SYAKASTPKERIALQAALKFNGGGHINHSLFWKNLAPSAAVNKGTGGVLRDGPFKSAI EKTFGSLDNLKKEFNATTAGIQGSGWGWLGVNPANKQLEIATTANQDPLLHLVPIIGV DIWEHAFYLQYKNVKVDYLAAIWNVINFEEAEARYNEAVGGSKL JR316_0012824 MFNKAKNILISGGSFVKQEEHHHHGPSSVHIQTIRKSAMDRLME SASLGALHNSGERLEPPKCHPKTRTAILHYLMKWIIGHVGWDIFVLWLYGPAGAGKSA IAQTLAERCLETNILLATFFFCRSDPRRNNDKVLVATLVYQMAMHLPGFWEIVEVAIE HNPAIFHLNFEAQFQTLLVDPLLRISRTGIFESNAAFPYIFIIDGLDECSGSDSQTII LNTISSTLQKHRSALPFKFYIGSRPERHLAMSFSTTSLNHLTYQLVLDNSYHPDKDIR LYLTDSLRAIRKAHVVRGHIPAYWPSEEDVNRIVKKSSGQFIYAATILRYLSSPRYNP VHRLKVIHGLLPPDNDKPYAEIDSLYHSILSSVENVEAALTVLGVHLVIRSSMSVNQL EKFMLLPPGTIRLLLVDLTSIVTVPSTNWEPLRMIHESFIDFLFDATRSLQFYIDPSR MHEEVVRYCVAQIKVFCFSVLVDPTSEIYFLMYAFRELAYHLWLSGPLSSNPALWEEV RTLPFLTFVNEIHRKHTLVSSRTPGEVIKHFLNTLKCSDLWRTEELYLYHRDVWDKAM LDTLSLVPNSPGLAFWIAVCLEPPDSLRYGVYRNTLLALPSCTFSSSDSLLYLPFTLF TGHILLPPHACGSAIRRWSLGVSWASINADYFALVREFLGDPSRAGSYAMDKSAFTLA AEFIVEFAMRPWDDKKAKIVTGNDSRQWDLIWDALVSVLSRAGRSDKLLKMLNHSIVT FTLGCPSYFWKAEDGLRTMWRVVNEYILAHTGTTPPGFGILIDWTDSVNGATQPSTYY IGSKWIHTDVVYNLDKSIVEAH JR316_0012825 MDGDDNTEPRAVHVDKGKARAREPTERTPLLGSTSGILEDAVES SNSRQRGLRSQLLTVFVASFLICTVGLVLTILLAWSYASRASNLDPQAIIKNNLVFRG PDRIDVLNITKEGDIWLNIRGRVGLDAGSVIGVQSDENDNIFTDIWKSIGRWGVRALD VVSVNLTTISISPEYDPTIVLATLDIPPVEIPLSVEPPNDVSWLTPMSQPVRVQISSN HSLLLQFVKNSWKNGVLSVRTSVGQANIQGGSLNSYDWRRRFSSKLSNIRTPLQIKLP SLPGFPRPGRDMPPISQLITLKSFNVSSNDDQLNLQATASVINPAPPSFSLSVPSLPF TVSLPDTVAIALASVTTLPFSLTPQNATVSMSGEVLPISTKHFPVLSHFVSRYLSGES NTVLVSSDLISDVYMEADFPAPHPRPRILRDVTIRDMKIIPTGSQFLASGVVEGRLVL PTGITVGLEVFHVLPDLIIFDGEVPPSIDWHKKHSSAPDLPPEVPLPDPLPEKAFGHI RPDDWLVSVSVPVVPRPGEGKSYAISAKVVDVPVQVLPGRQKEFSNFVGKVIFGSGGA TAGLSGSAAVNIAVAGLPLQGPGRKVGEIVLSGLPFQGNVQVGKKSFLVGEDHTLEHL FETLSWQPSLCMWNCDSANSVVRCSVVLGTQIAAHMITLGNIRVVDAASCLNDLHAPT VSKKGPNAQRRIVVATITALYLMCAVIFSMNWYSLNWTFVDNGETRETMFIASVQAPV WTFIIYVMLQFSLPIVADSLLIWRCFHIWGRSLRIISLPLFFLLSETGAIASYSAGQF WPQVVLPIIGGMAPTMVVARISMTSFDNTHLSTITHLSGLKFQSGRTRQDETSIDEEY VSSTEKIHGDSNTGSEPLPNRV JR316_0012826 MPGLIVNPETPHYVPAPPTKVDLEYADLPIIDFSEMATKEGRLK LAQQARDAMAEHGFLYVINHGYTTSETERIFDIADIPFSRVTEEEKKTYVAQIKQTGS YQGYKPRQYWHIDGGVRDQLEHYNINKDVNKRQHPEALRPFLPEIDRFARHNHEEVLY PILRLLAVGMELPEETFVNMHGFSSIGETYGANSTENKRIIDLTYSFDKHSYPRSEEE ESKTKNVWLKGHTDFGTITILYSQPVAALQILTKDGKWKWVKHIENGLVINVGDSLEF LSGGFYRATIHRVVQPPPDQHRYTRLGAFYFALTDDNVKLAPIMESPVLQRFGVTKRF DGVEPPTMEAWRKGRTAAYGQTELKANENGVEEEIINGVVVKHYN JR316_0012827 MRLLSILALVSSTVIGQAVAHGYVPLLRINGKDIPGWDVTKDPY TTPQPLRVVRGTKLDSGFITNVTSRDITCSIGNQKLPPGPIQANISAGGTVQVLWNTW PLGHYGPVLNYMAKCPTNDCSTFKGDTGSPWFKIQQDVYSNGIWASDILAKNNYTYSV RIPNNISSGSYLLRHENLALHAASSQGGPQFYPVCIQLTVTDGRSLNPSGLNFPGAYK ATDPGILFNVYRRDAANQESICSPGGPVYAGLT JR316_0012828 MRRVHSYIRILEARIKRLEGYIQALYPDEEVDYIIGKPPPVIKR RDRAQCPVPIIPSQEESIAETPEDFAEISEADDMAHVMLAKQLERITLTPTASNRFFG QASPIMAVQQASTLRSRLTGVPDTGLDPKEYRRPLYWTMSPWAYDYVCSPESPYVYPE FDLLLSLVTIYFEKINCLIPILHEPTFMQDLLSWKHCHDQSFGMTVLLVCANASKYSS DPRVLASPDHPHSASSAGWHYFSQVPIHRKIMLYTATVYDLQYYALAAIFIGGTSLCP VSCNLLGIGLRYAVELGAHRRRGTKRSSVDEELLKRAFWALYCLDTINNSFYGRPAGI SHESLDIEYPIECDDEYWRSDDSEEAFKQPSGKPCSISNFICLIKLCEILGFATRTLY STKKSKILSGYFGTDWEIRMVSELDSSLNKWKASLPPYLVWDADREDSVFFHQSVNLH AMFSYVQMQVYRPFLTKQSPLSASSLAMCTNAARLCTHIQEVAIARDLCVSSHTIYSA FTAGIISVLCLWGSQRPGYVGDHQKEEENLVKCVKVLGKCETLFHLAGSLRDILCEAG AVSQVDDTRDPQSFRLGSSQTESNIHDHAFDTQTVVEETTSSANTDWDLYRLILTEMG HREVGSTSAPTVDTQVTAHEASQPPDPATFNFWGDPSATYTSFEEWDTLVRDIRHLQW CAMIF JR316_0012829 MSASTMAPANYETLQSTQKPMRSRLRGACEECRAKKTRCDSAKR PGRRCTGCELSNTPCIPTIPKKAQMQQSYITILEARIRRLEGYIQALHPGVDVDIIIS KPPQPFSGSSSNSLNQSPYPDIVQEPSLRPASEDDPDDSDSEIRSVGDQIRQLSMTPL PHSRFYYGQASPFMVGKRVSAIRSKITGEEDTGLDPKSNKRAVYWALNPWEMEYISDP EVSYVYPEHDLLLSLVSLYFEKTNPFFPVLHRPTFLRSLASGQHLYDPSFGMTVLLVC AIGSRYSSDPRVISVPDAPLPALSAGWHYYCQVPIHRKMTLFKSSVYDLQYYCLAALY LPDTQLRLVRTVVDPRLGHSHLLSTSYKSGLSGTALFCLDQLANSFLGRPCAISYDSI DLDYPIECDDEYWDCEDSSLTFLQPDGKPCAMTYFVQFIQLCQILASAYSTLYGGRGT KAPSGTSKNEWEISMVAELDSSMNKWKDSLPEYLQWDPAREDEILFHQSATLHATFCY IQIHIHRPFLTRKSTSSFVSLAMCSTAAKTCARIQESAMKRNLIVLPCTLSTTFTSAI IIVLCLWGSQDSGYIGDYTKEMEHLQRCLKILRAIEQRDMLSEAGSMHCHPSIKEKIN LSSLSNDDRDQTALSSTHSAHSNSSTIAANRWENQLYSDTALGAGLEAWNYPEATSQV TSVSGESTLESLTRDSTLTYWSDVPTAYNYH JR316_0012830 MASKHWKQLIAEKRERQAATIPKEWILTNLPPKEALNVIDFPEK SGLLTDKELEITSAHVEVLLEKISTGIWSSVEVTTAFSKRAIIAHQLVNCLTEIFIER ALEKAAKLDEYLKSTGKVVGPLHGLPISLKDQVSIKGIESTLGYVSWINHYAEKNSVL ADILEACGAVLYVKTNIPQTLMWPETFNYVFGRTLNPFNRSLTSGGSSGGEGALIALK GSPLGKFCDISLISIRIPSAFNGLYGLRPSYARVPYAGCVNSMEGQDSVPSVLGPMST SLEGVKSFMKAVVGQTPWLKDPLAVRKPWSEEEYQLSDHGGDKKALVFAILWHDELVL PHPPVTRALEHTKTALIDAGHKVLDWKPLKHSDIYRTIGGIWSSGAAEDYRVATQPTG EPVVASMKPGVEDPDHTDPEIPPFRPLADGISAFQLWQIQKERRDLRQEYMDHWNATV RSTGSGRPIDAIISPCAAYAAPPHGMNKSANYTMVWNALDYTALVIPTGLSVDPVLDA KKPPHEFFGDLDKANYEFYDPATFKDAPLCIQVVGRTLEEEGVIAMGEVVDAALKVKF MNSKL JR316_0012831 MLAATPPSDSASQLDKPIKKRLPGACEECRRKKSDSAKMPDKIC TSCLTAGIECSAVPPQVSGTIQVCSYIRILEARIKRLESYIQAVRTLFITEKSNSNAS QLSPDEEVDQILSRPPPSLGKSSFKFKNPVVPDSKFSDSSGKSSGPSSPSAAEDDMDI PEDDDDLAHIALTAHISRLSLSTVQKTRFFGQASPFMIAKHASTIRSKITGVPDTGLD PGGYRRLIFWTMNPWEAKYVNTSEASYIYPEHDLLIHLVNLYFDKTNSLIPLLHAPTF MKSLSAGQHYWDPSFGMTVLMVCAVASRYSDDPRVFSEMDAGAPGLSSGWHFFTQVPV HRRLQLFTTTVYDLQYYFLAALYLQGTSMAPVVCNIVGIGLRYALDMGTHRRRGGNRP TAEEELLKRAFWSLYCFEQINNAYFGRPSCVADDAFDVEYPIECDDEYWDIEDPQAAF RQPAEKPSSITGFIQFIKLCEILGKVSRALYTTTKKSKIQSGSFGHDWEAQTVAELDS AMNQWKDSIPEHLVWDPRRQNDLFFFQSANLHSTFYYVQIQVHRPFMTKKSPMSFSSL AMCTYAARSCASIQEAAMLRGLRVLPNTVFGTFTAAIITVLFLWGSQRPGYVGDPHKD MENLLKCVNVLSECEKRDVLKDAGALKHHQSTMSRSLEGMERQSSDVMPSFAAGDDIS SMFVADPNLRIDDWDFYKLLLSQMDPSSGFGVFQNNDTHNEYI JR316_0012832 MHRPEELTIYSRNDYIQLTHPAGLLINGTFTHGSGPQIIKQISI QLDISRTYSTSLSALPSSLTSLLQLKTDEDTLQYFNDDIGGRIFPSLRFLKLTPLSAK SVNDLEHLWEFLNQQLCGGSPVSVLDLTRLRPLQVYGIAVNYMESRVGLAKMRWVLPQ RVPMSLGQLEESGRLDAFRSRKADLLRQETQSVDIDEAAVVFSFDIMSKDIMIWDC JR316_0012833 MSNFDVDIGAMDEVIFRLQGIVSDKMLPPMAKPASRDRTVKQQP YLRTAIAITGLGDIVFNKVIEKLEEVFLHFANNFPADSVSGYDPVLHKDTGFNVFHAH SQYFTKVSAYQDKSDNIGFHPLVDPDNVLASMVGDSFIHAINNKVQFLRREILPDGTA RYYSYNPASIRIGDIVEISVAFVAFPAQGNKYKFVVALRGILVLDQEAREKADILRMR SRYTPAKRQVAVLCRTKRQLYKGQIDIEDTQQRMARMRLNEDTVHNSNTMFQD JR316_0012834 MTCQSVVECILGYHSTGVMNFVTFEKVYSLYPNATFGHQVSLLQ PSADLKHAQKFLAKYHSRGLKFVLSIPSQTLKMDRHIQSRIQSIRDNVNVGYSSSCGH VLVVLDYDPYPELFSPGIRRVGDRHCWVYSLPLLPQANQTSFVEANLWALLLNEFDCL HFGVRCISGIALDFHYTAADVYQLHKRVKKAIKSWERGIRQKDDCVHATVLYLLSRKA DIFWLHCPQPQSLLWNGYL JR316_0012835 MASALSPRFQQVFPPPMDDVYMDQMDLKTLYRFSWTCKELNDRV SGYMRRAFCPKNLFAPIFKPNEHLLFRLLQFKTGLVISGSTVLHFTCFSGPTQSVA JR316_0012836 MPPNFNHPTAPRSLTISLDDTLKSPTPRPGHGYFTRPLQGNGSS VSVNEPLQHPPQTPHTPHTPIPGLRQLGQLLPRHTYFRARVTIHQISSVPFVSGEFGV RWKFKGVQTPTGNKSGLLDRVKARAEKRTVSDKGKAREDSGEISVDHTAQSLMSPLAA SSNGRSESRPPTVDRSGTGSSVSSHSSSTADTSQHLSVDWGTTASSSSTNASAITVSA SPTTTTSDLPLPSPSTLIPPTTATAARGMTPFLKLKDHSVVWSQTLDTILKLDVDRET TMIQPCPLKLVVMQRVIPDDPRGSPQNPRLGAVYLNLAQYVGQGVVERKYLLKESKTN ATLKITIEMTHVSGETNYTAPPLAKGEILNGIAGFLQSDIVKRRPRALDIYSPYPDED DLGIKSTATAMPRTSRTARKDTFPGTGGGRESDSEDEHDIDIGPETDIVVGAFDVQRL PFTHTTKTTEMLIDALFNPVKISDKREESPFTVYTPPSPSALQQRDSSRSRSRSRQRS EYGLGLSGVALDREHAVCFSGDDALGSHMHVPGHGPVRVPSTKRQGSISSSVYTTTTT ASSVCSSVSSRAATIDSASVKDSSGARSTLDASPGKQSVGGGSLARGTPTPTPEGRVV VGPVQEEQIGGVRAWWRKRTARPSTPTMKIKWFSGILHSLTGFPTIEHGLVKPRLKHL ESGLASDAITPLLAPNIPQLHTFLSITEAVLPGSRSSYPKFMFTDTHPTYADFYLFPI LADLRPLPEWISGVLEHEYGQRMNNWMDSMDGLDCVNRTKEGTLSAGVGIS JR316_0012837 MSEFQTASQAKQAQNTEGIPLTELSIFPATKAQIYESRKRTFTM WGRGVTLEEYLKRDARYGGHEVSADGRLVTWVLARRDDPKGIDFLCSCETYKREGFVV NANATTGNSVVAYSVAALFTSPRNRKKGYATHLMRMLHWILAPPDDLPQFPTEVWGNQ PDRGKWIDSEQIIKGHGGDAVFSTLYSDVGPEIYRGCGFLPGAEGWVVTDFLSSGWDV DSVGVFGVDDEDGWEWLDIKGVYDYWKVDADLMKREMEESNPTNAICTLLPDKGVAEF QIIRLSYFWNPLGITDWGLRRGKTFASWTIDVRPDSSYVMLITRLRAEVDEFENLVKM LVKFGRRHKISHFEVWGLKEEFREQFGFVKTWNKDIHLPSIKCYNSDDLKWLFNERFC YC JR316_0012838 MFRSLILLPALVASSYALVSAVDSSTLVPKATYVKALGEGFTKA IIRGYSEACGIGGEVDPNFVGSYNNARAAGYTDIDTYWFPCNGSGNNCKSYATQLSEI AATFKAHSMKIGTIWIDLEKDSAICNNWNYGTAGNQAQAKSLLAAMKATGFNFGIYST PGEWSTLFGSTSFVLDSSAPLWFATFNNVKTLTLGTHFGGQVQ JR316_0012839 MDSPRYTEVGCRIYKSRVKWKEERKPSVQDAESASKITDSTWCP WRCHEALQTPRWIRYHSDTAPLLPRGWVYQERFLSTRTVHFHANEMVWVCNVSQRCEC KSLDGNPVHGDGWSASKDQVVKLEGTSKRDIKALYGLWRTIVEDMTLLDLTYESDRLP ALAGLASRFAKYLPKNERYLAGLWEGDFVRDLLWESGGGTQTAGPTRKRNTTAPSWSW ASLTWGGDESACGMEWEYETKPKLAEWAGVTSYRQDPRTRIISVKVEVDGENKYGIVK GGCAVIEGALCAITLKDHPTAPRPPAYLRNILSVRYGVFNSLHLDYDTSTAASSSGGV VYCLFIGSFSERFGHDSEPHIKHRGLILEPVRKTDKFQRIGRWTQHIEDWVHNKEIWT KKAAVHRVALV JR316_0012840 MPRKGGVWEPPVYVGPPDEGPRAVRTDSKRPRNRAKCPTCFDLD LRYIPQPHKDPNFCSIGQAARQWISSTDLAKDSNCPSCRLLKSAFDTLVRDGELIDAP SDSEISYSIAILDRDDEKPSTNGPNKKTGTKESDGSKGLIVMMRCGAAIKGKEEDGFR EEFKVELYTPFEGRFCPFVSKLPRDAD JR316_0012841 MPKAPGDKRPPNAWILFLSAKRRELVIPSIPGESVHDKFTRTIR TISAIWKATPEIEKTTWRTAAKEAKHRHLTLYPNYKFKPYRKSHHKSLAHSAVASPQT ISSPSSLQTAQTNGESTPGWASSVLSGNDFVPVSTLVYENFDGLHRPLVKNGNFQQDL LVNSEQPGESFFEDWLQLDEKLDSSCGNHLSTDYRDHFYSDEDTVRPRDSAENAQSKE NTLTTVDVMRAKSIHPVPSTSRDAREMRGVFCAREIFRLVVSRDERGESENFIFELPR NSHVDMM JR316_0012842 MEFPQAIAVGYAAPQVKLGKTTLVGRDITELKLDFFGGIPFAEP PLGKLRLKPPVLKTKLDEKTFDASNFGKGCFQQSSSVTEFSEDCLTINVYRPSGLKSN AKLPILFWTYGGGFDAGEASIYNGSAIVAQSVARGTPLIYVNYNYRLGPLGFPQGQEA DDRGALNLALRDQLTALEWVQANIHFFGGDKSKVTVFGESAGAIMTAILFLNSPLDKL ARAAIFESGSAATPQEFNAERREIDWQNFVAGVPSCASLATSGNTFDCIQAANSTEIF SGVINGLTKANEAFGYDPTFDGPNGLFPDIASKFLSSGHFARLPFITGTNLDEGAVFT PTTIQSAADIEAFLVAGYSPLAVSPAAFESTVAKLLELYPDDPALGSPFNTGNQTFGL NSNFKRAAALFGDLAFQGQRRAWIQAASRVGVKTFGYLFTQPQPNSGPFGVFHGSEVP YVYGAPSDTSASSLRISSLMIDYWVSFATSLDPNDGRGIPRPHWAQYTPENQVIMQLN GDNTTLIPDNYRKEQIAFINSDPAVFHQRRSINKS JR316_0012843 MSSRETTRYTLVGTPFSTFTRTIALTLLYKSLDFTQIKVYPHSE EAKTAHPFGIIPTLIIEESKGVDDGKEGYEDNSVKLCETQAIIRYIDRIKPNPTLHYD EFSLGKGILEEKMWEYVSFVSSYGFPTIEHGLVKPRLKHLESGLASDAITPLLAHNIP QLHTFLSITETVLPGSRSSYPKFMFTDTHPTYADFYLFPILADLRPLPEWISGVLEHE YGQRMNDWMDSMDGLDCVNRTKEGTLSAGVGIS JR316_0012844 MFRSLLLLSGLIVSSYALVHAVDSASLVPVATYQKARAEGFTKA IIRGYQEACGIGGQVDPNFVPSYNNARSAGYTDIDTYWFPCNGSGNKCKSYATQLSEL AQTFKAHSMNIGTIWIDFEKDAAICNNWNYGTSGNQQQARSLLEAMKNSGFNFGIYSS PGEWGTLFGSTGFILDNTAPLWFATYNNVETLTLGTPFGGWNTAVGHQYTDVSASKLF DLSVFAH JR316_0012845 MATLDMFQHPSSATFAKTSSQRRATALPSEHHAFPDAPENGRFI GNFGDSAIKHHTEHLLGSESDLIHYPYWTNIPLWKEVTHKEFLNYQWQLSNSVQGEKK LREFLSVVLPPSIPPSRTALPHIIGINTPEAFIDSVSDAISRAPMAIRLTPHILSVID WTNPIDDPVRRQFIPMGNELIPDHHKLKLDSLNEEHDSPVKGLVHRYPTKALFLATSV CNVYCRFCTRSYAVGANTSTVTKNSLKPTKRRWDEVFNYIEKTPKLNDIVVSGGDSFY LSPEQLYDIGNRLLAIPHIRRFRYATKGLAVCPSRILDPHDPWSAALIEISNKGKKMG KAVAMHTHFNHPNEITWVTKLAAQKLFENGVTVRNQTVLLRGVNDSVDVMKKLICDLA DINILPYYVYQGDMVRGVEDLRTPLSTILELETQIRGSIGGFMMPQFIVDLPGGGGKR LASSYQKYDRTTGISQFVAPAVTGGLNKVFEYHDPVWSLPDSSE JR316_0012846 MPSNNSPGSPPPLKIAFTYDSRSEWLARGFSPEQCAEFDSDKTI EGIAISLRKRGRVQMVGGLKNLVTTLATSKPDWDIVFNICEGYGSPGREAQVPALLEA WDIPYTFSDSATLGLCLDKAKTKMVLDHYGVPTAPFACVPPRITWARESVSHKVVISK SPHATALQSFPLFVKPAGEGTGLGIAQANKVTDDEQLAKVVDDLTQRYPTQTILIERF LRGREFTVGIIGTGAEARAVGVREIVFLKGNPGHHINPNTVYTSTDPTLLEVDVYGYD LKRVSHPNPQYVELDLSGDPIAQRVAEVAVRAWICLGCRDGGRVDVRNDSESDDAIPN VIEVRNSRPGALKL JR316_0012847 MVDNERHIEPQKESEVKKPLIHPSMNSGYKPGSVLSYAHDWSPN GTGGSIAAEGRHFLDMYGRVCSLRGVNLSGTCKTPVDHDHENFPGDHKSVTFVGKPFP LEDAQEHLSRLRRWGLTFVRFLVTWEAVEHAGPGIYDTEYLTYVRALLSMFPKYGLSA FVSMHQDVWSRYSGGSGAPAWTLETVGFDLHAIEETGSAWLHGQRGGGHVEAERGLWP CGYHKLTASTMSTCFWAGDIFAPKLLVKDKHGQEVSIQFFLQTCFLDMWEMVVRAVGD LDGVIGFQMINEPHPGYVNVDLHAFNYNTDLHLSHIPSAFQSFQLGAGYPTLVPTYTR SFPMPTKLTSYTTLNTAKVKAWRPDGPTKGRCLWEFHDVWRWNEVTNKAVVLRENYFR KHPDTGAKINWYTDMYYPFANKWSERIRKASSPSKLVFLEPLPNEFCPKSWTKENQPA NMVFAPHWYDLNALFAKAFGDFTVNVQGLSRGMFPLKAFYWGHLGARENFSLQIRNIV ENGYNSLGETPVLIGECGIPMDMNKKEAFETDDFIWQTRMMDAMITALENSLVGFTLW NYNPDNDDERGDDWNGENFSWFSSKRALPKSVLYYEQDAPSLDNGGRILPAVVRPYPA KTAGIPLRFRYEMNTGTFVYEWMNPEAIVSGSDDNSSPKSGSPSVFDPPRTLRRPLIS RETEIFLPSMLAHSRRVIVEGIKDQADEYQYDEKRQTLFVVMSDTTPGVKHRIRVSFD PPPKPAFIVNDLWSDFGSHILSGLVVLLALTGYWLLSSI JR316_0012848 MPAMHTTVPTLALIPSLDGQKPNSRIPAEREINRSMLIQVAPDR EDLFKSTANIEEIRQSLKLRFGSSQTHWLHLTQSIQDVKVGEHISKRFSTFGGAMTSS SNSKNSEENATKRCTAKGVRRRVLSASALDVPQSSCSSLAAQMEATGIPNLSTLDKLI SPRQLGPTHILVVYASQPTKNTYSRAQKRDGLNTFRDTRCRTMVAPTLPEVLEVPIND LLFLVNVPNLIPNGSFGDLAILPPRLQNELPKVLLHVPHLETFPELMVYLHTKNQAAL FRSIITEWVRDLIHPLPQSPQAMNIMSDLDTTTSSCGLVSMETSKAKRIFGRLVPDLG RGHRPPKDACESARSFYTVAAEIAEAALQLDLGDREDPLLSTAAKLTALRDNLVVLGH YNKELWHELIESRNIVLQALSYRAKVGDLQKTNV JR316_0012849 MSFPASPTGDSPLGVPHDAIVAQKQGQAILIWTIGAFCIFGWDY IVCLPREYQLVWKRPKNLSTALYLTNRYFGIVQFSIVVSLISGAWSLSSCQRIFFFQP VAGLISTIISQLILGGRVYAIFSKSNTIGIPLLTILVVEAVICAVAISKIPPSAPVGL SGTPQPQCGVLIGPFGWLVTFWTMPLLFDTITFVLTAWRAYDMWKKELNIGTHGLFKI IWRDGLIYFFAIFSMNTINVIIFLTTAKPLRAVNLPATLILQVVLSCRLVLNLRESHT LSSTGSSRVKVSGSVKLRSVTSHGGISSGQKRIQMDEFNRTTSSKDVPDSGYTPDAKG GHLPETWSPV JR316_0012850 MPSMRLTILHLITFFSFFTSSFAQTAYANDFVDPDYILAKTYGN HTYLARTTAVSWAKTLAAKGPWSVINKAATPPSGDKHDFMSWAPYWWPDCSNAGNTTA LTPEQIWVTCTYVNKDGQFNPDVRLVNNVGDFQDFAEASFYNAIAWAMDVDDKATFEA NVVKFISAWFLDPATAMNPNLNFAQMQRGPTGQVGSRTGVLDLKAMAKVASAVLLLRK GKSTAWTADIDNQFIEWSRKYITWLENSDLAIKERESKNNHGSFYYNQLAAMKLIVND AAGAKAATDDYFKGIYLDQISATGEQPLEAARTRPYHYRAYNLAAMITNARLAKYADP SSTVWNTTTTAGATIKTALDFAMTLPASTSGETSYTEELYPDVAAVASVYGDPDGKYL AFLKKGDPKFIAQPYIVWNQPFAEQETGGLPPTNTGKGNSGPSTPKPKSGSNSSADAT SDAISHVSSSWIITFITCALALAVGISGAHAPEPIIHAEAFIMA JR316_0012851 MQLKHILLFLTPLVTVYAAEPTVLTAKRVYHTVIDQSPFLVERT STVVWTQSPSITEAQPTTPPTPTLN JR316_0012852 MLTSTSIHWHGFFQAGSSWADGPVGVTQCPITPNHSFLYQFSTA NQAGTFWYHSHYSTQYCDGLRGAMVVYDLRNEPHRSLYDFDDESTIITLADWYHTPAP IAGLVPTPDATLINGKGRYAGGPTVPLSVIRVLPGVRYRFRLVSLSCDPNYTFSIDGH SLTIIEVDSESVQPLVVDEIQIFAGQRYSFILRTNQPINNYWIRANPNIGTQGFAGGL NSAILRYWGAPNIDPTTTSSVSAPLVETNLHPYSNPAAPGVPTVGAADVNLNLNIVFD FASLKFQVNGAPFSEATVPVLLQILSGATTPGSLLPAGSVYELPPNKVIEISMPGGSI GSPHPIHLHGHNFAVVRSAGSSVYNYANPVRRDVVSLGSSTNDNVTIRFQTDNSGPWI MHCHIDWHLEIGLAVVFAEDIPGIAASSQPAAWDQLCPAFEANH JR316_0012853 MTVNSELHIQNLDPIRLPIEIVNTIVDATLLKADESSNSKLISS IALLSRECRRSANRLRFSSLNFDTESSSFVWETRRIRELSALVRLPPHNQETKYALSL DFMDYVSVPWGSLGENIREQLVDLLRHSFLYEIRIYNICDIPGDLFHGSNIKSLVFGN ILIDNPNQPYTAESTAPIQLRLLKFDAKTISYYELLQMIGITTLTPIIIGQAFSQLTS LSIQINPMLVSQPPVCIQELLAHTPKLEYLCIQTVPIMLEFIFKIFFNPCLHSYSRTL AF JR316_0012854 MANNAPVQAFKRPTAGAATGAAAPPKQFEDDARPYIIVDDIGKG SFATVYKGYHQDTRQTVAIKQVKRDNLTTKLFENLQSEIQILKSLSHRHITKLIDIVR AEKHIYLIMEYCAGGDLTNYIKKRGRVEGLEYVPAPGAALQYYPHPRTGGLDEVAVRC FLRQLGRALKFLRHRNLIHRDIKPQNLLLNPASPEELARGHPLGVPILKVADFGFARS LPEAMLAETLCGSPLYMAPEILRYEKYDARADLWSVGAVLYEMSVGRAPFRAQNHIEL LRKIESSKGVKFPDEDASDKDHKERGSKEREGGKDRGGSADLPVPEDIKALIRKLLQR NPVERASYEEFFGSRAMVRSKFPRPQQAVGVDASASLGASNGQSQHAWPSGRPPTPEH HRIIPPEVLDMNALIPPSQINFRQHRTIGAAGGVGALDVGVEVHPSNGARAGAGLVIR DASPLSGLTTGNGNGKKKAWAGTVLGPGAGAGARRLDMELESSYIPGESEEDGNLRRE YVLVGDKRAVEVFKTVDEISSAQPPPRRPLHDRRAQTFDKDYNAQNLAGPSSATPSPS NPSHFPQPSYATNAAASPLSPITNTTTVMPIPTTSTPTTHSPMTFPPPPAVLAGTPIS PIAPPLSSSPSRAATNALNRALSIASKKLFGHGHGHSASTGTSSPGAGPSGTSNGTAG PSGSGNGKHRASPSLDSGAPTPGGYFSNSAQSSPRRMNGGAVPLPGDDADAARGVGTG GGGVGGPGEVAVTERDPMEEELLASLEELAQKTDVLTRWADQMYEYVKAIPQKPLPDP SKFTQREGEPDKHARRRKHADMEAEFNAVTCVAVYMLLMSFSQKGIDKLRNFQEHMSM RFPEGDFVVSEGFGEALNWFREHFIKCNERAELVKTWLPVTYDGPKSYLDQLVYDRAL ILSRTAARKELLDQMTTPDECEKLYEESLWCLYALQDNLLQSGNPFMDEDRETIATWI KRTKLRLVRCRARMAMNHHDRVNDARADHNLADVMRIPAPWDAKPTPKGSPLHVNTTL S JR316_0012855 MEDDEETTKAIPPWVELEYAHMRMLAGPEGHVQFTSLSKSSCDF LSNAFSAASDPSLSKVSAHRDGIMRLMETQGIPLDKVCLLDPKAESELAPEDGDGRFE WFLFGVCPRDDPPRDRTSELRVRGFPTRHLGPVQMTTDTALGVTKLVVHDKIPLKEIP YIDHPTIRFNAKESVEMPFRYIADGDEPRLPPGMKQLLHEDLNKTFDF JR316_0012856 MPTPVLKIAFTYDSREEWLALGYSAEQCAEFDFDETIQRIAASL RKLGTVEMIGGLKALTKVLVKSKPDWDIVFNICEGFGGVGREAQVPALLEAWGIPFTF SDSATLGLCLDKAKTKMVLEHYGVPSAPYACVPPRNAWSKAAEMSVESVIQSSPHSQA LKTFPLFAKPSAEGSGVGIQQANKVTDYEQLAKVVEDLSLRYPTQTILIERFLSGREF TVGILGTGSNARAIGVREIVFLKDNPNCPIDPATIVDNQDPELLELEVYSNSVKRAGA GANPQHVNMDLSSNPVAQRAAEVAVKAWKVLGCRDGGRVDIRYDSKDANAVPNCIEVN PLAGLRPGYSDFPLLAESIGIDYDQLISTIVHSALERSRLTAN JR316_0012857 MFGSMLPRYGLRSIFTSSTRSFRAVEGEAIKPIHRATYLPDLAP GDYTVTNPHPSIFDLQQANNVSNSPSHITGVTGVNLDIPYWKALRPWKDVEEDKFLSY QWQVSNSVQGEKKLREFLADVLPNNIPSSRTRVGNPNVAPITTAYAFIESVSDAISKA PMAIRLTPHILSVIDWSDPVNDPIRRQFIPLGSELLLDHHRLKLDSLNEEHDSPVKGL VHRYPTKALFLVGANTDTVTKNSLKPTKRRWDEVFNYIENTPQLNDIVVSGGDSFYLS PEQLYDIGKRLLEIPHIRRFRFATKGLAVVPCRILDPQDTWSAALIAISDMGKKMGKA VAVHTHFNHPNEITWITRLAAQKLFENGVTVRNQTVLLRGVNDNVAVMKRLIQNLADI NILPYYVYQGDMVRGVEDLRTPLSTILDLEAQIRGSISGFMTPQFIVDLPGGGGKRLA SSYKDYNRITGVSTFVAPAVTGDPNTVFKYHDPVWSIPSH JR316_0012858 MSSVFFYEPFYDFDRLFDEAFARQGVPKNQVQQRNGAGDGAVRS FRPRMDLHEDSEKNLVTATFELPGLVKDNVNIDVHNNRLTVSAESKQDKEFQENGYAV RERQFGKFSRTLQLPAGVKSEEIKANMENGILTVTFPKSTPELAPKKITIA JR316_0012859 MRILAFNANNALNSDHIPISSSWRFPKYPTASPSAVEPTDNPKL HNPFLCNRIPASSPKSTFQPFQTVSLEFGSGNTLAKIPLAIHNGRSTSKNSGVQFVAA QKMDSLYRLQNMCPHTGSARMRVFRRLFPVVSHSSSRADCDGADGRGSHTIRRTLMAH LDRTPSRPSSSVRVFARRPQRHEQWSRWRNNAKQQRLSALVMSSLPRSASSTVFCTRP TNREARMVSGATMSKPTPHAMHTRTPHNTSLLMQQQRETMTRLHGNGVGVPGICPALS SPTWFCESKRA JR316_0012860 MSRRIESVSDAISKAPMAIRLTPHILSVIDWSDPVNDPIRRQFI PLGSELLLDHHGLKLDSLNEEHNSPVKGLVHRYPTKALFLVGANTDTVTKNSLKPTKR RWDEVFNYIENTPQLNDIVVSGGDSFYLSPEQLYDIGKRLLEIPHIRRFRFATKGLAV VPCRILDPQDTWSAALIAISDMGKKMGKAVAVHTHFNHPNEITWITRLAAQKLFENGV TVRNQTVLLRGVNDNVAVMKRLIQNLADINILPYYVYQGDMVRGVEDLRTPLSTILDL EAQIRGSISGFMTPQFIVDLPGGGGKRLASSYKDYNRITGVSTFVAPAVTGDPNTVFK YHDPVWSITSH JR316_0012861 MSSVFFYEPFYDFDRLFDEAFARQGVPKNQVQQRNGAGDGAVRS FRPRMDLHEDSEKNLVTATFELPGLVKDNVNIDVHNNRLTVSAESKQDKEFQENGYAV RERQFGKFSRTLQLPAGVKSEEIKANMENGILTVTFPKSTPELAPKKITIA JR316_0012862 MRENFRWSSISANLGEDIAAAAFEFIGTVFFLLFGLGGIQAAAA AESTIDNAPSTGMISHIMYIATCMGLSLLVSAWLFFRVTGGLFNPNVSLALFLIGVLG PVRFVLYCIAQLVGGIAAAAIVRSLTSAPLGVNTSLTPQINSAQGVFIEMFITAALVI AVLMLAAEKHEATPFAPVGIGLTLFACHLFAVYYTGASMNTARSFGPAVVSGFPVPNH WIYWLGPFLGSILGTGIYALLKHYHYWDLTPHQATGDFEKSPDDPVEGAKRALTGKEN RDDVQRDGASEGTSGFGNEERV JR316_0012863 MASKHWTELVDEKKKRQTASIPKEWILTNLPSKDTLNVMDFPEK SGLLDAKEVEITNSDVDALLEKLATGKWTAVEVTIAFSKRAIIAHQLVNCLTEIFIER AVARAAELDDHLKRTGRVVGPLHGLPISLKDQVNIKGLESTLGYVSWIGKFADRNAVL ADILEACGAVLYVKTNVPQTLMWIETFNHVFGRTLNPHNRSLTAGGSSGGEAALIGKS IRIPAAFNGLYGLSPSQRRVPYAGCVNTSEGQDSVLSVLGPLSNSLSGIKIFMEAVSS QMAWLKDPLVIRKPWNDEEYQLSDHGRGGRLCFAILWHDGVELPHPPIIRALETTKSV LIRAGHNVIDWKPLKHGEIYKTLVDIWAAGAYEDYHTSVLPSGEPLIQNMSTTAERAP FSGPAVRPFVKAESVTAYQLWQVQRRRTELRQEYLDHWNSTAAYTGTGRPVDVIISPC AAHVAPPHGMAKTPSYTMIWNALDYTSLAIPTGLSVDAALDVKKLPHTFFTEQDKANY EFYEVEKFRDAPISIQLIGRNMEEEAIISIGEIVETSLRHFT JR316_0012864 MASSPSHRSTQSSSNNLQPPGQGSADGIKQQRRMTSPVGSLALP EGARRTSPRPPSPLRQGFIMDTSTGIDPNGSDDGSDDDDDDDDPAKWHRSPSPASSVS HLAASFVQRMNHFVGGIGPKSPLPSDAELEAEAERERDRSRREAEAILTREAQQRKLV EERVLAMMETAKSLPPPPSRSQSTPNPPSPSNSQKESNWWTAAKNKLTPTKEPLTPAQ QVIQDAKAREKDNKKNLKGKEKEKEKEKDKEKEKDWPSNASRKFSDPAYSNLNIPVAP PQRKPVPSSPQSPTPSRPSLSNMPPNLTPSPMRSADSISASPSREAPPLYVQFNPQGA VDMPGTLLAIAKRFEKLEKWTVGHVRALEERMNDVEKWLVEKENQKEAPTGVSHELQE IREGMTELQGRVGELGREMAKLATAPSRLSSGPITQQASVSPPLPTTSSVIVEQQHTG SSLPTTPHHRRISASALESTSPPLASTKTPSGTRLPYPQGDYTSPPDAFSPPNSPPSS INAARRRSQGLAISGLPATSTSLYSAASTNISTTSFSSTSSYGRTMSPTPMSANPTSS AGAVSPSPSATTNSNAGSSGLPPPKASGKRQTSVSPTPRKRYTVALGGPIVAPPDDLS SNASEPQQQPRRVSTPKSALKSSTDDDDDDDEENEFGGETIGKSAAAKLASANANANA NATGGQGKGDYKSVTSSSPSPLSNRRLRAQSAYGFSSIPGASGTTPTAALAPPSVAPL RTRSKSTERLNTGAGTLAHGAGNGGGTGDGMVSGLGAGSGKFVDPLVLRKQSRDSLMK PIAMPKPVGKVPIGQLVAFFDQDKEKK JR316_0012865 MSSVFFYEPFYDFDRFFDEAFSSRQGNSRNQVQQRNSNGQSGIV RSFKPRMDLHEDSEKNLVTATFELPGLVKENVNIDVHDNRLTVAAESKQASEYQENGY AVRERQYGKFSRTLQLPKGIKSEEIKANMENGILTVTFPKSSPELAPKKITIA JR316_0012866 MRKDFQRSSLFENLGEDAEAAAFEFVGTVFFLLFGLGGIQAATA ESATDNTPSTGVNHVMYVATCMGLSLLVSAWLFFRVTGGLFNPNVSLALFLVGGLGPV RFVLYCIAQMVGGIAAAAIVRSLTAAPLGVNTFLAPGINRAQGVFIEMFITAALVIAV LMLAAEKHHATPFAPVGIGLTMFACHLFAVYYTGAAMNTARSFGPAVVSGFPVPNHWV YWLGPFLGSLLGASIYAILKHYRYWNLVPHQATRDFEKSPGDPVKEVKRVLTGKRKSE DIEQDVASKSTSGFGSEQRV JR316_0012867 MSSVFFYEPFYDFDRLFDEAFARQGVPKNQVQQRNGAGDGAVRS FRPRMDLHEDSEKNLVTATFELPGLVKDNVNIDVHNNRLTVSAESKQDKEFQENGYAV RERQFGKFSRTLQLPAGVKSEEIKANMENGILTVTFPKSAPELAPKKITIA JR316_0012868 MFPSKALWDVVSQPFKRSQNGLFHGKMKQYGNNVPFSKHKTRRS WLPNVQQKRIYSEILGENVRMKLTTRALKTIKTKGGLDKYLNTTPADTLGHMGMKLRL KVRAKRLEDKGRPEPRFELLTPAEEEARKPLVVRLPPKLNPALEKARMARELAGQSLG KDGPATARETIKYLIQVSEKRRAAMMAA JR316_0012869 MPAERRKKSTKQQETGIDQDATRLTTQQESRVELSHSPVSPVTE DSLSSPLSDSIAFKFEQDVSEPRRTFHGRKKPVDHIPRPPNAFILFRSMFIKSRHVST GVETNHSTLSKIIGLTWQNLPEEERQKWHIKAKHAQDEHKRQFPQYSFKPVHTKAKNG ERKKIREVGPKDQKRCQKIAELLVSGTKGEALEAAIHEFDKTHVPEIITRFDTPITAN SFSPTSVSPAERQPSPAVSLKRKVTPNILDRTKSSPPRPSITIPSSPKEEPHEASPAI PFYENSLFTNPQPSFDYDTFTFSTSHSTPTIYHGEAYTQDVRPADPSFDSPVTQDPSM LFPPAEYRGGEEWTRQSSPLSNATGSMPSTPSHLSIPFPEPYSTFTPEAASDYASIHT PFPDYSNYSYAAMSEPVYEIKDSRTSSSGFHAFSSEAVQQAPTMLRDDVDFSTLMHSL TSYSL JR316_0012870 MQDSLPTAEMKVEDGLHDEDGDESNKRLRELGYRVEFRREMSFF GVLGMSFCAIGILTGMSSAFQTGLFSGGPLGLFWGWNICSLFMLFIALSLAEICSAYP TMGGLYFWVCKMKPDAPALGFCTGWIYTIAMVLTGTSGNLSVALYIASLVEIGQQTTL KRVEIAAIAWGVNVASGVLNTVGTKAIGRMSSFNMWWTIGGTLVLVITLLVKAPDKNS GAFVFTDYENFTGWQSKGFVVLLGFLQAVYTLEGCETAAQVAEEARRAEFLAPVAVVG SIVGSWVVGLAYMIALLFSVQSIASVQSTSYAIPIAQLYFDAVGRRLTLMCLTVITLA QFMAAATAFTASSRLFYALARDEAFPMKRHFMSLNRFQAPYVGVWLSVLIGCLISCAY IGSIIAFNAILSSAAISVMLGYLQPIIIRVFWPSAMKERGPFHLGQWSWWINLASFAF IVFICILFILPTASPVTQFNMNYSVVSIGGIMLIVGFTWAFWGRKHFNGPVHTHPGFG TPSNVAVSDSKRV JR316_0012871 MAMSLDQVFSTSILNLLIPDTSLQFPPESSTDEWLANAQANAVE RQQAFFDEQLHSLLVLRIKNPPDEPPGDPSNPPEVILDLLAHTQVSLEASYISAIPTA TDTPRTSRLLGTPRTGAVGRPSGRLNPHPSILPPSTPNPMPSTADQDRKYSSSEGTIL VANIWGNSTNEETGESFALLWSDAEESWIAVYRMAITVSFLRLPFNNPLLCLTVSATL RERPIPTHSKHPLARFLASVQERHSGTTSPEEPATEEDDEKLLDGLEEVNLLEGLLAG PTFGKTGAPEINLPSVRLGNVSRQKLFSLAPVLAETPSQPSPSPMTAVRKAHPTLRKS YRKTLQTVSGFRVRMRTVFVPYVLLPETAGTIEDLDEEKKEQERREAGSEEKTVVLCV EIENSGDLGMNAGFRVEQVEVSIGGEGAKATLIGWGDSGFTPDAAKHTFPLRIGPLAQ YNLLYAVTFLRSPDEIDGFSFARSVSNNAISPELQRAVTITIFGKPYFPPSSSKVLIP DSNTLLYPTETFSSRWNCVLDLAAQQAQPTELHDPSDPLTNYPNILPEPPSPFPLYSM YSSNPNTGTPGGAGYSAGSTPQYSATAGSRRFTLVPGANFAGRTLKTLTPSKSLKPSE FNREPSPLNSGRFSAIVSAAQYIQSPTTYSAPPPPPMANTGSIPPPLQILPESDDGHG GTVGIPHGLDTPITPAYPAFPSKFALPPTPTSQGPIASSTHGNVGQSVEIRRERGLPA DFGAGAPPQTPLPFVSGAFGEQKMLAKLQGAGASGESIVVSVGLMPLAYDRGNGDAVK DLALGPGKIYPLDIFTLDIFVFNQSLWPRRFEVTCPERRRRRRGGAETGVYDGGSDAA RKMGYPGVLPLDSRIRIGPLRPSACQSVRMDFLAVSPGVHSIDTLTLTDIESGFSTNL RSVLDIVVHDPNEK JR316_0012872 MKAALFYGPGQVRVEHIPEPQPKEGQVKVKGIGGWGGGLAEYIA VDTRNLHILPDGISLEIGAMIEPLAVAWYAVKRSGFKAGQSALITGGGPIGLLVLKVL RSRDPSAVVILSEPASGRRNLALKHGATSAVDPTAKRSPSSDILHDTVAELTNGRGVD VAFDAAGIQASIDACLLNLRPRGTLVNVAIWENNPTININLITGREIVMTSISAYTGI HPELLEAVAAGEIKDLEDLITKKIHIDDVVEEGIKALLNEKDKQVKILVHP JR316_0012873 MPDTVERQEEPKIAFISGPIEPPAGYFEKHYIPKLNEAIAAGHS FVVGPAPGMDTESLRYLITAGVHPDKITVYLAHFEEKLLASKLQWFVDLGGDLYVEGV TTEARDAAMTRDSDYDILRYMSIEEQKNLYGRLYYPRISQTEKNEIRRRQTLLKKAAP DSA JR316_0012874 MNPVSADETDNERDIPAHPTSIKSEPLDTQIVPNAVSTSSVDSN HASASDSMGDCSNFNKSDVTKRDSAKIEPFGPAVSDETERSSKYAESETTGLYRCSTT GSNCTAFELNGALATINYSSTRALFLQGNTGTVIGGILLIGVVMLLICRIRRHQRRAP STDGKLVDPFVPSASSSGVVAQDSSVPLSMLRKPETISPISRYGSSKAHPPSTPSTTN YSARYNENLISATSTAPSSKLAAMVGVGNSVSRDEDSRRHRIPMNQKPLRDGLPNTLL RDTKGSCGKEAHGDITFVCGSGGDGSEEGGGEAGAVSAFLSRRL JR316_0012875 MPGTNAVYSVWNIACGFAKSKNQLLVFRFLAGLGGSAPLAIGGG VIGDVWRAEERGQAIAIYSLAPLLGPVIGPMCGAWIAERSTYKWVLWSTSIVDVLVQL SGLFFLRETFAPVLLERKAKQIRARLDLENGSKREVRTVFDSTDRTWKTIFSKALTRP FQLFIHETIVQILGIYMAFVYGLFYLFLTTIPAIFSGIYHEGSGIGGLNYLALGLGLV VTSQVNGRYMDTIYRYLKSKNGNVGEPEFRLPTLAPGSLLLPLGLLLSGWSAQNRLHW ILVDIGLACVGSGLILVYQGIQTYVVDTFTLHAASALAAVSTLRALAGFGFPLFAPSM YASLGYGKGNTILACCAIGLGCPAPVLLWKYGKMIRMGSRYANKQVQINLSPSTASTT PASGSTLEVDTKQNTN JR316_0012877 MVISRRGSGSLTLASGQTLTIHTRTQDEEDLPHATKKTMADHLR KYEMLFTLTPQRMRMIVEAFKETLELGLEKPEQIVPMIPTFVFGWPTGEEQGDYLAVD LGGTNLRVCLVTVQGDGKFEITQSKYRLTEEQKHGSGQELFDFCAECLKTFVDTSLAD SGGAATLKDGRKLPLGFTFSYPCTQDRIDQGILIRWTKGFGATNTEGQDVAAMFRSSL EKYQLPVELTALINDTTGTLIASNYVNSQTRIACIFGTGCNAAYMEHVKDIPKIANLG IDESADMAINCEWGAFDSFEHEHLPRTKYDIIVDETSNKPGEQAFEKLISGRYLGEIL RLVICELIDEGVLFLGQNTYKLEKPYVFDTAFLSLMESDPTDELLMIIGIFTHFFAVE TTLAERQFFRALAKLIGRRAARLSACGIAAIVSKMGYLDEGCSVGADGSLYNKYPGFA DRIHEGLVDIFGERGRKIITHHAEDGSGVGSAIIAAMTKKRKEAGLYVNL JR316_0012878 MIGLKSTLNCIDNSGALVVECVNILKNKVNNGWGSVGDEIVVVV QRARPISAAAQASVNAVKVRRGDVRRAVIVRTRKPVRRPDGSYIRFDDNAAVLLNNKK EMLGTRIGGIVSADLRLKGWGKIVSLAPRVV JR316_0012879 MKAGKSSGTGNPRGRGTYNPACDVCARKKTKCDGIKPVCQPCRQ QGRQQECAWTKNPVRKPRTEQHFEALHKRAENLAVRNNEYRKYADYLESLLDECFQDY HSHRTIDFRASRPQETDLPSSSSTNLDHEFDVTVVGDEDNEHDSENGDPAKEICIPPQ SLQIEEGRLIHHGRTSPFIFSSVDVPPQPSRFPALASNPDATYVLAVDGVNDEYCNPD FDWSRHLPTAVPLDRRSHDKALDLLFKFFTSWCFRIVPALFLRDMYRALSVPSSQPPP KTPHYSPMLHNALVALALAFLDDHRFRDLKARQYFANTAKSFIEAECQKPNLCVVHAL SILASYHSSQGEQTLGYMYFGMSARTAQAQWVKLGLIDEADRLDRLWANWTTFSQDVC WSLYVGRDFCVTPPSEAEPGKDIALPFVDAEYDQMPWVHPPSGVDPQPNYLTKTFEAT CELLLISRRIMDVINGLNRARSRPFAVDELISDIDLKLNTWRGSLAPELEITVKSRPT ATPHKLMLHLAYWWLFILLHRPFFHRKSRPIYSTDREIDHVKLCRRAAENIMELLATW RSLYGLRYCPITLIQTVFSAGTVYLLTAIQAGSGVRVAQKELRHSLDQQKLVMQYLQE IGRSWQCATNIAGILNTLMHDQLKPLLERKTIAITSSAVGSLTVPEYNGDDDDDDPPS TLSRSSSNGHIRRHSSISKSRPRRLNHGRNHSASQAPHSDSTPPPSASPTITISPVHQ SNSSATVSSPIAIQTPPKSSASPFSSSPSSIPVPDPWGLRPSSVSNGSPSPGSSPVFS NYSPSTFVHRAPPVHSFSQQQSTLSSQPNTEASLFYDNQTTNPSNHLFHGQGSVFAHT QDQSVTGGQSSSRSHQYPGKELAGFLGMLGGQTLPQAPFVGPFSLGDVTDPFGSFSSS SDSTAFSPDPASLTSFGMEFLTQMNASSSLNGQSTLDNDASMDDSSSWDFWAQTFES JR316_0012880 MSSLFASIGKALKAGTLRERSSDKDTIVQLFEDANKKLEKYIEK YRVSLNKWWTNKVEGISSFPVATEMDQRAIDYMKKYREVLEEQISKLKNEPTVTLDIF IWGETPFSFDNTTKKFNDQRTQIEPGKIIEYTVLHRRSRTKDRVLSSFSLELYPQFYT KVPVNAEGFGNGTRTIPSIIPWEPVSICKNKPLNIIVNRSRTAELKEFYIVTPEGQQV SVPKLYSNAWVLQGPMESPRSFAVRRRTVSNSLAMWKGISFPIAEPYDPSSDHDSIMS DSTQTGSEYLASIRYQENLAESNLTLVGPTNRVPSNTAPDANPPLPSEPRPNATKQSI SPVVEPNNPQSLVKSTETADNNLGSSGNADIQEPIEDGQEEGTSQVELLPGWKSTKLR RPVDDATTRVGVATPEKAKSKKWYQRLFGR JR316_0012881 MKRALQSLAALLSVAGSALANSSPLINNPIYIITNAETPSLFRP GLSPIGFQRATQCLPQLFQTLDIGKIIMCPRNDDSAVCFETLATTQPTADALGLPIDT SCGADENTDDNCVTNLAKKFAKTSTQAILIVWASHIPHPPLRFVIINCPNIQDFDEIG ALLESALDLDTDPADAIDGIHFDIFTVVIKGKIKQVTSQNCTDIDGVAPGTATRRAIA STEELWTEEEVERENVSRRRTAKSKRSFW JR316_0012882 MASEEPLFDPSLKKRKKKAVVFIEDPLGADADPTKPAPETIDNT TISGEPVDLGPTTAHELMAEAKAVKKDKEEEDFKAMFGDMKKKKKKKEIPMDFGDDSG TSTPAQVAGDAAPAESATITADDLDFSDMKKKKKSSKKKANFDLDAFEKEINDSKPAK ASKSEGAEDDDDDGPEPDGSHLDNIDEEELGDDPFARPGDAPVGLDAGNEPWLKSDRD YTYQELLTRFYASLHAANPSLLSSSSKKRYTIAPPQLFREGNKKSIFANVTDICKKMH RQPEHVIQFLFAEMGTTGSVDGAGRLVIKGRFQQKQVENVLRRYMVEYVTCKTCKSPD TLLTKENRIFFMACESCGSRRSVNAIKSGFQAQVGKRSKNKTG JR316_0012883 MLARRSLARAAVVAQPAALGAARNMATLREIELRLKSVRNIEKI TKSMKMIASTKLAKAQRAMEAGKKYGEANKEVFAHVPSDKPTPNKLFVVISSDKGLCG GIHSSVSKATRKAFAGAEDSPFVGVSPNDAAIDATSPVVIIGDKSKGQLQRTLGANYQ ITFNQIGRDIPTFADAAAVADLIVQSGIKYDAVVLVYNKFISQLSYEPAIMQVKGEAA LNESDAFKVYENEDDATKDLAEFSLANAIYAALVEGHACEQSARRTAMENASKNAGEM IGTLQMQYNRGRQAAITNELVDIITGASAL JR316_0012884 MTDSPPDYIQSLTIPIYAPANEPLNPKTLTRMQMQGSHNAHKYM RGYQIPPLERTTVRSSTRIAATTYRWEGEEENSAHSATHLPLPMDPIIERVGFWLFFL FTVWLFGFSMCVLILTTAELALIPILFLQFTQMILTIDLPLASLPLPMSILSALFFCS FLLTQLHECLPSILASELNARYSIWHHTRRDMPLALTFVGLISMYAVFSHGLALLIVD SDKTFWGARDVEGGGVLGVMLQGARGTVGCCVLVGMALVLWHWRCVKIQAERARGCSE ARLEQYSY JR316_0012885 MKGTLQTLTALLFLARAALSSPPNLNNPIYIISNAEVPSLSRGG LSPVGQRRANNCLPKLFQNLDIGKIIMCPKNKGSDVCFETLPTTQPTADALGLPIDTS CGADEKTSDNCVQNLVKNFAKTSTQAILIVWDQGEVGTLLEDKLDLNTGPADAIDGVH FDVFTVVHKGVISQVTSQNCTGIDGIAAGTDANSPSAAALAKSKSKSKKKEKRALHRR W JR316_0012886 MIVVTPDIESDAASTHTLVTPPKYQETPHHLPRIIYSSLLISTL AFVFAIVNYVTYYHYYDVPAGIVAPILAYVSTVPHHCAVILLNWLERHQSESIFPFAP ASLRGILYSLVPISLWAASTTVSAINLHRDSASWSSCTYKPIQNANGTYSVFVDCDNI ITYHPTIAHDYWCSFTSTLTSALELLLALIITSICFMHYRRRQKAVLPNNEPSSSPSS TPSESKVVSA JR316_0012887 MIIVAPDIEATDAASTHTLVTQEYQKVPRHLPILLYSSFLVSAL ALAFTIANLLSYTYDYLPNIIVPVVLGFVCILPHHGAVVLLQWLEKHESESIFPFAPT SPRAIIYSFVSLILWATSMVMCAINLHRNMQPIPNCHLDSLSSNKCITYYYAPTVTEN WSAVASTLASSLETILTSAITLSCFLHRRRECNRPSQPENLPAPSTSPPVVKMLPGSG JR316_0012888 MIVTASIPAADSSDAESVRTLVPVQRVPPWVPTLTICSHITSTV ALAYAIQDSVNLSYQYLPNAFVPTVLAYTLSLPFHIAILLLKWLERHKTESIMSFTPA TPRYIAYSVLLVAFWVASVAVCSINLHTASKPNWFFCSDDNPACIQLKMTIDNPPVKA YATPLASELASSVTLVLSIAITTRLFMYHRQLLKKSRSAAGHDGSMALEPKGYT JR316_0012889 MSNVFFYEPYYDFDRLFEEAFARHAHGGPRHNQLQRRNAAGDGA VRSLKPRMDLHEDAEKNLVTATFEFPGVSKENVSIDVHNNRLSVSAELKQASEFEEHG YAVRERQYGKFSRTLQLPAGVKDSEIKANMENGVLTITFPKTVAVDQVGPKKITIS JR316_0012890 MSIFGNFGNTNTNTSGNVFGAQNTNQPAAGSSLFGSTNSAANPT SSPFGATNTNTASPAAGNIFGAFGTPASNTTNQPASGNSLFGNNTTNTNTGSSLFGNA ANNTNANATGNAGTTTGSSIFGGGGTTGTSNLFGNNTTTANPLFGGATNTATNTGTGT AGTTGGSIFGGGNTTNTGGGLFGSTTNNTTNTGGGLFGSTANNTQQQQTANTGTGLFG GATNSQPQTGNTAGTGLFGNTQNTGSSIFGAKPATGLFGSTTTTPTTNTNTGSIFGGG LFGGQQQQQQNQQQQPQQQPQQSSLFGSSTLFGGNKSTLGTSALGAPAQQNVFGTSTL TTPSNMQDAQNQFSQLAQKIERIINAWNQESKDCEFQHNFYNLVDPKQVNLYGRPANA TNDAMWEKAVRENPDPSCLVPVIAIGFDDLRVRVDAQTAQSANHLQNLKDLQTRLAAL RTQHAVSNASRLLRAASTQTQVIQRLMRFIQHLHLLIPTIRSSALRPEEEALRGKLEE LEEEMRRGRVKGRLNELWALIGSVSASIERSSASGTLPTDNWAVVDEDGLAQIAQILT EQQAGLQHLTKILQKSQRDLNVIMGNSSKNTAESTNDETGAGLGESIWGSTSMLRASA LR JR316_0012891 MRFRTLEIRWHDSKPISTCDFQPLPFKKARPAAGQDKNYASQSY RLATGGEDNHVRIWMVHPHIRPPTLLADADPTTANASRPPRVEYLATLSRHSAAVNVV RFSPNGELVASAGDDGMIIIWAPSASPQAATYGSDLSAEDLQYEKEYWKPRTTFRCTT MQVYDLAWSPTGEYIIAGSTDNTARVFAAADGKCVYEIAEHLHYVQGVAWDPLNEYIA TQSSDRSMHIYNITTKPGGAFEVHAVGKNTRMPHRHSHSHSRTPSAHGGRPRMFRRAS TASDLESSSAIALDNNDDAQSITSGHGREGGGAPLTPAMSVTSTPAFMFPPPPIEGSS RRSSFSSNAPGSPSTFSNNNNNSRYGRSPSPMPPLPAIRNLPSSSNAPTAALWASVKL YGDESYTNFFRRLTFSPDGGLLLTPAGQFEDPSVTPASVATKAGEDTTPTRGRKGHPS EAGASTQLGSSSSVYIYSRANFARPPIAQLPGHKKASVAVRFSPILYDLRSGVSLPGT SSSTAEENDKKAEGKGKDNLPVGVLEKGVDGVMDVDVLGPLKPSENPPMPTPSTSALM TPQRTPAPGNIVTPTPRPAISTSGINIVASSPALSPMDLRPPTPAESKPSTPAPSQTN NNNNANSGGGTVMQTGSVFALPYRMLFAVVTMDTVAIYDTQQASPLCLLTKLHYDEFT DMTWSPDGQCLILSSRDGYCTLIIFDDILPAYHTQQHTLQLQSIAHHHSVPISYGPNN HPPSVSASSAQVTPAATPSSASIGLPGPSQTSNPKKRSDPPLTPAASVDGSEAYFSTA QTSSSEQKLQQPKAGSSTGEAPASAVDAGKSQEPPKKKRRVALTRVGDLDS JR316_0012892 MLLQEDTTQSSSPRPSSPAMSSKFQRKAKFRRASLSQSQSDTEF IPGISKSPSSVSAGGKEAAGSPQATQAPVAENGAATDPIESNRADFGDKDVSPVEKPI SKPKEVETSVSENAMDEDQESDSKASGSKSPSFSSEMVANALVPSPDPPHSTVLPEES SKQEDAGGHIQESPEAHGSPPPPDISPGADRMRPFSPEELSQAKLLVLDLLGWGVEPE FLVTSGVSAEALYRIFTDLNLRLPHNLEVSEDVKAVAYSWGPVPGVDHDSDMDSDIGA TQSPPFAQSQAVE JR316_0012893 MDHSRRHDERVAAKFNLLYRPPLSVRPDLIGLITCSLRNEVLTL NESRRAMTSQDKELESSQEKTSTVVDHTSESTEYTRVANDETTLPEGGLRAWSVVAGV FLSQFCSFGYTNAYGVYNDFYVRRYLVETSTSSQISWIGSVQLWLCLSVGLFTGRAFD AGYFYHLMIGGSILFVFSLFMVSITQPEHYYQLFLSQGIGLGLAIGILYVPGLGILSH YFKRRRALAIGIATCGSAVGGALHPIMLNKWFQGSLGFHSGVKASAGLNAGLLIISLL LMKPKYPPNRKKANSTFRSMRLFLRDPPYVIMIFGTVITLSGLYYPIFFVQLNAIKNG ISPNLAFYTIAILNAASVMGRISTNALVYRLGAFNVVVFCIFIASVLVFCTLAIKTAA GTITFAILYGFFSGAYVSLLAPMIGTTADNDSEIGARLGICFTFTGFGGLVGTPIAGA LLSTSFIWWRPTIYSGVAVLSGSICFFTSRWLLARRRHTQWI JR316_0012894 MLCEYSKASLHFCQECNNLLYPKADPQRRIMVYGCRICQYDDMV ENKCVYRNDLLTVTKEQVGVTTDLGADATLAHSNIPCPKCGNDDAVFYQDQSKRKETR MILFFYIGVHDYNAVVITVYFVNICYPSKFQRHFPVRHCEI JR316_0012895 MKFSFAIALFASAIATVAASPYPPPPPRDVVAETNAYRFARGLP PRSPMRRATPVQAARRSKPSGVSGSCNTGSVQCCQSTQKADKSLVGLLGALIGGVVPL GTTIGHNCSPLSVGGIGGNSCSQQPVCCDSNHFNGLINIGCTPKLVVGVIKMVKVYKP GKVAIVLQGRHAGKKVVVIKQVDEGSKDRNYPHAIVAGIERYPRKVTRRMGQKKLAHR SKVKPFIKVINYSHLFPTRYALELEGLKGSVTVDTFKEPTQREDAKKNVKKLLEDRYT SGKNKWFFQPLRF JR316_0012896 MSTETPMSELKIQTVQPEPTPSPSTTQMAVSNLNTATTLAKPSH NRRRFQYLVYGLAKLIMDMLAGLGIALVYGIPAVIIICLGIVVFVGLPFAFGAAYGAV AMLIGNGILRAAHLAHYTNNASAAAIGATGAVIAALALGLLLQFFQKPNEERPTPWYV TMCTTVVVHTLSGAIGTSILQRHHVDLGGIDVLHATRAGALGGAILGPGSILLVPVIL AGLGIILSPLWLAMTMGLRWEFHRFPACKGASEKLPSSMSNERLIIDGPSRTDDAGGS YKHIRTDRRLVSGISREYESLINGPCSGLGECPATMNNMMNQSFRANQLTTMKGITPK NRQLGEYR JR316_0012897 MTEHFSYVQLAALVLAGIFLKRLLRKKSEPLPPGPKKLPLIGNL LDMPSSQEWFTFAEWAKKWGDIVSVSVLGQQIIIVNTIDQAMQMLDKKSSIYSDRPII QMGGELVGWRNTLALLPYGDRFRNYRKLFHQAIGTHSAMSRFYVVEELETQLFLKRIL SNPDDLAAHVRKTAGAIILRISHGYEVKEKEDPFVTLADSATEQFSLSTAPGVFLVNL VPALRHIPQWFPGGGFKKIAAQWAQTLVDMAEGPHQFVKKQMEVGKAEDSFTLRLLES PDYTPAQEHDIKWSAASLYSGGADTTVSAIYAIFLAAVLNPGAVRKAQEELDRVTGNN RLPTFADRVDLPYTNAFALEVLRWHSVTPTGKKIAKLGFVIVLGLIAYTGVPHRVSED NVHNNYFIPKGALVITNIWY JR316_0012898 MTQGQTKALAETTTQAQSATHYSISFIPTEILHEIVSLVENISG GRKSLANLCLASRQLRAIAQPLLLSRVNIGTSGVLRVKMLGQLKDLASGVCSSSLYAR TLTIDYRTSFPDARNKSLYPNKTEFLNYLPAALESFKNIEKVVWYVGKNGPGWLHQAI GDGMRTWKLRELSVEPQSDTEIFHPINSGSFIHILRRLLLSAKHLVYVQVHGFNPSYL LSYFPNSIEHLGCSNLDCLIPQETMLLPGRLKNLRSLYIRTDRPHWSNYRDDDCVWDA FRKTRCNATHIQTNTHINDGFISYIESIRNVQQIILELNTPWNPVQASVSDTPLRVIS SLSTHHADSLLVLDDIKAKLLLLSKLENLELSVGGSSVQDVHSLLSFCDTGFLSLQSL TLHFPSLEHINKKMVDKNDNTVNILLETKLGNRTV JR316_0012899 MSKDQRPITFFDISIGDKPAGRIAFSLYKDLVPKTAENFRALCT GEEGIGRAGKALWYKGSGFHRVIKGFMCQGGDFTAGNGTGGESIYGEKFEDEAFPVKH TKPFLLSMANAGPNTNGSQFFITVAPTPHLDGKHVVFGEVIKGKSIVRQIENHPTSSG DVPTEPIVITNSGELSPDDPSLQDDPMAQTGDPYEDYPEDEDKDTEDPKVALEIAGVV REVGNKLFKEGKTEEALQKYQKSLRYLDLHPVLPEGSPPELKDSFDALLAPLLLNSAL AAIRTKPQSSANAVIAISSATRALNTLTLNNADKAKALYRRALAHIYMKDDDKAEQDL VEASQLVPEDAAIAGELAKIKQQRKEKREKEKKQFKKLFG JR316_0012900 MSSSADDLCPGLSSNPDISGPGVRIALYLQSLLSVLLVRYSPKD APGAYWSMTSTAFSLIISSIVTAAAKEISLLDAIVVVYVLLLPILASAFGLTEIMSPQ SSKKSTRSVHSPLLTVANWMRSAFTYSFALYVWISAPEFGQGPRACNEATFFIFFGAS LPALRSGRWLNIAVWSLMTTRFVWRSGKSAKTLFVSLNALFSKTATQRLLKPRYPPKN EIHEQTVWTKDYGTGQETLRVRRWRPRQIFHELIQSLTSQILNWAPFGTGALYKRYGQ NIIISMLAVWAIVMTELQLSLNNQGEINNEWGFGQILPLVLTISPLFSLWESFISRQS SGPASKSRKIRFSIRAAQNLTRPMCELDFWPPTTVDEMTEGQVAKARAPSAFAVITID ERDVYTTFEAPDNNCPVWNESFDIEVTDLSTIVVRVFDRKCIDMGWPSFIGYTTIHPF TVFPHASHSSAADVSNENENETIMSSLPSGKVDVDSIPLVLDDYTMHNMTISISISPS TEEPVNLPGPTSSSRLTTGPRKTKTERRAPKPIELLFRSR JR316_0012901 MSQKGLREIYDLYRRILHKNADMFQVATHPTSFAMHGHGHHQVM HSMSPIPGIQLPRTLARPPFAEVSRDAIMAVAPELANVPPEYIRRGLRPKAFQMLAGI SALPPSHLPNTMQKSQVPQVISIPIRSSSSTYPTHVLAVSSSKSSPNEHVFMFPVHNV IIAANCSTLPRLPVSSSTPTGMLQLPVLPLVLPSPAAFKVLHTYLYTHSLDAVLKSLF PLPSGFVQGLSHHTVKSTLASANTLHQLSNYLCSSAGGSLQVLTTHAAHVKELWQDMV ALGLHDPELWDTIDLAWELILGALNIAASSH JR316_0012902 MTIIQQLQILLRSTDEVKAILDVSLITDSESAQDAQSKRVLAVI SHKDDWNLSEEGWYVADTFFSSVVTEVSSLFICKYRANTINPEELEIQRIFPIYGEFS IVISQMRRGANDNVSQPFTTAWTKSVLEQPRAVITLSITPAEGLPSDAHPATFSTHDV QGLKNLVGVCKKLKEVSDVDPDAIINIASSTTHFSWLRPYFTKRTTITSLATTPQDLR QSIRPLIDRLSPACAGLMGDDHSDAQAIRDEWILAKTRSDARRQHGGRELFIRIGTFN VNGKFPSQDLSAWIQGDRISTVSLPPLKAVSPFSLGGDSFDWTTGSVQPSEESIPASL PVDPSDPDPDMFVLGFQELDLSTEALIYSTGTVREDAWCNAVFAALGEKGQKYEKLVS KQLVGMLIVVIVKKVLVPCFGDVKTCASGAGILGIMGNKGGTAVRLTFTPPMSVASKE TESIASERTVSVTLGSAHSVPSPGPTTFTFVNAHLAAFDEMVDKRNLDFMDLSRKLSF EADAATIEDGGNNADDPLYSGIDPNSGEGGTGKATFNLNYRVDLPDTQMRNVLKDEEW NGKEKFEALLRFDQLKKSMQDKKAFEGFIEGTIEHMPTYRFSPGLMMDKLGYDLKRKP AWTDRILYMNSSTSRVRQLSYRAHPEIVMSDHRPVSANFVVDMDYYDKNELRSSASDL FDQVHDLDGESSLHKGGLRVDNMYIDFEKIGYDTKVQRMVTVRNTSKYACAFRFVPIQ LDAPIHPEWLHIHPMLGVLLPNEATEITLTAHVDNGTAAKLNQKASDLSSTLILHTVL GKDHFISISAEYQYTCFANSLSRLTRLKAPIRSMNSPHDLLPEKNSINAPREVMRLVN WMMTSTPSSDMFLQPGDPILVKNIRECLDTGDEFPYAPDTSDTKVSMAFATTLLLLLD SLSESVVPASLHQRCLEMNDRDEAFELLDALPPASVNVWISVTAFLHFICQILSKNTN YTEDLATIFAPVLLRDDPTSTALSISPNGKRRFLLYFIS JR316_0012903 MAETTPEITSRRKLSGYELYRDVLGSPKYVVAPMVEQSELAWRK LSRRYGAQLIYSPMINAKMFVDSTNKTYRRANFDLISGEEGDPTIDRPLIVQFCANDP EQLLASAKVVEDHCDAVDLNLGCPQDIAKRGRYGSFLQDDWDLIYKLINILHTNLSIP VTAKFRVFPTVEKTVEYAKMLEQAGAQILTCHGRLREQRGQLTGLADWDKIRAVKQAV SVPVFANGNILYQSDIDACLKATGCDGVMSAEGQLYNPALFAGIEHPLDSPDYDSDEQ ILIRHPRHADLALEYLDVVMSLKTTTSVGGIKGHLFKIMRPGLLRELDLRDKLGKVKI NPKKVKECLGAYRTVCEEMKARMERDEQAVKGTPLKDLITVEPITGIKVMPHWLAQPY FRPSPEQKEAYALANGLEEATEDSVATSPSKSKRPLEEPTEVGDDTKRARLVLEETNG ARITESLQGNVIPA JR316_0012904 MTRTARAAYPRAVIKDRSESRSGLDTSLRKSGAGHHNWGALADE RTLETAALEDDQLEEEEVGETANPIEDTNSSRSMSPPRKPEMARSTSGMTEEELQKAR QFRKNAFKNPGDIDLSAIARTSAAVGNSPGQADLNRKSPVSDNINPLF JR316_0012905 MQSTGDEQLSAQTTTSESDTERVKNEVPGSHKLNYVLPSTVASV RDGWQWTCQSGAVVSGLLAAIAAQLLTLFSTPPPNQASPTPQAVQDFLLITCYIAIFF NINATICSFTLIDKLGEIGFKTSANIPSCDPEKQGGQITCTQEGLLQKYNAGRTWRPM LWFWLFNFFVGVLSLIVSIMTFVCIQGSIAMKTTLGLSVFIDTLVLFYFIVSNGFAD JR316_0012906 MGWKWLGKVSYILAAFNKLLTVDYLKSSSVDPGHHVTGPFLPQT PLGTSNRTANSNLSPKLNSFQIQRESWSIFVRWAIDPYLSFKLLLIPIVLFLNWELLS YCRISVGIYNPFQGIFLLSGYIPTSSPDDPRYRKSWWDIAFVAYYIVFFSFVREYLSL KVGRPLANYFRLRRESKVDRFAEQTYAFLYFMVFGAWGYRVMTQLPTYWYRTDEFWKG YPEWALKPELKRYYLMQFAYWWQQLLVLVLGLEKPRKDYWELVAHHLVTIWLVGWSYI VNLTFIGNAVFMSMDIPDSFFAFSKLLNYIQWNTAKVYSFGIFYVIWSYFRHYLNLRI LWSVWFEIPTLVPSWSQNWNPSEGIYMPRWMHFQVFLPLLILQFLNLFWYYLINKVLI RALSSSDVDDSRSDHGEDTEDEGSNDKKTD JR316_0012907 MPFVDLPLEILPEILAFVVKPQHLWSACLVNSNFKAFATLRLYE KISIYSWHKEGKVKVIHLFDTLARSEYLASLLQRLELRDFPKSTSILDDEVLRHVLQA LSNCKNLKSCTWTRDGSLNSEILSALSNCESLQEFEFNGHSDGHYDPRLLLGFAKLTK ISMIMPSASVIAQLPSWTNITGNSLRNLTLICKSSLIITDRLLETLAPSLVNLEHLHL TGCPKVTEQGLWALVSSNIHGLLGLGIEGVSPKFNMFNFANKCVSHGSLNHLRSVTLT VHHQLPMKEWISAAVHLVSASPLELFQIYSTGAFFESPMTDDLWNQLISTHGRRLIRF SVHRMLISLEAIRSICRFCVNLEQLFIVVEPKLLDRLSECLSHAKKLQTIHINYPMEA SPDAHPVLLPEHALSIVNSCSSTITQFGCNAKVWQVGRRIITDDAGDLQALRTLVPYG NPGIPEQFLVVRT JR316_0012908 MPSALILIANGTEEMEFTITYDTLVRAGVKTVSAYVAEYPSTDV GGDRSPVVAKCSRGMKILPDMLFDLSSCGPDVYDLIVIPGGAQGAETMSKHPSVQEVI KRYIERNKLVAMICAGSLAAKTAGLARQPLTSHPSVKAQLDHDFDYLEDPVVVSDNLI TSRGPGTAFPFALTLVELLIGSEKRAEIRAPMVFPSNTPF JR316_0012909 MAAPTTRKLPKDFLWGFATASFQIEGSADVDGRGKSIWDDFAKT PGKILDGRDGDVATDSYKRWREDVALLAEYGVKAYRFSISWSRIIPLGGRNDPVNPKG IEFYSKFIDLLLENGITPFVTLYHWDLPQALHDRYLGWLNKDEIVKDYTNYARVCFEA FGDRVKHWLTINEPWCVAILGYGRGVFAPGRSSDRARSAEGDSSTEPWIVGHSIILAH AHAVQLYRSQFKPTQKGEIGITLNGDWALPYDQNPENIDAAQHALDFAIGWYADAIYL GFYPPYMREVLGDRMPDFTPDEWAIVKGSSDFYGMNTYTTNLCRAGGDDEFQGNVDYT FTRPDGTQLGTQAHCAWLQDYPEGFRSLLNYVWKRYKLPIFVTENGFAVKGENDMPLE EALQDNDRVHYFQGTTASLLNAIHADGVQIKSYFPWSFLDNFEWADGYGTRFGVTYVD YATQKRYPKASAKFLLKWFKEHDTPSENDLKKKPKPTVSTKPSPPKLADKTNSSEFSS TSTVKGSPVASPTKAVRPWGFKARVARYVTSIIAFIK JR316_0012910 MSTGVAILGAGIFAKEAHLPALSDLGDAAPPLRAVYSRSEKSAQ DLADAAAVALNLANPPSVYHDGESSSNLDALFARADITAVIVALPITLQPSIVIKALA AGKHVISEKPVAPDVKQGLDLINTYNQTYKPKGLIWRIAENFEAEPGYKAVGDFIRAG KIGDVIFFKTVVVNYIDKESKWYKTPWRTVPDHTIAALRVMLPHPLTHLSAFASLNKD YLKPHDTIHAIVKAGDHFTGTAELTWAFPTQSRPQADGFVITGSDGWISVNLIYNPGA PIIRIVVKSVQKPEGKPEEVTEETIDIPSRGVAAELASFFDKIAGNDDGQGLGDPLAA LGDVAFIQAALNSDGELVDLAKLLDG JR316_0012911 MAPQGNSQGTFSPNSRNKDLAMNLAGNIAAEVRILLADIGKLRD ERRQLQYEIAELMAVRSKFSANGEFQPEWRPPAIEAPPPPEPPLAIEAPPQAKPGWRV VHKKPERKARTTPKMVGPPPPATPAPEAPKPQVPAWAQWRPNPLLAPTPVAPGSPGPP PRAGLFGPSTPPPK JR316_0012912 MTKTSKKAFEIVFLQLLPLLFEVPWIRTYKKLIKPKLDAIFMNK SSPVPVFNALTRFTATYGREQVLDPPNIRKSFHPKVHYEVYGEGVEAPVSGRRRSVDS TAISFVQAKLGLHAHEVVYHSGYSSDIVDHAYVKQAHNNITFSNAVGNVALKKGKVVA FGSSFVPVDKIASSTPSISLASAITSAEKSLDGTHNGHPPYLEYFVQPDGSIALTHVI QIKNADKGTWYQAHIDAHSGKLVSASNFVSHLFMEALFITIQSITEGPGQLQLYTLAD LDASPLGWHSTGGPLTNTTSGNNVIASSYVTKLPIHQTSAPTNFVYFYNTTVDPLNGT NPQAGAVNAFYVMNSVHDFAYMYGFDEKAFNFQVNNFGLGGVGGDPVLLTVQDTRNFN TAQFATPPEGQSPECSTYLFTGPGNAVRRDTDMDNSMLVHEATHGITNRLTGGGTADC LGSLYSSGLGEGWSDMMADWVSQSPDPVQDFTFSRYLFNDTHGGRKYPYSTDPAVNPL RFSSLTGVTDVHSIGEVWANMLHNVYAVLVNSFGFSNEARVNPDVNKGNVIFLHLFMD ALSIQPCEPSFLQARDAFIQADHNRYNGTHACMIWKAFASRGLGTNAVQNPAAFLDGS NVPSECE JR316_0012913 MATDTERVPKQPIHPSVIARLDPEYLEFHNKHLLYLTPPHTLPW DPSIRSGPAVPGSSEPLKVGKTEDFDLPHTKFRAFTPEGPPPVNGWPVFIFFHGGAKC VVITVDYRLAPEHPYPTAVEDAIDSLHWVINNGKEKLSVNLQQIAVGGSSSGGNLAAI LALKAIEMQPPIPIIFQLLIVPVTDNTASVEDSWAENALTPWLSPGRMMWFRHNYLPN KEDWTKWDASPLFAPEHLIKQLPRTWIAVNELDILRDEGIQYGEKLKAAGAAVHIELY KGAPHPIMAMDGVLKIGKKLVSDAVDALKNAFDT JR316_0012914 MPLIFEEDPDLLWKTQLRDRVMHNLDCVIQETNMAYKKRLSENT SASSDARYRMELDHRQNLENLQYMAEEELRAMIEQEERQRQENLRAPWMHPENADQSV VEEQIAILNQIRQQSMSRAVVDEECNTPYFQQGSSSTIFDEPLSPIPIHASPHLGAHD DYYTSTSPPIPAPIPPVQSPIDEEARLRAEKQAKLQEEFHKRAEAIMQRKKNERWISQ QGWAEDMSSNSSATSASDQDVLSPTEASFEAAQSRRRISEQDAVDLVMFHEQRWNMLS RLPHLQWSDFPWPVLSLSTPKRKEDLTTEAVVEYIFAPLNIRDRPVVKDRLKELLRRW HPDRFDTKYLALIVDLNERERVREGAGAVTRILSDLLGKWNEL JR316_0012915 MPSNDSERQNKATVCLTVVVVGGGLAGLIAAYALSCAGHDVTIV EQKDSKPQSFGVSQSPPNMTRILNRWGLKPLLDREAEKCASLVMRNGRNGDMIGAMHF DKDFLHDLVADFLFLRTEKLGDLFQQLAEDEGVNSVTATVTDIQTTPSNVTIALDNGQ TLLSDFLVCADGYDSPFRRLVSGEEELHDRRTARAYLALTFILPMDLVSQDEELKSIM DPAHWLVWFGSGFIFHTSAPDGGKNLSGTMLSNYNREILPEEEAWTNRPLEYWGIDLD AFEPRAKRLLSLVQVVSSRVLVQRPCIDDLVCENSRIVLVGDAAHPILPGNNQHIGLA VEDAEALRCIFSRIHDRDQIAEFLSAYEDIRLPRITSVVNYDATMNMMLMLPDGPEQD QRNVLLSQSMSHGDWDHMDEAEFKAVWGQELDVYTHDATDQVDDWWTKWGNMMSKKPQ HSSSASSTSIPVLHRVSFIQPHSNI JR316_0012916 MSSSTSDHKTKASIELKVIVVGAGIGGLAAAYALRCAGHNVTIV DKKFGRPQLKSQGVSHSPPNMTKILCKWGMDVLMEGEAQTYNSLVMRKGQLGDLIGIM HFDKDFLHDLCANYMVVRVQKLEDILLSMIREEGVNVLSATVTDMQSSPDDATIMLDD GKSMSADLMICADGYDSKFKSVVTGVEDDEDQLTPPKSHLLFSWTLPMDVIRQDKVLQ YNTDPAQWQVWQVWNGPGYIFHLNAPAGEKYLSMTMSADYNREIHPGDEVWENRTLDY WGIDVNAYEPRIKTLLSLTKYVTSRALISRPPLEDLVCENSRIALVGDAAHPMLFGSN HHLGLSFEDAETLRCLFSRIRHPDQISNFLAAFEEIRLPRNAYLVEFDRQFHLNMKVD NGPQRDQLHAVLSQGMAHGDWDHMDEGSFRAVWGEELNTYTYDASEQVDDWFTKWGTM MSKNSEDAKDAPKTPSSIPGLHRVSISQFDHP JR316_0012917 MTRILYKWGAKPFLDQLSGCREEIIGIMDYVEPEFIRDQAAEFR FLRTQALKNILQLFVNNEAITVVSGTVSSITIASDNMTTQVTVGDGRIFNADFVVCAD GYDSALNDMGVTGSEREEYDTLGWPASHLAMTFALPTKTVRRDEALCNIFRSSKHWNV WAGPGYILHANISDESELVCVTITANYNREIFEGDQTWQLRPLEYWNIDLGLFEPRVK KLLELAGSVSSRIFVRKPMLDELVCTNSRVVLVGDAAHPILPGGNQHIGLDIEEAETL RCLFSRIEHRNQIPDFLVAYEDIRLPRTSHIVEYERGMHLMLQMPDGPQQEARDVTLK KVMAGDWDHMDEELFRAIFGEEFQQYSCDATEEVEDWWTKWGGSDLEECN JR316_0012918 MTRILYRWGLKAFMDQVSEKTGWSREGLIGTINYDDELLQDQIS EFRFLRTQTLEDKLQELVDNEAIRVIFGTVINITTVPNMPAKVIVGEGSIINADFVIC ADGYDSAFKDFADCEIEEGGPSWPNAHLVLAFAIPISAVREDEALRSVFQSSTQWNIW VGNGFVVHANVSDGGEFVRATMTANYNRDIFLGDQTWKVRRLEYWGIDFDLFEPRVKK LLSLAESVSSRVFMGRPVLNELVCVNSKIVLVGDAAHPILPGGNQHIALAIEEAETLR CIFTRIQHRSQIPELLVAYEEIRLPRTSHIIKYERGMHLMMKIPNGPQQEERDAKLKQ VMAGDWDHMDEELFRAIFGEEFQQYSHDATEEVEDWWTKWGPVISKRVLDREC JR316_0012919 MSNKTRTKVKEPTSASQSAKPERKSARKQSTKAKVRTAQINSEN DSEADDITNSEAKTPVKRGQKRKQIHEDEDEEEEKTFDSDALDEDSDSDAKPVKKKGR RSPQKPRAVKKKKGDEDEIDYELEDGQEVVGVVVQAPKTGHVPPGQISQNTLDFLSNL KKPECNDREWFKLHEPVYRLAEKEWNHFVEAFTDILAEVDPQIPHLPPKDVIHRIYRD IRFSNDKTPYKKGFSASFSRSGRKGIFAHFSPGGHSMIAAGSWQPGRNELATIRANIQ RNPRRLRNVISAPDFVKFFGPAKPHPKGESQNIFGFEDELKTAPKAVAKDHKDIDLLK CRSFCVSHHFTDSEVLAPNFKESLAMVARVVQPFVHCLNDMMTVTGGEDGNTDEDDND GEGDDPSE JR316_0012920 MAEQTIPIHPVAPRLKSGPKKPHVGPHKDDYHKAHKETVGHESD NWWARQAHAMLHWDRPFKNVRAGGFATGDIVWFPEGGLNASYNCVDRWAFKHPDKTAI IYEADEPGEGREISYAELLREVSSVANVLKSFGVKKGDTVSVYLPMTWQTVAAFLACA RIGAVHSVVFAGFSAESLRDRVQDCKSRVLITSDEGRRGGKTIANKAIVDAALKECPL VEHVLVLQRTGNKVAWTEGRDKWWHEEILKVPNYCPPEVMSSEDPLFILYTSGSTGKP KGVVHTTGGYLLCAALTVKYVFDVHPDDKFACMADVGWITGHTYIVYGPLANGVTTTV FESTPVYPTPSRYWQTVEKHQLTQFYSAPTAIRLLRRLGEHHVEGHDLSSLRVLGSVG EPINPEAWNWYNEHVGKMQCAIVDTFWQTETGSIVVTPFPGAIETKPGSATVPFFGIE TAILDPVTGVELEGNNVEGVLVLKHPWPSIARTIYQDHKRYLETYMQPYPGYFYTGDG AARDEHGYIWIKGRVDDVINVSGHRLSTAEIESALILHKGVAETAVIGTADELTGQAV YAFVTLKPEFAFDPADETSLVKELVLQVRKVIGPFAAPKKIYIVSDLPKTRSGKIMRR IMRKIVAGEGDQLGDLSTLAEPDVVEVIKKKVADSA JR316_0012921 MSQTQQIHRRKSSKDEDDIPLPTPPPTVEISVPEEHELPSVTIS APPPRTRTQSTPHQFSHNRNSSISSSTTGSTNPPSAGPFRTSFAPRPLNGHSLPSPYR SSFSAPMPPQLNGHSHSRTRSISTPFSPVSPSPLSSSFPMSSSSPGVSSAGSTIPSNM SVSHSAPESVQQQQADGSQPAPSTTSAATAAAKEKRRHSRMHSRNLSVFFPRPGSIPH STISEDGSQEVEFGGPQEQEATLIPSAGSSVSIPGSRRSMHQPVTPLGQGFTFGARPP SSLPTPEFMTAPRTSSTSTSSGTSKRGHHHKHSLSHNFFSFLEPGSTGASREEDLHTN PTPTPISPWGPISSSYPDSASATQSKFGLAQTQTHSQTNGHAVHSRLPPHSEPPAISS AAVVAASSQFVLGAWLWVVGQQVGSLACTGLGYWVVFDAFGVALAGVVPGWLASGSGS LKEREKVRRPYGNGRVETVLMFAQAVYLVFSSVYVCKETVEHLLLSAGGGEGHHHHHG DEEEGLGIDFPIIMTFITFISLLGTAIFFENNVKILNITGNRIPSIPSLIRSVWSPSR HNHDPPPTSPVAQIASNPFIASPLFFCVSILAVALFIPPVQHRMADLVLAFIIAALTF KVAYGASTVLGTVLLQTSPPRGLSSGKMESFLRAMREVERHPQVVHLPAPHIWQLTPS YAQSATSHSSSSSLHSPTSTTYKHHAQSQPESLVVTLELHVRHDLGDDDVLKLTRWAW EKCVSAIAPAGSARDYKEMGEEGAPEVTVGVVRG JR316_0012922 MQSFFVTDSEKNFIAPNNSKPKLLAVDSLFPLFSQFGQGYFSTD FFNKALVSVYFLRGGNIKGDVIKKVLSYAENIGQFTLKEDAGSERPKSIDPGSIVRLA YICGQEKRGPLLSSLQTLKIDGATTTLCQLPLFLTPSLRSVEISNVQKPFHENILVFL SSLADEAPLLTHLSFGSGCTPADWLPTWFKFGKLRHLDITDCMDLQSCDVLQTLGTLM ELESLKLDVKWSTQYVGRSDRRMNPAIFGGDMKKDDAASTTSKPGFLTSWFINLKTLS VTSQLKIMDDLLSLIDSKNMQSISLDLIPEQGSLADKVISSLELFKQSTGKWANTLVN ISLQLPEEKKKTAAAAAFPKLSSEDLEILLKPQNLEKLDISGIGLNFTPFDSLSSKKL TKLKELHLPLHKGSSYLTFESLRRVAETFPRLISFRCGIDLCSHVPQYSNTSAGNSNL LAHPLEILHVGKSNAVSNAFAMEEQRLLDIARHLNILFPNLKRIEVSKDESDFCRWES IDRMVKLCQSTRLDETCRPPSSQFCTI JR316_0012923 MEPSAQKNSSASNTSHSSLVKLDSFFDLFSLFGPVHFSPTLLVP KATNVYFLRGGNIDKDTINVVVTFAKSIGQFTLKDLTSGTGTIPEKSIHPGSFLRLIA LCCQVNPGPLFPSLHTLKIDGAGTSLNQLNVFLTPSLRTFELTNVDVIYHESISIFLS TLAEEASKLAHISFGPGPVPTDWLNLCSKFKELSHLEIVESVQLKSYDCIQTLGGFKA LESLKINVPISTEYIPKLQMQPAIFGGPSLANYKFSRDTFVCLTSLIAVLKLDIIHDL LNLITSKNMKFLSLSLTHHPQISHSESVELFLKLMKHFIKEWQNTLVYISLQFPKQII EQPFPASSSASKKTATASSSPRFPSEVLQVLLQSQSLEQICISGIGMDFIPANIFSES GCRTKLKELQLPVHNDSVGIPLMSLRQIAETYPSLVSLRCAIDLCSPVPQSSDTSASN NPLTHKLKFLCVTDPNFVKEKSTVNEEKLHDIARHINILFPNLEDIIAEGVDDFSYWK SIYRLVKLCRIAVVDEKRRPPPS JR316_0012924 METQSIHQGSSTAPGGDSTPLAIDSFHPIFSEFGPHYLIAQRLD GDDVYLLHGEIPPDVVKNVSSYLRGVHEYTFKESNERGPVQNIHPGAYLRLVHLLSQA SPGPLFPSLRSLRLHGPASLDHLGLFLTPSLQTLEVVHIPEQDHVIVSNFLETLTEFG NESNLGEVTLGPGTICVDWCRACPKFDKLHTLKIVYESDTALEYNLLTILGDLPLLNS FELDATLAKLYRDRTSIPVNERIIAPLPRRAWRNGVPPSLSSHTMVPTNRYSRLKQLS IEGSVELTRDLLSLIGSRELQKLTLHLISFPNSLQHDASQYLGLIDQCLTKWTNSLED ISLQFNQRMYSRPNSTPTAPANETARVIIYPNIPATLAQNLVSPVNLTRLSISGLSLG YAALRMLLVTRQTTLKYLDLPVNNDTPCLQLLNLREIALAFPNLLSLACGIEFSTGLP RTEDFPPHCSALSHGLNRLLLDPVDSNLPSTVQHADVARYVNSLFPNLTLISPFSPWK KISKSLKLLQTVRLDDLYRAPII JR316_0012925 MFLPKIKYFTAGSNHGYEDKHEKFAAAGCDVYVTVHALPNPQTT IYLADAALIKEVTNNRAKFPKPVHHYGVLSFYGDNIVASEGEQWKKYRKIAAPAFSER NYSLVWDETLDIVRGLCDDHWKGRETVEVDNFVDIALAMTLYVISGAGFGKKISWKDD TELPEGHLMSFKDSMRVVTADITLKIACPDWMMNFTKRLRRAKLAFKELREYMVEMIE ERQKSDNTNRHDLFTGLLRENKSVFESSMLTDDELIGKTYLAETGRHATTGHTLAFTF GLLALYPEAQDKVLAEIRSIVPDGKEPSYSDMNRMRYTLALLNESMRMHPVITHIPKT PFEDTTLVTTNANGEKVTVPVPAGTDINILTAGLHYNPRYWDDPHTFKPERFLKDWPR DAFMPFSAGPRACLGRKFFETEAIATVVMLVSRYKITVMEEPKFAGETFEQRKARVMA CDRYITTVPTKIPLVFTRRT JR316_0012926 MLRIVSSVSRPSSTGLLGKVTVSAHAPHSSSCLLERIGHAESER GICKLGRRAISSTPIRAADITLTVDGKEVTVPQGSALIQACEAAGATIPRFCYHDRLA IAGNCRMCLVEVERSPKPVASCAMPAMPGSKVFTNTPLVHEAREGVMEFLLANHPLDC PICDQGGECDLQDQSMRYGSDRTRFHEITGKRAVENKDLGPLVKTSMNRCIQCTRCVR FANEVAGVEELGTTGRGNDMQIGTYVEKTMDSELSGNIVDLCPVGALTSKPYAYHARP WELKNTESVDVMDAVGSNIRIDSRGVQVMRIQPKTNDDVNEEWISDKTRYAYDGLKFQ RLTTPLIKQGDRFVATSWEDALSAIANGLAASGAKGDEIQAIAGHLADTETMVVLKDL INRLGSDNLALDQPGGTATPAHGVDIRSNYLFNSTIPGVEQADAILLVGTNPRHEAAV LNSRIRKSWLHTSLEVGLIGERADTAYGYEFLGEDAKALSDFVAGKGPFAQKFKDAKR PLIIVGSALAEHPDGKAMYNDLAKFVEKNKDKLVTPQWNGFSVLQRVASRPAAYEIGF VPSAKASKTKPKFVYLLNADEVDPKSIPQDAFVVYQGHHGDLGAQLADVCLPGSAYTE KGTTWVNTEGRAQMGRAAVSSPGASREDWKIVRALSEVIGSPLPYDDVLEVRDRMWEI SPSLVRYDVTEPTSVDIALAGLKTLVAQTNGAKATGAPLRKPISNFYQTDPISRASVT MAQCTRAFVKGENYGFSEVGKASQSAFA JR316_0012927 MATNSNSNITSTSSPEIKQESISFTKPLIHPTPSPAGEKHERDF QSLSFQAPPAVENKSRPGVLRNPHSHVSFDYFDPQGVLQLSRTLSQTSSFRAASRTSD VSPDGRVGEREKAGASEEGRFDFEKHTSYQIPSLPRQDESDIKSRTLGVSFDNLRVVG MGSSASIQPTLGGLLNPVNIVKGILSARHPALRDIIAGFHGVVRPGEMLLVLGRPGSG CSTFLKVLANQRKEYHSVEGEMHYDSLTPQQVAKTYRGDVQYCPEDDLHFATLTVAQT IHFAASTRAPQSRIANQTRTNYIHEFMDVLSTLFGLKHVQNTQVGDASIRGISGGEKK RVSISETLATRSLITSWDNSTRGLDSSTALEFARALRIATDLSKDSTIVSIYQAGESL FEIFDKVCLIYEGKMVYYGPANLARQYFINMGYVPADRQTTPDFLVSVTDPLGRTAVT KDNDTRPSEYKGRPVPQTAADFEECYRNSDIWNINRKDIEDYKRDNVSKQEKVDAFKE SAKAEHAQHTRHKSPYTISIPMQARIVMVRRMQIMKGNYTAQALSTTSFVLQAVIVGT TFVKIPDATSAYFSRGGVLFFAVFIPALFSMSEIPALFAQRPIILRHKKAAMYHPMVE ALAMTLVDVPFTLITIIIYTVIIYFVVKLQQTASQFFIFFVFVMVVALAMKAFFRGLA SAFPKEAPAQAVAGVLLLALSLYTGYQIPRPSMIGALRWISYINPIFYAFEGIIVNEF HTLDGICSTLVPSGPGYEGISLNNQVCTVVGSQPGEERVDGARYLDLSFGYSYRHLWR NFGIVIAFGIFFLLWYLFFSEFNTGHGGDSSVLLFKGGSNAQVLKEAQAEVAGDEEKG QAGSTPVGSETSSEDAEKSKVAMHDQPKMINTFSWQHINYSVVVSGESRQLLDDVSGY VAPGKLTALMGESGAGKTTLLNVLAEREDAGIVTGERLFNGQRLPSDFQAQTGYCQQT DTHVPTTTVREALRFSARLRQPFSVPTSEKDAYAEKCLHMCGLEAFADAMVGTLGVEQ KKRTTIGVELAAKPQLLLFLDEPTSGLDSQSAWAIMSFLRSLADNGQAILCTLPSAEL FSVFDRLLLLRKGGQTVYFGDVGKNSQNIINYFEKGGARKCGPGENPAEYMLDVIGAG ATAVSDRDWHDVWLHSAESEVAKKDIENIHEEGRKHPPVDETLKTQFASPWFYQTRML LLRQHLAYWRDPTYLMSKLSLNIIGGLFIGFTFFKAKDSIQGSQNKLFAIFMGTILSA PLGQQLHVPHIKMRNIYEIRERASRMYHWSALTTSQIALELPWNILGATLFFFCWYWT VGFESSRGGFTYLMYGVCFPIYYTTAALAIASMSPTAEIAGLMYSFMFAFIFTFDGVV QPFGQLGWWKWMYHVSPYTYLIEAILGQAVGGQLINCSEKEFLTLQPPSGQTCGQFMA QYLSNRGGYLTNSDASSGCQFCPSRTTDEWMGPTFNIYYRHHWRDFGIFCAYIIFNLF LVYLLTYLVRIRTHRHLAAVSKYVKKAADKTKKTKD JR316_0012928 MEQPSIPDDLPAPITTRNRLPRSRTTSRAPSDASISRPGSRSSM RSARSIQGETQTQLEPASAPAPAFTSAQASGYSEYPYAYYSPIDVEMANYRSGPSQGS GSGSSYRSRYYDPIERSQLPVFRDSSYSANTTSSLDQRLPFTEFMQYEESGIAGSSMT RKRKRDDDDTFHPFMDAEEPQSSSWQTSEPLHPLRLGFEQTSGSGSGYGGSSGSGMSM AAFTTRGAGAGSSQPRPMGSSPSSEHIWKRETEEVAGFLLGTDADNSSQFGSFLSSGP SSQGNPPDKIDRKGKGKAKARTQTPDPSSIIDISDSPPLVKEKKVEAPSSNTTAAKGK NEVVDPLSSYTCPICFSPPVNATLTPCGHICCGSCLFAAVKTTMARATTTYPGVPEAR GIKMAKTWITLPETLTSRQLSRRTRFPRLCNALYDFRECVIRVMSTLASRSLMIYVVL PKMDLQTTNVEP JR316_0012929 MGNILILRGDIEVLPRTRQNVSYEFLSQLVAEYLLTSSPDVDIS AALSIMPYTQRGMDLNPVFTAADSFHPSGSAGGELKLFEQVNIPLLHGWLVDPDSTEA PVLKRIQDYDTAVTLIAEADHLTNGRFVVDDSTVLSTSPGDTASPHREWTAEELQKVQ DATVVRRFLDSTQSQLTYHGLFYLAKFLPPNQPCALFRNSHLSVLYKHSPLAANSSNL PPIAGSSSSASYLNHAHHDEDAALYTLVTDQVFLNEPSVVWERLEDVDGGWSTFVDSD FVKSSPAGGDFAGQTAEEALRAFEAMQNQDNGIIDPVDLALARQLQAEEQEVAQRERT LRMWQKQQRDLQRQQQAEQQAAAERERQEKKEKKKKDCIIM JR316_0012930 MPTPSATLRESLEKHNATFESLLKLIPAQYYIVNDETEELAASK YQKHSKKQKAPKQAIKEASKKAKREKLDPANHKSIVDLQNENYLKQREAAKGKRKASN ADSDSDEDGDGDMSMNVDVEMDDLSGDNNGSGSESDAPDGEGEEDVEIKSMPPAESIE VLREKLHAKMALLRRGGGAKARAPLWGEPSDKETLLDERRRQRSAMREKRRKETKEKI RREEELKNKGKKKDKDKTDTRDKGNITKTQLLVPDIPQNRNLEGPQASMTTVAYSSLT GSSKKGQQFKTTADPQQALQQLAARKEKIAAMPEEKRKTIEEKEKWAKAEARLEGVKV HDDEARLKKAAKRKEKEKLKSKKTWEEKKEQVANAMAAKQKKRNDNIAMRNERKKEKQ KGGSSKKARPGFEGKSFGKGKGKPVKKSNAYPLLAFPSTTTEAMHVTTTDDWVLESVP PSEHVNIPIPSNQAEGDPSPELELVGDMPSSPQYSSTLGYDSESDAHVVLDSLVPILN KIQSSLNIEENEYHIVNEVEKSEWRSLQEHARGVVEMTISDTAHPKVSASLFFRLGQL QGAKTPLFPALQKLHIVNTTTSPIPHLDLFFTPSLRALSVKGIANSSKMTVASFLKKL GTHAQMHSLILDSISMSPEIFVAISRCLHLTSLELTNLTGTLDYTSLGIVGLLPHLET FRIDTKGVTQIHPLVTAFPATPKYLHIQSFSFVGNFSTLEGIISDLLDAPALRKIHLE VVKYDPVATKGGKGSTAGVPVPSPVKDLIDRIAGSPTRQEYMTDFTFKVNQNSNLTSF PSETIDSLARLHQLANLEILGTSIADLDKALSSMGDQLSISVWPKLQTLRFHVPETTI NGKTAHGISLGSLQRIARACPDLRVFQGVIILPTAPLPSWSVTADTLESGSPVMRHGL KTLMLGSAGSSQMQLNSMTTSTLNVAHYVARYLYAMFPYIDLEEDKRQIGKLHASFWV QVFDCMKLCRDAREIDQRREKLAMP JR316_0012931 MSFKNPRKAILTKPQLEYFQSSKTHQDIVSYIETLNEAVVGTRL TDECPMSNGASAVLGLFDQVEKLAKETPPVDNKASRFGNPAFRTFYDKIAENSESFHT SLPNLPQESIPEISVYFNEAWGNRTRIDYGSGMELNFLCWIICLDRLGVLQESDHKAI VLKIFWRYIQVMRVLQSTYWLEPAGSHGVWGLDDYHFLPFLFGSAQLRGHKYIRPKAI HDAEIVEEYSQYYMYFACIAFINSIKTASLRWHSPMLDDISAVKTWDKVNSGMIKMYL AEVLGKLPVMQHFLFGSILTYDGPETPHSPSLSPEDDAHRGHAHAHAPGDLGGAGQRE VGWGDCCGIPVPSVFASVQAEKEKEQGGFKLSGPGIRPVPFD JR316_0012932 MDPHSQHHSNSTSVDSIDSWMSIRSPGEDGSPTMAASPTALNLP FHGNRDIIDEIFSYLSVDQYMTPKTFDITSFNQFLPEDDQDIHNRKQNRRKLCSLAVM CKSFMVPALDQLWRSLDSLFPLLKLLPAFKMTDGTWVLRGKVTEQEWARFNWYAQRVR RFTYNRDPPELDIALHTYFRLAQLHPEPLFPNLHILQADFLVSGICLFFCDSLRELTL DTLTEVEDKLCGTVLDSLSEIGARLQKIVLNGIGLSRDTVRMVLNFDSVRHLELEGMG QAINMEILEGICALQNLSFLAIDFKNSILLLPELQTLMAMGDTGFWLRGLRTLTISGP LHFSIMFVRRIASQNLEVLISYTYAPLAPAHSPSAGEKQDFIEMVAEKWKNTLTHFEF QLFPALPLTEENDVPTEELSTSALTPLLSLRGLKYLRFLGYVMEISDEEICRCARAWP ELTVFILPYGMPGRPRPTVAALAMLAKYTPKLKYLTISLQTTGRRFDAGPFANDPGLR HNLHTLTVVNPSDDAWELTDALHFARHIDHFFPNLVSLASFRTVDEAKWSQVYDIIRM YQSVRRDAVNMVPW JR316_0012933 MSAHQRLEAATDLARLSPISDDIIVACLRERFMADTIYTNIGTS GLVALNPHKYVASTSDAWLHKYAAEYRDTDAAEMKKERLPPHIFQMANNAYYHMRRTT QDQCLIFSGETGSGKSENRRLAIKSILELSVSSPGKKGSKLASQLPASEFVLESFGNA RTLFNPNASRFGKYTELQFSDRGRLSGVKTLDYYLERNRVAAVPSGERNFHIFYYLVA GASPEEKQHLHLLDKTTYRYLGHRGSTSASARPQDDDGQRFDQLKIALKAIGFSKRHV AQTCQLVAAILHLGNLEFIIDRHRNEDAAVVRNTELLGIIADFLGISASSLESALSYK TKLVKKELCTVFLDPDGASDNRDDLAKTLYSLLFTWLNEHINQKLCKDDFSTFIGLFD LPGPQNMSSRPNSLDQFLVNFANERLHHWTQRVLFEKHVAEYNLEGIARFVPQVPYFD NSECVRLLQNNPGGLIHIMDDQARRQHKKTDMSMVEAFGKRWGNHSSFKTGGVDRAGF PSFTVNHYNGAVTYSSEGFLDKNLDAINPDFVSLLRGSTEGAGGDGGSFNPFVKGLFS GKVIATQVHPRNEDTIVAAQQAVKPRRAPSTRRKGTIKRMPTVKEGTGGDMEERDDDD DAQAGGQHITGSSPCLAGEFKAALDTLFETLDDTQPWHIFCVTPNDAQLPNQLEGRSV KGQVKALGLSEVARRNGCVFEVGMTPQEFCQRYGECLGEKGVEEGDERERIEQARRVF GLGDRDLAVGTHKVFLSQAAFHRFEDRLRAKDSEEQKRNRQRDAEAEAGLDPRGLNDP YAPYRSPHEEMDPSWGNNHNLGSNNYSDAYAGSNQALPLVANASPFQRADMYDDEYDE NKSVRSDDFDARSKDTSQRDDSMSHFGSESYAPSRNMIQHTDKRGLMEKEALAGEIQE GETAEVLKESSARRRWVTLCWILTWWVPSPCLTYIGRMKRMDVRQAWREKLALNLIIW LICGVAIFVIAVLGAVICPTEHVFNSAELASHSSTLSPNNVLTSIRGEVFDLTNIAQT HRRIVGVVPAKAILSYGGQSADSIFPVQVSALCNGVTGSVSPYVILDSKNNTDVNAQY HDFRVFTNDSRPDWYFERMVEMRYQARVGFVGMTPKEIRNQASSGRSVAIYNGLVYDV TTYLTSPPAIRTPDGTQAPADTDVNFMSGDVLNLFKFNAGQDITKKLNNLNIDRNVLA RQKVCLRNLFLVAKVDNRQSPQCIFSQYILLVLSSLMVAIIGFKFLASINFGAARAPE DHDKFVICQVPCYTEGESSLRKTIDSLAQMKYDDKRKLIVVICDGMIVGSGNDRPTPR IVLDILGADPNLDPEPLSFVSLGEGAKQHNMGKVYSGLYECAGHVVPYLVIVKIGKPT ERSRPGNRGKRDSQMVLMHFLNKVHFNTPMNPLELEMYHQIKNVIGVNPSFYEYLFMV DADTTVDPLSVNRLISAMIHDKKLLGCCGETELANAKQSLITMMQVYEYFISHHMAKA FESLFGSVTCLPGCFTLYRLRTADTHKPLLISNQMITDYSENRVDTLHMKNLLHLGED RYLTTLLLKHFPRFKTQFIRDAHAYTVAPDDWKVLLSQRRRWINSTVHNLGELVFLEQ LCGFCCFSMRFVVMMDLLSTLTQPVTVAYLVYLVYLVAALGKAIPTLSLIMIAAIYGL QALVFIMRRKWDMIGWMIFYIFAIPAFSFFLPLYSFWKMDDFSWGQTRVVLGESGKKM IVHDEGKFDPRSIPLKSWSDYENELWDKESNHSIGSWVPPVKTKNEGYAESRTASLYG RETYYEPRSFSPAPSQRGMYPPPGYHSGRNTPQSPFHTGLETGLIQPTPSRPITNYLD IPIPNTQSPEDVDLLGSGPSDADIERAVQEVLRSADLNTVTKREIRRQLEEYFGIDLT SRKAVINASIDRILLNQN JR316_0012934 MQPDVDLWAGRSSDKLAYVGLPGTRGVVQPIKEIKPGFRLAFKP CLRKQGLDERLFSKRNLTFAGGQVIFGCRRAHEWREDHVFEDRYTKSHGLVESRDKDP DDIGEFEGLIQSYAGLALTYRSDIYNAFAGIMRYFKTDLKVTLCHGIPDKFFDWFLIW GPLDPQTRLHDAPSWSWSGWQGAPFPRIWDWYSRSISRIRVAQRKRTWIIWYQRRAHN LEDCIRVWTPKADVAVTSRGPRNFYGGHVQNRFPFDCSRTEPTPRTLTGAPTYTPDAY NPNPGSGFLQFWTVSAMFKLDKAQSPPPKIKPKSGYTMLGIYGDSKRELGIIYLRPDW CKNNVPNVFEFIVICEARDERAKDGRMDNEPGWRYMVMLIEWHGEGQWAERVAVGWIK KRYLEKALGDGPVWKEIILG JR316_0012935 MDLLSTVAYLVYLVYLVAALGKAILAAIYGLQALVFIMRRKWDM IGWMIFYIFAIPAFSFFLPLYSFWKMDDFSWGQTRVVLGESGKKMIVHDEGKFDPRSI PLKSWSDYENELWDKESNHSIGSWVPPVKTKNEGYAESRTASLYGRETYYEPRSFSPA PSQRGMYPPPGYHSGRNTPQSPFHTGLETGLIQPTPSRPITNYLDIPIPNTQSPEDVD LLGSGPSDADIERAVQEVLRSADLNTVTKREIRRQLEEYFGIDLTSRKAVINASIDRI LLNQN JR316_0012936 MPLFHRKATVSYRPVNSGKRRRKNKDRLIKKLLNLRDVVQELAD AQDSLKKYIKQRHGIINDTYNLSLPQFQTIFTRIAPYKLQLKRTKAMENLAERLRAKL VHAHKALWLYDQGVQSIDEYLQLPSGFKPVY JR316_0012937 MRDAIPSVEVNLLCYILKGKQYGTSGEGSKNGASRQRAKNIILN TIQASTDIDNSKSTGGASNTATDGANGAILDKRPDPSTSAHDAKAQPELTQSPNISDQ THNVNQTLQESSSTSASHARTVNSQIIGLDTSENNAAINTVSYVPESNNPATVSESSN HAFGFNEGSAAHVDSQNGVSIDEAYSLFHAPKESHNLQQNGNAALFSPLENQNMTFTQ LLQDAIMPDTPLNHTYTMDVNMSHQLIPNSQFDMLYAANFSTSDGAQQFSGSHITQDN TQDLSTRSGTYASLDFKFDAQTNDTLETRSPNVMDTNLLSLNAPKVTTDTESNDTKGR QVSASNLNETAPILNIPPHILSLISPKLQEEFDMSVANRQKAFSEYLERERMICAPAQ RTLPEIRLAIENIDRCIDSIQRQDLRIAEIQAEVEKAQRKFQDAENIKSLEEKLALLR DKEKRAQSNLENGTVETFLDLKQALMNIRSQIQDVSLLLDVASASLKTSESQSPSASL PGQSSAVKPPAPKPNRSTSTQPVNEKPSEKRAAPSKPPPRKKAKTGRPKLIEEESDSD ETIEDLGVETMDIIQERMWASMNLHDRAKFEAGARQAMHLYESTGDITHFNIRYRLAL RHVQSFLPEESFYSKKVAIAIFRTASLNLLIGTCVLGGVFSS JR316_0012938 MNVMLSNHQGVPPLPHASESLKQRRLSDCGCQCHISLPPPEERW YCVFVGTDTGPIQGREKALKTYQNVSGGYARYCLSQDEAEDMYRDALQSSQVVLVKKP TRTTLKLPQLSGLNGFRNGDPTGPEEASWMVVLVGRNPGVYLLDDVGTVGIQENIKFI KGAQAVPYRLRSEAVDAFTLALEAGVVVMVDRGRDIRIPLTLNTTSVVDGEPSVKSEI DN JR316_0012939 MDKVWADYVRAVMPISYGDNFWAAHTDFVSLNTMDKALFEDNND MPDTLLYSASKDPHVGPDGKTKQQRYVERNRDAINAKNALRNRQKRAQQKQLEEDESE LEAVEALVNPSTVPDLFSRGTDQDQLSDKYSDTDSDSVIAQGEDPFPRKAEEPKRPTT YEEVVQEYIRYHDRELDAELDLLVVYDEFKRANAMYDDCRERYDTYFDSLSPRYWTVV DGWTRKRKYAGSSLQVEGERLLREIRDTEKLFNSVLLKLPPKDDVWAAAFDMTRHLMG WMAYLHHIHEGLCNDM JR316_0012940 MAAKVSRSPKKKLSSTSGKTTVATRVNTRSSKVATIFVKHKLAQ SPKRQPQRCKQCPGNPFRSHCVHTKHGREFLANQAAVESLRASTPAPERNLPLPTGLG DESPVCATPSTSPAITPSRPSQLPNPFILGPSTHQHVGTPMSNISNQIATPRSDVPSV SVLHHRQSAEAEASSPNSGARGIHIMTSSHLASLSVRSSSQSSSTGSASRPNRTSAQN PYNGYVDGAYRGSSVYQIVRGHRLPSPISDNTRSVKKFTNTINSIIEKCEDISKETGC WLFIGAQHATARSGSISYASPRLRRDAAEQVGQIGTQFSTLTRNLIHARTQETLDLQR QLEQSRRETEEAKKSLEAVQENQKELRSILDRFREQYHIDM JR316_0012941 MSDSPPIVYAVLPDSPTEVQYSYNTHESISPIITPVRKKRVSGK VSRLAKKQRSTPITYSKSGEEFETETQTVLQDDTNELAPFYDEEYGVSAPEIDTLGES VNEGASRTDDEEYSYFLDAVLADECGFYQLTGNLFVANGWSNSRRESTVRETDQ JR316_0012942 MDFGQDMICSICGPTPDATIWDGVTVAYSRRNLLPSLTPPTIQG ERSIQRSNVCPVKHIQLIPNRNLRLLLRFVIKGPQLSTLSNQVFSEGTVEYDRNKKLV ERITKIPDLVEKLSEINISLGRLFNTHFGLAVVLQRDPLDIYIKFFTQISSDENALQM ITHGSLMDLRRFIRNPLDSKASALRFIPSLYQVVKHEIKTGKLSADVLGVCEWLYLRS KVVFDLLRVHEMSQAPPLDKYIPQDEWLKTGCCYAMPAIRDRSYYPNLPYENGLDLGG ADIDEDVCHKYYSTYSKKRLTGGIMCVWCTHSVCYGFHCIRAAEGRNDVFSAIYTRWR KAPKVVVYDFACALQPYCMSREPDFFKDTLFAIDIFHSSEHKCGEACFLSTYCADNPE LLKLNSSAAECGNSGIAKIRKAVSYMTQERAVMYMRVFFSIWNRQQIQKMEGRQ JR316_0012943 MPISPGLLRRILEAGEDPNDWESESENGDNNDDGSRVTVPDLLP VPPNAFSKPNDTLCSTCKSLKLTPSRFVVHPSDGENQNVPDNPNIKLGLVKDIKSKSN CPFCRLVLKALFKGEPVETEEGIDVEVTMSWNTDGPRPDPNQPWRHIPQIRVLRPYAQ KVGGGYIADLERSNMFPEITLLANDAPTSSKSFFARLIKDQIDFGMVKNWMEMCREGH GDFCTQSKMLEHEIKDPVNEIPSFRLIDVIDNCVIQPPHHCRYIALSYVWGKIDPSTI LRLLKANVAELRKPGALSRNENFSKIPLTIRDAMQRKSMPMGTILSLLLVL JR316_0012944 MDKRPPLHLGHTNRFSLLRALVASLLVVVATISSLGLTFGWKPL HSTKVPLNAAQIVQKCQTLHMLPAPPANFHQRERSDRYVAGTPPTLLRNASIWTGRDS GNEVVTGDILLDNGLIKQIGKIKDTSLKAYSNLIIIDAGGSWITPGIVDLHSHLGVSS APSLKGSNDGNSHKGPILPWLRSLDGLNTHDEAYRLSVSGGVTTANVLPGSANAIGGQ AFTIKLRPTSERSSSAMVLEPPFELNGTNTNSSPPRWRQMKYNTARKIKEKQDEFCAK ALDGQWKDLGNFPEDLQWEALVDVLRGRVKIHNHCYEGVDLDGIVRLTNEFKFSIAAF HHAHETYLVPDLLKKAYGHPPAIAMFATNARYKREAYRGSEFAARILADNGLQVVMKS DHPVLDSRYLLHEAQQAHYFGLPPNLALASVTSTPATVLGYDHRIGYIKPGYDADIVI WDSHPLAIGATPVQVFIDGIAQLNNPHVNKKPDFLQRIPKTPDFSKEAKDAVEYDGLP PLETDHAKASTVLFTNVDVVLLKDGSELRQVFTSAVDGPLGVVLVEHGKIVCFGLSRD CPSSLREGVQIIDLEGGSISPALISFGSRLALNHIDGEASTNDGAVHDPLVSDAPSIL GKGSVIRAVDGLQFGTRDALLAYRSGVTLGVTAPTSSGLISGLGTAFNTGSPHKLATG AVLQEETALHVSVGSSAISVSTQIGVLRSLLHGEGKGNLGASFSRVIEGQIPLVIQVN NADIMASLIDLKREVETSSGKSIQMTFAGAEEAHLVAKEISEAGIGVILRPSRSFPKQ WQSRRILPGPPLTMDNSISVLRRNNVTVAIGVVEQWSSRNIRFDLAWAALDSGLSFRE AIALASTNLERLLGVTSHDSDLVAVRGKTILDFEGKVAGIISPGRGVVDLI JR316_0012945 MVGAYFNTVAFLLPTLLNQKHYQDNNEEGVQGSQTVKPRTSESD AESFWECIRACKSFQSERSEGLKAEQETSRSSVRRSRDPESDSEVGNTTLPKQKHRKM SDSPSIARTKILAYPSKRVRRVQAFTRRSKTPDDSIDIAPTAVNVKIMSSLQRTFKTI DRVERLQRKRIMTLPENLEQERMVGDFVNGWFSNVQRQIRFDVEEEEDCISLEDNIST DCTDDVIEGDLSNSECGEDELFDDESDKNRE JR316_0012946 MSQFPEEPLSESAADGSGYFPAFPGLKLGENRYEVIRKLGYGPR SSVWLALDAQDDRYIALKILTVHATNQANYELEVLKMIQRRGLDDLPSLQCHFIQNSA HGEHLCIGLAVLGTSLEDLRLSSPTKTLPVHIVQKAVASIMVPLLELHKLSLIHGAVT GDNIRFFIGNNKGDIESRLAQLPPCKIEKVVAINGVEYPVVRSQPITHGYDWNETQSS FVNCSLYLSNVGHGIIMLYTKCYNYVALRPPETIIETSYDSKVDIWMLGCAVYQLLTG DPLVLPEKTEDEGDHLAWIQAMVQDRFKRELAIRSPVRECFFAEDGFFIEDIPEDTLE SRFASSGMPNITPDQTRGAVQFLERCLVLDPADRPTIAELKSHPWLRPGFACSCVFRS IPPLLADHPLQPLSKMDLRPQLQALPTELLDEIVISIPLHSAPSTILSLILTSRVFYT NLYPRHLYSRVILRDERRTSQAITNIFENPNLGRHVKGIHILYNPTREPKCPLNVTDG VEGLITLGLLPSLQSFTFHAQAGWNGYGQNVYGGFSQNFFGNIIKKCPLLSEFVLSGP EDSFFTPLAYDLGMSVLKDSKTLKTLGLDFCNTTLGTTSGFDIVAGNGRLLSFTLNSL SLSFGSCSKLPCISPILRLHFPNLKRLTLASFCLSDTAEAMSFWRRHPTLQTLSLPSD NPGQPLFSNDINQGFLPNLVHLEADLNEVAALAPIIHQLTRLCVRRSIAKEFPILLQR VFPDGQSRIRSLEIEGALKRSQIPNPLAFSDYILSIAKIIPALEEFGLTCRNLSMQLF FESLLEDRVQGLQLMRRLYIGYRDYHVHSEESRGRYLALVTNLSAQKWESLDSVTDIS NRYDYPYTVANVVRNEEGKATWVEVGKGNGMQIGYENSPFGSIPGSG JR316_0012947 MRFAPIIAVVSTFLPVVLCLPIVSERGSDLSIHRREYPGPSFDV FERDDGLDLDSRDIDDVEEIYQRSRGALKAAKQAAKATRVAKAAAKGKTSPKRPANAK VTFGNAAKAHLDELGLHGKDRKKVKKYHKQVVKQDMKNHGAHSARVFHLAHTGGSDPN EKNHITAGYYKAPTKQGHPGEQIKSPWAIKHEKENPGKVTKGLFHVYPSEKKKVPSSW TKAAESSASRKKAEADRHAAATKAEEEAKHRRVEAERLAGGSLSAEAKKAQREAAKAA KAAKKLGKKE JR316_0012948 MLLSTLLSATVILGVVAAPPPDHDHQPPKHNKIVPGIVFDRFIS IWLENTDSTDAQADPNFAALTQQSLRLTNYFAVTHPSEPNYVASVGGEYFGMQNDNLN RIPANISTIVDLLEEKGISWAEYQEDMPETGFQGFQQLAPSGANDYVRKHNPLIIYDS VANSTTRSANIKNFTLFEQDLASNNIPQWLFITPNMTNDGHDTNITFASSWARGFLEP LLKNPHFNGPKTLILLTFDESGSDGIQNRVDSILLGNAVPKHLIGTEDSSFYTHYSGI ATIEANWNLHTLGRYDVGANVFSFVAEKTGDKLRTLENPPLSETFLNASYPGVFNTGP KAPLPIPNTRLVVNGRFVHPKVVEIWGSPALQSCTTYTDSVQVPSLANPPVLPAGCL JR316_0012949 MKLAGSGVVGQWLAVLWIPVTFVFSHLPCIAFTSAPHIRPCQLM TMKAHVCRVVRTNAARRGVPSEPKASRSFDRT JR316_0012950 MSHVGNPGQPRTVASGNGVAPSSRRPASIAELAEQAKIDVWDES REFKQHLRVAERYRREGKESAKKGDLETAFVQLARAATLVLEKLPSHRDYHKVLNANQ RTNLSLNGQDILDHLSELKPSIVDRYEKWLQRHPDGDHERTPNARTQLIGNDDAARAQ AQREQFQEEERARQREREHQQRVAAEEAAKWKWQREQQYAHDEAERAKRKEAARVAAA ASNTPKAPPPDYTFQRTPNQIHNHGYGSQSTVVLADGRTPEEIARQAQQEQMRLREEE IKQKRKQEQDGIIRRQREAEEAARIARQNIAPPPLDAHASSMSSTDFYGHGQSQSPAV STPSSKGHIEYPAIRRMPLQSPVYEGDSTDSESLHSTTRVIEYRTPIRNVRSPSYPPP ITTTSPVPGIGPIQYPNLMSQHQKAQGYFPSLNSMFDPVDKHHNSSSILFGSNGNDSN SLYPSGYPQQHSAYPPPGLGGIPPQMAPPTQTEVARMPRPPNAVFDPNVPLKTVSLPR ECLPRFLAIARVNTERNKETCGLLLGKDKGHKYAVTTLLIPKQSSTSDTCTMDEEELV LQFTEERSLITLGWIHTHPSQSCFMSSVDLHTHSGFQRMLPESFAVVCAPKSNPNFGI FRLTDPPGLDIILRCNAKEAFHPHPDEPIYTDADKGHVQMKDSSLEIVDLR JR316_0012951 MDAIKEDGLTTDANKAYGGVGDAGPKSLSQQAFKAPPPFNPQHR QHEQARFFPGVSDYEKAGDPSSAIMLSRRRGSVVGFVRRHSGYIFMFTVLFMFMQLGF HNGQKHHPQMQNMVVRDPEDTWTTSPSSILSPPEEEKKRKSVIAEHPIPGLMEEAELK YRKKLGGQSKTLKAAVAEYRRRYKRAPPKGFDDWWAFTQKYDVKMIDEYDGLIEDLKP FWDLSGEEIRRRAAQVGELPSIDLVRIRDGMATVINVNPNFHDSEVSARARGFKSMIG KFMTTLPDMDFPINAKAEGRVLVPWEHRKYPNLTLQDSSKGVQAMLGGPFKPDWGDDG NVWEAWRRTCSPDSSARRLLSSLRANANVPVKNHLASKDLSPGSDFSFVETTSSSEDY CETPYAHYTQGHFFSDWRSIPVLYPVFSPAKARGFMDIRIPSHYYYGSTKRYTYGWDS VNLELNEIDVMEVPWEEKIDKIFWRGATTGGGSHPPGFAPQYQRHRFLRMASDTSNAS KVITFADPPTAGVHWVSAAVPIGTLNEEIMDAAFVKSVSANSYPGGQKALEADHRFGD SVPLGRHWAYKYLIDMDGMSYSGRFMAFLASDSVPIKSTVYDEFFTDWIQPWVHFIPL SSSYKEIYNIHAYFSGATQSTLRAVNSTSAELPPDQRRSIEGDKRLRRIARAGKRWKQ TIGRTLDMEAYVYRLCLEWARLWADDRDSMNFSL JR316_0012952 MYAPSPRMPLSRGPSPVPGAQPYYNPNIGRPISRGPSPVPSSVA PQGYYGTPASPYGAPITRPISRGPSPNPYSTSPVAGGFQVEKRAKSPNPYGRPAPAEP VAVAYRDELVYRDQVSALIAAKDRQIAATGRIPVDASQLSLFFRAKDGITYSLDFPVD TGYTTPPALDVLISICKPKPRQMSDYDGYRDREGLAYPLGLPHTTSLEISNYPVLDAI RSSLFPVLPPGQYLTAVRDSLDVAITGSHIAKSYPAHQRQDNRAATLIVTLPVRYRGG AIVISDHDGREERFLGNGGKPTDIEWVAFRSDSAYAIEPVQNGCMITISYAVFIKSFG PTTPTVDTLMTPSDNFFDLLSPILNGSRGRSIAFLLNHDYVVEPAEAVANSIVPQLKG GDALLYDAFKFHKVSPELHWTAGGFIWPVDHTLESFGDEPEANTNPIKNMPAAYGRTP FGAVNAPRGTVPPVRGAFGPYPDPNVSDDDIDGLRAKVQASGGVTLAEANITLLTDAK NPAPSVGRERVYFISNGELEKLVVNVLLVVYIP JR316_0012953 MYSSPINNRSRPSSPNPPYGHASPPNGPAGYPGYYGAPAPYGAP IGGPMSSGPPPNPQNASPAAGFHVEKRAKSPNPYGQPVTTGVYAPAPRAPISGGSPPV QQYYNQPTGGPHSRGPSPAPPGMAPRPLSQNFDGQGYYGAPSAYGAPIGRSASPNPHD ASSGFQVEKRAKSPNPYGRPPTAQPEANAYRDEMVYREQISALLAAKSHQTAITGRIP IDPTQLSLFFRGKDGISYSLDFPIDTGYSAPPTLDVLVSICRPKREREALTYPPGLRH TTTLEISNHPVLETIRNILFSKFPDGHYLTAVRDSLDVAITGSYVSKNSPAHLRQDNR VATLLLTLPVAYRGGAIVVTDKEGREEKFLGNGGKPTEIEWVAFRPDSTYAVEPVQNG CMITISYALYLKTSGVANPTVDNLVTPSDKFFNLLSPILNNNRGRSIGFLLGNDYNVD PSEVVANSLIPQLKGGDALLYEAFKLHKLSPQLRWHAGGFVWPADQTLESFGDEDEVD ARPMANMPAPLGRPLFGGPNAQGGDDLRAKVQSSGGVPLAEASIILITDPKEHAPVVG RERVFFVSKGELEKLVVNVLLVVYIP JR316_0012954 MTINEKQKDDRPLSNGSDRVESLEDLVLDNDSGEINELAVVAEG EERTTWFVWILVMCSTISGLLFGYDTGVISGALVTIGSDLGPDVLSNSQKELITSSTT LGALLGGLVAGVLSDWTGRRPVLGIADVIFIGGAVAQAVCHDVWSMIGGRFLIGIGVG LAACIAPLYIQELSPTRLRGRMVVLNVVMITLGQVIAYGIGAGFTHVHGGWRWMVGLG AVPAGIQIAFLFFLPESPRILLRRGNHEAAHAVMTKIYAFAKPEEVDLKVKVLQAAVK RSIEITQTTTFFHRFKSMIMNPINRRALVVGCGMQAFQQLCGFNTLMYYSATLFKEIG FDQPTAVGLIVSGTNFIFTLVALKWIDKIGRRNIMIWSAPGMIFGLTLASISFFYMTK KTNGNLIDGTQYSTTWSALVLLSMILFVASYATGLGNVPWQQGELFSLEVRGIGTSLA TATNWAGNLIIGSTYLSLMAKITPSGAFGFYAGLCLLGWIFVLCCFPETAGLSLEEVK MVFRNGFGIRESKRLRLVKQDIKAREKMRKEGGVKA JR316_0012955 MDPSIEDIEEEQRHFANVISTFNNYAQFTLSANNRRRKDVYILP KADQDLLEGLGYKEKLEAVDKAIIANADFLHQMVDDPRIFGHEIDDDEDHSEPAPHSH SHDAGTSHSHSHSHSHAQPQPGHSHSNSGPTRGKYRPTEADMDKLRSTLKQLVRDWSE EGRSEREVCYKPIKDALVKHFAKVPPEERRNLRVLVPGSGLGRLSFDVAQMGFACQAN EFSHYMLLASYFILNKTDQVKQHTFYPYVHSFSNAPNRESILQAISIPDVRPSDLPAG SDFSLVAGDFEEIFANPDQKGQWNAILTCFFIDTAKNIVNYLRILHEILAPGGVWINV GPLLWHWENNNSNDPSVELDMEEVKALARKIGFEISNETTIDTTYTNNAKSLLGYVYH AAFWTATKI JR316_0012956 MARNEEKAQSMLYRFREAQAAELGLGTRADRRPRMASACKSLRD CERWRGEILREISRKVSKIQDAGLTDYEVRDLNDEINKLMREKRHWENQIVALGGANY RRNVAMLDDDGKEVPGTKGYKYFGRAKDLPGVRELFQSRKNEEEEENQALAFYKKFMN QGPAYYGDLDEEDGKLLEYERKAEEEDWEEAFLDLREILDLPSDTPTPKIPRPDAATH ATSSDSQNQSAASSKRKAASEDVDMDTSGDDTKKVKTVVAAKGTPAVEPSSAAEMSRM HAQAAAAYIPFLDVEHLLPPKLPTHSEMEGVLLTLRKKALVDEYFGDAS JR316_0012958 MAKLGVVLSTLTKHPTVVNVLLTNRTQAYFTVATACCLVYDHLT TLSDEVEMIWKHPGGWNLVKILFFMTRYVAVAGQLALSYGYAIMGYSFYSVNKVQSTF VRILQVDVDVQYVIWGFFVDQTELYVVLPQTHFLVFHRNTVGHDYQLFLRIQGYAMII PLFCMHGIMMYRISSMYSHKRKIIILLISGFAVEIVSALTMFISTDVLAYTMPDPSPG IHICLRVPKRNFIFVAPIIIVLFESLLFTLSLYRGLHYYRLQKSVPKCKLQPPHSLGT ILFRDSIVFPFFTVTFLIASIVAMASLPMLAIQITYTITAAWPAIAGPRLILNLREVY YKSFKDECDRSVDYNIDIDLIEPWFEEE JR316_0012959 MKFTSSVALALAALPFFSAFVAAAPESCQPACCNVVVQTANPSG LTGIDCISGNSDCSLFGKISTCCGGINEISHVGYFCQ JR316_0012960 MQFSTFAILFGAAATASATALNSNVFSRQSGLPNCAVPCALNAD YDGCSPTDNHCLCTSSKFVDSTTTCVMSSCTGQDLQDALSFSQGVCLKVGVTLTSSAA SSTSATSSSTASSSSATSTSPTTSGSSPNSSPSTNTSGASHIHGANAFVGLVAAGLVA LAL JR316_0012961 MAPTETKKKTGGKTRSALQDVVTREYTIHLHKRVHGRSFKKRAP WAVKSVIDFAQKTMGTSDVRLDPKLNQAVWAQGIKTVPHRIRVKLERKRNDDEGAKEK LYTYVSHVPVLSFKGLQTVVVDAE JR316_0012962 MADFQSSQRRLSKATMRSGGVVSTQLRKIPTPVALAIGILFGFL FSRLLPWTTSTTPVWRSGHIPSKSRQQSRQPIQLPTLDQRARVLELLTTLSPHYTKEC TRNSQPLYAQQALERYSPLIGHNPPTSSSWLSGLLGGSAGELDHGATVRRDLQASEHK YFFAINLYNSFDVIPDLFATLFRVAAILGYHNVFVSIYENGSSDQTKALLRIFDALTR SVGMRVMIRTSMRTRGAFNHRIEYLAEVRNSAFVPLHELRDSEGEYFDSIIFMNDILP CVDDLLELIWQSRRNNAGITCAADYMYHDEIAAPVFYDNWVARDINGTALENAPFERI FHHLESSQRWQRHLPIQVQSCWNGIAVLDPAPFYTPPHVRFRMARIAEGECSASECSL ICNDYWEAGYGRIMMVPRVKLAYDSRVYDIIHPSRRNLTAIRGYTRLGGLPDDPRTDP QDRTWFGPHDRLFTEEESEPLGFVPGPSHVWCWGWDGAGDLEGPDVDPIWEAMPNKSR RADAVLVRHDRSMVL JR316_0012963 MKKALKKRKVLAVQTNAEFDAASFISGFQPRESVYVYAPRSFKY NTVSKTCVLQFCSTILEHWFNFPPVDCRWTAWFIRELIDYLGEEVLILDCAWEAGLHI NQHVLCRGKNVVIKQEHIKAWSKTYLSKHHLCKTSHSQDRQRLVTINNWATSQLTLPL SVHSDYFRLLASMPPKSSKAYQNPSRAARPAKPLKANPDPSAARPDNSSKANPNPSTG PENSSKANPSGAENSSEPHLLSREELIKQLAQKEKELAEKDKVVKDLKYQLSKDQEND RLIPCPVGQAGCGEAFGGFNLELAMGLTKEHYLRLNRIVKLAAFQYLDVRQPFRRQSR DKIRCAKERAIKNAKVFREYSHAWPIDEFLKQFLSHFSATATKDIEDEKNSQEKPDRE AIKKYIKACATIQGARLEVLRDEAGDGTAANDSGPVEVEMDNISCSLDDEENFNNVFN NAKDDEGCSNDKECSDNEDLAGKSKEHHQEDTTREKASKPALNSNSAESAKPQDSRTK TGRQKERHVSPSSTPKSKPPPRSIMKTPGSVTRKARKLKFVESESDQSDEEDKVLNSK VTAKAKANKGVKNTGKELLRSNSEIQNKNTALPAIGLTALPSPVQEEASTLKFHWPTA KSKAGRKPGSSKPEAHNEVEKENRAPAPEPLKASTQSDPNASKERTSKEKSKEIGSST ATLPRKFNGTVSKVVKSLQSIHEIKRMKRSAEAASLEAEELAKKKAKKNDGNNEVSQS TTIPPSEDATSEENTTSQAKATSQENATSKATSQPKATSQEKATSQPKATFQKNEIVL DGLDYLSIKSCPHQGCSKSDDPHHTIPPEDEIDISLKMIMKEYAVARAQFRLANNRNR LKAAQNMSFKSQLLCSHLYFRSKERLTSSLDAARSNGWPVAEIDYDQVANRIAELHVK HNFPMIFEGSGTDCARFETSLPWRRFVGLMLLKCETPKLSFLNQLDKRAPYCYNVASA GYYGPIVRSLIASYWTQLVVDGDLNYVTELLVDSTFDIGLYRLKSPVEQISGQEDALA AYSSEDAYRFWDEFTNLSESVRKSRAFNAESFFNMVMVPHVVNLLIMEDMNCAYDDAD KIWRESASFGKLHHGHLDDNDEMNSVDNFLHQAPIEQVQPRCHTPQPSCPIPQTPLQA AGGSNSLQAMQAQAENQLTSKPPRLQRKTPCKPAFNSAYLYGELSTITEISTDDKNLP SKSNNTPNKNSSTTVNNETQTDPANPSTEPSTSPNARNGGVLADNLSKPVDEKAGKKR KRWAADPNEGEKENLVCPSEAYHLKKEERAEYAAATVCTDVGGSLSY JR316_0012964 MPAETRSKSRTVASAPAAPPPKSLRPVLRRKVAASTPSPTQGSK RRMPRTAATLGQSNPTFRASDNRIPPHPPSESISTTGRLTPQTDATIEQSDVAPPSHD NRIEIDPPADSRLMTELVGRDPQLPVLYELKSHPKVDDPKARIILGIADWSMDAMRHR FHYSANLKFFYFSGMLYIKPSDNIFYLERNRYGSGLPGDDVLKSWTLSKHERDPIDLQ ECRRLQEEILGPQELMSSQKPVKDTNGEYSGGIQFERSDCAVNIKSGPRCYTLGSTLQ AQKSLSAPGVQSKVYTQARDYDLQMRFNILQAGAKAGIEGVKKASKHLFQRLKCQAEV ASLAPVGYDDNCVWPSWQLNVAPGVPQHDVALESSLGKFGMAHVDAADSSGAPTCMHV LSRYRADVAPENFYILCCGLAYLMEEFSVIFFSGLHFHGGHQPIYVQQPNEIYYRLTL IGYPPGQLIDGVDSVAFAAGVNDTTFAIGIEMRNPVSHKLSKTPMCKQATWTADGGSL LSPKAHINHFSRLLLQAITHFARQLPPELIPRVDKSLAMKMVSVVIDNKRVTADEWDL GPGWRGDDVKIGTDYSGILSGLGVSSIEDLLSEDLARLCNSDSMSEAPYGNVELQEAV QEWKKHMESSAKSIPLCVTAGDHDEEAVIGLQSKTGSRKGAVKRARTRDVSEMDLHIK KRRNTSQQTLTSSKARRMSHRKNPESNSGPDINLNFEDNLYGDRDSTVTKSKASKKNA APKERITRHSSSVTSLGTSKFLNALRPECISKILAALKRLPIDNTPSQGQSKWLDVSL ISEILSQPVISGDIRRACELYASYSQKKTISKLDLQFQQKQLLLSNVLLWEWLDSVLE QAYRSKDDISNGLGVLVEWYLPSF JR316_0012965 MKRRSNVQKNVAQFLDVEAGVDDGEEADSGEEESEQELDNEFLD EQEVDGVDVHPRSLDEYAQMNDEALEALLLRSKERSLAESNRRKSNCVDAADDIFSPS FLPVLTEADFPLWKVTCRVGREELAIASLLLNAQDKHKIRSAFSVERMKGCIFLETLW NPNTVDLLKKTPGVLVTKRGVQQSLVLPDDYREILRGQGKISPPKRGSWVTVSKGAYR GDVALVKETEGRLVRLLMLPRLPLPGASRVTKRRKTDHSGDSVLWSKTQWTEWLNSQG RSHQVVEHTEHCWQIGNTLFEHGLMIQDIGQDSIDVVVEEMPFHYFRLFQQSTHSSLD SSYMLRPTEWKFEPGEAILAASIEGSQSREASVVAIHPYYLEVAYTTHDSHNIADTSL VPWIRVTKLFQLGDYVSVQSGENQGVEGWLIAKTNEAVVIGLFDSDLLTIPSLQVLDN IQTIEAPINWVHVSKAPFQHRMKENKKKKVEIPSEARHFWTLLPLGDRHAFDGMPTLL NNPDRSVDTLSQSAERSGTPIPTSPIADGQWDPSAPLPHNPQSSMLPSEYSHPSHVLF KEMLVGQKVAVYIKGGSFKDSKRHYFVTIARDERNHFTLLLLRQKRPSMTLQPEWVTP KHPSGTHTNDLLFVVDGENQHQFVRRIAQRDLVNQSPILLVNPVMRREGQSDILCGVP FEVESTATIPPPGPSAAARQILNDIKKDDLIVSCIKALEISFDLSRLAPRRSRAYILV MVLISTFLLAVSEVAERSEGRRYTLESFNFLQRATREARQAVAMDVAQHCDQTRVDVP TPESNTFPVLSNRNDSHFQYQAANALHNLLLENSGILEERIITCSRSMVEEAAEFADN DVFELATILAAIPSVNFHLNMDLIQDAARAVYVAAGLRRDLDEALKICSLALTKKE JR316_0012966 MHELGSKAKHSRVLSTKNQLPRKQPVSQPPKPPLAPIQNKQSTL FSTPPAPSHISPPVSTPNNGPSPGRMRTNAEGPRLIPVDPVRATPHLLAKIPHGARHV RRFIIVVVVVLYRGSHQAVYPTPNVPPLQHAGDEIVLKEDEDDGVDGVELDTDMEMEM GMGRDEDEEQEEQEEQDEEEEEEREEVQEEEEEEEEYDPDHDHGHAAERYRYSGSVSL YPLYTTTTTTATTTTTTTTTTATTTTTTAADIHTPTYRSVPAPTPLFAQRPLRKRSSD ELNDDGDGEGDAGYDTASRSSETLSSSWRGVRPVDVAVGVGVNVVAPELVMRPRKRSS EELDDEHERERGRERGSEERVPCRGTYHNDNHNHNDTYSLHNHQRIRVHAPLSPSSES PRSRRRSGSVYYSSSADAEEGDRDVVFSEVGDGDVDVDVHVDGDGDDISGDEFLDVAS EWLDDAKKAILKGKKNLDKWFHDGREFIKRDNLLYEYITHPEFQKYDLRVTEPKLCDP TVKQLSGYLDIAEDKHGE JR316_0012967 MVRPQGVVRVRDDGIVWVRWDVLNDRRVLVVAYPSALQIWDTYD LKAIREVVRLRFDASAPSGTQTQWSALFALGREPMGTVKGQVAGHGVGGRIGSGRDGF TIRVAHAVILPATSRRAKAATRDKDVFEDERPLLGVLLEAEAAEEDGNAGVESVTEVV FVVYSLRTHRVVKHVPLSGVPDFGSRAGTFDVSKDFVVLSTKSPPTLHILSSATFRRL HTIHSTSLEAFTRPPRLPPPTPHGSEMNVDVAGINTATGGPPSVHADAATGKSRTGAF SSGLSLSIASNALYAPGSRSSTPASVNPSGNAEQADGSASVPVVYPSPVFALSGRLLA YASPVPGRSTGASGGDAGSMSPGGVGGVGSSPSPSSFLGVGTGITSGISKASRRLSSS SSASVHSNAPSSASSSSAPFGLSAISGIGASIPRTQAEVGHAALRVGESVVSGMRFLG GMAVDAARSRVGAGVGGGVPVRQGTPVGAGRAGGSVSGGGNGRYISRSAPDNAEDVDD SAAAQALRERRYSANAPAAVGSVPSFSSYVSSHAVAPHTTGVVEHGHYVTVLDLAPLL DSNSSGVDRSGLDLESTVKNIHDVDVVYNDELVDDMRPIRTPMKIDEFNASRSQPVAG LCFAQDGTSVGVITRDGHRVKVFRLRPVPSVVRLGERNTSSELVEETGLQETPHGPRA AQVYDLYRGRTSAVVEGVYWAKDSRWVAVGTRNRTVHVFATNPYGGETDLRSHMEGRV RNVDVIPLVMLLDPPYTTVPPSIK JR316_0012968 MPDLHIPVDKATHYFNLLMVVPIFIGGILCIVLTFLGDIMAPIE KARDWLYNRCQKILPEKIRENLYERLRDRRLRRNVETGSHVDESSVHVEDHSNRQSPT SSVPRFRQNRRAHLRSLLPTTYPVDPYDA JR316_0012970 MATSKGKSKVSPSSSEAATKKTSSSTTTKSKAKPSKSAIGAPSQ LNQSSRKGKKAWRKNVDITDVEATMEELRAEERVTGTTIGQMKDGELFFTDVKGDDKV RHILPKFSTAQLTSTKILAQRSAVPAVFSRTTSSSSKRKSALSREEKERLLRIAKRPR KGPFNSVLDPSEYKDGSGVVDLSEAVKKSGTYDAWAPEEVPEEAMKDGMETVYKPKVK PPTTKKTKDLISIPAIVQPHQGTSYNPPADAHQELLEKAAAIELKHLEKLEKMAEVKN KMDSAKVTAEAREEGVAPGMKVQEIGDVDMDDGEQEEENQDGGEGLKTKKVPERKTKA QRRKAARVLAEQRALALRAQRKRMLTAINEAKSLRRSNAREAAAREAEREAKRLAAEE KLKTQGLAGQKLGKHKVPEGRVEVQLGEDLSENLRGLKPEGSLFHDRFQSLQQRALIE PRVPVIPKKRRNRIIEYEKHAWKRFE JR316_0012971 MDVDTIEEIQPQDGTSSSKVHRPMFLPVDEAHPFDLDSYIANYS GRTAIDRLVFIIVLCPSIAPEAFSLCVKRIHRSRDPSLYQHLLQAYEQTANAAASDGG LDISLPNTMDLAELDTKWVDDVTAENQADRVKLEVELKTYSNNMIKESIRMAHRDLGN FYRSIGDFGTALKHYTKSREFCTTSHHVLDMCMSILELLIEQRNYAHITTYVFKADAA LDAATAASASATAATANSSGNPAAIAQAMKKTGGKNERDAVQAKLDFATALSHLGQGS YEKAANAFLKVGPAKDLGHWIGQLIAPGDIAIYGTLCALATFPRSTIKARVLENVTFS AYIEQEPYIRELIEAYMNSNFKTVLELLNRYSTRHGIDIHLSPHINDLATAIRNRALV LYFQPFATIKLERMSAAFGWTVEEVEQHVVNLIQAGDIQGRVDSQNKILKAKKTDYRD ELFARAIKAGTDMQAANRKLLLRMRLQQADLIVKPPKGANQSGVLTDFYAGGE JR316_0012972 MDTTGFSQVGRYGTLSLLKKQNATAAPPAGVESDGKPKDMASSP PPQVITSFGIDSANLTFGSSLQCDVRLYYPTVDAVHCRLINEDGKAFLVVQGKAGAFV DGAWVYPSTSSSSSSSASAETQQTITPLVNNSEFEIHSKRFRFTYPPKDVRKVLLATP VAQRNRTLRLSMIQSAQVFSPRPSKDPRENLKILQSPIKTSIFGVGSTLDANGWDRST SPNKPRAVSPLKFGIGPDDNDSSDEESDDEDVQNDAEGEPEEDHIVLVHTNHPRVVEE DRDLVILEDVPLHLVLPSPSVYAAGTPSKPVRAGPPGTPTPVIAQPVPHLVQPQPPRT PPRRKSLGGTALHRAVLIRSAQRAVWRAEKEREEEEEEMEVLDAVVAPGGKRNDDDLA MDDDSSEEGEEEENAQDVEMRNVSDDSDSLDMDTDSAEEMEEDDTEKDEKEEKEEDKP LWKKGLERIIPWPFSAKKDGEEQEEKAEEHQPDVEAAEDERTEEEEEEHDEVPSLPLA PQPRAQQTPIRRVLGSFMTPQARPPVQLQRKTIFPSTTTTTSNASEAAGSAPAVTAAP APVAAPAPGPGRYSLGGGEARRVVVQQPWRVKDLVVPPIVAPASSTPTSTRAMDPPST PNAGTPTAELPATSSRITSARPSITAEERKAIQERRRSAVREVREDSFWKDGAPGMSP SKSRPGAVAAPGSSPTKAPPGLGLGRPSSSGITTTATPRRGVLGSPTKGRSLGYAIPE AEADEGHSIFLDKPSDPSSNGKSDPTRTASKEIDDEAETTTGLFERMRETVEDMKRRR SIATLSTPRTTLLDGEGTGPTPAPGSAWRESVVRESVKKLDFTKITPAQPRKGNGLLA GSSSAIPSTSASAEAEATPTARAQAESSSLSIFTKLMEEKEDEAIATEGEPEQFTLLR PGARASLSLSPAKRYEVDATAPTVRVKKEKKEEEVDVVMQSVPTVVVEPVEDAEQSPI ESEEAEPETRTRGRTRGRPRLLRAPKAREEATDDQADQDEQEDSVVPKSKSSTKPPSS SKPPSSSKPRSRTAKSKSPAPQSLEPEASGSGPHRHRSKTPQPRSADTEEMDTTPDAD SAEQSATRSAARRTTTRRGTAEPEEPVARRSTRRGTAEPEEPVGTAAPAKRATRRGTA EPEEPADVAVPSKRKGKRTESETEPEEIEVEQEADEEVAEKVKPTPRRGRKPRVIATP VPTAIQEEDEAEEAPAPPPTAKRGRPRKVAEPTPVPVTRTAQDDEDEFDSYEVAAPTA AAPAASTTAKKASKIPGRIPALARGRKAAEATPTVHPEPEVEEETEEPVPLAATRGGR GARANTLKTPAASESRKAKTPASNPTASNTNPAAAIGTANRIRGRPKTPASAPAAATT SASAGDGEEKENARTSNGKSGSGSLDASDDEPVVTKVRVSRKTGAAATAGSGRTTKTA GVTKSTGKTKPKAEQNDEDDRLGAETSVPAAGKPKTTRIMRTRTKTG JR316_0012973 MAYEMDGEFVTCSIDDFMSHYFAFVPDKNNVTRCIQKHLMPLGV ASMNQSQLVFSEFPDTHFIANNSIGKENEMEVYAPLASIAKHIGNYVYQHQPGLAGPT PIRNKFNYRNILHSSITSDTHESNQVDACFASNQDNSFSTWATAVPIEQKVKVNNDEQ RLDNIDTVLSANAQIMNDDVRRMFTYGMTFDADQVVLWFHCRSHSAVSQPFSFVKDPE RLIKIFMFFLFATEEQLGYDPLVERQVDGQYIFRIRHSKPNSNDADDSRFYRTVKVLS GYRSNNITCRMPRVWTVERYNPTTGKAMPGSLQVLKDVWIDHTVQTERQIQEAIFKAI NKFGDEEAPEHPDLKIIWEERRELIRSRDYRRLFLTIADDYEGQRSKVIAPESRRIRG LFDPMRIYDQANQTHRKSDVEQYYVPRKQYRVVFEEICLPIKSLPTLGDALDVIQDIH IALQLMYCAGWVHRDISDGNILACQKQGSQSQSTVWQAKLMDLEYARPFQRPDEAVAD PTTGTPFFMPVEILNKDYFYYKGFEHPEYDSDYEDASDSRVPAAPAEDDIVTHNFQHD LESVWWLILYLIVSRVFIQPNEYWEGRILDKEQANRWISGVFQNLLVLSKERSRCFVR GSIKQQIMNFTPNHLKDLVDRLQRNKDVLLSFYTNRERKEQIYDHASYARVHVNFGKF LASIQNMEPKTWREYVLPFPEPTLSTQTETPANAAPETPAP JR316_0012974 MGHEMDGDFVTCSIDKFMRCYLPFLPLDNDINRCLDEHLIPDNI ITRIVDKAGQESLLFTEFPDTPVIVVGKKNEMQIYSPLADIAKSIGNYSFQVPAGEKP RTRNRFNYRNAYNSTIKSDIEGSNHKNDGCFTSDPDNILSTWGTAVPVEQQVRAKHED RLDNIEKILSANVQIMNDDVRRMFTYGITFDADEMRLWYHSRSHSAVSVPFNFVKEPL KLVKIFMSFLFATDVELGYDPMVERQEDGHYIFKIPRPKPTESTILPSGDASSSHSAS SSLDSVSSSLDNASSSSDDNALSTDSNFPSTDSDSHPIEEQLSVKEQQDAPDDYQYYR TVRVLSCYRSNKISGRMPRVWVIEPYDPVTKKVIPGDQLVLKDVWIEKSAQTERVIQT AIFEDINKFGDCKAPPTTDPALKIIWEQLKDSIQSREYKKLFLTIENDYTGFTSKKPA SGSKRIWGLHKPVETSYKSTGTTLRKEAGQSHPIPASTETNIHRPFIPKKQYRIIYKE DFADDSKPWQGKLMDLEYARRFPPQFGDTQEPKTGTPFFMPTEILFERFLHHPLPDRL GISNPYDNNDTEEETLDEARHLVHHFHHDLESLWWLILYLITSHVEDQPAASWPDSNA QAAAINACRIWIRRIFQNTMQLSSERHACFIQSPKKKLVEILPKSVAVHARHIETLRK DLFDSCIVCVRNNRILDHDFYATLHVRSGWFFTTLQGSEQKDWRNYVINPKETTPNAK SLTTSSNPSNAPSDPGIKVGQGDTPAAGSKSLAAIDSVNAKQSGQLKPAGIQSKECLP RPVKMQPLKRGPEKNPNLNQGRSKNDSKRSRHDS JR316_0012975 MPLLMSLCRKGAALFWIIALLTQNRPSVVAIDKQRNPFFTPRKA ATAGGTSKVTQLRTVMAYEMEGEFITCGIDDFMSHYFAFVPDTNNVTRCIQRHLIPSG VAYIDKSKLVLSEFPDTPTIDKAVPGKKNEMEVFAPLVNIANNIGKYVYQHQPGLAGP TPIRNKFKYRNVPYSSISSDILGSNHKVDACFTSDHDNFLSTWGTAVSIEQKVKVNNE QRLDNMEKVLSANVQVMNDDVRRMFTYGDPERLIKIFMFFLFATEEQLGYDPLVERQV DGQYIFRIRHSNPNSNDADDSRFYRTVKVLSGYRSNNITGRMPRVWTVERYNPTTGKA MPGSLQVLKDVWIDHNAQTERQIQEAIFEAINKFGDQEAPEHPDLKIIWAQRRELIQS RDYQRLFLTIEDDYDGRQSKVIAPGSRRIWGLFDPVRTFYQSPGTNSRHDTGHIRPIH TYKKTNIERHYVPKKQYRVVFKEICLPIGSLPTLGDAMDVLQDIHIALQLMYCAGWVH RDISDGNILACKKQGSQSQSSTIWQAKLMDLEYARPFQRPDEAAADPKTGTPFFMPIE ILKQEYFYRKGLEQPEDDVDYIASRGRRRAVKAAKNDIVTHNFQHDLESVWWLILYLI VSRVFIQPLEYWEGRILDEGKAERWISSVFQNLLLLSQERKRCFDLDGTIEQQIMGFT PNHLEGLVESLELSQTALMSFYLNRERKEQIYDHASYARAHINFGEFLESVQTLEPKT WRQYVLPLSDPIISTQTDTPADAAPETSAPQPSTHATIPPREYLFRPSKVKAKRGSEE NPNRGAGGSNKGAKRSRNGV JR316_0012976 MATAYTALRLTPPESSTLTPPALYQHPEVEHTYEPYTYAYPPNS KPPAPAPSSSKLHTAQARAARRSVVLPTCLTATLILASLLATTAALLTYLCAFNACRV VPARRALVSTAPLGRVLTITQVTSHVAPVSVPVVMGLFSYLLAAGWLRSSAVVKDGDA SNGNGNVNRPTPMQLSLLMSICNGANLRRGALLVSVKYMFSRRPSDASAAATEREARA TPLLRRAVVTLGSLLATSYLIAAADTWLHASSTSVVIPSSIPYTPTASSNSTNPNNNA PSQPAFARAINATMCAAAASSAAGYPDTLKQIVTGSCGVLSIKSTGSGRTLAEGIRVL TNNSALHSVAFADDQTAILVPASIPPNVTYQARTLGVRTQCATGFLCSYSILQEFGVD YGSSPFSTGEVVTSNAYLDPDQPLDTFIGNTGWFVHGNTGAWNVVVCNTTALDVTYTY HPPSSSSSSPGAQFIMQHASLASTTDTQHIMAAAFAGQTTAVSRAVDGAGTIDGHGGV TYEQVYALQLARYALAAGGFMYEPREVDFIRLQDDIVGAELQIAALALFLAAVLVFAC QVIWYTTSIIIHTSRTRFVGLAALHLSNPLTAVQTSYGRTAPEMTWNSDVTKRFGVET ERDRLCVGPVYMGELDEMGLGSEVFAVTRGTSGTTPLPCWACYIALLPSVYYITINTP IRAKRMNE JR316_0012977 MASTTTTNNNDPSHSQSQQQTQAQQPVTFTTSTPYPLPSQKFMI PLGWRRYQLSQLVNKALALDRGGNYDNAGGGARPVPFDFLVRGEILRGSLAEWCKEKG VGEEETLEIEYIESVMPPQKMFEFPHEDWVSAVSCYIPGLFLTTSYDGHLRAFDYAHN LAFDRAVHSAPVTSLCVVPFPSPSPSSSNMGEGGGEEGETYTIATASHDASAQILRVT LPSPSSSFNLNAKEKATAKGNGNGKAQSLATLYLHTAPIASVSANARGTRLITAGWDG LVGVWAWDGERVARDEVDVPVPVFGGVDGRDRKRRRVGAGAGGAGDGDGERGEGDGDG GMDGGRGKRKAPANVLKSHVGRVSKALFLGLEEGEGSEKAVSCGFDSTVRTWDVEYGL CIATINASEKPFLDLSPLSHAAPSSPLSSVLAVSTDRTMCMYDLRLPSSSSSTSALSS AATATFVHPSTPSCVASSGSGSGSGSGYQAITGAYDGVVRVWDVRSVKGAVASFKVGE EGKGKGRKVLSVDWARGLVGVAGEFGVEVWRVGEDGGVGGRV JR316_0012978 MPSPPSDPPLLPLLPPLSLPQPLTHIKPPTQIQLPTPTQNQSQS LLRTFTTSALKTTTILGGLYAARGFMRARLEEVREKMEGEERARDVLFGVFSESFWSS EISERASRPQTSKSRNRSSAQEQRAAARLRIACFVSRVSCFVSRISCLWCGQIPVSED LFHALILQGTLHEYEHEHEHENENDTAYTILALLPTLSEQVMCAMDVDGVTRELQARS RGRAGAGAGGMAGREHQQVLGNQNVDQNQNQNGNGTAQAQAQAKERNERNVYPPGPAP YPSTSTSAPSTTTSTSTTTSTTGSAPASSTGSSNASRTSSPAPAQAQAPGQFTLSPRA PVFVPRAAAASSLSRSQSNVHSASSSYRGSPVSSHSPSLSLSLSGTESESISVSHDTR DTRETETDRDTAEISISSSFVSESGSAESVISVYTNTDADAFAVAVAGAGAGAGTSGS GGMRTNVGAGEGVGGQVDVRSKAELWNEVKMLTLTRTLTSLYTTTLLCLLTTTQLTLL ARARYVCAIRALEREEARRERVEGMVPGVVGLVLGGILGASGLGGVFGGGGGEEGFEK LLRQLESGVFDDEDEEEEDGEGSEEEEEDGWGFGWGARARASSSFQSKINPVSKSRAN AKSQHRIQSKAKSKSKAKSTSKNHPKPPQIWTDEISEEAESKYLTLSWWLLHVGWKDV AERVRRGVEEVFDGVSLKTKLSAADLHRLIADVRRRVEYEITFEGNEKKSSFVSSLLP PTPETIHHVLLQGGFTPAPAPALERTSSSLSPYPPSRAEIEFDIADRGHGHGEREGEG DGEGEGYDASPFEDPLGKHIDVDGEYEARFGRRVVLREREDEEVGSEVGRGRDGYGDE KGYGDEKGYGRDRKLSDAATTVSTSLSSSQLSHVFVDSPAVAVSSYQPHPSSSVHPSS SFHPTHPSHPSHPTPSSSFHPSHPTLTNQTQPLQHTYLPPPPPPPHTYTPVLDPPAFA ALVEETRGILASADFGVVFEACVDEAVRVLMGSGGVFGRGGAGGGGGAGGGAGGVGGG GSGDKGGDKGGEGKGKGGDKEGEGEEEERVRLAGMLPALARWSQVAMEGLPNELVDKI LNMRQVECLSAIVFGRFEERFVDI JR316_0012979 MAYEMDGEFATCSIDDFMSHYFAFVPDKNNVTRCIQKHLIPSGV ASMNKSQLVLSEFTDTHFIANDILGKKNEMEVYAPLASIAKHIGNYVYQRQPGLAGPT PIRNKFNYRSVLQSSISSGTNESNQVDACFAFNQDNSFSAWGTAVPIEQKVNVNNEQR LDNMEKVLYANVQIMNDDVRRMFAYGMTFNADQVVLWYHCRSHSAVSQPFSFVKDPER LVQIFMFFLFATEEQLGYDPMVQRQVDGQYIFRIRHSNPTSNVADDSRFYRTVTILSG YRFNKISRRMPRVWTVERYNPTTGKAMPGALQVLKDVWLNHNAQSERQIQEAIFESIN KFGDEKAPEHPDLKIIWEERRELIRSRDYQRLFLTIADDYDGERSKVIAPESRRIQGL FDPIRIYDQANHARRKSDVEQYYVPRKQYRVVFEEICLPIGSLPTLGDAMDVIQDIHI ALQLMYCAGWVHRDISDGNILACKKEGSDSQPSKIWQAKLTDLEYARPFQRPDEAAAD PKTGTPFFMPIEIFKQEYFYRKGLEQPEDDVDYIASRGRRRAVKAAKNDIVTHNFQHD LESVWWLILYLIVSRVFIQPLEYWEGRRILDVGKAERWISSVFQNLLLLSAERKRCFD LDSTIEQQIMGFTPNHLEGLVESLELSQTALMSFYLTRERKEQIYNHASYARAHINFG EFLESVQTLEPKTWRQYVLPLPDPIISTQTDTPADAAPETSAPQPSTHATIPPREYLF RPSKVKAKRKRGSEENPNRGAGGANKGANKRSRNGV JR316_0012980 MRFSTLVATVAVAASTTGVHANLLARQSNYPTCALPCVANPDLG DCVTVTDTHCLCTNKAFVSSTTTCIQNACSGQDLDDALAFSRSLCLKVGVTLTSTFAS ETSTAPSSSSTSGSSSSSSSSSSSASTSASGANSSPSSAALSSHGANANTLFGLFAAG LTALLAL JR316_0012981 MDSKTTTLLLRSQAHGYVAGTSVVSYAKVSSERQVTHSAATLTC HLYEQIATFSDEVDLIWRRKAGWNIPKVLFVIQKTVPQKKCLIINVAQSYFGTFIILS KGTNFSIFYVYFDVLIQLFIHFPPADRGGKSELFFDAETYIAVIPFVSMHGIMIIYDV SANNVPDPIPGIHICITSPGYNYLYAETIPIIALELTLLCLTISRGFHFYQAQRRISW SFRGRRSLASILFRDSIMIPFVTLVILFACLVLLLRAPFGPIFQIVYLICVFWPGIAG PRLILNLRQAYYAPFKDECHRADLDTEDSDCL JR316_0012982 MGLLSYLLSVKWLRSSGQNGGRNRPTPMQLGLLMSICSGANIGS LFSSMKYLVIKPAMKNSVDRVAPAPILRQSIFALGSLLVISYVASGADAWLHASSTSV LITSMSPYTSSTPSNFGREINATMCQIATARNDSTCGIIGTGSAGTGITLSEGLRVIS NTSSLHRVVFTDDQTAILVPQTLPPNITYTSRTLGVKSQCTSITKYCIFKDGVLGYGP QAKLNLNCADAGIKYVNSTGVSGLCPIDNQGLCTSATGIPSKGWFAHGNNGAWNVIFC NVTALDITYTYTSTRFLQQSTSPISVSDTRYMMIAGFYNGETLISTAVNGAGLEASTT YEQAYSNELSRQMLARGAIIYQPKDVIRIESENTAVGSNLQVIPLALFIAALLLFSCQ TLWITVRVIIATWNMDYVELAALYIQNPLVVVQSLYGRPDPTLTWDTDVAKRFGTETD RDRLNIGPAYNEFGSVFIVSRN JR316_0012983 MSSSRPSTPPNPVPSTTNATVDVALPCSYRSETQPITPRKTVTG GGTSKVTQHCTVMAYEMDGEFVTCGIDDFMSHYFAFVPDTNNVMRCIQRHLIPSGVAY MDKSKLVLSKFPDTPTIDKAVPGKKNEMEVFAPLVNIANNIGKYAYQYQPGLAGPTPI RNKFKYRNVPCSSISFDILGLNHKVGACFTSDQANFLSTWGTAVSIEQKVKVNNEQRL DNMEKVLSANVQVMNDDVRRMFTFGMTFDADQVVLWYHCRSHSAVSQSFSFVEEPEKL VKIFMFFLFATEEQLGYDPMVERQGDGQYIFQICHSNPNSNAADNSRFYRTVKMLSGY RSNNITGRMPRVWTVERYNPTTGNVIPGDLQVLKDVWIDHTAQTERQIQEAMFEAINK FGDDKPPEHPDLKMIWEMRRELIRSRDYQRLFLTIEDDYDGRQSKVIAPGSRRIWGLF DPIRTFYQSSGTNSRHDTGQIRAIHTYNKPNIERHYVPKKQYRVVFKEICLPIGSLPT LGDAMDVIQDIHIALQLMYCAGWVHRDISDGNILACKTEGSDSQPLKIWQAKLIDLEY ATPFQRPDEAAADPKTGTPYFMPVEILRKRYLFNQGLDFLQLQGHYEVVSTVVDSGRL AAEHRFVIHNYQHDLESVWWLILYLIVSRVFIQSDEYWDGRILDKEEAERWISGVFQN SLELADDRESAFSFYGSIERQIMRFTPNHLKDLVQRLQRNQTVLLSSYVNRERREQIY DHASYAQAHIDFGLFLESVQISEPKTWRQYVLHLPEPSPSTQIEAPAM JR316_0012984 MCNAANLTAFFSSAKFMATKGDKLTPAPMLRRSIFVLGSLLAVS YIVAAADAWLHASSTGIVIPSISAYTGSALPQFGREINETMCQEASVFDTDAVGHITA ASCGILNPGSGGSGNKLAEGIRVLSNSSTLQRVVFTDDQTALLVPQNLPSNITYEAQA VGVKSQCTSITKYCIYITSDYGPNAFLNLDCSKGGINYQNSSAQTQIPPLCALDGQGV CTIGIDIPSNPFTAAEVVTSFAYLNPGQPLDQFIGNTGWFVHGNKGAWNVVFCNITAL DVTYTYTSSQFVVQKAVPKSVTDTQHIMAAGFEGQTTAISQQVDGAGSDPNTGTYEQA YALELSRQMLARGAFLYEPNDVIRIQSEKSIIGSNLQVAPLVLFVAALVLFSLQVLWI TLRIIIAAWNVQFVELAALFLSNPLTVVQMLYGQPNPELTWNKNVEQRFKDETDLDRL QVGPVYTEQGSFFTVTKASV JR316_0012985 MAPKNDDNVSTSAEQSIYRVPDISIKDLLEAIPAHCFKRSALKS SLYIAWDLLVIFCLHRAIVYLNSYIDPAYITLPSSRLYTAVHICLWSVYAFWAGLFGT GLWVIGHECGHKAFSESKAINDSVGWILHSALGVPYYSWRISHAQHHALNAHMTKDQI FVPKTRSQVGLPPLDISREDAFGSRVTAEVKREMYEALGDSPIGAILSPATYLLVGWW AYITTNASGQPHYPKGTNHFNPSSPMFRPHHYNEIMISTAGILLWITCIGLAIQHYGF LSVFRTYLVPYLWVNHWLVLIVFLQHTDPLLPHYRGREFTFARGVLATLDRNLLGDFG SVISWIGCHATHGISETHVVHHVCSKIPHYNAWEASAAVKKKLSEAGVRIPIQGAPGG WSELFRVYKECKFVEDEGDIVFYKNAYGLAQMRPEMPSRDISDSGVEVDSKLRRCCCD NTNARAF JR316_0012986 MAEPADTNASRHSLYTVIRKTIGNYTTFDGSDQQRLLDALLNPV PESDFPPRSVQSAGVFEDEYRLGRARLGEGIVMELASQGSLQLYLSNRLKWNPLLDVE LDSRQIIFQVCNGLEYIHSQNIVHCDIKPENILLTSDTPPVAKISDFGSAYGVGSSGF LTKEENFGTVEYMAPERAWPTKANGGFDHRADCHDFVRSLLERAPASRLTMDRAMRHP WFQNLDCRKIPRSMAVVVKPPVSRQSTAKAKKRGRRILETQLGGIRKSPRLREKAKVK GPGRSSFTRKKEGAGSLHDTSFSGASEKIISPGQKTSGGVE JR316_0012987 MASTSTSQDPGTVLTSTSTPTSIPTSPQIITQIPNVDLTALAKT TRKSLDRLASFSNMQSATDPLPILTKISAKIHALIRLIDASMLAAQLGYQLATDAISL CESTLIVVDSELGEWDAGVSIDIEVLRETCRSGCENAVKVMEGFRDVRQEAYKIAAAT KDNMFVVLVPPDKDHAEKMKIHLKDIGTGLVANLNLLSDFARAVMSVSDWWTFMQADL ESTKPTTIPSPNAISAYTQEEVRTMQTRWFALKEGFQKYYDIISVAQGRYPDLLPSSS TAWKEVTTARRHAPSSLGHGEEGSKPHHTRSPTRVPTNVIAKGMQAMFNKVIRPKLRK PDAVSKSISKGKEKDKGSSPMSPMSRSNSAHPSISFYHSGTPSTISRSSSLSIRGRRQ NNERKWTESGLPRTRRTTLLSCCSVKLFGEDEVSRLGSHVGGFMIR JR316_0012988 MASTTVNVNLLAADSQPSSTSAGDDDAGKKKKTTKRRKVNHACL YCRRSHMTCDEGRPCQRCIKREIGHLCHDERRPKVPEKPVETAGVPVVDIPRTYAPVP VYQGQPAQSTSTWPMSVPTYMYTPETFGNEFSVLTDFLETLDDGSFFSPPATIAPSLV SSASFPSTTANIPATNQAATPTTTLATGQLQAAIYPGMVTIPATAEATTTTATASATQ STENILPAATKTEKFLLTAADQESGSRDERLNRVIRSKYEAGLLKPYNYVKGYARLSR WMDRKTVIAGHLLTSNLCSVSQESKQQILQPLSVLRPKFRAVAQSLRDLDLVFIEEAF ERMLLDYDRVFSAMGVPACLWRRTGEIYKGNREFTELVGVDGYMMRDGRLCIYELMAE ESAVNYWEKYGHVAFDSSQKAVLTSCVLRYKPVLNTSTTSPVISKATTSGTPSASGTS ALVKKEDEEPQAMEEGFINCCFSFTIRRDKWVFIRKTKTAARMSVGIVKGSLPSALQK PAHSSPSPPLADNPFVDDVEISQALLKGTMMTKISDKGEKSVLFRIDPDEGRILYKSN KGGIVPIESIKELRLGPNARYYREQFKLPTDLEERWITIIYILDGTYKTLHIVAETRD VFHQWSVALQKLYAVRQGLMTGLGNVDIRQTVWERQYWKGADEEGDQVLDFDDVERLC KRLNVNLTPEALRKLFEELDTQGRGYLDYAQFQQFAKILKRRPELESIYEKITTRSGG KFDLAAFIKFMKEFQKSKLNDDQLKELFTKYAGSSSNLPNEPADFLSLDGFSNFLASP DNSAFTEQNFGVWQDMTAPISDYYISSSHNTYLVGHQLVGVSTIEGYIRALLHSCRSV ELDIYDGDHGEPMIYHGKTFTSKVSLRHICEAIAKYAFITSPYPILISAEVHCGVQQQ DRIVDIMVEVFGDSIIQAPVEGRPKLAQLPSPESLKGKFLLKAKNLYVVAQLAAAQAE RLAKKVAEDKEATIEAESSSTSSDSTDNEAEVLLKEGITDIKKTWKRLRGKGDPSKAS DANDKEGKHRKKMSFRLASLLVYTVGVKCHGIAPSIEYAPEHIFSLSENAANRMMKAS MQDLVKHNHTHLVRIYPKGTRVNSTNYEPHRYWAAGCQVVAINWQTFDLGYVINQAMF QRNGKSGYVLKPEALRCPEKDLLSKRTQHFLDVTIISAQQVPRLRNSRGEEIIEKSIV DPFIQVSLHIPDWSHSPFLPDSATTSGAKYTPSTDATTTSVSSARTVSFKTKVIKDNG FNPVWQEELCLPFDCVGGMKELIFVEFAVRQEGQNDDDEPLGLYCVPLGCLEQGFRHL PLHDAQLTQHLFSTLFIQVNIRDID JR316_0012989 MSDSYASHSNKDGRYGLHFYYGNSWDNRTSEPAMESDVQVPACT TTTTRTSSAIEWSADAVRRTLPFNQIPLHRPPEFYEASIQETSTLGDIGRRSENGVSV LPESYQPSLRSSGDRVTERHPMSYVSRLPTHGALPHSGYVRLNPLRYAHLPLYLQQAL LYEPTEEELAERAHQPVPPEKLSKYSELSPHLEFMQRLKLEEMMEKKSRNLAQCTSTA AAAVLPSNAPRSPNDIGDAIAHPRSVSQSTHGTSHTSNLTATPAPLPNLLIPDAPPPK KRRRSKQATGKMDSPLDDTMEVDQSSNPATDLGGLLGNGQISPISNSSGEIKYIKLDP RKGALACTFCRERKISCGRPPPGSPDQTCNVLVARSIASTPLTYRLDPSPKARPDDDA DHLRGALPLLNNEAIFSGMQIKVTDWDIDR JR316_0012990 MSTPVLKIAFTYDSREEWLALGYSAEQCAEFDGDDTIQGIAASL RKLGTVEMVGGLKALTKVLVKSKPDWDIVFNICEGFGSVGREAQVPALLEAWDIPFTF SDSATLGLCLDKAKTKMVWDYYGVPSAPYACVPPRNTWSEADEISVESVIQSSPHSQA LKTFPLFAKPSAEGSGVGIQQANKVTDYEQLAKVVEDLSLRYPTQTILIERFLSGREF TVGILGTGSSARAIGVREIVFLKDNPNCPIDPATIVDNQDPELLELEVYSNSVKRSGA GTNPQHVNMDLSSNPVAQRAAEVAVKAWKVLGCRDGGRVDIRFDSKDANAVPNCIEVN PLAGLRPGLSDFPMLAEGIGIDYDQLISTIVHSALERSRPTTN JR316_0012991 MKNIPTSNPFPTPIKIYYPSIAPPFPKSPLPPTTVPQSSLPGRA GLGWQGSSSGNGQASRVAKKYNICKTFPHPPPTRSLNPSPSEKQVYNIESLSLPPQKY TTHPLSPIPQIAPTSTTVPQSSLPGRAGLGWQGSSSGNGQASRVAKRDRWPALLFSQE SDKGVSDGDPPIAG JR316_0012992 MPLKLLTTLYGLVILFALGETALGWWAGFTNGWSHHLRLFGRFA GVISLCAWIWVGILLSYHNRPGSTHPLTQTFVHLHTFTMLTGLYLALGIAIASQVPAE CGEGYSDGLSGMWCGVSASACAVAFLLGVLCQSDSVLFADGIKANTSLVAGITLITIR LASPSMKENVCSGDRGRPVGNHNDLITIT JR316_0012993 MNTTSATLAPVFTFLEHTLTTAQTTFNKLPGSAVIQRYVKSSHQ NDPGRTLLELILVIFAIRTLLQSRTRADRNGKNFIQFSEKEIDELVDEWIPEPLGNAL TANEQSDLASAPIISGPNGPKPKLAATGKNALNLASYNFTGLAGNEHIKQRAIDTLRK YGLGSCGPPGFYGTLDVHMDLENDIADFLGTEAAILYSQGFSTISSVIPAFCKRGDII VADRAVNFAIQKGIQISRSTIRWFDHNDLNSLEEVLIGIEKERKKRRGPMTRRFIVTE GIFQKDGAMVDLPKLIELKYKYKYRLILDESYSFGSVGRTGRGLTELYNVPASKVDML LGSCAIGLASAGGFCAGSRTVVDHQRINGPSFVFSASMPALLAVSASEGINILRNTPS IFETLQDNVRAARAILDRVDCITIPSHPASPIIHIYVRQPQSHQYLQYPAPISASSSS SSAKHHHSHHVSAKDAVRDAEYEVEERLLQEVVEETLSHGVIVTRAKRLKGQEIVEPR PSIRLTLTSGLTKKETEKAVNVLKTVLVKVLSKRR JR316_0012995 MRTFLSLAIAIGGLGFFNVGAQSVLSSASSPSVSNAVTKPTKPI PKITDPASLVIPFIGTTNGGHVFPGATLPHGMIKAGMDTDSPGNHAGYDGDPTFNVTG FSQLHDSGTGGAVPLSNFKLFPFLQCSSFEKCPTTMANRKILRKVLPNGLPDDFASPG YFSTNLSNSIRVELTATRRTALHRYTFPAGTTNPRLLVDITNDGQKSSTHPVMTLDPD TARVEGGAEFSASFGPGRYSAFTCVDFKAEGFTLGKPTEYGVWLSNFPVRGTTNLLQT YYGFVSEMGALFTFNPAPSGGPTSILARVGVSFISSAQACANAQEEIPDFDFDKVHAE NRAQWNDLLSRVQVDTTGVDLETTQLFYSSLYRTHISPADYTGENPKWNSTEPYFDSL YCNWDTYRTLYPLMSLHDPVTFSRIVRGMIDIQKHEGWLPECRGATAMHFIQGGTNAD PILGEFFVKFSKQAEALNVSPTDLYNALLADAEIQSPNWNLQGRQADLWKQLGYIPQD QFDAGGANTKQVSRTLEHAFNDFAISQVAKALGKTADEQKYLKRAGNFANVWNPNITV PDSPGVLGMMQPRFANGTFNFTDPRHCSIHDPAQATCFLNAADRDGFYEGSPIIYSQY VPQDTAKLIQLQGGVESFITRLDFIFNQSYFDSTDEPSQQMPFMYHYANRPGLSTQRS RQVIAQFFNTSRNGLPGNDGAMGSYAAFYLIGMYPLPATQQILLSSPYFPQVSFFNPL FNTTTTIVSHGFTGNPPDGTGGNVFVKSVSVNGKPYKSNCYLDWDVFVTGSLVELTLS DDITLGCGNGKNALPPSISTGGYN JR316_0012996 MTDLTAPLNPARILKRAGGLRNSNGQVVKELDDGPPQPTSNGFS ASIKRKFHGFKIRAGALARSKSFNGETTRTVSESEKKFKKPLSRSLTDVTTVESPISP RARSTPRSKSKRFSAQPRLQTLPSLSEGTSVDHVTSPASAEAVEPTSPIIGNVRVPQL LQLGTPMTKVSLKRHQKFVFRLDADLGQIVWESKKHKIIPIENIKEIRSGDDARYYRQ QFHLSQEYEDRWLTIIYLLDGNYKTLHLIAATKDVFQLWNRTLRDLHAIRLELMRGLG NVEMRQALWEKHYWKGADEEQDQKLTFDEVEKLCRRLNINSTNEDLLRLFKQADTQNR EFLDFDDFRRFVKLLKARPEIDRLYKKLRAQNNGVFDYGVFERFLKEEQHSQLSAPEL RALFNKYSTSSPPVVTRRGDTCEHPDSASTPVITIDAFTAFLLSPDNSIFADQHNDVW QDMTRPLPEYFISSSHNTYLVGHQLVGVSTIEGYIRALLHSCRSVEVDIYDGELEPMI FHGKTFTSKVSLREVCQAIAKYGFVASPYPIIISAEVHCGLSGQDMIAEIMLKEFGDS LVKVPVDAEGVIMREKIEQLPSPEELKGKILLKAKNFNLLKSDSDSDIGYYTDPSSSA SDSEAFYDVSKEPRPFPSPKEQRKEKSGRQSDSSMKDQLAKAGTNILKRVKSVRRRSS ANSTGSSKAIISQSSPTSAVFSQSPPSMSFVSQSPPPIASSTPSMNALLKSKQPSGPP PPQSIASSLSLPIPSPSSPRGSKASLPVSLPIPIPGRRSDVGPSDRPKPKMSFALLAL LVYTVGVKWRGINKKEEYAPEHMFSLSENMANKILRFGMWDLIKHTKTHLVRTYPKGT RLSSTNYQPHRFWASGAQLVAINWQTFDLGYMINHAMFQRNGRSGYVLKPDAIRLAQK DRLAKRTMHSFDVTIISAQQLPRPKDAFGHEVEEKAIVDPYVEVTIHIPDWPVVLKDK EKEKHDGSGGQSIPTTSPQPHSVLAAPAIVAATEATTLLPVALPVTAATNQTPLASTP GRSTSSRTSAVRKNGFNPVWEETLRIPFDCVGDMMDLIFVRFVVRQEDKKDTDEPLAV YCASLGSLQQELSTMSIFPTS JR316_0012997 MAPVNQETAIEALDYGSSVTFKSPTEIVYLDYFPSQLRAKPPRT AEEKADWTRFVCISDTHARTFDVPDGDVLLHSGDLTNLGTEADFQKTAEWLYCLPHKT KIRKPRPNVTRRLNRDKIMDMLKGKKARDAGLVYLEDEYYEFKIRDSGRVWSVYGSPW SPWFHNGAFNYEREHAKELVAKFPKTDILLTHGPVHQIFDQVIGGEQVGCEALRARMP ELRPRLHLAGHIHEAHGAYIHTWDPENNYEAPTIQNDDPRVLSDLTTSDNLPDVKHSN PERERTVFINAANWPMGNRAKRNITGTLNRKIPFGGPGFQAVVVDLKD JR316_0012998 MEGILRFFQRPPPAIPPVKIELNLNSTSNINARLEYGPHDHKIE PEENNKSSFGATSRHIGPEPWTTPRHIPDNTDNNNRNPTNANATATVSYNLPVCSTSG QPSRFTFDFNLNASPTRVGVRGPRGNHQITSMYMLKAVLTDAHNWSDDDVEDFLAFIR KEARRTLDMTLPYRSQDEEAIDRVCETAIKEEHWPTLSEYEDCWPVRTALKLILKYRS TYLAQRRNLAMERPSDPASCGCSRDTGENAPEALPQEQEMET JR316_0012999 MKFTSAIALVLAALPVMVSSAATGTNATDACIQACCDAVVPGVR PSGNVGINCHADNTGDCPFTGQVRACCTRIGGFGSNNVGTGLGCN JR316_0013000 MKFTSAIAVVLAALPIMVSAATTATNAADACTQACCDAIVPGVR PSGNVGSKSLFLTSYIIPLAALHSMGSNNSTAMRTALETVDSRANSSHAARVLYVTRE L JR316_0013001 MAGKFDPNNAQNLMEIEKQFAVKAVEQAQTYWNLLEKVNPKDLK LTKYDDEIFDHLMATFPELNQEPYEKLVKIDEDWMKSKDGKNRWRTFIESYKDKVKDY NFGSLIRTDARDEYGESNTIFVTRIQFYAYEIARNRLGLNEKAHQIAIEDAAKEKAKK EKEAKAAAKKKGGKS JR316_0013002 MPSDDDSSCPQDRNGTNEQPNMPQETNSDLPKMWSIEDPFQYAP PKPDGDPWTILLEPLLKDDKLRCDAWKDEVQNLLIFAGLFSAVVTTFLVESYKDLQPD PSGAMITLLAHIAARLDNDTGVITSTSLSSNDPFVPSASTIRVNVFWFISLVLSLTTV LVGTISLQWLREHQSYSDISDQREKYAIFYMRKHGLEKWRVDRIFTVMPLLLQTALVL FLGGLIDFLHAFSERWSVVIPVAAVIGLSLLFLVTTTILPTLQTMALFITPSNWPHPP SQCPFKSPQSHAVRRLCAPLLSIQFYLTRSSTLFTIQSLISRLPRAYFISEMISRFTV PSRFRSQVSLAACCGNWDMFDQDWVILRDMYMRRSFGDWSKDYGNPYDFGFGHDELLP LFDIVFGLNSQKWQNNVANAAYHCISEVSQAVLLHLTDPDQILPQEGLRENDYLYSLL AVEPDNVSVTSFFDTTSWFRDIEMGELLPANVLTCILHQQNLASFTHWNGGMLVRHQK ELALRLFGYFYQKPHKLHLSHTKIRIPACVSFQNFVFYSSLADRDRDFRDKDTFEALS WQFSNLTLCIFKQICEDFHPHSLVHTPFAQEVGLPFYLEVSGYITSRTLSPSIHSSES SAVFLATKEMIHDTFSYIRLKLDTEVTAWGDDQAKPSLLFYLTVCYTQQLIGPLSKDE TFNSLRETILQYKKRTIDIGIIDSVLERRLIAILVHLVGHLRRKTSTKFYLEWSNIIV KDVQSVNVADYIKL JR316_0013003 MPSVDDSSFSQDRNSTNQQPERHDLHNMPEETNSDLPKMWNIED PFQYAPPKPDGDPWSILLEPLLKSDKLRCDAWKDEVQNLLIFAGLFSAVVTTFLVESY KDLQADPNDTMIALLAHIAARLDNDTSIITSTSIFSDNTFVPATSTIRVNVFWFISLV LSLTTVLVGTISLQWLREHRSYSNVSDPREKYAIFHMRKEGLEKWRVDRIFTVMPLLL QSALVLFLGGLIDFLHAFSQRWSVVIPVAAVIGLSLLFLVTTTILPTLQTMALFIIPS TSLHPPSQCPFKSPQSHAVRRICAPLLKIPSYLRQSSVLVPIRSLISRLHRISLSSEM ISSYTMASKFQTQVTYTAWCRTWVMFDKDWVIIRDLYMRRSLENRFKEYYWFMDTMGF GRDERLPLFDIVHGLKYQHWREDVVDAAYHCMSEVSQHALLPLTNTLRLSSRARLCEN NYLYNLSSIGHDRVSITSFFDTDSVFDHVGKGELSSAKALTCILHQQNLAAFTEWSGS IFQRHQKELALLPLSVSFFNVSSYHLVADRDNDFCDKDTFEAFAWQFANLTFSIFRQA CEDFHPHSLVHNSFSQELGLPLYFEVAAYITARTFSPSISFSIDNESESSAVSLATKQ TFRDLFTYISSILDMEISSWKDSQPKPSLFFYLAACYTQQLVGPLSKDENFISHRGTI LQYKEHTIDVGLIDSILERRLSGRQHASDNHIPYDDEYICVPFSSSWWDTFKGKTPEG FNYSPEPPVLFDDCQQINVVD JR316_0013004 MPSVDDSSFSQDRNSTNQQPERHDLHNMPEETNSDLPKMWNIED PFQYAPPKPDGDPWSILLEPLLKSDKLRCDAWKDEVQNLLIFAGLFSAVVTTFLVESY KDLQADPNDTMIALLAHIAARLDNDTSIITSTSIFSDNTFVPATSTIRVNVFWFISLV LSLTTVLVGTISLQWLREHRSYSNVSDPREKYAIFHMRKEGLEKWRVDRIFTVMPLLL QSALVLFLGGLIDFLHAFSQRWSVVIPVAAVIGLSLLFLVTTTILPTLQTMALFIIPS TSLHPPSQCPFKSPQSHAVRRICAPLLKIPSYLRQSSVLVPIRSLISRLHRISLSSEM ISSYTMASKFQTQVTYTAWCRTWVMFDKDWVIIRDLYMRRSLENRFKEYYWFMDTMGF GRDERLPLFDIVHGLKYQHWREDVVDAAYHCMSEVSQHALLPLTNTLRLSSRARLCEN NYLYNLSSIGHDRVSITSFFDTDSVFDHVGKGELSSAKALTCILHQQNLAAFTEWSGS IFQRHQKELALRLFSYFYQKPHKLQLSHTKRQVPVSVSFRNFSFYYVFQVPLSVSFFN VSSYHLVADRDNDFCDKDTFEAFAWQFANLTFSIFRQACEDFHPHSLVHNSFSQELGL PLYFEVAAYITARTFSPSISFSIDNESESSAVSLATKQTFRDLFTYISSILDMEISSW KDSQPKPSLFFYLAACYTQQLVGPLSKDENFISHRGTILQYKEHTIDVGLIDSILERR LSGRQHASDNHIPYDDEYICVPFSSSWWDTFKGKTPEGFNYSPEPPVLFDDCQQINVV D JR316_0013005 MSMTSVDNTACTQDHSGSSELPDNLDKVPEEANPALPKVWSIED PFQYAPPKRDGEPWSLLLEPLLKNEKLRCDAWKDEVQNLLIFAGLFSAVVTTFLVESY KDLQPDPNDTVITLLAHIAARLDNDTVITKTSLSSNDPFVPAASTVRVNVFWFISLVL SLTTVLVGIISLQWLREYQSYSNLSNPREMYAIFHMRKDGLDRWRVDRVFTAMPLLLQ SALVLFLGGLIDFLHAFSERWSVVIPVAAVIGISLLFLVVTTILPALQTMSLFVIPTS WPDPPSQCPYKSPQSHAVRRICVYLYDIHFHLKRLWRLSSIPSMISRLCRILFGSAVK HRFSFPSKFRSQVTYSSWSRSWSGFDEKWVLIRDMYMRHSLGNWNKDFGHFDIIGWEL DEQLPLFDIVVGLNRQKWQGNVSDVVYHCFSDISQRVLSLLTDTSRYSPRERLRQNDY LYNILSRRGGVSVTRFFDTDACFSDVEKGELSSAKALSCALHQQNLAIFTDWSSGVLQ RHQKELALRLFGYFYQKPHKLRLTDTKLKAPKCISFQHFSYYHLIADRDQDMRDKDTF EALSWQFANLTFAIFKEACKDFDPHSLVHNSLAQEHGLWFFLDVAGYMASRTLSPSIS FSINCQNEPSAVVLAMKEMIRNTFSYIRSKLEMEMSTWKDNQAKPSLLFYVTVCYILQ LIDPLAKEETFASLRDTVLQYKKCTIDIGIIDAVLERRLSVDQGATSDRFGAYYSCPG LPFSSLWWRTFEGKHSEDLIYNPTPYVEEDSQPADVSK JR316_0013006 MDEQNHAQTLQALEVFISTQRTLLAQQRADIERLQRLKVDIVQR PSHFLSNLSNELDDNAFRLSEQASCRLTLPKDIDWAVFEKSGTFPFLAILQNFIERST DRTQPTDTGPLRTLAQCKRDEIASRAQPSQTQRPSSLSDLQRFVKRSRRTILDPILAR FAAMSPEPESSSEEELDPIERQRRLEQQKIRELKQRKIRGGCALRMPLSSKAGSMGVF IREDVAEEAMALDVSIDDDDGEKGTITATPQFDVEMDASDGEVPLPPPMGKQLPIPPK IKKVSSSSASTRVHRGGKKNLPPPPVFDDDDDDDDISPFAPLPDNPPAPRKKTKPKAL AKTKRKKNDFEDGSEDDDYYGADPAEEIKTKSKARGNGKPKPETYKQAWSESEQNLLE QLLEQIPEGEKFRWQKISRAMGGRRTPRQVASRVQKYFEKLKKFGLMIDGD JR316_0013007 MQQEVIELFFSDDEDRRDDEDPYLDRRALLEPVVGRVVDALGGR EGGVYVMGDQVSGCLKDLKKLWRKDDTDDERTVARLFWEMRVLPNDLVPILLETAGKG LVEDKRAISCIDLITAMTWPIDMAEELKELDEELDKGTDYTALLQSHLHYKAALLQPG VIQAVFGIILPPLAKGIRERVERDGQVINVALHLIRNLAFIKDLPSNSHLSSDQAEFS NLQSKLIRSLSETHIIDLILTIAANTDNDPLFNSWNTLVLEILYLLFRGVKPNTLAVD HLKQSKDNLQRLLALEDRTRRDLSRKATSRHSRFGTTISVKLNPDKQTARLADGNAAD AQPTASTSRSMVLHRQQAIYNDSGTVLDMAKRQKNKRLNKADDLGVETVLSMEARVIL QELATEFLGSCFNPFLSTLLKDIRSERPKITEKDNLRLLYVTKWFLEFFLFMRSKEKH DKAAEDKWNFGLVAEVTERSWIIWVLKRMREAVEEKPKLWNELQAGIECLTQLLLLID KMSSSEITDPTLQEAAEVLQQQLVYNGEVLDIALESLKSYKPGTQSLAYLDSSVYLAY ALLRMLERWGKEKGNETYVRQKVTKKRRKKGITEEDGIPDVEEEEEVVDDVITETLFT FEVFEAKFAQSEITHTLLTYLERYREFSSSENMRRVVSLLHRQAIKAKAEGLFFKVST LDLFKTILADQKSLPREQPYKDLINLVNFILRQFFKALEKDPFLAVEAFFPKNRGNWK QYSSWEPEEKSKKSKNVVDDKRFPPDVVVKKGFSWSDQLGIAISVLVEAGEADLVKWT TQILSLVISRRHRIVEETDNKGDDNATVEHDDVDSSAQRHKPPSDEALAKFTDYLIPY TSDEQADAATNNPNLKLLFRLSKFYILDQDSDELEWYIPSTIETDELQRIHNVINQFL DTPFDLDGKKASELLQKKRRRRRRRSPSPDSDNAAVLSGDERRSKKRKEKKMKEKEQY KSAQFIEDSDEEYGNMEAFLEKEKLQRQKAVLAAEAEGLNRPPTMKASGTKKRRRKNM EGSKAKKRKGDVSSARSSGADNSDDSEVEVVSITHTQPSSSVGNQTPKKTPRIRPRPR PKRLESVLPGSSPIAMSDHDNLPSSPSREQNVEPETLAGRSIRYTKRLILSDDED JR316_0013008 MSSVTGHTAHSERPERSRNAKAQARHRAKRKAYIDQLEQTVTKL QVAVGYTTEQIQSLPPPLLKIRELEQENARLQKENDELRRLLTDPNSRSLSSDSGRRP SIGSYQDSRSSDRDYMIKRRKQDGVYISPSDTPPHVNETSRPPPPLTIPQPLSHHYGN SIGSSSNHSTSNQSSLFNLHAPAFQMPNTPSGSSATSSPPFSASLFPYN JR316_0013009 MQFVELGMEMRARVPGPHISLIDPRIHNDAEALVASVQRTYALF KEKGVQKKDIMVSIPATEDGMHATKRLQSMDYENKINLYLVSSLKHAEACVAANSTMI TVPVGPVLKSFEDKQQGIRNMSSCQGGIEEIQSMFEYLNRTEIRTRAIATSFRNMSEV GQLSECFAVCVSPEQVERLKGGARVPIALVKGPNNIDKQRGQDANPVNILTRGGALGF SGTTRSAINSVLFHGLQTMKRQMDIIEQYVELEFVRQFEFHALDLSKFYNLHQEVRNI LLWRAPKNTTKSNSTRLRITFQGTPKGPTTGSPSANTQSKNGRSEAGNLKEVSREWCF RAPAEEEDDDDLDEVF JR316_0013010 MSNRLSSKEDYEKLLDSYDTWLFDCDGVLWRGDHLIEGVVEVLD ILRRRNKKIVFVTNNATKSRKSYKTKFDQLGVESHVDEIYGSAYAAAVYLSSVVKIPK TKKVYVIGQGGLEEELRDEGVNFIGGTDPADNTLAPFSLGDFVLDPDVAAVVCGLDTQ INYTKLSKAFQYLTRNPDCLFIATNEDSTYPSAHGLLPGAGSISAPLRFSLGRSPVCT GKPSNTMLDCVKAKLVTSFPAAMNDSINDRG JR316_0013011 MTNSKRKVNAKKTPPSVTKNVPNSKDLGVYAPLAMPMTSYISKV GVHTTLWMFVALYLPRTKFFLGELVDLEWGEQNQVSLDQPQHPFLDALTVNPASTLIY ICLGAAILQMMWAGTMRDWWLKLGVRGSEDERRTEIALLNRQKFTITRNACAATIAAS FFIHFILILFGAPITSLVLKTYLLSLLISIITVYPPAYTIGISITGNNSASIVNRWTW VRLFTEFQVRNPVERNFVYSEVGTVVGCWLGIIPLALDWIRPWQAWPLTPAFGAIAGY IIATLSALTVSIVVQLAEDHRRAQDSLEKSE JR316_0013012 MAQRVTLRKRKSYNTTSNRRRVIKTPGGKLVYHHLKKLGSAPKC GDCGIALPGVPALRPREYATISKRQKTVQRAYGGSRCGDCVKSRILRAFLVEEAKIVK KVIKSQEKTARK JR316_0013013 MSIQRWSTEMLVMLSAHIGHEDMSVTNLAMTCKSIYNALIQVIL VDEVCYMEKMMQAGKLILTNDNGRIYRIPPENPENFYNTLFKTIFIELPDVDKDLRCL NRLIVRAKKVDLIKLQLKSYGSRLPPRSRARKLATIFNICVEKDADVEIKGYPRDWEY EPGPFSLIVVEESGGRRNSRHLDTRRRSSQHYSVSVLSAANRRRKTNPLMALQRTLDV ILLAKESFSSQITASSTVPPSVLVSMRKPKYEVPLVGEPRATGIVFSSTIPFRASFAR STFSMLKSPHLTNLVFYNVNLSEYEWSVILARIDLPALSNFITVDETIAYPDLRKFLA RHPRITGLNLSGCLYQNSEIVFELPIKGIGRRFLPELDYLKGKPQYLTAFMRGGGGRF PKLMVVDVRLTKDKGEKFIDVGKKDTQRFFEALARFGPHKLILRVECLQSTNLVGWLA DWNESHSRFRIRRPRSLPSIHKLIIGESGFTVPLELLEKVAASNNAASRGPPEVDDEK IVKTYLWRKCPNLQEITYSFIRFVSSCFIPSFVGLQVFTFTGSALYAQKTSELTRDFV TLICAWQLQSL JR316_0013014 MGIADLPAELLFEVASHLDQSEGPEQARLALTCRWFYTTLMRGV AEYQSRQFEVLLQRAVITPSLQASPLSAVQLDKDSANLKLFERPFSDLVVHATHLDAA DTRSLRYIICQSKHLQSVEIAIIQPLRDHRHLAAVMGTCFGKTGLHLRITGSFSGDQS PFSFQFHSNGQSIQIASHPTEGIHAVSRTGIWPNFRYFFRRFLALFPAALPSTPISGE SPLAAPTPTVTFTVTQRKPKFHISSLPTPQLESLHLDGALSLLQSVYPVTLKALNSQM TSLTLQRISFSIFDWNQILPSISLPVLKTFTLIGDLTIAFPDLLMFFERHQSIEHLYM TDKNLIGMAKMPLHSILPNLNTLGANSEYLTPFLQYKRLGHLPALRYIHISSIKTPNG PSMTRYPYPCDDLYPVYELMSDPHFSDLWVTIDALRPSGLMDWILFSKFANRDGNSFV HLAGVKVLTVKSQDMSISVEATQKLEEWKDSAGNPGGISQPHQDNKAMDSISVMENLI WVKCAQLETLQLGV JR316_0013015 MAKITDLPTETLLEVASHLEAGIHLAHLALTCHRFVDILMPQVL DTMAIHLEEATFQKSIKISPPIDHQISREPRRDIFIRSYGKIEVYVHKTTLEQMRSVR CLICRAKEIRDVELFVAESSRGTNLRNLIEGMGTCIGRRHLRLRVTTVPRKSGITVRI FQPNVVPSQITASTEPTTTIHITSSVTFTTLARVTNPLQIMQPANIDNQSTQPSQSDS VQAPSNVIEASSAVIGTRRNSRLWSFPLPSFFRRRTTRSPSSQNSSTTSQTAASPSNV LFTSASDLPPSAAAGISSGIMPSPTTHPTSSAREIPIEIRNNTSGVAMKGHASNVFYA GVQRDPIFYHNSFPKPLVSSLFIDGDTPFHHAVYSAVTQNALRSGITNLSIHRMSFPP SRWTHILPTIALPALKTLTIGNLAIAFEDLTTFLQRHNTIETLILIDNQPIDTAANLP SINGSFLTNLTSLTANPDYIVSFLRGKKPGGLSSLGRLCILSHGNEHHYLSMRSIYHL LSTDNFSELVLVLDDLGTSCLLEWLLSPESGAKQEDGASDCLRGVKTLILNMDDVKWV SRELVITLNNWVNGSSSADGVLAVGNPPIKGVKSWDVRENTETLIWTKCRRLEALKLG RKLRTRPRERREA JR316_0013016 MDAIANTLIQRLHKLNGFTDHFPNLSLFPPTDFTTHLWDILSTG TPLCYVFDQLPEDEDFVKINLSSLTKSPFEQNSDRAMKDAISIFALQVRAKPVLDKIP GCEIFSVEDFWERKSTENFLKVLNTVNAILDYLPQDAFKGDPTSPYPPLSGLDTIEYN NSVRNAIIQNILSTEQMYVQDLKLMQEYALALYNTNVFTQELSRILFPNLKDLLEFQR RFLMRLEFISKLPFHVQPWGQQFINEEDGFELYDKYCINYVNTLELVTAYKNVLSTLN HIINVQTELQSFLLKPIQRIRQYPALLEPLVKTFTPDTHMHYQELMRGLDVARRVADR TNGAQYRAEKPKVLNNLLKRVRNWKGHSPSSFGELRAYDLALVTKNGTSRPYAIFLFE KILLLCKEMGRKRYPAQRYAAPSDADASAMWQNDNPLELKGRIYLVHILSITSDSDTD VIATAHDFCYPLTIIWLENNLPESFTLQCRHEYQRSHWHGTFKSLMLRVNPETFEQPN SNSPRPTIPPERRHAINYHANFRRSALHKMFEHSESSTGYISTSRGEYSLSDSDGDDL EDYSEASYPPSGRQTPLSERRLHRSRSWQIITTTTKVDGNDINARRKLGRVKSTSSLP RPIPEAFSLSDVVAGENLRSPVEYNRSQASNQSHFSVHIPSLQINDATEGQDNPLDHP SSTTKIKIHFQSHIFLIRMSKTSEFSALQDELMAKVRILDPIRAQSGVQLRIKYQDED GDIISLISTDDLQMALECGSQISLFVS JR316_0013017 MIRPPPLKLKLDNRFPHPLRTPSKPKSESFVSQLYKASWKNPVI AVAGLNGLRFAFAAVYAIVDDAEAAENLAKVSIALGVMYTIAFIIELYGIIGVSMQRL SLIRVYLYLTFLASLLITSAGVVSGVAYFLLAEELMWECIGLATQGRGYEKSLFRGRP WPGSPYPIGPRDARKQCVYAWVNHSWSQIASVFLFSLIPAIIYYIMVYTYYQQTIDPK HHANLERNQRQPGSATREAGGVYQQVGYSRVSQAAPARDDASASGMTTSRSRAANPPS ARLRAGRAQVHAARSVRGVVSTSTSTSSSGSSNPAGKRKFVSRSLQRSHRPPPLMQSP SPLGLSVNLTPGPPTYGPSRVYAAFAAPVASAEYDKFV JR316_0013018 MDYRPPPPDGTYDRLWYHIGFLRNAPIYNDNGASKVGTFSIIVG ALYLAVFGIEAFGIFAAYSQKIPMVRMYAYLSALVTLIVMVAGMIQIVIHFTLKSEII NVCTNFNEGDTVFYGSIFGPVRSGTLTREDAQNWCNRSWNRGSWSNILSFLLVSAIAG FFTVVVFSYLRQVLDPAHPANVVREPATYRTGNYPTHYNPPYNPNAPGYYPSYPAPAG PPPNFNNNDAFVPPYENDNKPPGYVRGENFKGELAEQQKGTGGYQWTLEGPSERDVTS QPTPNPFR JR316_0013019 MTRPRFPLLKTLQVNLRSLKPPPPHLRWDFDDAIKLQYLRAGLG TPTNLSVNWANLVYLQTVVRSGLNQPIGILGTILDEFRLSLSSLSLCVSLRTLDCNLI GSFPVENLQPTIYLRHLENLKITSDNFTCARFLSYLNTPSLKKADFNLSYFNLVLDGV ESWTSAFLNLSERSSFNLSEIFIQSVGRQNSYNDEFVDMLRQLAHTSSIELLTLLSAP PDRSPLPGRMMELLTVDPNLSQEDQKEHLPYLTSFWYRGVVSFPIKLIIAMVESRIKL QEKGDCKRAGHFLGPRGEASSLLASALLNALPPLHFDVTYTNPNWIDEQDPQDVQYLG GLLEKISSSERKCRLAPLEESLMRAYGFRDDLFDWGV JR316_0013020 MDDQAESMVANGDNLNAISDGLESDFSESHSTTSHTAVQYDTKP ILSALPIDIVSDIFIMACEDVRNLETDLFHPLPPVQFLIGSVCKAWRELAWSTPVHSS TLAPSQDFSFAKSLSHSEATASRLD JR316_0013021 MAGAVFWLALWVATGVSVVQSTFVTPNLPLSFLFSWGDPTAPFP VTVTEQCETLHITWSRSSATGPNPSAPYFLHVYTSNFIQPFIIPAGSQLSFDWTVPFA PGTIFDNFGNTGGCQDRYTVIAPSSPPTCTNVTFSPQLEVSALVSNGNLSQYGFIDQC TDISVTPTSGSPPFTLTVAPALHPPYNITSQNMQPINWTVSLSWASPFFISLADSKGA LWSNGPLHAGSGVSSACLAGNVTVSHNVKVPVAVGASFATLFLGAFLAAVGLYVLSRR RSKANEQVASPVLSRWAEGNSELGQSPADQQVYPFRLSQAGYQGSRNEATLRTKIQIP QPSWLRNRRTLFERPPSYPQEYSPSDSDLLSRTAGGGTFPGTLTSITSPGTITSPGTL TSPGTLTSSGVLTSLGARTSPGTVESPGASTSPSHLSAVSRNANSEKSSLFLDGEDRH YDPLGPLRMTRSKRSPTISRGFSE JR316_0013022 MSDEEDQHMFRNEEEDEDGHAEEDENVEDDDEVMDDSRKKNKSS RRHQEEDDEEEDDEEEEDEDDEEEEEEEEEMHGRKGKKRAKHRHKRSALSRFLDIEAE VSEEEEEEEEDEEYGADTFITNEHDVDDDVTRRTNALLDSSRHFEEEDSRSPEEIAKA VARRHRDRAAPYTGDMNEIPQRLLMPSVHDASLWQVRVKPGRERDIVFSLMRKAIDVE YTARPLSIVSAFQRDSLPGMIYVEARSSKQVQEACNGLVGVYPSRGIQLVPIEEMASL LQIKKQEATLDIGAWVRIRRGKYAGDLAQVMDQTDTGEDVGVRLIPRIDMNPRDDMSI DPQGKKRKKTATGPGSMRPPQRLFNYEEILKVYGRKNVTKRNQAFVFQNDTYKDGFLE KDFKANALITEDVNPTLDEITQFTRRQDGGDDNPVNLSAIAEASRKAAISVLQPGDHV EVFEGEQSGVHGVVDEIHGEIVTITAQGLDLEGQKMEIAARSVRKRFKPGDHVKVMAG QNADETGLVVSVSENVVTFVSDMSMQEISVFSKDLREAAEVGSGSNVVGNYELYDLVQ LDAQTVGVIFKTERDSFRVLDQHGQVRLVQPHQISMRRDSHRAIATDSEGHELRVNDN VKEVDGEGRKGRVLHTHQSFFAFLHNRDIAENSGVFVTRTRSLASLAPKSNVLKTAGA DLSKMNPAMVAPTGGMVGSGNMGRGPRDRDIGATVTVIKGPHKGYVGTIKDTNGPIAR VELRTGNKIIMIEKTKIYRRYPDGRLEPLDKAPRNTGFATPALPGPGMGGYGGQPMNN GGRTPYNSGKTPNPYSGDSRTPAWNASSRTPNPYSDSRTPAWNASSRTPNPYADGGRT PAWNVSSRTPNPYATGANAGATSGAGWGGATPGRNVGGWQTPGRATQAPAWNAPAPQN EGWGSPSRGVASAPTPWDSWSAPTPGAAPTPGLHAGPTPAYATPAPHIQTPAAGGWSA DAHNVATPGVMPNVFELTNPDEDLDGEWMFEPELVNYIGRVKVIVDSKQGRSNYSEYN GRRGRITTAQKVPTAFQQTVLVRFMDRPGQSEEVSLPSSCVVPVQPSYSNEEVLVLGG KRIGNALVIREKPEPGDKKVVVSSKETPLNIDNVPMNLVGAMYEEGSF JR316_0013023 MAKQSLPLEARRIRTIIVTLPIITACSFVLYKRLVLGEDQRKLP SALDAENQNMRILETQKKDGTPKII JR316_0013024 MSATQKPVSSEDILGQKDGHGPSRYPLALERERPGLTATAHSTP PESPAHASSPNQKLHQANKRVSRSM JR316_0013025 MAQSPMISIPKKTTEEVDWTTPIRNLISQSYGESPDNYAAECSA LQRCRQDAVRGAGSDITARDLLYKYFGQLELLELRFSEIRVNFPWHDAFTNKLITQTS IAYEKASVLFQIAGTHSAIAASQSRSDPEGLKRAFYYFRACAGMLTYINENFLHAPST DLSREVVKFLINIILAQATEVFFEKCTEEKKGNALVSKIGQQAASMYTSLTEDVKEFM GKGIFDRNWVTLIQIKAKYFSSLAQYHRGLADNAANKHGDALVRFIQAESLAKEASRT ASSFGSMFVSNMSPNLPADAGTAIAERTKAHLVICSDKKAEATRENDLIYNAILPAFE ALPQIEKTVVATPIHIHDVYGAPEVQKTIGQDFFIRLVPLSVHESASVYSEEKAKLVR GEVEKADAAEGEARSALDGLGVKEGLVRFKAMAEGEVGGGDEVPIDVRRWKEDIALVE DRDGVDGLMRALNSLKGNVHHDLEAISRDLDAESKECEVMRVKYEHLWSQAPSATITK NFRQDLKSHFGALEAAAASDQQVTALWDSVRSDIQLLLSPQVEQLFSERGGSKPDNLL DLDVDNDADESKERTKIKGYVDEIEERLKRLNLISKERGEVLKDLKEKIQTDDVSHLL LLNRRNAGVEPTLFAAELEKFRPYQQRLASTVHHQEMALQEVTTLWKGLKELAGRGAG ARKWEEREKRKKDTVRRFSRARDGYMEVRDGLAKGLQFYTELTELVSKLGTHVRSYIT ERGVEREALVAKLETEKRLASATSPPPPPPLASKPPLPPPPPRQATLDSAFSSLSLQN APSPPQQPPQHSWQTSPPPTQPYGNGANSSYGAGQQQQQHAPSPYASAPPPPPPSSQP SQYSYQQPQQYGNPSPYGNLPPPPPQQQSSSFLPPPPPRPPAHSSSYSTTSPSAPAVD PYANLGLFNPSAPSQPPPPPPPSQPAQQNSGYGGGAGGYYSQQPPQQQNHYGSTQFPP PPPQPQSQQYQGYQPQQQQQQQGGYQMPPPPPGQQPQYSSYQTPPPPPTSYPPSSGYS QQGYGR JR316_0013026 MSVLLGPLSGALVAGGIYYGFSNLMQTRTQQHIKDLHTLSVRLV ETPNVILAPPSAAARVKPHNFTTELKARWNNEVECLFRGIQNLDRSAVEWGRTLLYSS SGKEDKGAGEAPATKVPTASAETASS JR316_0013027 MTRIPRTLLATVLTACLWQSTFAAPIAQPFDVRTIEQRDLASIL QNIECSASAKAVVSGLSSALGVLNKINTTDATLTKDIASVKGFLNKANTVGQAIVGAC NKASASAASSAASSAQSAAAAAAQKAASSAAQKAASGGSSASKGSSGSSGSNASASAA SAAPTDPTSTDTSDQAASTAPTSTDTSDAAASTDPTSTDTSDAATSTDPTSTDTADAA ASSDGTDATDGTDGTDGTDGTDGTDGTDGTDGTDGTDDTTDTTDDTDDGLGADDPALD VGDDSADTGEDGADDGGDDGGDDGGDDFGDDGGDDDFGDDGGDDDFGDDGGDDDF JR316_0013028 MLHFRQPHPSAKCTPNSSAKEEGKTTTASRTGPHGLVRRFNRRQ LFYARPRRLIKRYSAHIHSRAPHYRPWCSQTAALWAVKVPRIADGFWGYCRKKRSKPN ASPAKLIGWPNAAHEIDDRLGPNALAGLVQSMCLNMSHEETVYVMCSMAAMNRLKCNT NPTRRATAVAPLPSPGETPRVGGVSLARELDGNVGASRNRSRRHCRIRAPSGRIDKAH HPVGVSFLESQQTPHGVAQALLP JR316_0013029 MPGIGLYFRFEDNPRRAIDLLDWLDGHPEERDVIFDVTVPIRGE TLTLGACYARAALHLYSTDDDDGTIPQRLQAHGIHGNLEDALAHLKKQLVRNVPDIDK RLNKIFMPFSMQVKYHIHERWKKTYQTVNKEIMESKPEIKLDSILRLDDIEFKPRGTW KVGEIPAETEFLPPEYLWDRLHKYWRNNPTFNQYLRGNLKRSGGRRRRRTTSAPSHGM STNSDEMSPSSSDSEASGFEGETGGQPSQHRRRIKKSHTPHVSVKRRNSHSVSEISTS SSRTTRSKTRHESYKHGHCSAPGKQSDYRMLELKIKLKQLENEGQEKQAAAELQRLKL QADMEERRRKHEVRMQLLGAEMFKAA JR316_0013030 MIRGSQSTSLLVLGAFTFVVTASAISLLSIKQSRKKVPKSPRRE KLPKDLETWKFLSFEKYVEVWDELRPLLEQHNFQLWTTTPGQQLWDYDLPPQGDNFLY LTSHSAPNISWVRWRRFSFITVCLHHAARMNGIRDVVLRVVALSGEGQTHLRIMKRLA SPPDQLLSSNHILPILHEVYFQDIVIIAVPKLIFDLHEVLLYPESCSVEDALYMVLQA FEATAYLHQNLIAHRVGFLLLEGVSSFEYHIQDLYLPNFMVEWMPESLTKRTTVARPR VYMIDFETAVDFPADSLESDRVCTSFHRDLEQFGRSIAPELRTKEPYCPFKLDMWELG NDLRIFLLTGLDEVDRLWQALCVPNPQDRMTADTALKTLDEFLRKTPSIDLHRELLYP TNNG JR316_0013031 MILILGTFTVAVTASAISLLSIKQSRKKVHKSPRREKLPKDLET WKFLNFEKYVEVWDELRPLLEQHNFLLWRTTLGQQLWEYDLPPQGDNFFYLTSHSTPN KSWVRWRRFGVMTACLNHAARMNGIRDVVLRVVALSGEGQTHLRIIKRLASPPDQLLS SNHILPILYEIYFQDIVIIAVPKLIFDLREVLRYTESNSVEDALYMVLQAFEATAYLH QNLIAHRDLYLPNFMVEWMPESLTQRTTVARPRVYMIDFETAVEFPADSLESDRMCTS FHRDLDQFGRYIAPELRTKEPYCPFKLDMWQLGNDLRITLLTGLDEVDRLCQALCEPN PPDRMTADTALKTLDEFLRKTPSIDLHKKILDPTNYE JR316_0013032 MAYVQVEADDRLEEGPEGLQFKSFLGADASAHGVSSAQRSPGVG NTDRRYISDEANQRKGSGSFWNIEYYQPYFDIDTKTVLERCYTTLMPWTPSFRTYFSS SLNPPDLYGPFWTLTTLIFALYLSSSLAASITSYMSPVGADPFDYDFGLLSIAVSLVY LYGLALPVLLWLGLRYLGVGEWSVVEAVAVWGYSQFVWIPVSFLCVIPVRILRYVLVG IAWGLSGYFLGLNVYPILASAEAKATRLLIILLAALHTGIAIAFKVLFFSYYIKVLDG APGGDTKTGGGSGAGNSTNPRWL JR316_0013033 MSSTGYQMSTPARSRLSAPALEGGGSDNTCCQHDDNKEKGTEVE AKRDADVESVYIAIEPPASSVTYPEQPPSQPIPPPSFDASQFGIILRHQRLRPPDNSG LKLPPPHATPSRFVHLSNAFEFAGWGSSIRVKLTQREKEVGEERVKVEREEREKGLGQ WTASALAGNAVLGSVFYALPAVVGVAGVYSPISLFIAALVLFLWRPIMEELAAALPIS GAPYTYILNISTKSLALVTAALLLLDFASTAIVSAATAAAYLAGELGSGDSGLPFPTW VGAVLVLVLFTLVSLWGVRESARIALGVLGVHVLTMLVLAITACVHWASIGTAQLRAN WALGGGPGEGRSAGAIAKQVYYGVCLGMLGLTGFECTPSYVSRIKPGRFPRVLRNLHL PSIVLSTTMMLLVLAILPMDVALRGENVLSVLAEVSGGRWLRLWIVVDAVIVLCGGVL TGILAASELLTQLAQHRVLPSFFLLPLRLPFRRPNPLPRLPTPPPSASPITTPVSPIS NPTPSSSPSPTPHIAILVFSLFSGALYASALGSLSVISEMFSLVWVCVMGVFPVAGML LKFERGRLVRGVGVGVELCAVRNSGERMRERGGEDEQVEQGAKRGVGGRRKGGERKTR LLVILAALIVAPLVFAGNVAYNPKTAGYFSAYFIGILLFFSATQNKVHLLRWLYWVYD QYPSLHVWRVTSAWGEGLISLMRRLKRQPVCILVKSDEINSLFHMVMYVRKNEETSCL KIIHFYEEEKEIPSELEANAKILDEAFPEITVDLILVDGKFDPAHIAALSYRLKIPQS LMFMTCPGPTFPYSVAELGTRIISL JR316_0013034 MIHGPRSNSLLVLGAFTFAVTASAITLLSIKQSKKKVPKSPRRE KLPKDLETWKFLSVEKYIEVWEELRPLLEQHNFQLWTTTPGIQLWDYDLPPQGDNFLY LTSHDAPNKSWVRWRSFSFITVCLHHAARMNGIRDVVLRVVALSGEGQTHLRIIKRLA SPPDQLLSSNHILPILHEIYFQDIVIIAVPKLIFDLREVLFYPETNSVEDALYMVLQA FEATAYLHRNLIAHRDLYIPNFMVEWMPESLTKRTTVARPRVYMIDFETAVDFPADSL ESDRVCTSFHRDLEQFGRHIAPELRTKEPYCPFKLDMWQLGNDLRITLLIILTLSNKT GLDEIDRLWQALCVHNPQDRMTADIALKTLDDFLRKTPSIDLHRKILYPTN JR316_0013035 MHPQLLRDRGRYSAHIHSRAPHYRPWCSQTAALWAVKVPRIADG FWGYCRKKRSKPNASPAKLIGWPNAAHEIDDRLGPNALAGLVQSMCLNMSHEETVYVM CSMAAMNRLKCNTNPTRRATAVAPLPSPGETPRVGGVSLARELDGNVGASRNRSRRHC RIRAPSGRIDKAHHPVGVSFLESQQTPRGVAQALLP JR316_0013036 MNLLVCGRFSTSIVSYPPRPRERTTTPTTLSHAGTRRIEVVKVL DASCIQLTLSVSDNHIADWQSLRDGPLIRLQD JR316_0013037 MELIRSRIRSLRHRYARLRSSIGTESQLLTVEQILDKLDETMHT SLISKIVNFELWGRLHLLWREDPEFKDIIYDADSPLRLIHGDIDVESLSDSGLSRRST PQVNTTSKSVTRETSNSTNPTVVSTPISTTNLKRKRGHQSSFLAQSSNSVASSTSAAG ATSEAHLERMEEMELKKRRIEEDLEAMRLRETELKLNHEYNMGKQQIIMKALRLLDVN LEAQKAT JR316_0013038 MPNLSIFMRFPHRVVRLLDWFDQHPGERDVIFETPGCSDQNIPP SNCYPLIALDIYSKVEDNGCVVERLTNKGNISVDNRLRQLRKDIEDGEPGTVQRLAQI FAPSVIQIKKEIMRWKIVYQKLNQEIMEKSPGLHLESIMKFEDTGLNLNYQAQNNVFT DATDGSLSPYQLWDRLHIYWSKNAKYNLYCRPSSSGSLARMGPGVHSIHDAAPRRKRR INSDEESAAVTVDSEKETEHQTPCTIMKRTRNVQNLSSDCRTDVRCNKNTKRRRTNMH RMEAMTGDDPGPRNEEQAQPIYESAPYQHQEEELRIKELQLRIELQNSENTAAAIRLT QKFVDGNEVAEILHTLPGHAMA JR316_0013039 MATIRKEKLTFLDIVDICDNVHLHRGPSGLCDVSFNSEILVPLY LSESPDSPVIGLLRPTMVEQLQVENQNSYQNGTEKMWSFRLSPSEHITSRNGVPGPSV SFRNWLDTPSKRTAAMKELCERWRDTGLFSNVCGPKKWRNEMYPVYSDPFGFHDHPLV AGHEEGLNFAFEMERSACALFGVVTYGVHLSIYDEVLQEDGQRKLRMWVPTRALTKPT FPGLLDNTVAGGIPSGMPIFDSLVKECMEEASIEPEIVNKYTRAVGSISYFYRASTGW LQPEVEYVYDIQIPPNVDPAPFTPRPLDGEVESFEFVSHDKLLQQLQAGLFKPNCGLV ILDLFIRLGYITPDNEPDFLKIINRLHGTFDYAIW JR316_0013040 MFLITPIVNTLQNIVVFTYDFGLTTANLIIPSRKVGHVTPEGHP GAGGKWPEYIAPKEGDSRCSCPALNAMANHGILPHDGKNIKFSELGPKIRTTYNFAST FCFFVPNFAANMLNKSYSKDTFDLSELDLHSDKGIEHDASLTREDSALVPDQSKPHLQ YVKELLALATKKDKDGNLILTTKELSEYTAKRRVDSKESNPQYTSSFFHNMFGCANSS TMLTIFGGRVSDLETLLTEERLPDGWESRVRKRLGLTFASFNSTVLKVALGINEKKYK ESIAAKPASEETSPA JR316_0013041 MQLSLEQERLEQNNGDLLAQISNVDTQVARRVLRKFNGDMEKAA DALLAGDRALDWETKHRNTPEPTYSDGKDSSKSVMPAPSTSVIDLTADDDEMTRALQM SMDESSQVSKFGPSDRAPNPEWAMVRSNDPIVPGTTNEDHTLNEAIQASLQDFQEDVD VSPFSDSLREGGRPIALRTRTKELAYGALVIQALFFIPQVRATVSNFRLPRIEPSAQL GHPSRIMWNLIELFTNMDLAQLAAIIDNELLPAMFTERLTPGQSLPDASADIVKHVAG LIEQHAAAQATEEDEMEERLFSFTHGNVVLNNQDAAIKSNRSSEDGIVVTVEYGDSVL HNDLISCISDNLNKIEPTCSSHDVIIRPSDVITFQLRRLPNASSSKTSPDPFVYPKSL YLDRFMFDNLAFTNNKRKQERRMLEEIENLKAQKEKLTRSDNRDSLQTLRNTVYYYEF IAKSDGDRAREARLQRVTENLRDILTGLEAKVEDIDRQIEKLQAEVAVVYDCPELQQY QYDLRAVLVHSGLPGRKHIYSYVQDIEGVWWKTVDHEVTEVPEETVLTDPTGLHLGAG PYMLFYSRHLTHDQLHEPLVWPNVFSEAVADNNKKFLAMMHPELEIFSNASLESPEIQ PTPRALPIPQERQHTRDSSRNMIVDEPTFSLPPPPY JR316_0013042 MVSADNLNLDVLEVIFSFLSGNDLPAVALVSQSFLVAVIPRLYH TISYRIRQGKGYASGETISPFAAVIAHPHLAIHVQNIEISTVPTVKSVVHPVFVRECR EALRICKNLKSFKCIVQNVLPMFLPALQEKGRLNTLRIYANLTTTQATMLVNITGLES LSLEFASWNTVDLLPSWSSSLSRTLTTLSLYMINVLHEDIFASVLGNLPNLVGLHVVG CPKLDHVAIFKHLTKTPLLENLSITVTETTKPLPLIPLALHHLRNLALDAKYTMQPSP VTVLASVLTYLNSSSPSLSSFAIKMPERKVVVGEPFIDLLIDNHQSTLRRLAFLDCGV SRESIIKICKKCLRLERLDVAIPMKELAAFAMNISHSRTLRTIVDVDNHVDHSMQPTL THDTVRLMMIQCRSLMRIVTNRRIWTGKVDANNNVIVSLEKRPSRRHGSLWFMPRD JR316_0013043 MSAITANRRALSVACRHSRQIHSSITTHAAVVSPSALAEQEFEE ISLQPIFDIFDAPTRLSESSEFIRDKYKTVTKAKATPQTPTTEWSAGSSRSSPTPLPS PIVFDGPARPKNEALAFQRRMRDAGLAPQPHPRRAQASSARTFSSSEPLVQMFEGPAR ITRYHHHSQSDSQQNRSKLVVALGVAGAVGCATLYNENDDSRLGLRK JR316_0013044 MTSYFDDPDSEIRPLPQCGFGADVDFNTLIQSNRFLFRVYTPKE RSPFYDDTEPFFVAPRFDEQFVRSPVDLPKVKFSEPFIGSYADVARHMEWTTRSTSSY ISTSFSFSWSIWEAVRRYYQGVKKDVEIAIIDSTALGGRAATAIQLMQKSTPSERTEQ YWKWYRFSQDSQSVLVYGMIPRTAVLASVPLIQILRKMPSYFLRQDVQIISGNPLDLV AWNFALRKHNYRQFCQDMSKLFLARPAEVRLRDSTAGCVRLALSFLRPFFHRVVQSDF EIAISYLRNLSITISQWPGGEWLRDHKEIRRIIDSMVLALAEELREKYLDEKQEEMAR LQLVINGLQQEVDAQKANTTILHLNLVDDDSDDEFAVDFGESEEPTLVTPSVLPIVEK PSSLYIPIVTVQPRIPPAFQTPITPPESPRNSLFVTAVSGSVNNVIPSPLAKEYVDDV GQASNEVVPVEAPLSAVSEEPASPPPTPPVHSTILPPVSLSPIEEEAFCEEEETPNGQ EWIDSSSKDLVDQTLELLQDDAGCANKHDTSDPLAQENLHGEVSVDALHYGDDLSHTE DEDDGEETELEPETEHEHYLPPTSSNSWAMIHHVRPKVSSFSSTHSSIASMDTLIDPG EGSFHLKRLSITSADSFEFVPPYSSFRSFNIPSQTVSFSEPPSRAPLTPILNPVDIPL PPSPIPSLDSICLTSSLSSASSHLSSTPASPRSQSASLESRSSSPSPLAQHSVQSSTH SSPHSSPSSATTPLPLELHLPVIPAALLIPPKARISLLSPLPEAHDVDDEDEDDPKSK GVRPTRVVETASYLVGGFLVGAFITLFLFSTQRRQLLALT JR316_0013045 MTLNLSHRTQLVATAVAASLATAGLVHAYNTHIRRTRRKELDDE VRRSISALDSMQIDREDVESQAFRSQLNLQSTSRANGSSLDYDEDLIREQLARNYAFF GDEGMAKIRKGRVVVVGCGGVGSWAAVMLVRSGISNIRLVDFDYVTLSSLNRHATATL VDVGTPKVKCIEKTLKQIAKWVEVDSRIEIWRKEEGGRLLEDADWVIDAIDNIQTKVD LLKYCYDNKIKVFSSMGSGAKCDPTRIQISDISHTVYDPLARSVRRRLRLLGVDSGIP VVYSTEVPGDVKLLPLPEEEFQKGPVKELGVFDDFRVRILPVLGPLPSIFGLNIATYV LCELAEKPIGNPLPIKNRKKLYERMYRDLLKRECKIAGHQINKLPIDEDDVALIFEDL HRGRSVVPPYDIPSRPTLVRWDPTRPLSIENCVVFELNDADKHVNALWNPDGSASTTT TPAELWGPEIREVVDRRAAEARRVRDWVMRLIHPRGSVFGGICMIYKLLPKTMAGRQT YFHTSLEEWTRSDEYHNSFLIPKDDILDAVVKNNHEQGLPDIAVTVAQGKFLNLLVKT TGAKRVLEIGTLGGYSTIWIGRGVPSDGSVTTLELNPQHAKVATDNIKLAGLADKISV VVGPAVESLAKLDAKEPFDFVFIDADKPSNLIYFTEAKRLVRPGGVIIVDNVVRYGRV ADPEYSDNNVEGVRRLLHALKDDQDVEATTIGTVSEKGYDGFIYAIRK JR316_0013046 MDSVLEVGYNLLDRGLVPDFILRIAIRLLLRQRLREINAGSLEA NHAAKMKFIEDVRNRTTIAEVPEKANEQHYEVATDFILSTLGPYGKYSSCLYPTGRES LAEAEKLMLESYCTKAQLRDGLDILDLGCGWGSLSLFLAEKYPNSRITGLSNSATQKQ HIDFAAKERGLTNITIITADVNTHDFDSSIRFDRILSIEMLEHMKNYQVLFKKISTWL RPTSQKGDSSDESLFFVHIFCHKTTPYHFVEDDGWMAKNFFSGGTMPSHDLFLYFQSD LTLIRSWYLPGTHYSRTLEHWLQLQDRNGKEGLKGLQKDAAAKGHDPIEGKKTFHRFR VFYMACSELFNMDGGEQWGLGHYLFKAK JR316_0013047 MDSVLQVGYNLLDRGLVPDLALRIAIRLLLRQRLREINTGSLEA NHTEKMKFIEGLRNHYTIADVPEKANEQLYEVSTEFMLSTLGPYGKYSAGLYPTGRET LAEAEKFMLESYCTKAYLRDGQDILDLGCGWGSLSLFLAEKYPNSRITGLSNSATQKQ YIDGAAKKRGLTNVTIITADVNTHDFDSSIRFDRIISVEMLEHMKNYQMLFKKISSWL RPRSQKAEISNEADESLLFVHIICHKTMPYHFVENDGWMAKNFFSGGTMPSHDLFVYF QSDLTLIRSWYISGKHHSRTLEHWLQNQDKNRKKGLKELQMDAIEKGYNAIEGKKSFY RFRVFFMACSELFNMDGGEQWGLGHYLFKAK JR316_0013048 MESTRTFQLGSAKLAPQKVTDTQGGRVPKGWRSATLLAISFGLI DVPHKMGEGGAKGMEDQDVPFAKCQGLQVYLRLFAHRLDFSIRLSKDDKD JR316_0013049 METPQTPTSDFSSRRRLNQTLVVNEAVECENDITSLQNVLIDLK YKRMALNTKLNSVHDLMAELPLEVTTEIFQLVVDSQEESNLRSLPTQFLLGRVCKAWR DTVWKHPSLWKDIRIQIIAEKYPKQEELLGEWLNHSGTRPITVSIASKDRWQPPQSFA TLLLGTSQRWTAFTCCSPLSSISEGLAADPPPSFPLLSSIKVFTNGLPQNESMKYNFN ATPKLRNIFLSHPYLCLPASYALSFINSLQNIRKLVIWLTKGSANQIASQHTGLKILP SLNSLCLTGENTAASVILDRIITPALMDLRLWIYSTGSANLDHAWITSLNDLRERSAF SLSNLSFKGAGIGQEGILVDAVGSFPTLSSLHIEDLGKNGNFVLSDLTIG JR316_0013050 MSSSSDSSSGSDSSTLSITTRSQRNPARTAVKRQIALCNLHISD LHFALKEARAKRTELNAALNATTPFLAKLSLEVICEIFQHVVDARDPRDFACTPPQFV IGRVCSAWRTISRSSPTLWRTVVIRFDNRRTRQPKLLEEWLKRAGQAGPLRFYLSIAT PKGVWNTAPLECSTMVLKLMHRWEVFECTEFLAMAWHSPGPMSFPRLKALSLRYEPFD YNYLLAPQLRSVTVYGSQPQRLRLCWDQLETVKLHSVHVREARWVVRQMVQAREVLLD VHCEGPLPPSIVLDPAGAQKRLPHLLTLEIRDDAGRSLSGILLDIVVPALQTLTIVTR GQNQAGGTEWCADLVDMYRRSITTGESMLTTLTLKQCALREIEVIQLLHCLPSLTSLD LHHAISGGGMSDDLIDQLNPSNDHPGVCILPFLRRLNYSGPVKFDADNALFMLSERLT WGDNRAKYKALFNKEAPVVQKIEEMRVEYQNREYFREGEFSNPNGVGAFYERKVELKT KGVNFDMVWVESTRT JR316_0013051 MDNNLELVFKDASTIEDIIMRNQGQLAAAKEATRLCREKHYRLS YHLNKARSVLSSLPNEVISQIFLFMCAPETSVWEFLCFPPTQFLIGSVCKEWRQIAWS TPHLWDNIEIQLVRKSCETQIELLEEWIERSGNLPLDIHIYSHGLFEAPWEAPPEAFT KIAEVYARWRSYHGHAVPGLAAELKRINATCFPMLETLSLSEPIHAPPVNNPPDTTYQ WNFLSAPALHNVLIEGPLKGVDIAINWGSLTCLTADFVLRQSPHNSKKQGYVSFHVLT SCTLLTKLELNLKDSRVSSTQQPHPCRFPHLKILKIEGSADIVEYVLEWLTTPSLNEL QIRLESIDITSEWEASLIDLTTRSSCKIRSLSVIHSHKHPESDDEFVDMLRILSRDHP IDSLEIRSYGFTMSDNMVLLLTMQRDSEEQQDHLPLLKNFTYAGDISFSLRKYADMIN SRLIYEAQKSKENKIHRRRGTKKEPGDEYAEPDKEDENSDGADQLDNSSASTSNLNPL NFSVSYENLSWFGKQRPCILQSFYKELERASTRGKKFDFKYGQCWGENCDDISSEKVY SSWHMDY JR316_0013052 MALSKSNKSSSIFNKKRSSNATGALPASAASYLSALSEADASAR KRNVSPTSTPALSLSSSITSSSEDTILHEPEYLRDPLLASNKDGLLLPARPPTSEQVF NTVHTEFGHCADERYRTTSQHKLGTPLAPHVEQDPPYYILLSTYISYLILIVLGHVRD FIGKRLNPKRYKHLMPGGGYAPLNSDFDSFYTRRLKTRMDECFSQPVTGVAGRTILLL DRYSPDYNHTQILTGTRTRALNISSYNYLGFAQARGPCADAVEESIKRYGISSLGARL DAGTTDLHVVSEALVAKFVGMEDALISSMGFATNSTYIPALVGKGCLVISDEFNHASI RFGVRISGAHVRMFRHNDMRALEALLKEVISQGQPKTHRPWKKILVIVEGLYSMEGTL VNLPAIMELKKKYKFYLYVDEAHSIGALGPHGRGVADYFGVNPREIDLLMGTFTKSFG AAGGYIAGSKALIDVLRVHGHSGAYAEAMAPPVLTQVVSSMASIMGLQVAAGDTTTTG NGNGIVEEQVYHHPGPAPINSIPSWVHLPPALASGQEGSSRLRRLAFNARYLHNGLIK LGFITYGHPSSPIVPLLLFNPGKMNMFHRLMKERKTPIVVVVVAYPATPLVTSRVRFC VSAAHTKEDVDCVLKACVEVGDVLDLRHGIPVRERWSLEEVVERAVELGTME JR316_0013053 MAPSVGEWDEGTTAGGDEARPPPAVTSLDLTNELPTGCTCAVTY LKVNHPGTPSGWGTTMNPIPTEPIRRYHAVGGREGGSGRRARSKTPAGPIKQKTLLGL INELPTGAHSVATTWLHTRGNVPQSQSPGDTVGMGVNDEPYSDGAHPTI JR316_0013054 MYTDLDLDFEHGTIEEDIVKNQSQLAAAEEAVRRCRKRQYQLRR DLNTARSVLSSLPKEVMSQIFLFMCSLADSPFGLWMFKPDQFLIGSVCKEWREIAWST PRLWNIVYIWFREKSWKTQIELLEEWIERSGNLPLDIYIHSMPKSGTPWEPPTETFTR VTEVCARWRSFDGSAAPGLAVALKRTNATRFPMLETLTLSESIHAPPVNNPPDTTYLW NFLPAPALRNVSIKTPLLGANLTINWESLTCLKAAFILQQPDDPAQRKYIPFDVLSSC KQLTELELDLMGSGVSSTQQIHHCHFPHLKVLKINGLAVIVDCVLGWLKTPSLNELEI CLESVDTTIQWEESLIDLTERSSCKISSLSIKLPKNELDSDADIVEMLRTLSRNHPID SLEICSSIDCGLTLSNAMVFLLTMKRQYDDEKRKEHLPLLKNFYYNGDVSLSSWEYAR MVNSRLTYEARKRKAHRMGRKHGMNVSVLGESTKDENGNNQVGDEVDECGDKDEIDQD EGDDGEQLDNSSVSNPLTFKIHYRNRNWFQAQHPNSLQSFYSELERFSTRGNRFVFKY LNYYDDDENYFYYRRYETGDED JR316_0013055 MKVYKAEQLVDPGHPAASIRKALFPNVRRDDLGIKMMPTGLLLR EKRQFPAIPILSWRERVEMAKEGGLTARHLQNNINLRGTIFKPGEQLYPKYDYNNVLL PTQKITPCSPVLDVLLKKTWRRVPMAFHISTSKARMGSFRHQRKHIEKRLRAAINLIV TRGAYFDREADKIGINMEDSGRKWVMQGWTYMFLPSTKIHQMPYTELIDLLRTLLAHI NSQAMTMEKKWLYDSLVAEELGSRLNRTGERTKSLSSSAVSDVHLTGLLQDDSMHPDL VEKVGLVNLQKSRAQKRDSAPELITSDRKEEQHGLSNVSTEALPSRILAGKTPAVWKQ ELISSLSLLVGQKHNSSHEK JR316_0013056 MPAPAEFLKYIDTHADAFIMRLGHAVGIPSISGDPAHRKDVFAM ADWLNSQLKQLGVDTKLVDLGRHVMDGEDLPLPPAILGRFGNDSNKKTVLIYGHFDVQ PANKSDGWDTEPFTLVVNEETGQLIGRGSSDDKGPILGWLNVLQYHHENKVPLPVNLV FCFEGMEESGSEGLDDLVQRESQKGGYFDGVDCVCISDNYWLNTRTPALTYGLRGLAY YKVTVSGPARDLHSGVFGRTVHEPMTDLISLLGTLVTPQGDILIPGVDAMVAPPDEEE KKIYAKLDYSISDVEESAGAAIALSSDKVAVLMGRMRSPSLSIHGIEGAFSTAGAKTV IPAKVSGKFSIRLVPPQTPEKINPLVFEHLEKAFAALGTKNKLVVEELHGGKPWVADH RHWNFEAAKRATKAVYNQDPDLTREGGSIPVTLTFAESLGVNVLLLPMGRGDDGAHST NEKLDRSNFIEGSKLLGTYLYELADIQK JR316_0013057 MPALVISRGSSAASASASLPLNQPPAMRILKRPSPTVSPNQSSA NLTPAGETFKEREARYQAARERIFGVSSSTSSDGQDKDGQDKAKNSALKKASSPTPPS NQAKNSVVRDPRGPSNSTPNPNSENRTDNKGFGERRTQRPPPSTAVPTTIFPVSSSDI PI JR316_0013058 MSFTEKTSLTAEAIPEKDQSTISARRKALPPNVIQRIFIFFITP CHRHPHDKCKRLSCRNSFPLLLGKICKEWRNIAWNLPVIWSWINIPVTPDRSDEQLEL VKDWLQRAKDQPLSIIVRPAPSRSMKVYAKFSPQTPEIFKLIVDYSTQWRALEIESTP LLIEFLEPAYGRTPILETVFIHSAETQFTKPTLLAFLGNAPNLRSLFYLGTNQIGGIT YPWTNLTSFRCESATLAMLKFLCEFAPNVQQLNLQFKTLSKNQIIDGADPNSGTGQDA LSDDRWNLPRHLISLTITGWITSQYVKHMKAPPTLERLTIIQYGKEDLTQYSFNPIID FIDRAKCTLRAFGISIEVLPIDVQSLHELFTKLHSVKRLYINSKVTANYSAMMRQIPR MLDPYPNPALSTSTDLDMSRPLLPCLEVLYYCTVDTGLKSQHLIDMIKHRCKGTSNST GTDIAYGGFVKLKIVRFNKTNPKILLEALQEEINQGLQMTYDLPYEGEPFK JR316_0013059 MVKLQQHGAITNVDSGIIPKLPTREQIQRDVLAHEVKIKEAERQ LEELREEGRKLKEHLNDSSPFLSLPVDVISEIFYHSYDWRYRYDYARLPVQFVIGRTC RAWREIAWSQSRLWQDVTISLADSRFDDQLRLLEKWLDRSAGRLISLTLNLHPDSVKK TWTPPPALYHTILQTCHRWKSVGIYDGMFDGLISTMNSCGVAFQFPELERLYTGESTQ YFAEWPLNFPSQLRELHLSVAETLEFPNISVDWSQLTSLYVSCAVEPLLDVIRQCRSL VYLNFNCPDNTDDDDIDSQIVENPHNLPSLDRISYLGESYWLSQLMRNITAPNLQYLS SIMEPEEAIPGLWVANAIRMVKRSNCKLKHFSIIVNFPSLSFVEQDFMDLLNALPYLE AINIDFGPTLTNRSIDLLTPETDSSSAAGVKSTVPNLRNFRFHGDVSFDPQNFVDMVE SRSRLIQASSTADASSKADISVPKRLELDIHCHNRHWLDGKSPTEADTFYDHLRSFSS NVVVNIDGP JR316_0013060 MSLKTDFKLLAKGSSNVNLQAQSSDLLSRVPPEITTEIFLNVCD RTIPPTLAKTSPKFDLLRVPLPIVYPLMLGKICKEWRRIAWACPLLWNVIHIPLSLRK YQQQILLLSQWLERSAGCALSIYLEMHDGEGLWCGSDYLRSLFALLVEHSDRWEHIDI FLPKPSKGVLSGACLRVPLLRSACIRALNSVDVPLEFLECSPNLRSLELKRISPKGVD CQNLTRLVASFVSTTDILFTLQAAPNLQYCELSNTYGTPDSALPILQFCLPALKTLKL RDCHSSALWPHFGIAPQLRDLEISEWNDQLDLEAMSSFLSRTQCHLQNLAIATGDSMD QTGLQNLLQALDSLVSLEFHYLFVRDDTHLFRSFLEMFEPDSGHPLIDAQNDDAAAAD DQEDKSQKEASIFLPNLRKLGYQLPLNTDEADLQKLIAIITKRWKHGDPTPTRRCTAD HSISGGRKRFARLEALRFDPSISTSQILDPLRKEMEGGLKITFDLPFIDSEDEDP JR316_0013061 MLGSISTSCLKKHAARRSLSALGGRSNPRSTQKRTWTTLTRTSD ALTIHALKDTSFPYVWLRDSCQSTACVHPTNRQKLHRSSDVPLDISPIAGAQGVQVTP GGIDIAWADGHRSTFARAFLERHADPAELERWHYDQHLAEEAWTNESVSKLSDLFLPY AAVRDTDQGLVDAITQLAKYGLVFLTGVPNKETANETCELRRVGERFGEIRPTFYGLL WDVVNLSNSKNIAYTNLDLGLHMDLLYFQHPPRYQILHCLRNQVIGGTSIFVDALHAA RVLREEYPAYFDILTKTPVSFHYVNDGHHLHREHFTIELIQKSQSESEISHINYSPPF QAPLPLNTPKEFYPALAKFAELLNDPKNTFEYTLREGDAVMFDNRRVLHARTAFRDRE GAGEVKDGEPNRWLKGCYLEADALVDRVRVLRKKLQTGSVDL JR316_0013062 MAPVKVSKICCIGAGYVGGPTCAVIALKCPHITVTIVDLNKARV DAWNSPDFALPIYEPGLEDVVRQARGRNLFFSTDVDKAIEEADLIFVSVNTPTKKSGV GAGFAADLNYVELATRRIATVATSSKIVVEKSTVPCRTAESMRTILEANSKPNCRFDI LSNPEFLAEGTAISDLFSPDRVLIGSLQTEEGINACESLKQVYSNWVPEERILTVGLW SSELSKLAANAMLAQRISSINALSAICEATGANIDEVSHAIGYDSRIGPKFLKASVGF GGSCFQKDILNLVYLSESLHLPEVAAYWRQVVEMNEYQKRRFSKRVVDTLFNTITGKR IAVLGFAFKADTGDTRESAAITLIKDFQSEKALVNVYDPQVSADQIWLDLQEASPLST LESIQKQVHICKSALEACKNAEAVVIATEWKEFKDIDWEAVYAGMNKPAFVFDGRLIV DAEKLRKIGFTVTTIGRPSSVL JR316_0013063 MNHLETLIIRDCFAASTVILPYFTTAPLLRELRYTTHLQECLPP DSLRTFIARSLCSLRLLELETRKLTPTCVNFTVHPLLTHTPMLTTLIIHYIGDYGSWS AVAEYIAILLNPLEQPLHRFLHHSPQGPFKNSILLPHLQHLELLSTAKYQSIPTVLEM LSRRWTVGSPANIESNSSSLSAATTCNFTKLLSAKIPEQYGGDVMQFLGNAMREGMKV EFSLWFLE JR316_0013065 MTSPTETPLVVESSAGGVHPAPAVSESRNGSNVTIRDGFIATIT FAKKLFDEVVMPSISSFIETSRTNPRVAAIAGTPALLAFLFTAAFLIFSTLTLIIWTI ITVVFGIVFVIIGGFKALLVKLMFVIITAIPLTVIGTSLLVCGNSLAHMIIRQLPGRS SSDGSTPSSTDEVDWQRVAEALARNTIQGVRSSIPLIHAVFETIRNVFRASYTSFWAA IMLMHDTAARDAGAFTRSTPTPPQPPTGSTENSTPVGSRATEVVSPPLSDSSDQEIVV IEPVNLPSTSNSGKQIPTLHQRAAFKRTGDNDEN JR316_0013066 MDNKIDGSPERATSTTDSSSLHKSESEKYILDRVDHATVRRAVL KMDLTLLPVMTIFYLLSFLDRANIGNARVAGLQKSLHMTDRQYQIAVTTTYVPYILAE LPANLLLRKIGPNYVMPTILTLWGIIVILQGFVTSFHGLVVARAFLGLMEGPMFPGIV LYLSGFYTRAELSLRIAYFFSAASLSGAFSGLLAAAIVNMNGVGNKPGWSWIFIIEGI FSVLVGVISFYLVPATPKDSRFLSEDQKQIITQRLERDRPFVNPLDKFSLSQVLSSLR SPQVIIIFIIYFMGGTNLYGLALFLPSIVNQLGFSPNHTQLLSVGPFAVGFVVTIFVA YLSDRFKSRSIPLAGICVLAVAGYSITLASTNKHLSYAALYLMVPGVYGPTPMVAAWL SNNSEPYYRRATSIALGFVATNTGGILSTWRYPSKEGPKFHKTTIMNLTFALSIMALT LLNALILIRSNKQKKLRRAEILAPYVTEKEPDGGLRAWVELGDEHPDFRYVL JR316_0013067 MPADLSQVVGFTTPDKPVAWNKRDLLTYAVGVGAKNDDFQFVYD PNFAALPTYPVVLQLKGADSDVNLFSDRIKGRPIPGMPTLNPNRVVHATQSIEIVKPL PLVSGEGWTWKTRYTGVVENKSGIILTAENTLVSPSGEVYARLFSSSFNLGAKATGEK FSKFIAGPPQGKPIPKDRKADWVVEDQTTPEQAIIFRLSGDYNPLHIDPKIGQGAGFG GVILHGLSTFGFAGRAVLKTVGGNDPNSLKFFGVRFTAPVKPGDKLETSIWEVGKGPK GTTEVAFETKNLTTGKVVLGGGVAYVVKKADAKL JR316_0013068 MSTSQAKSIFDPQVYTDLKLKKIIPYNHNTSRFFFELPDGQASL IPVASCIVARASNPEDLRDAKGDPFFRPFTPISPPDAVGELVLLVKRYEAGNVSKYIH SLKVRAEGDTLAFKGPYLKFAYEANQFKEVAFIGGGTGIAPFYQVLTHALRDDNNKTK FKLIYSNISEKDILLRAELDALQKKNPHTFDIVYLVDNPTEEWKGPTGFITVEHIKKH VSPATLGDQIKIFICGEKSIDNDILKGNAKGTHQDLPLKLPHWRGKKTDRTKENSAEF JR316_0013069 MPMEHITIPSPQSTTATAKPPANPFAKRRTVIISSDEEDGVDGQ FKDSDDEVQVLASNVGGGYKTPVRQNHGPLLQRLDDMFNQTNPPSQPTGSVRPQATPI HVPSNRDLPQPNFIRRPIFPGPPPPKMTDGEEIKIPDFRDDNPYMIPADAEKALRELM SGGMNQELDDDIEVDMEQATVPGFNEGFKLLPHQIIGRAWMRDREDPAKKRTGGILAD DMGDIHENSLGKTIQTLTRIVEGRAKKSDKADWSAANLVVCPLALVGQWADEIERMTS FKVLKHQGTTRTTDPNVFNKYHVVVTTYDTVKSEYMSYNPPAKDESKSSSKSASKKST PDNSEDDSDEDSFGRTIKKKVPAGKSKAPVKKCALFATKWWRIILDEAHNIKNVKTKG AIACCELKGKYRWCLTGTPMQVLPSGLPNFANVCSRQNNVTELYSLIKFLRIKPLSNW DTFNEQIAKPVNSGRGASRAMKRLQVVLKSIMLRRRKDQTMNGRALIELPKRNIEIIS CPFDDAEQEFYDSLESKMESVIENLMASSNSGGGNTYISVLLLLLRLRQACNHPMLVS KDYKNDSQALEPKPAEKGKDVADPDADDDLIAAFGQLGVTKKCQMCTSELRPGNIGEG EWSTHCRDCVPLAIKAKQSEKDRPSSAKIRMILKLLKEVDERSNCEEKTIIFSQFTSM LDLIQPFLTEKGIKYVRYDGSMIPKDREAALEKIKTSSTTRVILISFKAGSTGLNLTA CNNVILVDLWWNPALEDQAFDRAHRFGQKRDVNIFKLKIDGTVEDRILLLQEKKRALA SAALSGDKIKNMRLGMDDLLALFRPGGKDDEEEDFD JR316_0013070 MTEQPVPASVAREAKSIHQSLKELLKTKEPFDKEVDFQRKNLRR RYLNLLLVHPDAKESKDVENHLWMQTSYAFISSYKQSIAALDRSTQNNQRHQQQQQQQ GQRPPPPRQNHDVERRKLVQRFRQFLADEEKFWTQLVLRLRRLFDLREAHPALVALGL LEADNVAASSIPEVSETRDGVMHGASGRNHFQFPPEDPSVSFAPTTAEEREGRLAILS KALICLGDIARYRELYNESNGKRAGHEDGGSGRRGRNRRGGGPDLPPRPRNYDKARQC YEQARLLVPYEGNPSHQLAILSSYQKDSFASLIHYYRALCVSQPYDTAAENLGTVLSK ALEMWRQRTKRERDKNGTNDMQLPPRVRVELFKERVVVLHALWRVGMDKGLEKMKSMS PKHNEKVFHDFYALVSERHLPIDLISNTIVLSQGALWKHRMIRDTPSTSHRKTDAAPV QPGTATLIEWGMLDHLMDMHLVLLEVGKDELKDPQIMEEGADDIAQRISATFRRTLPA LRIASKWLRANYKYVVQDQEFIAYQSRESSKGINIVKKDENKISGYSKKTQRFWKGYA QFMLALSQAFPANKLHPFLSPLEEDVEMKGFLPLRKLMGEEKGKEKAQVNGAQREQVH PNVEQLMRISDLLEDAKVLVQMENSPLMMINNHIMFDPLMVEEVRPRLQQEVSPVVDN DSATSNHAQAPPVHDDTGKQIEPDVDIEDVDHLSSTDDDPVGEAFEHLKTGGNVLDEE EDDEEEDEIVWDPRAPISPALSPITRVAPLTPVKPIISPKGLSPRSPTHYQPIKQPSF TTPATHAPAPQAVTTAQDLLNDVMGLATVGRVINPAHVPSTESVSTAPQPQFLFGSEL SHRPSQSIWSASEDEQPLMYSGNTSNSTAQSGGHIYQTSPRQFAISPASQDLSQQSIW SSPYPSQLTHNSQQSLGGGLPPAYSHSHSHPPQPMLSPVGMAQRQHQRVPSASVAAQL FPNHIQSQHDPFAYAPPIQPPIHRPEHILSPQSGYINSHASQQSFGGGSTEYYASSNP AYHSRQLSMHDPRVGHVSVPMSQIWSHTG JR316_0013071 MSTESQSLNAPSDALKAYAAYPFDTDENYQQGLASILSGGTYDT SSSQEVRDEMIRRTRVFYFNKITGSSITLEQVREFELATPADSANDDETRVLTFAELK ELIESGNVDKIPNNKIIPDRLNDAPPSQSNAPLPKKPWEKAAATNSS JR316_0013072 MMNSNPQNKLQKDRNQKILLELASLPGNNICADCKTRMPRWASH SLGIFICVNCASIHRKIGTHITKVKSLTMDDWTKDQIEFMKSMGNVKSNSIYNPNELR HPPPPNLEETERDSEIEQYIRAKYEYRKFIDKSALVASKLGPSRSAASVAPRSVSSPL SSSTQASPPSQPAPPPSAPPRPLVTATDAAATSLAPRLASGSNQASEYPAPTSYYAQN QRSMSHPVPVTQAQHLQQAQRQNTIGGVSSIWDDLNSIAGPVQNSTLPLQYQSSPSFS SAPKPHVNGAIGAQGYPVGVTSAGMGMNPFQSQQIGTNPYSQQQSQSPFAPAQMSSPF SQTTTGTSPAAPSYAQFQASSNPMLSQSTSGTFMQPQAQATLQIQSPVGQSYLSASPS QGFMTPSVSQPQFLSHSPSQPFLSPSPSQQFLSHSPQPQVQQQPPTQGQFGYQSPMSA SSAQFGAMGASDSGQSQFLGMTTMQMQQQLLLQQQQQQQMQQQMQQQQMQQQMQTQQQ QQLAQQGMFTASQPQMMYGQMFPQSGSYSAGTQQQWGAM JR316_0013073 MSPQVSWANRILFLLIAWTLPCLTRVAAQTWCGKNYRKDQPITP PEGAFETLEELSAPQLAFQCAPRMHIYLESDDCDAASIILDTLVTFTRLRYSWPLPDS FDTLDPSNFSLHVDIGEERSSFSNVLFNATNIEIPVSLCSLQPRLEPYAVRYEIKEQG FNVVHPVPPFGDQAVFEAMLDYLDDIGLYLIVHVHSSYRDLEEVAREVKAIRHRKSLL LWYTADEPDGHGHPFDAARKAYEVVNNLDQYHPVSIALNCADYFFEEYTSGADIIMHD VYSFGNNVTFSSKYDTPCTTDFGCCGCDNCIGNLEDTSKRLDDASRRLKVMGWEKTKF NWAVPQAFGGEEFWARPPTGKEWLAQTLLSINHGARGVIPWIDPTPVDIKHCASRLAL TLPRIATFLFDENVIFKHFTYGRIDTGTWTKGHETLFVAINLDDEYAAEYDFLGRQQR QSLKWLINEGVSNNTMGHLSFEPSASAIFVTFRIRTAHSNERSEASGDVRLVEHDEL JR316_0013075 MSVVPYPVPTTSTQWQSFYGGATSTESCLYYDKYGNLNVELTLN GNAILPDYMSLAREVQRHPTAPINISLWHWPAIELSLSLQPHMSLLWSVFHRWHTARF DIPLLNYLMKKTSWMDAERPRPHYFTSLMIRNIGKAGELAPSLTRLITVLLCNRYASL HRIDICDSGYTMPPLKYLAKIPFENLTSIRFLDTRCSAAQAHEILQGSLNVREFYATA ITGPNPRAEVFVAACVNLRVLNIQLDELDFDRDDFGHVFALLDRIAAPDLEQLTLGYE SDWSSTHFAEFYVAVQPRIRKLHLHKMRLNEVQLMNALRLNEDIEELILDGQEDHWRG APPPLLFSERSCPFYMTNPNGYLIPDLKNLTVRDNCIDESGSFGQLVRDRFKHDNLKE VVILGEPDGKGLCREDRKILQYLSSKGLRLSFLESLPEASC JR316_0013076 MSLSPDSFTPPPRNGIQTAYPDDTIKIMLATDNHIGYMERDPIR GQDSINTFKEILELAVKHEVDFILLAGDLFHENKPSRDCLYKVSALLREYTLGSKPVE IELLSDPLEGQADGFSFPAINYEDPNLNVAIPVFSIHGNHDDPQGAGAEGALCALDIL SVSGLVNYMGKIDLPLSDAEAPTTGIAVKPVLLRKGNTRLGLYGIGNVKDQRMHFELR SNRVRMFMPKDKDKWFNMLLLHQNRVKHGPQEFVPEGMFDENIDLVVWGHEHDCRIIP EPVAGKKYYITQPGSSVATSLADGEAIEKHVALLQIQGKEFGLTPIPLRTVRPFVIEQ LVLNEVADDEGINLNDQMSITKFLKAKVNNLIEQADQIWEERNTKALAEGEPEVPRML PLVRLKVDTTGVTETSNPIRFGQEFQGRVANPRDLLVFHRSKKPVARGAAGHRVHADE PELSIDDPGLTNAEKLSKIRVGTLVREYLVAQELQCLGEGGMSDAIQLFVEKDDANAI QMHVNKSLKNLLSKCSGTEDVELGEEEVDDLLDKAKEQQEKEYQEQYRVAQAKAAEKK KKDNEKDSDKESVDSMMMDLDIDAGDSEFDQLDSEDERPPPKKRGAAATTTTTARSRA KAPAKAPAKAPAKRAPAKGKGKKVQSDSDDVIDLDNDEEDDDVEEEEAPKPVKRTNRL AVLSQPAAKKAPAKKKAATPAPKQSQLTFAPAARSSGTRTAATKARGKKVVDDDDFDD D JR316_0013077 MAHQNAPAKPASKSLVMGVVAFYLVAALARVCSNKWVLNTTATP LFFLWAQLAIAAGLFMVSDALRLLPDRLTLDLETSKGLIPMVGLNVFGLSFSNYTLKY VDASFYQVARGMVLPFTVLMSFFFLHSRPSLNILLCCGVVTLGFFIGVFLDGTPLSMV GVSFGVASSAITAVHSVVIKQSLNVVGGSALALSWYTNLLSAIVLAPLLILAGEGMDV MKLLFGVNELVTEPGTMSPLATFMWGSAITGVLGFLMSIASLMSIKVTSPITHMVSSA VRGVAASLLGVWLFHDIITTGRASSIAIILLGSGLYTWVKHQESSPGSSTPSKRPSYE RVRMEDVEAGEKDFKTKE JR316_0013078 MDFRKIANDVYTFAQQEGSDSLPSLVKEALEVIDQCLDTHGPDK VSLSFNGGKDCTVLLHLYAGALARRLKDNETLKPIHSIYIPVPSPFQVLEDFIEEAAK LYNLDLFSSKPDAGQVESVVTPAPQPNGTGYIETPKPVGKAKGGAGMREALEMYKEMF PHITAILVGTRRTDPHGAKLSHRNMTDPGWPEFERVNPIINWSYTNVWEFLRHFNVPY CSLYDEGYTSLGSTFNTFPNPALLVSQSQPTPPTDTPLTASSILTPPPASPPPPVTTS APLDYLPTPAQNGDESQSSSLVSSSPSFSPPTATTPALSNGSLSPVSSSSSFLSLSGE STPKPPPRYRPAYELKDGNLERSGRGTSLPQIKAQ JR316_0013079 MPNVILVTGGTGLVGKAIEHVINTEPEGSRFGKKPGETWVFASS SEGDLRDPDQTRQLYDKYKPTHVIHLAALVGGLFKNMKYKLTFLRDNILINDNVLHAA YEHKTQKVISCLSTCVFPDKVEYPLDENKIHSGPPHSSNFGYAHAKRMVDVQNHAYKE EFGCNFTSAIPTNVFGPHDNFDLEDSHVIPGLIHKCYLAKQNKTPFVVSGTGKPLRQF IFSYDLAKLFIWMLREYDDVEPVILSVGEDEEVSIKEVADAIVKAVGFEGDYKFDPSR ADGQFRKPASNKKLLTLMGGFQFTPFEEALDLTVKWFLDNYHTNARTGLQKSRA JR316_0013080 MSVVDLVPPFAQLNLPPVEEYQKRKVALISGITGQDGSYLTELL LEKGYQVHGIIRRSSSFNTGRLHHLYEDQHERPTRFKLHYGDLSDSTNLVYIIAQVQP TEVYNLGAQSHVKVSFEMAEYTGDVDGLGTLRLLDAIRTCGLEKHVKFYQASTSELYG KVVETPQSETTPFYPRSPYGCAKLYAYWIVVNYREAYGMFACNGILFNHESPRRGRTF VTRKITRAAAEISLGKQSCLYLGNIDARRDWGHARDYVEGMWMMLQQPTAEDFVLATG ETHPVREFVEKSFKVVGITLKWEGHGVDEQGIDVKTGKAVVKVDPKYFRPAEVDLLLG NPAKAERILGWKRRIDFDTLVKEMVEADLKAAASLVEDQN JR316_0013081 MSASSHIPTKSSQDDMASKEYVQTEYPSISASEVEATSPTNLKF DEGALLGAWLVQFCVLGLPLSFGVMESFYAEHYLSNFTPSTINWIGSIQLCFEFLLGV VVAGVFDAGHFRWISIPASVLFVFSMFMLSLAKQEQYYQIFLSQGLGAGIAIGMLYTP TSSAVSQHFKKKRGFAMGIITTGGALGGAFFSIVLGHFLNGSIGFAWGVRICAFICLG CLILANLLMSTQYPPPTSVVTNEQPVGIPLAQLVRSTSYISFILFAFVISISLYNPMF SVELFALRNAHVSQALGGYLLAILNVSSIFGRLFFNRLADRYGVFEVYIPCITATGKS GFLRVIASVMTKCTSVASIIVFCILYGIFSGSAVSLYFPAVLSLDRDVTRSGLRLGLA SVPVGIASLVGTPIAAAVVGHDRWWAGSVFTGVLELTAALLLTISFLTKPKY JR316_0013082 MYVSEPIQHKTIVKIREGFEPPLILLHARLQLDLVVIGGYGTVK AFWPLKHVFSTTLWAIQVTPDTPLQSLEAQVAFYYRRIKEEQANGPYRICSFSASSLM AVAITKLLEKGGDRVEQLSFIDHFPTTFIASQLGVDISRIPLTDPRARQEFFKASVNN LVGMTHGDRRGQDSKRHQMANDLYAAYNGLPTSEFMTRSKGIMDGFLNRIFDFILSIH QESLRNGHGDQNRMEFMQNWLREVKAPVSVYLGTYGMLSMYSPDQYPPAEWYVYQCFK DVKITVLDAGHYDILESEGLIKGLQEKYLQPRVARL JR316_0013083 MPHRIVIPRPTVNVKALHRQRRRAFVSSKSEIGHICEILHSQPE HKKSCKHLYDGCQQYRYPLLILTISFITTGFAFVLFPVVIFIAFVVVGFSLKWRHLSR HNSSLVEIVQRHIDMGIRLLEQRTQKFAAPINVSDSCQLLQPATDCPEAITISDAVSI KVNEDVELGHQVSRIWDTDKSILHSHDDSSQNIWGGQVWPANDPYGKNGGNVPAEQPL ELKIDFTRVHYDSRSRFDTEGWLLVTNPEPIS JR316_0013084 MQTGSCTSSPSTIPIGLESSTTLPNRPIARRLVEQKIPSSGQLM ADGTILIDIRWNNWTHTASPSPTQIKELFRQHPGNLIHINLLEQTDMIMSPEDWRCEL RSYFNIFLEELPRWRSVKFWAPYLPRECFIGRMYLKANSLEHVVLVGPPSFDLPAASH MISRCWMNSSSLTAFELLTKSRESAGSMIINPRHYLPLDNIVALQLRNKLSIFECIEI LHRGRNLSECLFGNVTASTGVLIPIRCHLLEKLELMVNVDDYKSSKEVLFGSLFEAIR APKLRHLKMSNHSNWDSDHYSFMTFLKRSKCTLEALELENVKINGPQLHDILAMSPSL RILKVHGDPSQNPQPFTHRIMWYLTRSPRNAYLLCPRLEMFSVNETVVKDLPDGTISK MLFDRILVGDMKMVWLKFRRSVALHRSADAATLTTEDSQKMWKVVIDDSTTSAREWAQ MVHTVFHRVSL JR316_0013085 MTKPGHQLDANDSLSSRSSPEKAEVLDHVDENEVKRAVLKMDLT ILPIMTMLYLLSFLVCPHSPVPEYTLTLHFRTARISVNIVWLRIDFKVECSHRLRRVG NARVAGLQKGLHMTDHQYQIAVTITYVPYVLSEIPANLILRKVGPNLLMPTILTFWGI IVLAQGFVTSFRGLIATRAFLGLAEGPMLPGIVLYLSGFYTREELSLRIALFFSAASL SGAFSGLLAAAIVNMHGVGNKPAWAWIFILEGIFTIIVGLAVFFLIPATPKDSRFLSD RQKKLVAERLNRDRPAIQSLDTFSIKQVLSVLVSPHVFIAAITNFMGGVNLFGLALFL PSIVNQLGFSPNHTQLLSVGPFAVGFAVTLVTAYLSDKYKARAASIIGILLLSVAGYS LSLASPGKHASYAALYLMVPGAYAIVPIISAWFANNTEPHYRRATSIALGLGLGNCGG ILSTWSYPSNEGPKYRKTTIMNLVFSLVMMVLTMINALLLIRLNRQKKERRSDILAPY ASQCEPDGGLRAWNALGDRHPDFKYVI JR316_0013086 MENVNREPEQRNSLSGSSKPGKVDLEHFDDKEVKRAVFKMDLTI LPVMTIIQLFALLDRFNLGNARVAGLQKDLEITDHQYQIAVMVALVPYILSQLPANLL LQRVGSHILIPMLVMIRGVIVVAEAFLTSFRGLFSAQFFLGLFDGPLFPGILLYLSGC YTREELSFRIALFFSGASLSGAFSGLLAGAIATMDGVGNKPSWAWIFILEGLVTVLVG LAAFFLIPATPQESKFLSSRQKKIVVQRLQRDRPVANLLHGFNIKDVLSVVVSPHVLI SCAITFFLGVNSSGLAYFLPSMVNQLGFSPNETQLLSVGPFAAAFAITLITAYLSDRF NARAAVIVGIELLSVVGYSFFLASSGIYASYAALYLITPGAYAMVPIISAWFANNTEP HYRRATSIAFGIILSNSGAVLSTWNYPTKDGPKFRKTTIMNLTFSLVMIVLTILNAIL LSRSNRKKKARKSKILSPYASQDEPDGGPRACRDISSLWAMSNFPNHLDVLILAITWY LV JR316_0013087 MCQHHSDSDSAPPKSSGRVWHPDDNTKGAPVRPNSSPIYRPDIL ETIEAKIAEMDKELRELSLDIHAHPELGYEEYHAHDVYTAFMEKHGFEVTKQFHLPTA WKATFSHGTGGRVIGVNSEMDALKGIGHACGHNLIGMSGVAVACAIKAALEKHDLSGK VVLLGTPAEEGGSGKVVLLEKGAYEGMDACLMCHPAPGPVGSVSLTSCLALQRITVEY KGHTAHAALSPWEGKNALDAAVLGYNNISALRQQLRPTHRVHGIFEGKDWAPNIIPDY AKFICYVRAPTRAEMQATVKRVTPCFHAAALATGCEVTVTLQEGSTFDLRQNAALGGE VANIVLNKYGAIDYEWGIKSASTDFGNVTYGLYSMMLSFLTIPTIVDGGNHTPGFTKS AATIEAHNACLDVSKALAATGVRVLIDDDFFSKVQATFKEDEKERGPL JR316_0013088 MASESDLKAFISQQRVLLSKERDAEIERSSLLLSNCGPKLLEQK GLALGGLGIVGMNIGLGGKTPGDLARIEANVTANASAKKPKASTTDVKGSQAEGVVYK VSDTRIVIAVDSTEGSSEDLDLPERCRVLKLANSVTYDRMDKAINQLEKILFPSVSCG EGKPAGELTSMIQVLLGMKAPSKEPLGPIQFYDESLNDSQKTAVRFCLESPEVACIHG PPGTGKTHTLIEVIRQLTAQTPVNPKPKRVLVCGASNLSVDNILERLLALPITDKSQK LKVTRIGHPARVMAHEGVLESTLEVKATRTDQAALARDVKDELETTLGILSGKGKGVK GKGPRGAERKKLWEEVKALRKEYRQREGGVVKTVLKESQVVLATCHSAGGRQLNNEEF DVVIIDEATQAIEAVCWIPIFKAKKLILAGDPMQLPPTVLSLDNEKKKKKTVAKVLPS KAAKKDVKAKGTGTKVAKGPPAADDNVSDAGSSTSSESDEQIAKAVASVSLKNKGSFK LVPPQSLETTLFDRLEAMYGAGIKRMLEVQYRQVMHEQICDFPSKTLYGAKLKSHSSV ASHLLRDLPNTEAKSDEDAKEFLESPVVFFDTAGCEYFERLDGDGDEGSRCNENEGTI VANWVQNLVDVGLLPSQIAVITPYQAQVTLLTSLLRPKYGPTLEIGSVDGMQGREKEA VIISLVRSNDTREVGFLKEKRRLNVAMTRAKRHLITQCIVGDSSTVIHGGSYLKKWLA WLEANADVKYAGLE JR316_0013089 MSTANSNTNTHSKLRKPPPSPTSPTSSPTDSKNPVKTKPRSKNP LAQIQWLFRSNIPHKRASYISLDPQGRNFFGMGMGDILGVVVHPSETLRSLTESRKLL AETQHRLEVAESHSHSCPCSPPNLHSHAHSCSCHGSPSPSYPCAHSHERSHSYPPTHS RAPTHSHAHARAPERPSRNPTSATFFPRKRETRALQKVLDGEPAWTVVFGASGVGKTS IIRALLSNPTPNSNSNSNQNHNPHQTKYHTLHFDLRIAAFSDLGSLYTSLSVQMERFF EGVGCACAWGWGGCCACVSGAGAGGEGGCCEGDKERGKGRGRGKGKGKGKGGYEVFES EAWVFKHDRLSVERRILEHTTSTTSNSTSANNHSGANANNGNGNGNGNPHLKAGVTTS DVARLMELFRSALVRYWAFQPDSDFDTDSDSDSDSDFDTDFDDEGQGQGGKAPKQGQG RGRNNNNNHSRSGTGTGTASNPHANANTNRRQASASASGDSNRTHVNVNADMDRNSVN SGNEDNEKGKAKTKTKSRWGFMHWGARKSSKNDGYRDGNVNEKGKGREREKVNERGKE EGRGKANAKEEGRGRGRGRARGRGKFVKRMPVIFFDEAHKLPALIQSPETLKCLLDSM LVLTKQDRLCHVIHATSDPFYQAWLRQFNVMQHCKIITIGDCSKAETRRFFHERVIPR VPERMRAGLVFEVLFDAFGGKLAHWDDYITDYGVCSPPFFVANCFNSNGTLDIKQSSH FLQAHALLNLHIIHSSQASRSGGGPPTEAGDASSSAARGAGGAASVGANASTIDTLHP ALGPAGFKIYSPLTHHGMGAGAASMMNGPFFLGGDLAAFGAGYGLSASAYPFALGGGF GFGGGYGYGGGLGGLGGLGAGVGIGGGGLAPEFTAMELLKVMSRLARADTQYLPYFHL CRELGVRAVDGMVRARVLDLRWTETVTREGTAATGAGVGAGVGVGIHAGVGVGVGVGV GMTPAQAVRDSALGSQHTAGGRAVAGSSSGTPVPLDLLGPPPPIDDIEPAEHGEEHAG VGVGVDVEEDAVQGEDHGEQVEEDEEEEEEEEEDMMQHDVFEEVMEVVGPKLLPLTPI MRYAMRDVVREYEDDQSVSEYASLSDGDFEEY JR316_0013090 MPVATRHTPGPASTSAAGTSATGPAAGPMPVPGSSSATVPINAV NTVKSNRRKRAGSDGHEGGVSGGNGTVNGGTVNGSGSGRERERKRMRFDLDVDVDVGG DGSSTAGVGAGASSSSSSRRMDQGAERARDKEREKDKMKNKEKEKERATKKAKKDKER EKANEREKERDRDRDREKERERDKKKKKKKKRRRVSVVQASVPGSVPGSVPVEGQGQA TAPAQGEAEVEVQRQNGVDSEVEGEVEVEMEVRGASASGSGSGSGSAAGTNTNTSTTK QKQKHRQRDTTSTSATRDRGPSRSVSPLKRRSRSRSWRAEVDLDMDVDLEEEGEGDLE EEEEEEAVSSSNKSKDKGKGKSKDKSKSKDKSKGKEKSKGKEKSKGKGKEKAKPQPRP PSTPSPSPSQSKSQQNSPDSQVHAQAHAQAQAEVEAQASEIAQLKAQIATQASLLARH ETHLAHQHQSLTCQICLDLMYRPYALAPCGHVTCYGCLVRWFTAPVPQSGENANANNN ANNAVPAPVAAAAAAGGGVAQDAQDAPAAPGGAQAQNAQAQDTSIDALLNTPTAHSGT YIRRRKKCPVCRAVVVERPVEMWGVKDMVGALVRSGLVDLGAGAGAGGLGLEGSAAAG EGAGNADPWRNVFRRAGSGSGSGANAGGAISAFEAFFRMAGAGAGAAGPAEPRVQTEG DREEMGWFDAEDGGIHRCVDCYHEIWDGVCSACRRVYPGHRRGRGRGRGGEEDDEDDD EEEDGEGDEDGWGGGDLWDERYMRRVRREMGISDDDSEDDEEDGDEEGMHPFWGRNIE AIEEHLRNLGAVDYDEDEDEIGVDDDEDSQGRRARVDLERLNELVHPLYFHPAGNDAW GGGVAQIEEVDDEDDEEEGSFIDDGDEEVEVVDERRRGNARRRYIVVEDSDDDEEGEG GDGPVDLDDDEEEELVVVRRTRRAVMSDGSDTESVHESDDSDRMQRLADLFADDSDEE GGDVDVDMRASTPARRHPTLAELFASLSPPDPHDDVRSRSTRRTHFIVSDSDDDSDAW JR316_0013091 MSTPLVTPSIVLTSVANPAVSASSSSSRHEDLENVYLVASTDAP KFANQEPSLNGSIRPRAHPGDVGPSPFGSAGSFGKEDALSQYASFEGGPGPTAKVRRK YHTDELSPSAFPSTEDLLLSSRSPADSESNMYEYEYDKESLLRGGSAAQPNAPSSSKI RVQLSRHASPILKATRAVIPTRIPIPIPARLRAVSDSPLLWLFLYFFLNLSLTLYNKT VLIHFPFPYTLTALHAFCGTIGTRVLLRVNSAPAQSSYSHSHLTGARMTSAAQPQSTP VPNLNGREYIVLLLFSTLYTINIVVSNASLRLVTVPFHQVVRASTPFFTIMFAAALLG KRSSRRKLLSLVPVVIGVGFATYGDYYFTPFGFFLTLLGTVLASLKTILTNVILVKPS SSGLPIATSTSASTSPVDSAHAVHDEKRAQAPSLISSVSSNLVKRVFPQSTTSTSTIM SNGDGNNNSIHSNTTPTTPAPLTYAVKMHSSTTTTTTSSSGTGIAHARALPKLSLSPI HLLYLLSPLAFVQTTLLAHFTGELERVRWHLFDPALTVGSGMGGTGMPGGMGMGMGGK VHVNGRVWLILNGVLAFLLNVVSFNANKRVGALGMSVAANVKQVLTVLSAVVLFDLTI TPANGLGILLTLIGGALYAAVELKEKREGSLKSRIG JR316_0013092 MSPYQTKAAPHWLKSYLFNGYRRISGELFFFGIPIALGYGVYTW AKRYDEWQNSKAGHLALGGDHE JR316_0013093 MQSFRALSPSAIRRTSLWYMSMFGWVFIAFVNILLVGQQTGPPP NVYYCLAQASLVYSSTAYSAMTFAAYMTQTYCSIASPELKHLSNRPLFVALLHIIPSL VFVAIVLEILIVGLKQKNLIEREPYGMYCHLQTSTPAHVTAGVILIGMSIVLVFELLI FRLIRQTSQGVRSVSRNSMSVAQESFSREIFIRMAVFSTWAFICVGLSSIQYLPDTMS VDYAKVQIVQATLPCIATLTVGTQRDVMNTCLKRRKFFESRTEL JR316_0013094 MDGQALTRKVTILNDPVDLTMATLDSSSSGKSEKGDVDFVNDSV DSAAVKRAILKMDLTLLPILTMFYLLSFLDRANIGNARVAGLQKDLLMTDRQYQIAIT ITYVPYVLSEIPANLIIRRVGPNILMPTLLTIWGIIVLSQGFVTSFKGLAAARAFLAA IVNMHGVGNKPAWSWIFILEGLFSTLIGILSFFLVPVSPRDSRFLSDEQKRLIIQRLD RNRPFAVPVDTFNIKRILSVLVTPHVLLPSVINFMGGTNLFGLALFLPSIVSQLGYSS NRTQLLSVGPFAVGFVVTVLLSYFSDRYMIRAVPIVGVLLFSVAGYSLSLASTSKHMS YAALYLMVPGVYATIPVISAWFSNNTEPYYRRATSIALGLMFANCGGIMSTWRYPSHE GPRYQKTTIMNLTFSLMMITLTIVNALHLIWLNRQKRLRRTDMLAPYATKEEPDGGIR AWVELGDRHPDFLSHAHRVAGRWREVSVNQRTGFDKYLYLWISPIHAVPYQLLTRCQP AMKDVLLVGFGAVGAICELPYPEAERSGKSYSCCPEQFRNRRQLFKRDIIAKEEGIHF ESRKYGNIKGWRPDRLCKSVAEAADRPYSYVLVTTKAIPELVRTSEMLAPLYSNDYRA KFPQPAYVLLQNGLNVEVELYKTLKATLHDDPKIISTALWIGTNLLKPNVVEHNDFDR LSLGVYRHEDRTTTTNTAEEAALLDGIGHILEAGGSTISVVPEIQRVKFKKNFWNVAF SSFATLTRQASLVLQRYTLPAMFRAPPESPSKPYEPYVSPATADLISSYTIPAVKATL NELIVLGRALGFPDTEDGLPSSIAESTFEGTWKLHTRADSTHVPSMLLDAEKGFPIEV EVIVGEVVRMAKERNVDLPNQILRKREEAKPTRNV JR316_0013095 MSKPILATEIPSVHLEIPSITFPEAELQTPIWDDSNSRSPVEAS QERLWNELSGLYNSNEALKGDAAALGKLDSERGAKTAEKLDELLDTFMSGANKVLEEL SILGNAHPVLAVAIFAFHEVVKLDIARRDNNKKVLIVVLQMQNMLGPIFQLRNLHHEH MPATAKQFHEQRLKELVEIISQDIKLCRSDITHFMNRKFVYKLILAKGYEKKFASHIE TFAQRRAELQAVISEYTAIGISTANITLDGISKKVQVADDKLDVIMSLLFRSVDTPRE REVFKFLQQNGGPEKCVNDLDLLPKLIAKAGESPKTGKVAVSDQQELEELRKDLSKAL SEDLDKVLETHYARFEKVLQVQNNNLKRMSAHLEDQGVLMRTQTLKLGKILDTVTTIM VLEEGKYKTKEIKLKDPEIQRVWARMNLTSSVVKAKVFVLTFRDHIHVDNSASVTPII PTFSLPGSEDNPSALHVDTSYGSKQTMLNVTANADKESDEWVLEFIDVAYVRPIVEAM DEDGSGFISVQEANRFALARPAGMSLLHWIAYWAAGWHINLYMLQQAIYSTLLQMHQL LPQIHIANRIYVDDYLDNPVITRIEALLRSIKPLPEIKEPKLQEIANTVSSRQMTRLR ANLSDMGHVIETRMDATTIAGGFRVETWILPMVNILLQHHLKIMHLAKTVVLDPAELD VHTTSLDQVFLVFDERMNNLEARFHQLHRDVDAQFQSYSYGMFYAAFKNREYNMSDNI LMSIQMDEYIHLNRIDLPTTATPDTTILSKQVGKTFEIEDAVVLSTPPPGHISHPIEG MWVGWFFSGDGDPSYIRPFHCVIPPIVENMFSAKAETFFGDVDMVWTIEAQPTHASAS DFNIRFDFNPKDSKNFRKQSCVGLYNTDKDAIEGTFVWNNADEPSGLTEDPQTDPLEE SNSDSIDANPTSMPIIDLSAEIESIEEVRDHAPAMDDTLPTTEPVSASDTVAMITEDT VVSVGHREIVPEEIVNPEMGPSAETPMSISHGRFYLKRTPVHLFRFRYLLDGPGTPPC WRIWPLARKRWFFAIEAVLSDTRIRMGSRKAFNLALTERREWLQLSMRFDMGDDDVVP SWHDYETISDKEWEAYDTLLSSVPPLYARMYDDLAHYFARREVFAAPVGRATCDVDIR KNEDDVPSAGTSSTQIQNETRRSQRQSCEEQKRPEEKAIGKRGYSIANNRWTTAHLLL LLWNGYIIALLAPLTFFCYQCERINAPLSQGSLGHSRLEHQILRIYDSIEVKPIRLGK DNDVGRQLEDISSNITLLEEKMNKQLEEGEKVKGVVENMARKVGVISNYDGVSTLSQE DLLGGEHLDDINTDLSDIGNNDLVSSSDSEAEGSQVDASAGRLPAENVETGQVELQKR MDKLELKVENIGAKMDTLLNLVQILVSAKPSD JR316_0013096 MPNSELEDILVVGFGAVGAICSLILKTSGLVRVTVVARSNYNAV NESGVRFESNKYGTFEGWRPDRLCKSVEEAADRSYTYVLITTKAIPELVKTSHVLAPF LSAKYNDQFSQPTYVLLQNGLNVEIELYESLKALGKGDPRIISTGVWISSNLIAPNVV EHNGAFNRLALGMYRPNDFTTMVNTAEEAALLSGLASKVEAGGGIATIHPEIQRVKFM KNFWNVAFSSFSTLTRYTLPAIFRPPPSNTSEVYQPFLAPQTSELIHQFTIPSIQATL DELVVLARALGYPDSEDGIPSTLPKHVMDVTGPVHARPDSNHAPSMMLDAEKGLPIEV EVIFGEVVRMAKERNVQMPRVETLYALLLVVQNQILRKIEAEKASSL JR316_0013097 MSESLKEVLVVGFGAVGAIYSLILKRSGLARVTCVARSNFNAIN DSGVQFRSRKYGSIDGWRPHRLCKSVHDAADQQYSYVVVTTKAVPDLVKTSQILAPLF SKEYIEKFSQPTYVLLQNGVNVEVDLYDALKEAIPSGKPQIISCALWIYTNLVGLDIV EHSDFDRVAIGVYRHKNNSVIANSPEESNLLKDFGNLLETGGSTLITVPEIQRMKFTK NFWNITFNSIATLTNYPLPALFRPAPGEGSVAYEPYVSPTTADHISTYTMPVIRKMLE ELIAIGRALGYPDSKDGLPSSIVDNALDGTREIHEKADCTHVPSMLLDAQKGQPIEVE VIVGEVIRMAKSHKVETPRLETLYALLLVVQNQTLRKLESRKP JR316_0013098 MAESAPLLDQSHDENNNHAIGSSQPSRSVTRKLQTTTLLLSVVA LGVVIANFIVLRNAPLGQYGSWQAEDSTRGLAIWLLVSVISSIVCIAVTFPLLINVIA DAVLASGVLVWAIAIVTSLPTAEWCRRFKYPHYPSPDPPEELPPLPKCEETKIIIRWL MVAGGVIGIIVGVIYIALAVIRSIAILRSKFWRSGSFTLGNGEITFQISLKIRRGDGA TSVEQTLDDAGPSSGRGPLHI JR316_0013099 MSYRGDSRGRGRGGPPGGRGGGSPSGRGSPDSGRGRGGPPRGGY QGGGGPPRGGFQGGGRGGGPREQGGIFQGGPANVDARLTDNSQDALVAAFRNLQLKPK ELPLRPGFGTSGKPIKLRANFFPVKMPKGPLYEYDVSISPSTSIKRVKRRIFQLAEQT PAWAAQGLRGSVAHDHSAKLISSKTLPQPLAIRVPFYDEDESGAKPGGKEYTLTFTFT QNIDTSGLVQYLQGDPQYRDYDIMPILSALNLILAAFPNRSSGSGVMVGRNRFFFPTA AQPFNLGGGLEAWKGFYSSVRPAHNQLMVNVNACTTAFYTPGNLADAMTAFRNASFGA RPAAFVKGVRVRTTHLGYKKTVKRLSNMNSNQHKFPAEEFGREVTVAEYFKLKYKIQL RYPEMPLVDVGGQKGNFLPAELCEILPNQPFKGKLTDEHTAAMITTAAKPPNINAAAI VGPGLTELGFRPGSSPEFNAFGISIGNEMAVVPGRILTPPGIKYGQGTPAVDDRASWN LRNVKFAKGARLEQWAVLIIQDGNRRDEFASANDPELTSTIQGFMSMCKTSGMSVDQK MPLIVIAAVPPKNPADPTRAAAINAIQNALKTLPGKPNMVMVLLSSADKHIYSGIKHL CDCTLDVPTVCVHSAKIRKEKGQLQYFANVALKFNMKLGGVNHALDPKSMSWLKEAPT MLVGIDVTHPGPGSAKGTPSIAAVVASCEPEFAQYPASMEIQESKKEMVTNLAKMVFE RLTLFRTRNKILPKRILVYRDGVSEGQFNTVVEEELPAIRFACTKFDTTQGPYRPLIT VVICGKRHHTRFYPTEEANADHNGNPRAGTVVDRGVTSVYNFDFFLQAHGGLQGTTRP THYYVVHDDIGFHADQLQILTNSVSYLFARATKAVSLVSPAYYADLACERGRCYLHKL LQGVTSSAGSSASGENEEAVMREAQQLWRGGVGAKLKDTMFYL JR316_0013100 MNVLVYSGPEILQTSFNHTLSSLRSILVPNYTVQAITQQALTSQ PWQKSCALLVLPRTRQRFISPSSKHIKEFVEAGGSYLMLGTGASITSRSGFDSTVLSF SSEMPEKPLKFYDNFNNCYITIEEVASGSETKERAITLQCSDGTKVDGIYDSGEADFS GFEDLKGVSVLAKYTIGLSPTIAGLTMEVNKGKISLWGPGIEYPLKEEPMSSIIASSL NFSSEDIDKFDTTRKTLIVATLTKLGLEVPQATDKKATISRPLPQFLTSTPVKSTIVS QITDAIAAPQTGSQLSSLKDSNDEFYFHSLQESSDLINESRNSSKSPSDPSTWQPKHI IICRDGALPSPSLTPLFNLDLFYKSLSSARTQEGLLSSPDSWGIGEALLYGEAVTSTQ TMLDKNPHLLSNLPAPLLSLASYQLAGRGRGSNVWLSPSGCLQFSILLRVSLSDFPGN KLVFLQYLFALAVVEACRDETVLGPKAGDKIRLKWPNDIYASVGMGRDDYRKIGGVLV NTSFSGGKVDIVIGCGLNVLNLPPITSLTQLHSSTRESLSMERTAAMIMAKFESMWTI FVKERGSFQSFNDLYLKRWLHSDQLVTLTTTTPHTAVRIVGITSDYGLLRTIPERSGM SRFSGRDEDYIDLQPDGNSFDLMANLIKSKS JR316_0013101 MIAILNTPIPAFLAAYALYWIMKWYQLRKIMPPGPLGLPFIGNK YQLPAIKPWKKFAEWNTEYGPVTSIFLGSTPVIVLGTAQPAWDLLEKRSDIYSSRPRF VVAGEILSDNRRGLMLPNNDAWRKWRKILHSGFHSRQAESYNDIQSLESKVLLKQILD APEEYERHIQRFAASLAVSVTYGKRINSVDEWVVKANMDAMDWTYSWYSIPGKYLVES WPWLLKLPRSLQWFRREPEMRKKEDIRFLTHLLNDVKTRMANGTSQDCLASQSLANIK QIGMEEIDLAYAVSSPFGAGIETTSGSTTSFILAMLHWPEIQKLAQAELDAVVGQDRM PDYDDRGSLQYVQALVKETLRWRPVAILGGSPHAVTADDVYNGMFIPKGSTVYANMYG IMKDPEMFPEPDTFKPERFLKSTNPRLINFDLPFGFGRRACPGIHLALNSLFINISRI LWAFDIKPAVDEHGQQIFPDVNNYTNGFNSRPVSFKCQLIPRNAKIKALIEAEWEGAK VHLDQWQA JR316_0013102 MFGCCVAGRLLQTNLIQVDETHAYFELPNASTINHVCVFLLGTV PFPDGFGAAVHFFWPGKGFQLLGVLSNEKPSAIFRLKGTYSGPSSSTAQNKFSSYSSV GPDMQQDVTAILGLSIEPISTIQQQLEQLPSALASTNASPAKDPTMLAERIVKHLFNY ISGFVSGGPMSPDVAIPMSVIQRWYEMFISKVRAGGVGFLERGD JR316_0013103 MFVGQLVNGVFVLSHNCYSEVESIYSKCRAITPVTASRTVVENA KLAFKHWTRRNSIENEIKELKCRVSLCYIQFTMFAAARVEASTQNIRAVAKRIDATTM RIETRILKFQQHHQNFGMEANRQSPYFDPRKRDTQVADGYLRNEVDEIDQILKFILTP HTIFTITEDSHLRPFSPVLHFKPDSNKKPTYRREIISMTIDLFRLLKNLPACETSFQL GAENLLNLSIGLYHLELYQEAEVIGIWAIKLFRVLTDSNRDSYRPYLALCLHNVTHYH MAQNNAKEAHAAMVECLDISRMLVKESPTPELVASLSRSLVRSSKMATVDQKYALSLL ESQNAVRVIEDFFQSTNEKHRDLSFSLFFGTGSCYLQRTLDRPNGMEIVSNESSMTQI LYEYSQALHQLSHNLHHHGRFLEAIEADTKALQSIHFSGQPNTISIHADIAEILIHLC HPDLRIFIQVDDIVRYIGDAVAIYQRFADEGLIVYSRPLYHSLYIQAVIHRSVDNHTE ELKTWSQAVNVARSIDDNVLCANALHQNCWSLRKLGRLDEAIKTRNEAIRIYLSVIDV PSEVLANTYYELAVDFQLADRHKEAKDAVLEALRQYRILVLQDREMYKEALADTTTLL ASIFLSTHDADEALDTGEDALALYLSLPSLIHGETDAISKYLYCLDINMAAAFSSYDK WKATKRGLSLVKLFEKLTHIYPDGDPLGLVVCWRDYALLLHEHGRTEEARKWTEKAIN SIESYNITAPSTIERLIICLRTHALFLDFQGLRRDALETILKAVQLANDALHVNLSLA PVYISLKVFHVDLLWESGRYDEALSVSEESVAFARRTTSLDVDSLIGCLNMHATVLNF NNHIGQSIDVANEAIELCHSYTDFLEPDIIAIHLSYAHHRLSESFADLGQHDESLSHA EVSMNKLVSFHNVIPFVRLSHFRYQLTMSSINLAERLATAGDYTKSIQLMMDARSFFE ESTRSRKGYYIELTRVLRLTCLLHCSFGRHDEGAAVTIHLGSLRKRLSVFAPNIAALI DSEFERPMRRPTWLKTSDITSDCSCLPVCFLAHSEPFPMPKP JR316_0013104 MFPKATLLALALGSVAIAQQVGTYTAEVHPKLPFQRCTKNGGCV TETNGQVVLDANWRWVHDKNGYTNCYTGNSWNATLCPDGKTCAANCAVDGADYQGTYG ITVSGNALTLKFLTSSGSSKNIGSRVYLMASDSKYELFKVLNQEFTFDVDTSQLPCGL NGALYFSEMDADGGLARHPTNKAGAKYGTGYCDSQCPKDMKFINGEANSEGWTPSESD PNAGNGKYGTCCNEMDIWEANSISTAYTPHPCTVEGPYRCSGAECNTPTDRYGGVCDP DGCDFNPYRMGDRTFYGPGATIDTKKKMTVVTQFITTDGTANGRLKEIRRLYVQDGKV FQNSKVNIPGLSSSYDSITEEFCTEQKTVFGDSDSFEAKGGMAGMEAGLRNGMVLALS IWADHHANMLWLDSTYPVDSTAPGAARGSCPTTGGKPADLEKNSPNAQVIYSNIKIGD IGSTYQGSAGTTRPPVSTTTTGNVPISTTTTANPAQQTKYGQCGGQGWNGPTTCVPGS TCVYSGPWYSQCL JR316_0013105 MVDSSASPPAPENTKSRVPIWIPVSAFIGTSLALAIPLFMLRRQ RTGKLNRLPLKGSTAPPPRRATGTAAALPVTTAPSPQNTTVVHESIKEALNADGPSLS SALAQMNASSGLLAAKAFAIATGLVAVGGFGMVWMVKTTLGVSDAREFGHRARTLIRL IAPSLTSRIHRASEEDAARGDPPLEGMEDWKWSEAEGRLSIAYEKGGFPLWAQMVVHE LEAEARVERIKREKESVTKQGREVS JR316_0013106 MTFAYRNQPWKALYLATRTAVLLFQLPFYAIIYIYPGARPRRTW SIGRSLVIFAFRAFIDVLYNTSPTLIGSVRPVEQMTQKEIEEAVEYGFVWVDGAPEFV TGEIKDAALLNEVSPARISGYWYGKRDPYGKAGQRALPNEKVIYHFHGGGFSGTGHPS NIPGKTMFEGLMQFIPGEPRVFALEYRLSSTTPFAKANPFPAALLDAIAGYRYLIHDI GFLPSNIIISGDSAGGLLAFWLAQYISTAKYPDLPNAGGLLLLSPTVDWANTQTGSSS SMVQNKDTDFVDKVLRSGYPCRALLGKISEDEVYQNEWIAPGSIHLCHKPGKHARLPK TCIVAGGAEQTLDSMVTLANRLAYDMGHEKVTFLEAKEGTHDFLLFPLFEFEKIDTLK KIKKWAEEQIWPTV JR316_0013107 MNRSSGLDFSDGRVPNMTIENHPDLSDKSGAAHLQRNKTERRRL QGLQRTLTSSSRTQAPEKPKSFWTKFDTWMINEGGRQLFFGVWIFLHLLVAVFGFVHY QLKDNLVGARATFGLTFATARTAALVLHVDVIFILLPVCRNFVSFLRRTPLNDFIPFD KNITLHKATAWSIVIGSAVHILAHMVNFYKLAMIAGTNTSSRIVAFLGANFLTGPGVT GWIMTAALGIMVWFAREKVRRATFERFWYSHHLFIVFFINWQLHGMFCMIQPDRPPYC SFNTIGVFWRYWLVGGVIWIGERILREIRSRHVTYISKVIQHPSNVMEVQIKKEKTTT RAGQYIFLSCPEVSYFQWHPFTLTSAPEEDYISVHIRVAGDFTTAFAKALGCDFPQKG DKGGKGDTPAGGKVVGTNVNPPLNRTLPRVMVDGPFGSASEDFLKYETVLLVGAGIGV TPFASILKSIWYRMNNLNNSKPTRLSKVYFTWVIRDYGTAEWFHSLLHAIEEQDTQGR IEINIYLTARIKEDEMNNIIVQDVGAEKDAITSLRAPTHFGRPNWDRVFPSIVEKHPE TDVGVFFCGPPALSKLLHQKSNQYSDPKGTRFFFGKGMFPSSE JR316_0013108 MAVSALTLAVATLLISWAKRKLLETIYRKRHPYPPGPKPMPLIG NMLDFPAQHAAKVYLEWEKTYNSPLLHAEALGTHVLVINSLDDANALFDRPERAKIYA DKPQKPILKLIGWDSINLSIMPYGEEWRQHRKICHQNFNVQAARQYQPVQAKKVRNLL LNLLEKPEQFKDHSKLFSVSLSISAMYGHDVESIEDPCVKLADDALQLGQTLLVPGGS LIDLVPILRHIPEWCPLLPSRKVAAKVNYMTQEVLKITLDHVKESFKSGTVVPSLVTR FYDKKYSFGATQAEEDLIKNVAYTVYGGASDTTTSITITFIYCMAINPDIQRKAQAEI DKVVGSHRLPEFADREVLPYIEAIYQEVLRMWAPLPLGMPHTTSQDDYYKGYFIPKGN IQTPFFRAMNYNNETHHYPDVFKPERYFDEDGRLIKEKVLAYGFGRRQAHFTISLSCV GKPVASSSVWLMMVSILAAFNIAKSTDHNGNVVEIDDDFENDGLLLLSTSVTLSAMYG YEAKSVDDPCIVLADEALRLTSQLVVPGGSMINDIPVLRHIPKWFPGAYSRKLAAKSR ALNEEIWRIPIDYVKKTLDEGTFSPSLVANFYEKKLAYGASEEEEVAVRNTAYTAYGG IHLLNNG JR316_0013109 MTRTIFLAFGLAFAGTALSQTAPSYGQCGGTGWSGPTTCPSGWT CTFSNQWYSQCLPGAATTTSFPGSGTTTIDSSPGGGISTTKGPGGPAPTLTPGWNFIR AVTSPNFHKYLQSEVPNTVSDAVLGEAVTAAQFQITDGQLIHKGDTPLYAVVEPRANS TVSKLKVSWSKSKDTLGAFKFSGDTVEWTSSAITRPQSNAWLICADSKGNRHVYINLG PYGYQTPNGCNDHTIHGFTGTTPD JR316_0013110 MASLALFLTLAGTLVGQVIAQAPQYGQCGGQGWSGATTCVSGWT CTYSNPYYSQCLPGAASSSAPHTTSTVPGGSTTAPSSTTTAAGGSSTLQAGYSFIRAV EDPNFHKYLQSEVLNTASDAVLGEPSTAAQFQITGGQLIQNANGKNLYAVVEPRANST VVKLKVSWSTTPATSGTFVFSGDTVEWSNPSITRPQNNAWLVCPDAAGNKDLYVNLGA YSYQTPAGCADETIHAYTGATATA JR316_0013111 MTRLTFILAVSSAISYASAQSPAYGQCGGQGWSGATTCVSGWTC TYSNPYYSQCLPGAASSAPSTTAPSSTGGGGSPPSSTSTATGGSATLQAGYSFIRAVE DPNFHKYLQSEILNTASDAVLGDPSTAAQFQITNGQLIQNANGTPLYAIVEPRANSTV NKLKVSWSKTPATSGTFVFSGDTVEWSTPDITRPQDNAWLVCPDAAGNKLLYVNLGAY DYMTPAGCADETIHAYTGATATA JR316_0013112 MTALSAYWPIFFLIFSLYAYSPVFAKPQPSRNCVLRPLGHGRDD TNQVEEAIARCGQFGTTTFEEGSYNITRKMTWNLVSSKVDLKGFLSFPPDIQFWLDAN NTYRVVFIQNQASWFVVTGSDFEIDAHNTGGIQGNGQPWWSFFATRTREDGDGRPISL TVFKAIRATIKNFRIESPPFWSSAIVESTDVVVDGMFINATNQDSMFFGQNIVPNTDG TDTYRSDRISLLNWDVTCGDDCLALKGNSTNIVARNITCRGGTGVAIGSLGQYIGLND FVLNVDMEDIRMFRLDPKIQPIMVNGVYFKSWDGSVNGSPPTGGGGAGGLVNNLTVRN MYIDGVDTPVHILQNNLGMSGDAPSILKFEGLRFENWSGTANTNTIVDFECSPAVGCN DITFQNFDVKPPLGQPPRLICQNTSGIRGLFAPCNSTGLP JR316_0013113 MSSVVIALDASPKIDVTAFELKQCKSRGKVVEISAALRRRLSLL SRYAYITFLALIPCMEVSDRIDLKDYGHEKYRTILEDQLSETQFLFKVAEQRAYELHH ASMNATGRQDDETSDTESENCDHLDDDPDPRKSSPISSLSQNSTLQDEMDPIKAILAE KCNNIREQIWIQLARSCAPVQSRFYVERLEVIWACVRRAVCSDPKLMLLSRKYKSAIN MLKDTTLELLTVTKIWTAINNLCAEDVRAAIDDVLHPVRTTGEYVVVFKARVHKDLSH ASLPFHGWGHMAAFFPCYSNIRRTCESVDDIVELTRYALLCNVPIARESNLFKYPHEG IMNLLLCGFIPDSYARLEPNPSRGEGNTIGLKDMELLNYDWKPFVSVEMSLTDPKVND FVNACFRRSDLVVSFRKGSANKEFCSKPLYGQTANIVKDSFEVKSLPDPCQPTHNLAF QNATPSVTPPKRIFDCFQLTITPEKRGEIKDFLTEIVHLWHKIYGVDNLTGLIRTVAS PYIINQEMEFSDDSNLIPSVEPATDVSFKALWGRLPTVKEIENCVNIGRV JR316_0013114 MSHIAHTDSYKATMRTMEDDLGIVYLIESAQQFWSELDDTLQLA EDEPPTLSMLDTTLRRFMALCATYHEQYLQSPLQLEHACGMLAGSELFEFHSERMLDI IVDDARTITDPHSAFIYYSILFYYGHHRSDFFRSHKRWQPLLPLLMDHVLVEVDPDID DTYVGTAVGKSSSFSAVPIPIEAKLRSLCVKLLYEVCRVQTLSAQDLKIFDEFFIDHL FDLVEQTKYMHDDTFNYSVIRLIIALNEQFMVAGLGNDGSPIGDKRDAQEPKNRVIRV MMRRLGSCKTFGENLIFMLNRTKHTPEDFCVKLLILKILYVLFSSKGTAEFFYTNDLC VLVDVFLRELADLDEEDESLRHTYLRVLHPLLTKTQLREVEYKRPQILVTLESMIVNS RMREVSPTTKRLVERCLSGPWCTSLRESRKASDNDDRAGSPQSEGSTLMSPPGYMGNI SMAVSQRHSDSSGSAKVMMSMKYSKSVENLSGRLDQPKQPLRSPLDQVRRPSNASVQS LPGAMASAKATAATHTKKRSTSTAPDAAHHPSRHNSLDPDYQKHPYSAQSPPQSPSRS HHLSAPPVPTVPLVTSEGIPVLVQPRRRPPPPAPPKRRKPPAIPISHINNGVTITAIR SSEPSPLSKVHKPPLGVQQAS JR316_0013115 MVHTSVSFQASIDAIKAALPENLQKPRVGIVCGSGLSGIVDIFR DTKLVPYENIPGFSKSTVQGHKSFLAFGLLGEGGVPVVAMLGRFHPYEGHHLSSVVYP IRVMAKMGVKDLIITNAAGSLNPAIPVGTIVVVQDHLALPNLTGMNPLLGPHTDPELP RFLPLSDAYSPVLRRLLFLAAHRLQLEDSALAEGTYAWVSGPTYESPAEGRFLRRVGA DVVGMSTVPEVLAAREEGLNVMVLSLVTNFVVIPETYRSIKEEVKAELAGKKVELPEA ETVSHEEVLAIGKEKAGVLMKLVESVVNDL JR316_0013116 MRVSRPIFFTFFPVLAFAHIDNLNARHNAILNARASASSGSSVP PAASGSPVATSAPASPPPPPPPPPPPPPPPPPTGASTSPSSIATGVPASTSTSVSATP TLSFTLAATNPTAVPLSEINSAQSSVAQIIYTSTAAFGSTPTFLPGAPPLPSLTALPL PADSYPPVDHVPPTDSPEVLQWIQDVKNSGVVIPDFAPTDPSAGCGGVNAAAAADTSR CWWSCGGCNRTSDITQCPTPMDWGLTYDDGPAPYTPNLINYLDEQKLKATFFVVGSRV FQHPIMLQSQYMGQHQISVHTWSHAAPLTSLTNEQVIAELGWSKKIIKDVLGVTPNTM RPPYGDIDDRVRAISLAMGLTPIMWTRVSAVSAFDTGDFFIAGGGTSVQQVLQNWEDI VANANTMNHGFIVLEHDLFEQTVEVATGYILPDALNRKPAFSIKPVISCLGKTMADAY IETNLNNTNPPPLSAAVSAGIFTTTPVVSSSAPTGTAKGGSDAGGKDGTNSDNSGKSA ANALSVPSVLGGVLSLAGLVIGLLL JR316_0013117 MTGRKRQALLAALPAAVVAHGGRQHHARQNPSGSTTSYTFSLAA TNPTAVPLSAINSLQPSSATKALHSTAVAGSVPTFISGAPPLPAITALRAADYPDPDK PAPTDSPEVKRWIQEVKDTGVVIPDLSLNVAAPATGPICTAPENAAAAANSTARCWWT CGGCTRASDIADCPTKLDWGLTFDDGPAPYTPNLMEYLDEKQIKATFFVVGSRVFYNW QTLQSQYMGQHQIAIHTWSHYALTTLTNEQIIAELGWSKKIIKDVLGVTPNMMRPPYG DIDDRVRAISLAMGLTPVMWTRLGPNSAFNTNDFDIHSGTVTVDQALSGWKSVLDKAN TIDTGFIALEHDIWQQTVEVATGYILPDALAHQPAFKIQPVVNCLARTMSDAYVETNN NATNPPVFKQAVDAGVVKVSGKPKDTSPAVSAQSAAGGILSLAGLMLGGLLAMP JR316_0013118 MPAMGSSQTPYFAQYPVKTEDLSSPDLSPENSSMPHFQFKFHAS HAILSHSRSSADNNLSHFPLYRFGSSANLWPNPVSHLPPRLNSVSPAQDRPISPSQFH HSAASVEPHSMSYSDDYDDITELPADHHGLSYVGSSPSGANDRTVRRRSSKACDQCRK SKCKCERSGPSEPCKNCIMLGTACTFLGPSRKRGPPKGYIDAIEARLHQTEALLGIML ASADPRAQTLLRDIGKDPLAKEIITRVDNSPYGVKGRKREDIALSIGGKIRSGHSSET SLPGQAKTESATIDLTSTHPSNEWQDKVSKMLNAVASSSSSENNADNRPASTPKSHSS DEHPSPDGRRQRRRIGDDDYPYQQNPNSASGSAVSLHQPQQQQQAHPLETSSRHRGPY TPLSPVRGRFPHLNGSSRAGDAQGGDRRSSSVDENSLTEDSEDELMGAVGQLSLNEDE QVRYHGKASGLYLLGNKERVDQRNEGGIWRFPKARVWPPLPSASAILSGEDEFASQLP PLQLQEHLLDLYFTYVQPLFPIIHKKSFLESFRASTQADSPPTSDPDASNTSPFNKQH RRIPTVLLLSMFALASRYDETALPPSDPSVMWSAGDEYLDHAKIMMDRTYASSRPSTC QALLLMGYREIGIGAMAQAWTYIGMAIRMAQDLGMHRSADGWERVGLGGRLFSEWELN ERKRIWFGCVIMDKYVSTYIGRPLMIFEWDFDTPLPNDEDPEEFEEWSLESARGERTP PLPARSISCFNASAMLSGILSNIVQSIYAVRPLSSRHAESAVLEGLLDKWYIELPDHL RYEPSSSKPPPLPNVLTLHMQYCIRNMVQAKQKQSETSDDPDARALTAKRYELCNAAA NHITTIVSLYQEKYLIARCPVFLCYYVFTATIMHDTNLTVHPNDPQARIGFAKCMNAL RAMRVVWPSAARALDLFGGTKAEMSTDPAPPIPLRHIPTERNKRVADNVLDDSTFGSS SSTRVLQHSFSNEYLREPLRPRGSIHQQTSFQHDAGTTSFDDAQSAYNVSQPPPPSAA ASSSGGISLTSMNANSSYTTWHGSNMNPHSYNNPLSTAVLPQLYSTGLVDEGMHTSHT RLHSSHNSGDQQQSQSRRYPPQYFDYSSYPSQLGAGYDIPQSVQVAQQQQQQQQQQSQ QPSMFLTDQYSIYNNPPYNTR JR316_0013119 MASSTLSLESLSSPSPSPSPPPGQKHLPQQPSAPNAPTFRPLDT DSELSELTDDDQDAAAALDKRNASAKPSHSSSLPPRTADGADDDDDDPANLPGPSSSR PAKRGKHQRSLSARGGGPGRKKRSSIVPAPMWGWAESRAPASTAPAEEEEEEDTAGPP RPMEEEEEEEEEGPAEMDDENGDHDGPSHRKVNSTNPKNRVPDARGYGSKKRKSGWSY AMWLKVDGDHPAWRPIYGSQPKMAKSAAHKSQIERTLREEFLERLRKEEEGEVEKVDQ EDGPEKSEKASRRIPAAKSDTLQDSEEYEDRPLANGDDDDDDGSASGSEYRSSKKKRP MKARAPTSASKKRKGNGGDEDHQTVTKKKKSGATTKVDHGEGNDSDGSSRDGHSTQSE DNANAQHSTKPDASLPSSKAIPTSGTLPSFTSPIDTGTTALSTLAAIAHAADPTSPPA NEVASINAAAVSASIMAGASSFVENPPVSSTASSDVAADPSRSRSHTPEADGNSGDES KPRKGKARVRANVRGGKAKPRGKPSAPAAANEKKENGVKVNGAASSNPSVDSGDNIPS PTTKKGPPALQIDTIVDEPADDAAAAIADDEDMDVDGEADKTANHSNEEAGDVSMEHE DAEPEEEEEEEEEEEEEEDGEEEREESTHEEEEADPVDGEAADGEQDNEEEAVEGEGD GEENEGEGDEDHENEQDPEAAEAEQDNEEGEEGDNDEDPSPGDVDADVEDHDGDLQPA HRAEALDVLATIELKFALLRERVYVEKMEGLAWEELMVQSAIHPEMLHLQKELSQRRD KRLELASRKRSYEIANAEARRKADESGVWSWWKVARDDLQTEMIAEMSRKRRRMERDR RTAEKHQPPRRIPVQQYVAVPPPLPNMRKIVKSYPFGSRKHNKADAHHHHHAHHPKNL VYPEISTLSATDIANDLEFLFQNSKASAAYDVQQSLHSHHHHPQQTYSSSFGPLPPRN GISGMGVGMGRSSSMGIMSNGPPLSSHMPPPSSMGPPHNSMMHPHQHGMGYDQYGDIP PSFGPGGPPPPPGRMRDQMNSNSYPPQGPGGMMPVGPSSNNSRDLNSYSNFPGAGGRS QSHTHGPPMPMSGGGHGHGNGYPGDHEMSTIGPGGQGNSAGVGHQIHPHHPYFGQNSM GPGPGNQHSQQQQQQSHQPPPPHMSSHHSGNVKSGMNGRRSVSPAPMMSNGAGSGHGP GAKSNGNWMGAGMGMPSYNLGGGGGGKGDWDPRLLQEEEERERMARDRDLRERKREER ERERDNMERERIRRDREFQEMDRERERREHHMQQQHRSALPGGPPPPPPPQGSGSVHH HTLHHHHRSHHHHVVHHHHGQGSQHASSSLPPSGGPPAIIHSPRSTRDYESSRPPLLH ASSGPSHSHPTEVINLSSGKGLPPPVGPRGDRERESGHWSSKNTEDHHPSHLDFRDRD RDPRDRDRDRERERDRERERDRDRDSRKILSSRHSSGPPIHPLDDRGDRPMAMPFVMA SSQAMQQASAGSSSGSHMNGLGLSSSTASPRGPPSWNTPAGPEEPSYRIPSSSSAPPP SGPYLGSSPIQTHRYVSTSGPHGPPLSRMPSNNPSSSLSRMASPPIPPTSSRTRPPPS PSYSTSMSNSHRSPVTSPKSRPMSPPPPSGSKQHLSGPSGSGYSSSPRLSGPGRTSTP IGHHPPPPPSSSGSSLANIQSDGVMKNGSHPSSYPVSSRTASPLMSSLHAPLSGSGRP TSNGMGGSNALSGGSDRDRLERDRERHAVAASSPRLGSTVVHPPPSKLSA JR316_0013120 MSDFEDDIDDQLLELAGATEKKKKRRQQHSTGSAGSGKGSGGKS LKRKERMDSDSENDVESEDDMQDPYPLEGKYKDEADKRELLAMTEFQREQVLEERAGE RQRIQNARMLADLVRQQRGGSGDDSVSRAAKRQHTQRGATKEKSNKLDELKAKRKAKD DKKRSKVNGSPSQRERSSSPQDMEISASESEDGQITKTEQEEERLMNAYDSQRNRRTS SKEDSADTPCNMSDLESCRLSRDVLAKHCLKPWFQDYVTGAWVRYLIGQENGQPVYRI CQINNLASDLVKPYKINEVTTNQAFELKHGKSIRSFNMDKVSNGPFVDKEYDRLKKVC QAEDVKLPTKLALEKKIAQMQRLVSQPMTEADITAMLQRKAQLQGNKNAGLSTLERST LLQKRTLAERRHDYAEVAEIEAQLAQHAANTPEPVRNDNTADLLAKVNERNRKANMES VRKAELQEAERKRRERKLAQSGAISAPIDPSARLKITPRTFNSNTPTGTRPGTPAAPA EKNGAGAAAILNAATAGKAPVKGTSSFEASLIDSVEIDLGDF JR316_0013121 MANLNNGFPLKSEPRDVTKFELFPTRANNDPVPEWPKADGISRT LPLFQVPTRTNPASNFGTALPRPQGLTTVSINYQPGDNISPILERLQRPCPDLQELKL IGPEPTDAPSKCVGELLSTLWAPQLKCLVLRYTEVTCLEKGNWTSFQLTHLEIVKSDT SVHDIFTSDWLNILETQPTLQTLYLSGCNGSSINTMEGSDAPVHRSRRVVNLPYLKEF RYNSEIANCNELIEFLEFPSTCYVHIVAGQHTKTEDRDLQGTRRAFERILRKLYHELQ SARLQYPGSHLDWHFRFTEHCIALDITMCFDWNLPMQSRRFTFEYNVLHTVEMDVGMG GVLANALLCDVFINVRESLNHIAEPTALVLEVSCSLTHRWYDDHLPLTDLLKTFRHCH QLTLAGKSILNLVEFNLFHRQVLEAMIPSLMVIHLRVPVPARILHHQLSQKIGHFIQQ WHIAGRQPPFVNWVYHNSSHAPN JR316_0013122 MSRKDPWPRLRRALLYKPSRHVYNSKKRLTAEALTRVFQRMKGL AYDPIDARQDMFSVSQVCKSWREVSINHREKIWGSLPIDLDTDSEEFIQELLRWSSSS PLSIYSGIPIDSDDSPRYNRWLLVLEEFHRFESLSFVDDITNYDFQTYLEMAAPLLRS FEAKITEPPIPCFRNILSQELFGGNAPNLRHVNLTGYTLASNSFLGLNLTTLFVNVKA CPPSIDEWVEILWSQQNLVSLTIKHALCLENDNPASSLPPVKLPLLQELCIMYCRSID CGLLLNRLSTPSLCKLELCLASHNDAEREEEETCLHANLKWFVGCSTNKFISSCKLVD VSMGYDTETSGPLKILSFTAFPEESLSDSQDAVFKLSYLTQSTSEDDFDLELSHLFQT LKAIDILAETTAIRLKPLPQSTPFSDPLLELLLMFKPGQLRSIEFYDPTQFAMLTYVR PSRYSQKLLLECENIHVNQSCNDLDLEGNCIEELSEILQYRKDRFGVKTSSIIYY JR316_0013123 MAEKSSETGQVERPNQTPLPLHDSRAEKAQFVNVHGENEDIEIA SSTNNDKVRRTLQQRHGRALANAGPLGCLLAFVLMGTVTASIAYISAEMSAFKPVEAG FVRHATMWLGRSTGIATGWNFWYSMAITMPAEISAATALVGFWNPTLNQAIPISILWL AIAVINFSAVRLYGEFEFYFAILKISLIILFLIAGIVLDLGGFPQQNFIGSRYWKSPY PLFREYTTSGAEGRFLGFWSAMISAAFAYGNVQVVAIAGAETRNPRKAIPTALKRTFT RVIVFYVASIFVISLIVPANDTRLSLPTGDVTHSPFVIAFSSAGIKGIPSVMNAIILT SAFSSGNACTFLASRTIHGLALDGHAPSFLLSLNRFGAPYWAVAVSVIWGAIAYTGLN NGASQVGANGFAND JR316_0013124 MAPQRRLNQLLNELKPPLETIGTLKLGDITYEVPASLTPARLAR SVNILDVNDPVNLDNLHFILQKYLLGQDVFLVSQPGPYARRLALTFASIINTEYEYIA LHRDVGETELKQGREIRKGGNLVYVDSPAVNAVKHGRLLILEGIEKAERGIMPVLNNL LENREMNLDDGTHIIHPHRYNQLSSSVTEQGKVFIPAHKNFRVIAIAAPVPPYPGYPL DPPFRSRFQARFIDPVGSLLSITHSSSTSVPLYDKLRDLILSTQFASESRSALEIVSK TSLPPFPQTALTKLRSLIEKFPPPDELSPPQLARLFLTIHPGLIHAPFQAWAIISRQT EESGLGELGSPSMSSVDENIGLFGYQLSKICRTGDATAEVTFDPPPGLSAISVTVQAG SRQLRPFPFAGKLEFNPSPRFMGLLTCFVQAHALGWDISLIPPASPSTASASTTTLVR VFGQILGYDAEVIHMYKELGGRELVMRRRIEDGGATTWEPSTLIEGAWAGRLLHLAGL DVIGSTAGSLSRIIQDREIELWENKRIVAEASPEEARELSVAHPSFRVISTASKSLLL KDWLSDEHANMFFPIPSQPMDKKEESSILCTTGCRQELIDTLLVFAEKYRNSMSADNV LKNRRLGTRTLVRIARRLASYPQDTDLHSIIQQSLLAEFLPATEKMNLNTMLEDSNIF KLTPPFNPSPYVDNNALIFPAPSSTGGETLPTSIPLFNSAHDPEGFASHVPHMDHFYD NSLQTGLMRDIAIDLEILGEHIVLLGNQLLGRPREYIQLHRDTTVTQLMFTTSLEGGI INYTDSPLLRAIKYGRFIIIDEADKAPEHVVAIFRSLAGQGEMSLSDGRRVRPVLERE GDIVVHPNFRLILLANRPGYPFLGNHFLQVLGENFSAHSVSNPDQESERNLIGQLAPE MDKDLVLRLVGAFSDLRKGYENGVLSYPYSLRELINLVRHMKAYPSDSLGDALRNIFD FDVYKPDTIDKLSEILIHHGLQVPHLGLDAAREAAKKKVQDIEFEPKETSLKGPKEGE HDNKEHSGGNRWAGGTGGRDTAGMGGRGGYKRFYKGGDIKQVSDKLKQDVPDHIKEKA REMARQELQRRLEELDMSASEAKGYGQLIGATEAHMASLLDLLEHLAAKEEERVWVKR QIDGELDDSRLTEGLTGESTVYKRRGMEKPEIGRPQVKPKRIKFIFDLSASMYRFQYD GRLQRSMETAVMLMETFERLSRKDKYVWDMCAHSGDGPDITLTEAGKPPADLKARWKV TEKMYMIPQYAFAGDYTVEAITKGVTEVAKYDADDWFVIAITDANFGRYQITPEELTR AMRHNPKVNTALICIGEGAEASWITKSLPGRGFRVANTGDIPNVLRSILSTMVDR JR316_0013125 MAYFFASPIDVDIKLEGEELRKQVELKSDKDKSISCPVYFDGDS VTGQVTIRVRDGKKTTHEGIKVEFVGSIELFYDRGHHHEFLSLSQELAAPGEMRQAQT FDFNFKNVEKQFESYQGINVKLRYFVRVSISRRMADVVKEKDIWVHSFRMPPDSNNSI KMEVGIEDCLHIEFEYNKSKYHLKDVIVGKIYFLLVRIKIKHMELSIIRRETTGSPPN QYNESETITKFEIMDGAPVRGETIPIRVFLGGFDLTPTFRDVNKKFTTRYYLNLVLID EENRRYFKQQEITIFRIPEN JR316_0013126 MSGNEFAQAELLLSVTELTADFFTPYKLQSEDALLASILRCSPT PEPRDNFLLVIPTALEVIFTSSLIFAKWGQGRRHLLLTAEGWIYLILTVIELISNVPT VRSNFSLFRDLDLGIGVASFLPIFFYTFFLYIFTSEELTDTLPKRLQNVAKLSLIIFI PAIVIFNEVASFIGVSIRPDSSSKPKPNIVIGFANSGQEGLWTFFTSLTLALLIIYQA SVFCFSFFRLTRALLNQRNIERRDSDKAHLVNGIGWIAGSAKLGALETVIGFAGGSFG MSLTRRIMRMLSRAFMCIGIVKGVDMLEDFQAVQDEIMSARRRKPTSFRKSRLRNFIS NPRYSTFRQLSPTATAFHATPRAPQNLVYIDEKADSYRGPHYITTSQRQSQSTLQSGL PGMEEFASIKERMSKNRVTITYNRGTPKLTMRFSSLSIPSPALVAQDIKSRPLSTWYA ASSEKSRYTHSSYYFDSDKKSLSEMDLIRPPVPRFHTEKELQDRYRDSLNSFAGPYEI INAPQRKLSQRAAQARIYQAPRSIHNASPENMVAMPVAEPTSNPVPEIPQQTFPTTTA PVSFPGPEQEPELREHSTVADSRRTTEDFGARVKSMASIKSMPDSIKAVRELASQFPG PPSPFEYKPGETVLTPRWEVESGPASSVLYPPGIMARPRVIGSPSEFTFRRPLPSPLL KSSAFVGGSEDGSSQIAISPVRYSLPSPVKAGKTPVMQPGDYRMSITPRGKGMAPYNQ KPIDPFDENDSRMSYASMATVKPSKVPLRLNTRSSVLSVPPSKTRKAEVPETPQGITP GTVESNMSGFELIAKSIAEDPFIDLGTALDSGRSKQFRLPGRPPSATLPPMPVPPVPK GPRSPTTPRTTTPRKTVSVHEKLSRIAEWVDTSASVAVAPDDVQLSPPAARRENNLQN LHDRGKSIDNLEIPWLRNPRMAEQNERRLARANGSNQKPELSRLKSVGRVPSKPTPTP MRSAHSRGSFHLLPIVIPPRAANMQAIQVEVGSLESRPGGTGVLRDSEVLAMEDSLPT TQR JR316_0013127 MRPGDPTPTSRNLNPLMHPFARARERTRALNAVKMERMFAKPFV DSLEGHIDAVEVLCRRPGSLTGVASGSWDGGIILHNLATRKPIAKIPQAHKGKASGLC FSPDGQRLLSCGVDRNVKLWAVGDDNLNTEVPLNVFPGKAAFNSIDHHRTDPLFATAS NTVQIWDETKSAAISNLTFPTSTETITSVRFNMSESSVLASIGSDRTFTLYDIRTGKA ERRVVMQMQSNALSWSPTFPTTMLLASEDHNLYTFDIRHLDAPTQIYKAHVAAVMSCD WSPTGLEFVSGGWDRTVRIWQEGKGRAPEVYHTKRMQRVSSTVFTGDARFVLSGSDDG NVRIWKAKASDKLGVVTARERAAIEYREKLKERWRIDQEVDRVARTRHLPKSVHQTNK LKNTMLEARRVKEERRRKHTRAGESKPKAERKKLVIAEQV JR316_0013128 MARRRIQGPSSARSTSGNHPMLSHTSTPPLIVDSMPGLATDPGT LLPLDTSSSNASLLSNNPSESFAVPQFLDMTQLYKVQFIHTSDYSSLQTANSQLKAEV ADLKLQLTRQPKRVQNAKNASIPGTIVDPISSEVDPAVTDMALDVKKWGRYFGLFYNL FVTPAMFSPTLPTASFALDSVERYKSPANEAIGATLELYEHIPSKYHPIMRLASSSKG KTFLRLFREGLSAGRSTSLSMLRTSCAASIFGLPTHYFDPKFTSQRIKMPEIQKLLGF NVAFANSEAGKASPEKAYARFPPILCKNGDTSRVEHRFRNRSLYMTARATLFGKTSAM DKDNAVSRASSFYLGSDKPAKTTFGLIANICGFCRYILSSDKSFGGSGIGPDTKIDYN AEINYYKMYLTQLHANPATAGYVVRLLKEWDSEVFAVNISAHGQSGHDGDGEVIELDQ AAEIERDMERLRLEEESEFAVSSYSDAFDREDFDETEDADNDIEGQDMRSDLLVPIHG PTTDAEYSDNFEKEPHHDGARPLNEQPRNDPTLDSVNDINVNPTTINPLTLADPLTES LPSQANPSEPVATLTKRLTRTESRAIASRLAVEERFPAHTSFHTINASHHSDDNANDS SPTSHDPGTQRGGKSSTRSRGSGKRGRK JR316_0013129 MPPRKTSQSKGHMMSHADPGRVECTWCTNMYLPRGIGAHERRCA LNPANSNRHAPEIDTEFVALAEQEGRNGKMLMMLSELLNNNIQTTITRPVYYQKYPTF RIYSPKDSELDVNFEQARSTDTPQFQDIHIKYHPSSQMPDANYTFDEYCELESEGNSP LNSVNVDQRPWRPFRTRLDFEFAEIFLSAHLSHNQLEVLIALIHQAIASPNEFTLKND ADLTQIWTFARETRAQGFIKTPISVDYKGESLTYNVWYRPLWEWCRELLLDPALVRRF HWNAEQLFMYKGERFERIIDEPWTANTWWDIQSRPDIPNDASVMFINPWADKSQLSSF GTVKGYPVMVRCANLPVDIRNGTGVGGGRLIGWLPIPDEDSAHTHKASYVDLKRLIWH KAVHKILQSIEAPATFGAAIKCGDGKTRNIFPHILSISADYEEQTMMALTRGRTGLFP CPICLVPNSELSNLSTVYPRRTTSQMRQIYIHSQSLNATESEDLLKSYGITDVMNVFW DINRTDIYKALSFDVLHAYDGGLFKSHMLVELKAVLRDLGRDAEVGVDLGLQSIPPWP ALNHFDALNKTGEMSDGRKFEHLSKVIIFAAQHVLTAEASPRGYLLLRLIRSYLNLRM FASLTLQTETTISNGRKYLEIFNKTLQLYASESPEKSWNFPKTHTHQHIFDDILNKGV TRNYNTKPYEKANGPLKKFYQNHTNFKNVAPQILQVNEMDLVSNIVRDGIDLLDVSVE KNIEDAEERDGLDLPAKSKPGNDHIHLGSKLPTITLSELELETIHSQDTAFNLFRRRL GQSLSQTVGSRVLLSTDHPITPYQSIEVFYESLIDWRVESNILRTNPKFHHKERYDFA LIKVDQKQCIFVQLLYLFGIELHNQTYYMALALPMDVPRSLLARRRDIDLGLIRVRSR PRSSAVFIHADTIIRGALLSKNHSTDVTGSEFLVNDLIDQDMWERLNPDLGHVELINH ANV JR316_0013130 MKSLEKAYAEVTKLEPLEDDSDDRNNIARLHRMAGLMYMHLTGV MYASGIEPDTDCWREIEVPTDGKMIPQTRFNSLLAQDMVPVTAQDWAYDLGVCLGQKA REEGALLRLYRGYTTDGNLRDYIGTYAYNGTFISICEASFSIEQITNVCDRLYRREML QADIKTDDKLQSYVHGLLGIIQAIRYAREWGTLDRATKGRYREAVIADLVAGEEELER KKISVVLGHRHEKIVKARKDLAKLYEQFGVCILLDAFWNPSICYADGKTGRSITFTSM VAIALKTPPREMPHKSMEFFVELMDIIGGPVRDYVFDFVDNRTSAGQTV JR316_0013131 MRPLKSLEKAYLGLAQLDPLEEDAGQNEIEQLQYLYRIAGLVHL QTSGLLAASGIEPDDDEWAALEFPDQNVPPTPQERLTEIIQHNFFDSPNDAEYWIPRI VQSPVKASMLQQEQVAFLHLFQGYNKANSINDLIELLGSDDYTGTFDALFQRIVNIEN NAHNPRRLLARFHISSDLEADSRVHKFLHAMLGTIQAIKFAEEWDQMDNRGKQQYREE MFNVIYPFHNEVDTAIQKRHKATFASRHNKVVKSRQDTKKLFQKLSSWIPSGTQQHPT JR316_0013132 MENIDWTKGVVFLQDGVIYCSPNSERVVVMPGEDERPTLRHFEP VMTADVSDPAYIPPRYNLDLANYRQPVRWEDSYGWLSFVPLTPTFLATPFEPLCWAPK LIKTDKTWGMNEADRDKWDMCERRIVKLCEKLRLWYRIPGTPPPFPGDFGYKRTHKTS AVAKKMIEISRNCFVLWMGFMSYLIVQSRRPEHAVHLQSQPNLPVPAWHTRILEEQEK LADEEMERALKECRWLVPERQTPLITEAWLDGIYNSNVCSFNARTPRIGIAYEWTQTH RTQPPIEVFLENHVPVYYPWRVVEEEFLKTSRVNRKGLEPPSKMLTDVIDEVLGTAAA QNIPIGCLLIRRYHNMHDNTDSPSLKLMSQMTFDSVVFRHIVNHYRDHLDEMSAEWDR LDIDALLKERSEELKTHAVQVANELQVFDFSEDYGGHKHTQVFADWTPYWQDRLAQWK RKPPRPMSTPAPLLKTTMYAWTFEMNAYVRRKLLKSDHSSAHRDCPTRRRVYNAAKNE WDLCIFDPPKELVYRTRGSDSDEDSDDMSSEPYHGLFSPKQGSESKQTEPQPTLVSEK AHTTIVADEAHTTIVADEANPTIIADEANPTMVAEEAHTTLVADKANPTIVAEEASEP ERRWLMPSTDFVETIRYSYGYTGVSTCKELRPPNDWQDIVECFGFNQSDLGQISILEQ QSIHDFYHDIVSDGTWSCDVPLHHVQRPLPNLFVFSLRPSESSDWMLGVESVEIALYV CRLVRDNPLLAVDEIAYKMLSKGVPCRTLVGLSMSTRSKTVADVFQPVSYRPAGYQFG VDDFKEYQYQCETLLQHSHGRAAVLKGGLVGRIASDYLDLGDCLEGPSHEIQTNRQGF VAPTGVLNLFYGDDELTERELAILCGTYGMYTGKIAGA JR316_0013133 MNSPASEQHLTVISVQNMDSPASEQYDDAVSHEQHLTLYSEEQI GAYNLVSNAALRMISIIEIALPSTMTLCQDLGAALSSSAVTELNIHGELCPAAVSWLL DEKWRIVLGQLTVLRYKLDLTTAEDEFEEQVNCPWDSPLWPKMLSRCTSLVLFLVKTP VLIQFDHGLSPDFTISKAVEKWNLESIKTLRRFYLFHAYKSTRVTDLYGCHNLLWRTS ENDQWHQTIIRPPKKIMSLHRRLLRYSADRIEDSDIDVFDSDDSDDTDDTDDADEYDD JR316_0013134 MADVKMLNDSTSSVTELDIAAPKSKRRPANKRQKIGDKGKRKAI TDSEDCSTPLSLFDATRMESLLKNAAITEKIKLEEAKVQERIQILKTQEATARAAEAA EITKRQAIEAQKTIQLAQLDQKRLLLEQATNSAMVGVTMFDMASV JR316_0013135 MSSHPHIRAAYFRVSLDEAQEALKDCIENLMSTTAPATLRNREK IRMKFLFTVQAFEPDLEEHRLWFHDTLEKYYQKFLVFLVLALRQPDGTYKCTAGTMKE AYLTFCWHIARFAVDAQGKLIGSKLLSAEGYHQFLKDQCYTLITKYKLARHQKPRIML TEFELRLIVEQNLLMSQKFNRLVALQRICLALTLFYTLCRPGSLGPSEKVYKQDKKYP CVKHVKFIRIDRLKYRVKLQIMQLKGHNTTFTGEQREYILEPVKNWFNIMLEHGIYMT IEDLANSTDLYLPIDPTRMEEPLFLASGPGGRGFKDPPEPMVSTGITAGMKTVAVKNK FGKDVAETLLTHIEPGSHKFYSSETAAFDLTGMMLNELPIPLDANKMAS JR316_0013136 MCLMQIQRAIRAHLPKQLIAQVAHATTNERTAPVKLTEAETMEA HKNNPKLQNLLKEESRLRTEFRNCFSDTLTNGQPFHYSSRSISTILNSKTVIRLVPDD KIDKVAADYRKAAAAATSMRSKVAKAAKRDKEKQVVATRRQDTAGSTDDANKAIALLK QPSDYLLVPQASTSTRIIDSITTAVGSASILERAKRKEIDHIISKSHIDGVDPLQADP TFNAMVKLVAARMQEANLGDGEHDNPEEDIPIDPALRNLDQLITNATPVHKRSSAPIF ASDDEYEDDDDDVEDTPNNIADHEHPDDTKVIVDMTNLRMCTMESILRPIITQRALEN NEKLTYGIVSDVFEQYASYQPIQQEDARSIEEVAGFTYQDLINEFDA JR316_0013137 MNEAGEYAIFPLTVFDRLFERTTFVTGWLIEGTIDTAALVSALQ RVTEKWRMLSGRLQSTEQNNSVQWFLKIPLGRLPHDYATFSLTTSTSTTPLSKYVKIP IPSVSTSLPLDVFLHPSTPKSYTVWESSNHPLTCWNITYFPAASNGGVDYTCIGFARS HGIFDGGGAALVINALVAELNGRDWEVPPLPQAGLNVNPLDDVLARAAQAIQYSTPAD AFPAYTVVGPGGVLKLAASHVKERFWAGADRRIILLPKVVLDTLVEEVRSSLRNGQKT VEHVTTGDVLVAWIFKTVYACKSNMYKKRIKSNQR JR316_0013138 MAVTSLVWVLHDYFITLEDEVKYIWSQKPCFSKFMFFWIRYYTI FLVSFDVLQIHGFAIPGVVTRELCIAADPTTRMAGAISLWSIEIFMMMRIYVLFNRST KVAIFNAILFIVSIGLFLWIMIANAIQRKLVLDALDHLPARGCPAINGGTQWALWMPA MAFEFVLFGFAVLKAITSSSTFVQLNERSSLTAVLLRENIAYFAIIGCLLIFNNIMVV GKSGIPWFGFGPFHASMGIVTGRMIIHLRKFSVKHLEGQMSTPSSPILFVGSPVAHKG KQQVGVITPGRHQESGDSEESDEEEERVVKEMRVLVNDIENNIPGPSKLPDSLDRLVT JR316_0013139 MLLKLIPALSEPTIHFSLSFSDLPYNLPTPAAWTSQLAQLMHED LETPTTLHSLDRSAGVTSLLEEVELDVALGEVRCRFIDGKVERWGFWEGISRAGAFRH IDGEQYGKRLSDALEKIVKDVHESTLEDERVLRERAWEKQKVERNRSFSSVTAASVAK VSSGSSKPTKHKKSRSFFMQIVSAVGSIINLSSPASPSSNATSFFSSRPSTSQAWPTP TSPPSTTAPTGTSPRARALRRSARSSLVDTYRLYVLTELVRRIAGPTISIDTLEADSS QEDDSQEYRRQDPTNFCVWILHSMRRRMLERMDQILEEAEAELASDLSTLDAAPNSDV QEDTGLETTTMIVPVSFSDDEDEPTKPPPLSATPDDSDSDDGSSVHTPSTTSHFQCYP GIPSTRTSSRSSNVSSHSSHSDEHELLSASPTPPVPPKDLPEAQPSVQPSTSRQRPTI RLLVSQPAQPVPPVQRLSTAALEEYRYLMDSRKRLAQLLLFAASQSRVANEEARSRLD VLAVRSRRRAWSNRALSMEAKSRTGLGGSAAYGLATPFRSSALARYMWTAEDLACGSG SADSSPASSLSRCSGLGFPPYRPRLRPKIRHDVEAVLGIEMHGDSLVDDEEGGPFEEE SLADAHPAAAERDIDMYAGIKGAMHEKRVTTRKVSISTRAPAPEKSRSVIGRLFPVTE EREVEVQDHYPNDDQVYEFALHGRTTYKKEQTHTFMGCDEVRVESEDEEEIMYAFNGD DDEDHHEFDVESGFSEFGDREVPHDVDSSMAGVEVVQRPKAQKTRSTSRMGAFLQRAK GMQSSFQSNSRQLTKDSLLCQGVPASVVVPSSPAPLKKAFQLQTQSQARFKRTDPPVP AYANEKAIKIARTSSAAIYTNIEVDMLDIQVYDPDSTDYAQYKNEPLNGRPAISPKSR SQTSKASQDEFTLSMDVQKRSSRKRREGSRQFDYPTKPLGRHEFDEPRRPKLDAIFTP SARSGASSKPKLSC JR316_0013140 MSTSEVTRKVAQEWFGYVEGHQYEKLDALATPSANWWIGGSKEK IPVAGDMPYVQRRQGTEQLNEGADKSTTKLVGLTVDGNVAVLEVYRTLDGPGEKHYET YAIVKLILNEKGKIQEVREYLDFFALFKYLGVPGF JR316_0013141 MSTSEITRQVAQEWFGYVQNHHYEKLDALATPTANWWVGGSKDK IPVAGDMPYPQRRQSTQQLNEGADKSSTELVGLTVDGSVAVLEVHRTLDGPGEKHYDT YAIVKLILNEEGKIQEVREYLDFFALFKYLGVPGF JR316_0013142 MPTKSAKRQLSPSQQAGDSAGIATSATQESADLPDHVPKRKKRK VDQKRSATQASDAKTVKVPQKRGRRATAKGTRTSKSKARVVPVTYAPASLQGMPTELL ILIFGQVYPKDLLQLMWTSKVFRTILRSKGASGIWKKSRSRIRGMPQCPSDLSEPQYA SLLFGHHCYICFNERSIVYESYAGRFKACFQCLSNEKLFVRSHLPDISTWWDGPYQKK LALHLPQLTIADPQLLDGQKYTYWPLAVHQTWSKEHSKVQHNVNERAKWANAKIAEIE PIEMHARECENWIAAKLPKLGADRLLCILDYLDTLGWEDELEKLVDPCETIMDIPGIY DACQTKITSEVLARLEPRLVRRMVELKNKRLRKQRLIRLKQGIPLLRQVIQDIKQELP LDVKTPDVADIFLHPIVQKIWKDTMPKPFEISNFDPGVESKLINEILSAIGQDSFDRT KVLHLATTIFTCEHCVGINYYQKDQGHHHFLLQYPRVLVHEHATNEPKKRLRKTTAKI CWGTLDNIKFWKDGWEVLSDLLTEFGYDPQTTTAAEMDAADPIFHCVSCNSPRQGRAT MRWRMVPRHKLSYQRHINHPPCKLELLGEREAQIVRERLDEANALYQASTTHGMKSIT CVHCRTVADSVTVKQHIKEKHGIDKPTKGDMFQNLDCSANIAFHFLWPPLD JR316_0013143 MWASTARNARLLSAKVPLNRAWTPALSLSAPHRGFATSKYAMSG AIQKIVVQNPVVELDGDEMTRIIWKKIREELILPYLQLDIKYFDLGLEYRDQTNDQVT VDAANAILEHQVGIKCATITPDEARVKEFNLKEMWRSPNGTIRNILGGTVFREPIILS KIPKPIPGWVKPIVIGRHAFGDQYRSTDYVAPGPGKLQLVYTPADGAPATVMDVYDFK GPGVAMSMYNTDESITGFAHSSFKMALAKKMPLFMSTKNTILKKYDGRFKDIFQDIYD TQYKTQFEEAGIYYEHRLIDDMVAQAVKSSGGFVWACKNYDGDVQSDILAQGFGSLGM MTSELLTPDGQIIESEAAHGTVTRHYREYQKGNETSTNPVASIFAWTRGLLHRAKLDG NDALRNFSLDLEAACVEVIDQDGIMTKDLALAIHGKNMQREHWVITDVYMDAVKAKLQ QKLDARKSKL JR316_0013144 MSSYTDMPVSTQFHISPRALRRLYLRRVLISHNSGRSEPPTPRP LPPPPRDSDDITILRIETKQESGEVPPADVVAYLMPGVRMCLGSRRVRRTTLPLGATA INQTIAPLYRGRVVPAKEDIHFLVSDQYGIQAFYTKANSVEEPMLMDNEIPTITTVYF RRLIIIHNDVQNHLEQEGRRTQYLNSRSSLKRQREDDEEDNREPKRLKVDYKLPYFVM LLATGIAVKYLL JR316_0013145 MFKLRLVSHPAFFTFNTSPNLPPSPTYAQPSQRNEDTTRTTPDA TTRCVHRSCKHDKGRVRVVRGGQQDDTTAVSARLQVRSHHRHLCSASSETTPPPSARL FSKT JR316_0013147 MYSQYQLNTQPLASSSQPQAAPSTSYTSTRQHNSGLPSPLLSPP SGRAVLHPERGTALIGRPIYFQSGPYVGRTIRTELHEIQKADLGRKYARVDRRPLDPP PVVLLKMFEVHNAGTDREQEEEMEYDDVQVLGLLCTVDLFPVPGPDSLAEHTSPRHSG KTSSAPATHSRFVSSSPSPSSPSGSPYGQQSQQTHGYTHSPTPGASSSYSRFSKGLPS HFSGYMMPSDASTDIVHYVDGYPITENSKQTQALVGATFVQPVVMDYQGSKAIIFVFA DLAVKIEGYFILRYRAFDIFARPYSNTNDLAISSECYGGMFRVYSTKEFPGLSASTEL TKRLSLWGVRLNIRVSERRRRKRPASDGRRSPTPEPRALRSGDDD JR316_0013148 MLSIARSALRPSLARTFVAGKAFKHTLPPLPYAYDALEPYIAEE IMTLHHTKHHQTYVNGLNAAEEAYAATDSTAKRISLQNALKFNGGGHINHSLFWKNLA PTNQGGGKLVAGGQLEKALIKDFGSVEEFKKAMNAKTAAIQGSGWGWLGYDSATKKLE IVTTANQDPLLSHVPIIGIDIWEHAFYLQYLNAIWNVINFEEAERRFAEAASN JR316_0013149 MVSLSMSVQTSLSPVSSLHKLLKSNLSIPPPPASSLPTHRSPVE RLPAFIIQDIARQSDLRDVLSLSSCSRRLSKILGPVLYANVELKTNKHCKTALIALAK RPDLTQHIRRLVVRPNCVEWTDSGDEMDEDLLATLIARMAPRLQALEAFEWDGLEMPG PELWDSLRTSCHNLKRIGTTIGENSLDSSSPLWDFDDLRQFSIKAKCQSLDWLVDGPP KVEKLPRRFWAMILERSPRLEELTIGGPAPSPRMFDIRHVTLGRWTRLKTLVLGDTLM IASHAGEDQARKDHAAFMAFFIAHPGLRHISLQHAGGSAFFPGAFVLPSSALPNVDTF GGPLKFVKTLPFPQRLRHLKLTSLHHTASSFPPTFAFLQELRWLESLSIWIDLSFGSH GSLMSGGRTSGESLRGHKFDDLTVLNNLVYCRPGLRHLEVACFSRPTFNIRDFSAIVQ RSPSLESFVLTKVHKSSDEDITRSAARIAKENPHLTSFTLRTTYDSWLSPGLGRVKQL GIYEILESFDMLSVSSDGSEDKYYNSETKLVSTPESEAPLATALLVCEWGQKKKAGKE QTKYLVHPLSSLLPLSAKDRRSSSSSLTSSFTSPLSSPTGNSFPPSSWQMQVQNIGLS IGGKRHSRSQSGSINRGSGSELSPSNSIKSHSSSNSQTSTKVQNWRPRRASCSAVVGA TAGVWDYAVVNGGPAHRIRGGGEAAGVASSRSSFCSSRSGGGPISLHGHVYTHAYEHA QPKSQPVSHNDMQVTWAQSVNDKSQYKQNENVNHSSGPGTELSTSKGHCSKPESGARR NSISKGILQCRCSILRKVKGLKTHGNEQIDEGYVLV JR316_0013150 MARAKRKSTANTTAATESPAPAEVPSDNDSDVQPVKKRRKTTKR APTNRNRKPDDGPPALNNMPLDIYYEICATMEPMDVLNLSRTSSYIYHTLMADGSSGI WKNSLSLMSGIPDRPSDVTEAEYARLLFGADCYHCLKRLVNLHTSWSARVRLCTTCIH SVCAPKCSAPQQLEPYVPIFHVSEKKSMSSYYLKRTMTTWQKEYDAANDKNEWTQKKI SERKAISVHQNQCRVWATLWEKELVVRRKQKIVARIEALGWGTKLAKIRNERDQPQNL TKVVKMCQKEVTEKDLLMLEPYINEHMTNVQKARVEREREYLARKTGDVLEDVIKTCA AVIPPNAFVPSLGDLAALPIVQDMFKDSATRTPDPKSELEVLREQFPEITVQLTHEME EVLRGLVRSACGESYEFDSNTTPTKFLWYPRIAVHEHCKTRCDFDVCNRWNHNEVISF QKEDMLFLSKILSQFGFDPAVTTMADMNAADPILECVSCNNQHIGRCTMRWDMLAQHN AESHSGSGSLTPNLILLEGEEAAKVAHRLDEKFARHRASSYAVFCAHCKQRGNTAIIE KHVRSAHSIQNPTEADMIADLDWHVQSSLHWLWPPREEVDEETSPSLVPPV JR316_0013151 MKATFISTALTFAIGASARTFTVYNACPFTIWPAIFTDLNVAPN VPSYTTGWEAKPYTKVSFTVPDNWKAGRIWGRRNCDFSKNPGPNSCITGGCNGGLQCN SRTGTGVPPATVAEWTLEGAENKDYYDVSLVDGYNLPMRITNNKGCPVADCPVDLGPN CPAALKGPYDASGFPVGCKSACFANLDGNQGNSGNCCSGSHNTPETCPSSGVAYYSYF KKNCPKAYAYAYDEGSGTALWTCPSSLKADYTLTFCP JR316_0013152 MRLVELFTPNDASIVTMKSFYLVGASALISSAAARTFTVFNACP FTIWPAMFTDLNVAPNVPNFQTGWEAPSFTKVSFTVPNNWKAGRIWARRDCNFATNPG PNSCLDGGCNGGLQCDPHTGTGVPPATVAEWTLEGDGNRDFYDISLVDGYNLPARITN NVGCPVADCPVDLGPNCPSQLKGPFDSTGFPVGCKSACVANLDGNPTNSANCCSGSHN TPATCPPSGVQFYSYFKQNCPNSYAYAFDESSGTALWTCDSGLNADYTLTFCP JR316_0013153 MPARPGPTSQEGYPSSSPSLDAHSQDPFNSQRRYYDNDSDHVEF GGRRDYRETYNSDTSNPAVNDYEHGNYDYPLPDTDSDPDVYGQRPAPSSESLGPPPGS RMGYPDSSTPTFMEYGGPAGSREAYPAWSAERQIPLSKEEIEDIFLDLTQKFGFQRDS MRNMFDFLMQLLDSRASRMSPNQALLTLHADYIGGHNANYRKWYFAAQLDLDDAIGQT QNPGLNRLKSKRGGKRQPHEKSLNTALDRWREAMNSMSQYDRLRQIALYLLCWGEAAQ VRFCPEALCFIFKCADDYYRSPECQNRVEPVPEGLYLRAVTKPLYRFIRDQGYEVVDG KFVRRERDHQDIIGYDDVNQLFWYPEGIARIVLNDKTRLVDLPPAQRFMKFDRIDWNR AFFKTYSEKRSIGHLLVNFNRIWVIHIAMFYFYTSFNSPNVYRVEGRSSPAMTWSAVA LGGAVATIIMILATIAEFSYIPTTWNNTSHLTRRLIFLFITLGLTSGPTVYIAIVEHN GTGGQLALILGIVQFFISVIATVLFAIMPSGRMFGDRVAGKSRKYLASQTFTASYPQL GKQNRIGSIFLWILVFGCKFVESYFFLSTSFGKPIQVMVGMKVQGCSDKLFGNSLCTN QAAFTLTIMYIMDLALFFLDTFLWYIIWNTVYSIARSFTLGLSIWTPWKDIYTRLPKR IYAKLLATQDMEVKYKPKVLVSQIWNAIIISMYREHLLSIDHVQKLLYHQVDTGAGGR RSLRAPPFFTAQNDKGFKGEFFPAGSEAERRISFFAQSLTTNIPPPLPVDAMPTFTVL TPHYSEKTLLSLREIIREEDQNTRVTLLEYLKQLHPIEWENFVKDTKILAEESAMFNG VNPFANDEKGQSKVDDLPFYFIGFKSAAPEFTLRTRIWASLRAQTLYRTVSGMMNYSK AIKLLYRVENPEVVQQFGGNTDKLERELERMARRKFKFVVSMQRFSKFNKEEHENAEF LLRAYPDLQIAYLEEEPPRREGGDPRIFSSLIDGHSEFVPETGRRRPKFRIELPGNPI LGDGKSDNQNHAIIFYRGEYLQLIDANQDNYLEECLKIRNVLAEFDEYAVSNQSPYGQ WNHKDFKKPPVAIVGAREYIFSENIGILGDLAAGKEQTFGTLSARSMAWIGGKLHYGH PDFLNALYMTTRGGVSKAQKGLHLNEDIYAGMNAFGRGGVIKHTEYYQCGKGRDLGFG TILNFQTKIGTGMGEQFLSREYYYLGTQLPIDRFLTFYYGHPGFQINNMLVILSLQLF IVTMVFIGTLNSNLQICKYTAAGQFLGGQAGCYNLDPVFSWIHRCIISIFLVFMIAFL PLFLQELVERGTWKAIFRLCKQFSSLSPVFEVFATQIYTHSILSNLTFGGARYIATGR GFATTRIYFNILFSRFAGPSIYLGMRTLIMLLYVTLTLWTPYLIYFWFTVMSLCIAPF WFNPHQFVFSDFIIDYREFLRWMMRGNSRSHNNSWIGYCRLSRTMITGYKKKKLGHPS EKLSGDVPRASWRAVIFSEIIFPIVMAVLFVIAYMFVKSFPDANGKFPPSPLIRIAVI SLGPIVWNAAVLLVLFLVSLFLGPMLDPMFSKFGSVIAFIAHVLGVVGMLGFFEFLWF LESWDASHAVLGLICVIAIQRAVHKILISVFLSREFKHDETNRAWWTGRWYGRGLGSH VMSQPAREFIVKIIELSLWSSDLLLGHMLLFLLTPPILIPYFDRLHATLLFWLRPSKQ IRAPLYSIKQKRQRRWIIIKYGIVYVLVLAIFVVLIALPATLRNSLKFNCSLCNSL JR316_0013154 MLTGCKNCYGHATDPPHELHTLSDQPCTTLTGTSCDACRSIITI EAEILDAQAALLRLIERHRTAREEMNMSHSSIHQRIPPEILSSIFRFCIPNNMEDNML QHLSTPRRVETCMPYILGSVCRTWRSIAWSTPRLWNQVYLRFNSEKNALQSELLEKWL SRTGDLPLRIYADCIVKHSRQRTYRQRTSKEEIPQMDIISRYSSRWEDLYLCLPAECL DSLHAHSGTPLLRTLLLRPCVDYKNVKWQMPHTTPRNLFLDLRYMYDAQILHLGHYSF NVDWDMITELHIETNMPIMIEFAMNAENLRSLEITNPSSYIEPDTTGDDAPYPHDPLR FEEPLLSHSNIQQFKYRCLSNHPNHFMTFDFPAAVKVHIDITNAPIDEPSGIAKLISS LGHSPCLTWLTLNIGMYADGDIDLVHILHAAPSLEFLTLNYIHHSNLEFNLPKQLRNT AVANPNQFLPKLREISCTLGLKTIHWTDLLMVFGRLEEINDPGRRPLTSVVYVVSNRS SNLRDEDIGPSPNEVRTMTPYLRSLKTANLHLEARLDSNKNLLPWALIEQVESMAS JR316_0013155 MLTSCKHCSGRGTEPLPPNAQDLHALQNQPCRTHDGNTCNACRA MISLEAKILDAQAALLQLLNLHRDLREEMNQAHSLINQRLAPELLSSIFQCCIDDNIE DGMSSSGVKIDIRAPYTLAAVCKAWRSIALSTPNLWNKVFITIDQNNDVPLQAQLLRE WLSRSGDLPLKICTKYNRNALYPGPHDENDAFAETPILDIINQYSSRWQDLYFTVSAA FLKRLRAPNGTPLLRTVSLYPQQWFRDCKWQLNHATPQNLYLNLSKISHELENTTDNM FNVDWSAVTRLVSTDFPRVLQHGIRQASNLQYLEIIIPSQTTPAGDEVLQGISITNSN VKEFTYQCRGEPKLMSFDLPAAQMWHMKLNMLTTQAGIDSFKASLERSPCLTHLTIYI MALKDKLIHILHSVPSLQSLTIEGTFLSFGQEDGLFAHLCKTATTNPAHFLPNLRMIS CHARARNTSWTNLPLVFGPLGELTNPARRPLTSFVVLVFGSYQDPEGPIPASCIDPTL IPQLKSLKDAKLNLEVRHRRSNRNLLPWPLNEQ JR316_0013156 MSAAPTPAKSSKPKKEKDKERKGSLPLASERLKTVVRRLPPNLP EDVFWQSVQPWVTEDTASWKIYYPGKLRKKLNKENVPSRAYIAFRTEDQLALFSKEYD GHVFRDKAGNESQAVVEFAPYPKIPSDKRKPDNRNATIEKDEDYISFLESLKASENQE PVSLESLIASSQPPPPPKTTPLLEALKAEKSANRDKEAILRNHAHYQNILSRKEEKKK TNAAPPPSKPSESAPAASASTKKGSKKGAGPSGNAESKASKASGQGKNAPNANNASSN TPAAGQSTAKPAKPPRAPRPPPAAKQEKESRAPPTIAVPPSASADTNQTASPATPTTT ATTAPPRRTRPVLGLGSRQFEAALSGVAGLAVAATERKKREKEREKDKDKETKEGGSS AGASAAPTFARIDGSDNEKPSPSPTPTPAPPHSPRKSRRGRGGGGGGGGASGEAPQVK VPSILQRADVPPVVRKEGPAGVVVLPEVPAGPSTSGGSASRGGGRRGRGGGRGRGGGG GGGGGGGGGGGGGGGGNAPKGG JR316_0013157 MPPKSKKGKKASTKKVVEDTTPPVETETPVDDDAMQTDQPEPEP STSTSLADTAASLVETSEQVVAHAVEAIKEKVVDVAEAAEEFVEDMTMGDAEESNAAG SSKSGDVTPAEPEVEEKVEKKKMTLEERKAKLDQLRKKMAASSKANRTALVEESAKAK VTAREAARLERQRKLAETLRLKADAEERGEDVERQKNWEYTIEENDEWEKKLARKKRR ADFEFHDDAHAARRRYKKDLDHIKPDLVAYNQQKAIAMGQNAGALVGFDPSSGSSSEV AISQEQRLAAENLYRDANTLIYGDNKPSEDAIDRVVSKINKDIDKKGKFSRKRLNEEE GDITYINEHNRVFNKKIARYYDKYTSEIRASFERGTAL JR316_0013158 MVHLSVEGEESLNKLADQVVLEKNIPGFLFGVTSVDQELYLKTW GYNVIAALQLLEQEKITLETPVSDYLPEFSDLVIIENQMAEVLTYKPTKTVMRYKHLL DYTCGLYYPSKEGDLPGIPVLFEPGENWANGWGSDILGFMIEKITGQTLEKYLQDNVF KPLDITASFYLTPEIKEKLVDLTYRRGGQFERWNNQSRIIEQDPSKVACHMGGDGLYA SLKGYLNLLRHLLRIKSGKITNGIISAESVQSIFEPSLHETASNMLSRLLMLDPSMPR DAVAQWGTAIGVTATDWPGRRKKGSGFWWGWAHTFFHIDPSTGIATVFGTQVIPMPDR DVFKIFARFEETLYAGLAE JR316_0013159 MTRDLRWPIPTCPQGLTSKTFKPPPMAPYMNLPNIFDWHLQNSP QHPFYVYSKGANSKDNSITTVTWGEAVKIIYRIAGIVSTAVGPEKENAPKPLVGMYCS LESLTYMLTAMGVICAGYTVILLSDKASPATLEHLIVESGVAHILTNADDEILASRLS NVREKLKHGVTVSTIPSWSEISGEGETCSTALPELNRWTHGMIYNLMWSPWFGDREIC GEIMSTCSIPMGGLSVLMQTLLGACSGLITAGFPPLNRKPEPTLENVWNTLIVTGSTF GYLPLPYLAVWSEQDDKVQRLSELKGVLFAGAPLSKEVGDKLAAKGVNLISFYGSSEA GQIVRAFRHKHAGMNWEWFEFNPLINPSLRNVSEDGVGELVLKFGPTHRATRSNCTVD DLPAYATGDILEPHPTIPRLWRYVSRVSDQETIGANGPKVNVVAMGKKFF JR316_0013160 MDSTFIAAAGARGVERNGMVTGLEHSPYAVLAVAGARVYHTKLN VKDSQWIYSRWKGTLTFGRDVDDPNSVTQDISETERHWFRLADDETGRTVWMFKFPEN FEYSVDRPFFHVFQGRTRTYGFLFNDDDEASVFGRKVMGRLSSGRGSFSLKARHIWAN LLQTHVVEPVRKSRSPGKLSGSNRSKSLTGSISRSLISSPAPQSFKHIAHVGVNKDGL FEASKDLDSAWKIMLEDLQGHGVSDAIVVRQSNFVDGFWKEVEAIRMVEGSSVERFDL KDVSRNIATVTAL JR316_0013161 MSPIINTQRTPFRPSFASRVLQATARWYNPPAQHKKRVVILGSG WGGYNVLRGLDREHWDVTMISPNTYFNFTPLLASTAVGTLELRCAVEPVRRYTPKATY YQAWCDEIDFAQKRLKCMPATRPPGAEPTESQDSVKHNPGPSFSLEYDKLIIAVGAYS QTFNIPGVKEHAHFLKDVKDARKIRSRILECFEQANQPFLSETQRRNLLNFCIVGGGP TGIEFAAELRDLLHTDMKARYPNLARFAKITVYDVSPNILGSFDQSLRKYTEEHLSQE GITILTKHHVDRIESVFPFGLLVWSTGLAPNPVVKAASDAKKDPKTLSLITDTKLNVV MKDGTPDPDVWAIGDAARIEDVALPATAQVAAQKAKYVVKTFKSLQKDKDFSKPFQFI NQGSLAYIGNWKAIYDRPAADGTHGGFMQKETGRLAWLLWRSAYFTMTLSWRNKILIP TYWYVAIWIFGRDITRF JR316_0013162 MYRIVRPNVAFAFKAARRSQHSIPLFIMKHLKALNDIIAFPTKS LATKMIYDKNPPYPHYDHLPYAKEPWKSIYVFYRLFTTLALVPFWVVTYSVLPRRYRP RASWNLRQIVNVNFTRRIFKVTEVAGVTWGTRDPTVAPDAHSLKETRFEWVEPLPKEF QTGIVVDSVPFTRVGCYIWPKDDHPKIKRVNKSDDTRDLISHLDLEAGSDDVPLIGIF MHGGGYCHMSAHESSRTSRIPRNLVKRKILHKVYAVEYRLLQHAPFPAVVQDAAAVYA HVVKQYRIKKSQCKIILIGDSSGGNLVLSLARWLRDEGHLPLPHGLLLLSPSCDTSHA LPETLSSYIPRPNQFTDYLVDTPEPRALLQRTFLGFKYHPDSPSIDEERRLMQVVHSE YVSPCSPIVLKRWGHDLKQDPEGEHEARFTRQILQRLPTYLRSNRAPENLNVVTAQIE VDGDNPYHKSCRFPKLFGDFPRTLIICGDAERLVREVRSLVAAMTTDGVDLQVHWARD ACHDPLMLSEFWWDRTVLEEIWQSVEAWVKGFEGYNISSESSDSSLDSHLNVHPEAAA AIKAGTLEG JR316_0013163 MAGSFDLPAYHSTTSLPGYSSKLSDGEQLLEYTPHRPSYRRPSS VYIRKEGRTTVVLNNQKEGTAVPQYGRQGTINGTICFENSDNILEVIMEIQGTMDIFV SQAGYKSLTIFEVSYPLWSPLSPDERQTQSCPSQIAFSKTLHTTFNTEDGRTLPLPPT YSSPRLHLAGPQAMVSYELRIIIKCSRHPKLAAWTKTRRISIPFQYVPRTRAHQPITS YSSFPSSIKSTPEEWHQTVVPIPDNQGREKLYGLLFLPGHRIYGLGDIIPFHVQLTGD TEALQELLPSVRGSMSSSLDPRESSSRAPILDHARIEVVLLRQVTVRYKLLKSWEDTV IGKGIMWWTFPEVSSKFEDRCCIDWDGELRCQEGITVGGFDAGNIHVKDFLVLKIIPT HFSCPVQTVRSTVPIRLVTDSFDGADF JR316_0013164 MSGEYFFDSYIAVPDVKILDHIKNPERVAAGLKATIRNPSVSEE AKSRAIERLNEMGVNAEGEPPSRGVKGSSSTASTRSPGNPEEEKTEHHRLGGYKATIN NPRVSDAAKDHAKEILKNNDAL JR316_0013165 MSSERQNTQFSQKERQEIDTLKHTMQDPGSSRLEQQIAEAKLDA LKQSKEPAPHMHKHRVHGAEGEGTDGSNIYHNDEAFERFAMGERDSAPTGPRANQPAA DRHSIKMNHKVRGTTKEKAENNLPTEELHGNVPVESAGVASNVQFLKNRTMSGYSKQQ QVDELGKFLDNSSSKSKVQLQKTDNARNEQVKPAHIGHLKHVQNMAESIEDSISNKVD RTSSHTSAIAEKIEGRRSQFLSLGDHLAYGIKIEGKDESMLNEIESTVSGSTDV JR316_0013166 MKGELHSEERKSKHVHYRARHKKLEHLKKRMTEHGFKERIMVED PQSQASEPKYCSVLLDSKEIHIIRMTRKNYVSAPSELDDIVCFHEITASSDTTQSADT EQLQLLRQYQQQSISDTPENRHVLPEEGYESSAVFRRYTMRDHEWPGRSHSDHCITQT YPPSASSLFAADVEKEFWGMHEASIFVWLIR JR316_0013167 MSTTTEILFNSPALHSLKRDQLVKLCKIHSIKASGKNVELIQKL RLHAQTLPKDSPLSIAARSEEHGPIPMQVQEPLPQGLEDEQEMGDDVSYQNSRSRPSE QWEMVMDSIEELDEESSQGTLTSQRTLGHNGGTGEFGTGGSKSTTVSSSIKAIATSLG LKKGNPKSTTTSTSSKKNTPFTPHAVQEPTDELSQMSTPYASLPEATSLPQTDHFTLN DTRMSIDGTEDIPLPGHVLRPGVPAPENARLSMGTGVPATPSRPTTTIRLISNPLSNP GAGVDHSYASGENGTPQLKPFKTSFDITFGSPIPNSSGLNFGGMSIWPPRTEEDVHMR GIYPALSFEDLPPSLEMKPPGTPAKPGDVTVHSPLAIPKSPDVFVFGTPHKLTDDQFR SAAASVLDEVNRKLREDGVKEVNTDIIGNLHPETKKEPPREIKPIPVSKRGEITNKFN RLHEEEFQKMEGIDSLVKRRAERLVPKKLSGDGEKVVLGKKRKSSVLADEGGIGSRRP SVIAPRRASNTRVISNGRRAKAIPGAFDFGNDEGDAEEQEDDRAGKRVKMDPDSALSP DEEAKKQEMAEQRRLELAKEKDAILKKLEANRARRRSSAAHGGLSGRKSGRLSVGKPR QSILVKPKPKPKFGFLSSAKSLVQSVWNRGKASTPVVAGPSQIPKASPTAKPEPVKGK TGPPSFVPTKKSSVAPPRASAAMASGSRGMSTRVSDPKLKADDAKSLSSNKGSIATST SSRARSPPVAGSSRNSLQSNAGSRTSRTSSIVSPSSRATSIAGTAASRARSPTNVSSI GTRLSSTTGFSSIGDVGSMGVKNIAGRKSVAAKSSVPGSSSSRFSNVSSRLFAPTASS LAKMAKAPLPDASGSNKQTLTSITNSPAVAAASPAPTSSSTFSPRPGGIFSKPLALPP QSCIPTPVKSQLPNDEGATVGGGSSKQEKTTDTTSALSRTRSLNGRKPRISRSKVIAR LASQRAAGTSSGGRVASASAIAPRPSNAAGGRVVSGKTRSSLGAKVSRASYGGGTRSR PSVGSSDGVMMSAKKRARQSEYARRKSKVGPLNFDGIAPKGVDVDMN JR316_0013168 MAKRITLYSAKVCPWAHRTELALKESKLPYTRYEIDLANKPEWY APKINKASKVPAIAYGGPDVPPDEPSPDSTKIAESGVLLEFFADLDTPQPLLPKDPID RAKARFFIETVTPAYTSGFFAAISGSKDPEAIFDGIEVIQNLLPEKGFAVGEWSIADA AVTPFFARTEVTLKNDIGKYEAGKGKAAWEKLQTDPRYARFRQYFSDLKNRDSYKETF DEEHVREFFSKRFVRDA JR316_0013169 MQRANDERSHLSSFPSLTYICCAARLSTLKTQRTKEVVTIASLT PWIRVVVRLTGMLGQNVSAFCEIRLALLETDTDVRENLPESRARANILVFYLYGTGAD RWAASGASRLTQSPLLSLLSGANVSLPFRAD JR316_0013170 MGIRPNSNKRVQNENMPPSAATRSVLNAKFVASQSPRRTSRTLR DVQFRKRSESSTGVQTTKRIVGHAKQRQAKNKIRFTLKSAIRGKINDVEMADGTVHPS SNTVPIQLPQELVRPREYKAVTPDMLKAVDQTYDDDMGVQYFRDGLEILEKELMQSLA SVKIQNQRTKLPSELDVVVNDATIILPSHVLAVFGKAPPPGSSAPRQVTLYPVHSLVL KAHCAHLPLPPPTLEVPNHVSGEQTVKLPVWSICLPSPEAYPALSVYLYTKDIQRLLR NLVPMPAPEDLRVTPGRTKEFGQQMGHRFSIAIIVRATFLVYGVWQNMCALGIFDKQL WDTIDAVWNSILFAMSVATGNPTMPIPPYQPVDEGYGEAEPAPEGECEAGPSGDVSPP SDDLSPSTKDSAGAGSQGPEGSGPHH JR316_0013171 MKQPSYLESAPNAVQALYSYFNVRDTPTPTGSALGPTFDMYVVR DARMPTHLLAATQSDQDPDNTTPLMLPIDLTLFERGFRTDLNVPPAAPGSIAPIPRSL TVGDSQVLYVNLPVVPIRVPHVPSLALLLLFAMGLETNHNLLAWKLLPVDVVGEFPNA AAMSTILSRRSHAEVDTFHRHNHGLWKNILALALSNSRMIQAVQTALHVTNEARRLQL RTKLAQQS JR316_0013172 MSTREIVIIGGGIIGCTTAYYLSRHPSSSSAKITLIEASEHGPA QGASGKAGGLVARWAYPKEIVDVSFEEHVKLAEEHNGKDRWGWRYVGCGSWEGRGETA VNLSKEEERTSNANKSLEKELGLPGGQKPLRGGKSRKKNSLPEDLDWVHEELTDSYSP MARDGHTAQVHPYLFTTSMYELAKQNGVTCIRGKVTSILQDNGSVTGVEYICPTTHNT ERISATQVLLAAGAWSPSIVSELPIQATRAHSITIRPKEGVTISPYVLFTEITEPPTR AHSSPEIYARPDNEVYACGPGDDCPLPDTVDEVVVDQTSCDLIYRHVSSISKQLREGV VEKKQACYLPSVSTGGGPMIGEAKQIAKGLFIGTGHTCWWAGFVDPAAQQAILCQ JR316_0013173 MDFPMAELRSWKEPIDQPHHVNPHSDYCYPGGHATFTNSVYLSL YEEEESREQPVWGEPYAAVDPCSGLTNKEMSEGANRPTIYYLLRLFDSIRGFHKGSLD LSGRHPERYYNLQSQWNRYLCSFLSLETEIRPCGDHGGISQYQIAFNAERWHRFQLKW RLPESASYSQLLKCTQKVLQELKQHPAPCFQIIRLIDLPVEVLDNIVSLASTSQAKAL ACTCHTLNDIGQRHIFRTWPMRLHVPAHISPFNVEYSSIDLPTLAYYARQDLERSARF LIDTPHISQRVQRLVLTDEWCVARRAQHDQHTNPFALPPDFYKGVTQIWGSALQIAPR LSTLVLCNLELGTELLRRISEIRTLHTLEMHLCAVPRSALRKLLVAATSASANSTSSD NAANNASSSSILCPQISNLRIYMDSSFAETHSQWAGLLLCPAVRTLSVVQFGIGAFPA PPDAAFWTRCRLDHLERLSLDNIDAGDLSELVRFLSTPTNNGASVPGAAHRMTHFKLH MDWGLPDADVLALLSALHTAHAPLEILVLEGLAEASFALFDGIASLFPDLRALTLVRR ENGSQHQNKRALWPGSSWEYAQRLRAFRRLEAFCWNFKVEYWDATPRALLGFETDFGA AGTGVAGLNASGRRRAGRGVMRRVESDSTGGASAAATEDVPYFLDSHWMALPFVAYCP TLRSFSLMDRTVDMVCRINSAPVHTSGSGCRTSTTENIKGGYLGNRGSRVMQGTNGSG RTGTAVAKVLTPTYYPMHSGAFSWTTGGDLGSGAGGGGSHECVWNTTASHWPTLAPLA SYGSGDGARGTTSSTSTLTSTSNLGLGSTSTSAGVVAADGVDGPGRM JR316_0013174 MSQYPFRHSTYFTGGDPVHTSELPPMNSTEDILQDIFMRDRNTV YVARPLGKKNPFRKVVSYVKNLRRESRILIPRNMSVIF JR316_0013175 MIPLAGPSRQHAPNKNKNKQKQKQKPVVRTSKLKKVAEKQKIEA LERDAMSYEPPVDLEKFADLPLSEPTKRGLKKAAFVTLTPIQKASIPISLQCKDVLGA ARTGSGKTLAFLVPVLDILYRRRWSAADGLGALIVSPTRELAVQIFDVLRSIGGFHSF SAGLVIGGKSVKDESDRLSRMNILVATPGRLLQHMDQTVGFDAGNLQILVLDEADRIL DMGFQRTLTALLGHLPASRQTLLFSATQTDKVSDLARLSLKAPVTVGDPSSSSQSEPT PSTTPSLPPTLTHTYILTPLPSKLSLLWSFLKTHLHAKTIVFFSSCKQVAFAHAAFCR MHPGVPLLALHGRMKMGVRMEVFGRFVGMGGGKGEEGQGHGVVLFATDIAARGLDFPS VDWVIQLDAPEDAETYIHRVGRTARLGAPGRALLFLLPSEEEGMLRALEERGVSGLVK GKVGKKSLEVDLRVQLQGIMWKDVEIKYLGQKAFISYLRSVHLQKDKSIFSLDKLPVK EYAESLGLPGVPKIKFGKGKKEGGNRGAGDVPKKEVKKVESAASASESEEESEGGNGE ESSEESGEEEDEKPNANATHTRAAPEKVRTKYDRMFERKNQSVLSAHYARLVAHGEDE GEGEGEAGEKAPDDDDDDFIVLKRADHDLSDEDKPLDDPSSLSKRKQKMLRSKAALSK ALLSSTLPSTSSTNPSTLTAPHALNTKLIFDASGTPHQLYELASADQFYEQQGGVDGV RTFGEEWANGIPAGPSARAALPSDSGSGSDYDSEGADGYVSPDFDLPPLDSDEEAEFD KMDMYVPPSSSTKSTKGGSKKRPRGVDEDEDEGGGGKKIGNTNANKKRKQEKETELED EEALALKLLGRA JR316_0013176 MLFSFTARIVSALAAFIYPGYASYKTLSQRPASEAELERWLMYW SVLGCIVGVEYIAEWLVSWIPFYTSLKALFLLYLALPQTQGSTYIYHTHLRPFLSTHE RTIDSAIAEVRGRVYRFVQERAQALWAAVVASLAGGAAGAPGSDAGAGVTPPTQHGHG QQPAPAGPTQLLSSLWTSYGPGILAGGAALLRQTATATLPSSSSPASHTTSKPTTTAV ATDPAERRRQLEAELAALSSLPAAPTERPSSFASSSSSASINSAHGAGRFEEIRGGEV EGYEVDDEDDDGEIRKAGGGWFSGWGAGTASGKGGYERVKSD JR316_0013177 MFARAIAAILAFLRGRTIDIPNTPPAAIPDTWGDQAFDLTSDDS GYEGHAGDGDQSGWGSGNSSVVDQREPVPNVVVHFEYQDDNSGQHSYGISDVAHDGVE GGLNISDDGYDADCED JR316_0013178 MGKKVAQEVSTIHKKTCSTSEKQDADVAATGLTALIIAPVEDVA AYALNVHLNKETLAAITTGYWTLAEAAVM JR316_0013179 MPPTYGPFSRSPAMIKTMQIAHYLCVRFARGIGNSTQVDSDDWT LTETQEFNQLADVLARAFKNKKMLSWKADDYKDWLEVNGKGARGTNAEFERRVAEEFP PLVPGVIVFETEPFILCDIKGNILMWYLPGALKNRRQLKMWKELKILQGSFSIDEYSS SWRKNTSNYMKREDCKTLAPGTVSMAPAWFQQGHAKSNPLEVSAELRKARGLRWIENF SDSAALIGAALSIMHTWQWQLAIQAMDNLYRDPDLVAKNETLPRVLNAWTSPLTGISV INNRLETPTHRDRGSAYEWFDILSSIGKYQNGYMRLPGAGVEVAYGPGTLVGIAGKIL THNASSVGERACIAQYMKDNVVTALVTSNHRRWANASYIGRMEM JR316_0013180 MAALEADTDDIMNKYPDSCDFSIAMADLFINADDENRMPTQEEV VLAVQAYKSSVGEEFTHPLLNDPARPLRKRKAPTQYSDDEDGFGEEDRQDFQETGKRT QMGGGINDDEDSDYEPTQRTRMSERSNEDGDSDLEPTQMTQMSHHSEDLNVQDNEDLN ELEPKPKAQKFEYDSDNIDIYQDHISHIDPVFPSEDQCAKCLKSGFRCYEYAQPTTKR ACRRCVRLRVGCSTSWRRTKGRRFKKARVVSLSKVQVTSRKPRNAAKKKRSSGNSTAG KTASRANLPSNIPQMDNLCARLIAVENSQKTFKSQISTLQSSIKGFEVDLETSNAYIE TNISSMHTEIKEQLGTIHNIEYRIDKRLDSHHEQLAAVRDQLDVHEARIENHSQQLVG VREELRVMAAATSDMARSTRDVMANLEKTMTTTFKEVFTNTFGNNTLESRVRRVIHDI ASTSPLTTSSNNTGSSAATSIAPASLILSSSPIAPQDVQTAQVIWSVIKERNDFFEEV IDVEQLEDQDVTPGVDGRGKVGVEKEKDQEAVRANTNKKQDEVTPYTQDGADASTHTQ ESADASTHTQESADASTHTQESADASTHTQDAVDHTSTEVKNTENRTDVELGPVKGFT DMASDVTAIKAAGGHEDQFMLKEPSGDAVKVTEHRLVAGVVAAVEGNVTDTALDKDFG ADGDNDTVVNGGTAPDKERQEVGTEQGTGSEMVGGTTHDAERQDVEPERGTGSDKVGG TGNDQEHQDIDTERGMGSLDIGTERGTCPEVVLDNTNKVEGGVKGLPTGSETVADEAS NLQGGVNHLDPVVEEDEDEEDGDHDSTAHPSREQTPVSNLTSLKHTNLLPEYMDIWSP GASTQMDSPRSPAVPSPTPTQLDHTETLEPDKPKRSRSRARSTGPTRQMPIRAGRGAG KLM JR316_0013181 MHEVALKQMGMHAVTIEAHRNEDVSPVPLAMTTAGIWLDLVKAC ATFSKAFGEWIMKVLEVTEGNSPSMDDGKPVIALTKGPGGIPILPPPIISHGRSEHLK TQREIIREFFRIHYRVACNNERAAPPWEAMGKNPEKFFWPEDIPESFVFRDPTRMSGK EVQSLLAYLRNRQENETVTSFSFRQVLQDGKDGRFIKAKYPLEAALLRTTVAPPSLSH SGESESDMDEAEELSPSNPTLTLQQDARLGGNTHPVGGNTPPVGGNTSVVGGNTPPVG GNTFTMEGNASEVGGNPAVGGNTHPVRGNTPPVGGNTSIVGGNTPPVGGNTSAMEGNA SEVGGNPAVGGNTHPVRGNTPPVGGNTSIVGGNTPPVGGNTSAMEGNASEVGGNPAVG GNTHPVRGNTPPVGGNTSIVGGNTPPVGGNTSAVEGNTRPVGNPLSGPHSNLDTQSYD QGIVNRGLDSTYHNEVPLQNFVPVDDFITPTPSVDDQAPNLSSHRLLQDSQSMDILSA SSPLLGNTHSNTLPPVAGISMRDDAMGRQTSNIPIGHPTGHQQYFPHPTSYHIGYPPA MGYPGYYPPAPRYYVPHTYQHPAYGWLPNSHYGIPVSRQGTDIAAGQPSRGDDSNQLE GGTLSGGDMTSQRGGETFQAENVTVGDTETPVSESGPGSESGSVNSGRRRRKKNDVVK SSRTLRSSGNTETPKEHK JR316_0013182 MALSPEERASSRRRACARYYSKNQSALQESARLRMQVLRARRKI TLINTQNLKKQVVDVPTLDKSTINEIRLPELSQQIPIKKLKVNMKPKSKPKSKDVDTQ RSIEEVKGMYIDNGRIPESHEEDEEEDADNVANLLRPSTPIVSEDKTHKSLPMFSAVE HELPIASYDDEDVEEEEVGIEEYVWIDRHDLKDGDCIPTCRECLFIKELLAELDT JR316_0013183 MKVLKDLILLVRHAEIEAEKESATTNQVLAQDESVFPQKEEPVH ITSDTPLVDDLQEGIWENEDSSETKGPVPRLLPRHPKYDSAGNKFLTVVDVSGFHHIP VVWCSCEERPHSETHEMQLLDLKLYPASYTDINTVFTFEVLNDHRLDNLECKSSSYQY HQKLRRKTSFAFTDYVPNRYAELCRVSRQWRNIKTRKWFGHIDDSKPERGSLALFCPT CPQPGINLPENWREEATKNPYLFTRSVTADGNFKADHLQQRNSADDVWLTDGESFMTN NQRYKRHLDTAIEIKEPPTCNKFRAENNAERAHNGCDVTGVGGHACARHGCFAPGSMV DFQKGERQMNIDWSLCEMLQTTNIDETQKLLHIYDLGCTYLQNLEKRIAANPFLTKPD KLEIIRAIGLFHVHGHQDQCLYRYATNYVPGSGVVDGEILETLWAVLNLVSRSTRTAS LAHRTEVLNDHMGDSNFKKMVNIVSSIVSKYRRAVKYAAESSKYFSEITKITPVDARE EWLHTITACEERRQQNIAEMDYMRSTVQTGATLQEIETKLIKEDIETLTPGADGTTAW LVSGLKIEEAQIDLQQHVRRLGKSPTSAQELDLAKKRQRLASRIRAFHNLSGRYLGEA TVLQHSQKSDIIIFPDGNISDSDFPDAPPPRSVDIENNKLVFPSVVESSDSVVLSNLK SREFELRQGQANDSLQKDGDNIISALKVLGWGSLA JR316_0013184 MIFSPLISTSWKREEDFMHMKMTYLLARIRIGILEVTRPGPGVA DESTLNAIQRELEFITMNHGIASILGLAVPTPFFLQELLWTHGLAINAINCLFDDFSE EESRTMIKQFDPEGIFSWWTEGGQIPSFTDLDGLISSTMTKFQLAQLVQSGLLPHQGP FRHSKYAKVYLPPGLPIHRFLFEKGLANESTTGSDAADAEAKVANSNSSPTKSGEILG VASKTILKSCFANRRDITSNSAIADSADCTPGAIEPGIGDTDIDMGDLSVAERSPEMS ATYPAGSAPGNLGQNSTDNDKQTSKTSVPAQEGTCQISKTMLFQLLADITRVESLTEA AMSRKLEALRRSIWYLGAYKRLNQHAVVSGKSPYFKAMNNSNPFDTQIEPEGKDNEEA SSDIDDGIGRIITGQSSDSDSEIEDTAESSQSESDLTQSDSAGSQWSSDHSASPSSQE MEMTGDYEPPMEEDLGLPFSMHYSTLRGTRRL JR316_0013185 MASEHGKQTPQKNVQPNHGALQSHLKNVPFWNIYDVESRHIVNR VVGTGQNTRSDGMIGPLPPSKTGLKRSLSEISGIEVTGTSSGEVISSAEPETPIPQVE DMAKQAAGGSTAPAAKRSLRDIKQGLLLKRVKVEPQDVKIKLEAIEPSPSLSTSISTS STAAGQGGVSKDNEPSIHPDSATPGPVTAKMMSSSKKDHPRAPNVKAKKPTPVSTIEG APAASIKATPAATIESAPASAIKLAPASAIEAPTPITSRGRKPIPPSSTKPTPQLNNP TPIPLSRTTPNDPASLTKPSTVPDDPALSSREKSAPDATAPNSGEKSGNASVLELAGI PCLPLTGSMLVIPRCPRRSFISYDLQWPQNREDWLHEVRGENMSELHVDWEISEAKFS KMYPDLAKMEYNYATSELQGIEENMLRRFHISDHSDYCVTVESLRSGRYGQLLLEADM YQELAKIKKGLGSAKEHIKELLASASE JR316_0013186 MRPIDCISESHTGTGTGTVTVDECFSPPPGAIITVHINANTGAS SATDLDVPVDGRTILDEDRNAVSVTVTAAAADATQPTTDDKPATPTHNQPATQNQPAT QESRPTPTPIPAPALTPTPSLTSTSTLTSTSTSTPTPTQTLVPNATQITEIEIEIDMH LDLELDTVYKDPASVEHGAESGDATQMRLDLGRKAIAHTTATATAVAAATDVVTSAAA AFDIATSVATDISTTATDTDTTATGTGTAATDTASTSAAEPDAKTFPPDSECSTAHQH QDGTIIADNASCTNATATVTSAANGVAARAVTATVSTTLPNSSRADTMNVSIDKPDDA DRHADAHVHAGVDSGEHNQNQNQSQDQEANGDAKMGDDMDCRSDGVSPVLDHTHTDGD GNNNNKDNNNIWNGNGDSGDLGRVQGDADARRQIEAQVSQHTPTANSSCAQPPEPQLQ PQTKPKPKPKTHADHMRALILRKVNPQGAFDVQYACSDCDTDQTNADTNTRVDTQTNT TANINALTTPWRFVFNTHYEVEDEVKEIPAYSWMTRRVRYPDGKRVSTNGMWAATLAD FHRVASWHDPPTVPKAPDDKSRPDSSSSALEYERCQGPRRCTVVAPMKVVTSSMLLAR YASVVTGDVRHDRLRQVLRIAETEEGPQILPVYSIAKLVRGAEAWKAQSAPGGASWAK MTLDDLNNNNDIGCEEGERAKKRPRERWEVDEPWPPESIFSPRAYPRPWTLEPFKQHH WHAEYDLTSWVNVPTLQSYIPQHLLPAKLIVHDPWEVLSGLRCTAEDQYTAPFTQKKD IVRVFKLSLDRRPRYDSDREEEQKELDREEARRTEFLACPKSGVPMPAGMFYEPRRCV EDEGDGTGPAKPPVYVVFPARPRKVAPKEAHLYLAPSEKMGSGHHSYVYRAELEVPRS MLVEDVICEDCVRADVKRILEEEDGIDGEKRDPKWDDQTAGRYVLKLRKLRDGKRRKV MFGSEEAMCEWDDKHDRVTKEQSQLPDVHIEYEGPYRAVQTKVGYQNLERAPYCEHLR MRSENIHPLTARVYVAAKLASRDDSEDHLPKEALNYQAFPKHFFEHRTGYNLSESEHP FVFPQAPVPPGALVPQFYGYYEADWKDQNNRNSKGEREHLSPILLMEDCGQAIDPDKM SVDDR JR316_0013187 MPQAAKKDNQTQRAQDKESKRARGALSCAECRRLKLKCDKTVPC SSCKRRGCSAICPNGSLITGQGTRFVLADTETLHQKIAQMSDRIRQLEDALAILQSTV AGPGGEPHPLLHRDLLKIKSSIELHSASGAAGEDPPAQDGAEEDEDGNAEYIDAFGTL AIRDDGAATFYGRSAGSEGEISSEASSTHPTSPSDRDLSPPLRHLSAAPSYSDFSVAS SSPHQHFANRYPQQPYNPDGSLPNAITSFASGFPLAPSLSAGSSSAASVMSSPSSFGP SSLTPFTHSQKHTLTLQDLTSQYLPPFVDALRLVNLYLEQAPWFFGAVTQRQIEQELM PLWYEEAASAVPSTSTPPAGGLVVQTSPGSGVSTPPTPRTGTSHDLALLFIVFCFGAL TDINLPSPPDNAPAEKYYQLTKVALTLDPAAPNGVPVATVQTLSLMAIYEGMCSGENS IETTWALMGLACKLSQSVYRDCARWKLTPAEVQKRRALFWELFITDCWQSLATGRLAT FSLPFVDCELPADPDQTIAEDGSVQPSFPYWKARFGAECVSAVVQGTLTSRAPKYSII LDLDRKVRDMDLPAYAQGPPPQGLGLAQTMSHFMPQNYRELTLLYIHRCFFAHAISSN PLDPIKSQYAPSFLAGYRSACTIIASVKLQFSMFPAQIARFWVLWTHAFSASVMLASV ATHSSRSKIALAALLELKTACELFESAASYGGRAVKFLPILKRLQGKAQTACRNANSG VPAPIPNDIFKPSQTNEPKDELSIFSGKTHTLSTKATPTGGTSAQTSSTASGHSAPPR ASSKSSRASSDSPKAIAEYPSFANVHPSLVSELSVFHGHIKTQIQNAYQNGNDLFSGS VPMVVDAPPRVPAPQPKISPQPPQPQYPAQVHPPQAQYLHQQQTMYHAQMKQEQFERQ EEERIHMERMEQERREMERREMERREMERREMERREMEQRELERQEMERQQQMKQQID LQRRESHHYEVQRQQQLQQEALHRQQHSQQYDMRHQQRPEELRQLEEVQQHQPHQAPQ YHSQTYEATPPHSSYGAMPPAPSSSHSQPRRSIVDHNPYRSQQQPQQHYQTINHHPPP LPPHTVPSQHHQQPPQHLVQSQHTGQHEPPHQQQHTMYNAPPSSHHPSPEGMSSSSSV GYSPPTATYSSAPAPAPAQLHMQPQAPPTGSYTQESSPAYVPETYTYWPAASSSFAMP DQRSTGPGYTVGPAHAHHQQYTPENALRGIAADDRGLQETWQSYMNKVGTPRQFFED JR316_0013188 MPPNHPPFGSGSLATIITREEPESDEEDRGEENQDKGRERTLLR KLGFSFFLFGLINNVLYVIILSAALDLVPPATPKGIIAFCNIAPSLFAKISWPYLLKG RIRYTKRLLGCCLLSTLGMLVVALFDSLYMRLLGIGLASFSSGLGELTFLQLSTTYAP PPVAGHSVGYFASGTGAAGLVGAFLWWELRGLGVRTGVGLSSVMPCIIPITYFFLLPN PSMFLYAVTPAFEDTMDPSAPAISTLPYTPLATAEDEDGEEEGTLPSGPKRGIALSAS DKWRLAKPLLTKYMLPLFCVYLVRSFPNNRVLELIDDDRKGISPTLLYPVPTSEGHWL LSKIIHSVRDYYPLWQLVYQATVFLSRSSISLGLPALPTQLLPLPAIIQFILLLLLGY ESAVGFFGDERESMSVFLVFLLVSLEGICGGLAYVNVFYRINHEPPDPTTNNDIEQTR QEREFKIGSIGFSDGTGILLASILAVPTEIELCKAQVGRGKLLCKGL JR316_0013189 MVCTAATIFSVLGVRSSATLIVVSVMYRFFSGAWLSVSMAALAS LSRSPQEVGARIGLALALSSAAGSLVSTPIQGALLGSEFRWSRPAIFSGVFMLISVAF NLVTRVLLAKERGTQKV JR316_0013190 MVRGRPTRGGSARKSKNQKTETVTDEKFASLKPFGSFVVSDPET NEGHKFKKEDNVAILPHGRDPGNHIEDEEYWIAKIKDIRAELHEDGSNTVWARVQWFY SADDVASVIKSFDKSTIGKYEKIFSDHYDYVGPESFNAIIPMAKFYENDPEPPYIPWD KFYRRYTFEYKARMLKPKPASKSKESATTFVSGNSSQATVSDIPKKRKRGERGSLLSD STKSTPDYSEGNLTPSNPIFSRSFRLLSCSPDTDETINLQSLIPLTVQSPTELNEAEE IVISRPAMKKRKGRRSVIKNHVPSAPPRRPKQTIVEALGSVPFELLHIAEQPLVRGAA FANGGISGNIAFVTRARRLLYKLLQGEPMPERWEDEIFVQGEGADLTNAIVKIGASQR PFPPFVCPNCKSAI JR316_0013191 MSLLKIPIIIILTVGFKVMLTPPQPPPTAEEVVPTSKTDFQALR LYRLQFARLGQIILAAVEISALFAHAGPIISNSQKELAMFLMGGRNPDRLYLSRFGAF GAILSIMGAAIRIRAFRDLGRFFRFQISIQKDHQLIKTGPYAYVRHPSYTGLTLATVG WFIYHCSEGSWLRESGLLETPIGLAAFTAYLIPTILHMQIITLSRMSNEDAALREKFG HEWDAWAKDVPYLLIPGIY JR316_0013192 MSLAKIPVICILTFTYNAMFTAPNPAPAKEDMVATAKVDWVSGR NFILQMSRLGQTLLGAVEIISIITNAYPSSSLSQKILYLCYFQEKDPGRLQLHPLSYL AAFFWVCGYLMRMRTYQDLGRFFRFDISIQKDHELVTTGLYAYVRHPSYSGIILADLG WGLWYGTKGSWIRESAFLDSVGGTITLATFIILFMLPGPAFTLSRMSNEDKALRSKFG KKWDAWASKVPYRLIPGIY JR316_0013193 MSLAKVPIVAVLSLAFKEMLTSPHPDPPKGEEVPSASVDVSIAR DIILTSSYVGQIILGTAEIATILAYTSPSSPLSQHLLSALTFPGGDPSRLRLNAFSIL GAILWLAGAAIRMQSYQHMGKFFRFTVSIQKDHKLITTGPYAYVRHPSYSGLMLADIG WFLWNGSQGSWIRESGLWSHSIGRSVLTLFTVCFILSPPFVTFLRVEKEDDALKKQFG KEWLEWREKVPYRIIPGVY JR316_0013194 MYKYEHAVTDVHQPFSSNDTAPAPPFQLQVSSLPYLIMTKHSKN NTASSIFTYAEYKKLDYGTKKQRLGNESMRRFDACALCLNRAREPLVCNEGHLFCKEC VYKDLLTQKNAIKRQKAKIETLKQEAEAERARAREAARERVLLDFEKGQLGLGGLSAG SSKEATNETKEDDQKTGTKRKNPSTPFSFSQSTVSALTLQAEEAALKKIEAEQAEALK NKLPDFWLPSLTPTHTAGPPPQTLEEIQTQMDSFKPVCRGGGQAVSHPLAMKNLIPVK FTFYNASSSGTTEDSTKVKKDDAEPMCPSCKKRLSNSVLLFLSKPCGHVTCKTCTDTL VRPGKQCIVCDVKLKDKDVIELKREGTGFAGGGLAETSKSGVAFQG JR316_0013195 MPRKLDFDDSLFADLPEWADVTPVEQYEGVNPVAPIFYTPEYTD ATNYFRAIVQSGEKSERVLDLTENIIRQNPAHYSAWQYRYETLVSLLPTPTPSSHPLL TAEVELMDELAVLFLKTYQVWHHRRLLVQITREPQRELDFIKKGLQVDSKNYHTWSYR QWILGFFGGKGSISKEGDEEIDEDLWASELDFVDTLLATDVRNNSAWHHRFFVVWACG VREGEEDRERVLKRELIYAKQAISLAPSNPSAWNYLRGILAHTNTPFSSLVTFAEAYA YPRESVDAKQRDIVDLENPLPSESADLPCAEAMEFLADAWEVEGGQEKIDAAVTMWTA LANERDVMRKKYWEHRIRDAHLGLRGGQKKTTTA JR316_0013196 MAVEGRSGATATVTQHSLKSKSSFADLQTRLVRMLLKNMKKHTY HQFYRMHPARAPCLILRTKDIFSQIFLGSRRSSKPILGATNTLPCDRNNHAFRKSTLW RMFIPSAADSNPHAQAFLNSAIPDHAPSRICPPINSLIQGATTWLKVIKPICTTVPIA GSPIKGIIKCLLEILTIYEQSKVNQHDIAGLKERLGRLDRCLEHIQSSQLPSPYKPDD FLRRSLRKTLSEITAISRSQSSIISTRSVEKTIKRCTEDVNQAILDCMMIMISDVWVG NVGHSRETNIFHGRVPYGLAATQETPAPLQNEGVTDMSPIVNIRMEIRAC JR316_0013197 METLPVDLSSPAIKFYLALVRLQVLTPLSLLLNIASILVCTLVA NPSIREVSRLHPTAITPNSHAMAIYIGTIWLGQIGYCVLLVLARKDETKRAMVSAVGL ALVFGNIVMGLWAIAFVMQWFLLSTILLGILLLLLCFSNAALLIYHPPVSSRPLDTAL IHAPMRFYLILPFSILFPLSLFITLGLWYTPTPPGPPTDPAAYHATAAFGVVLGTNLF GLIVIALRRDIIWCIASTWIAVSLWSAMPKPAAVSITAITFTVLHPLILLLSLIYERF YSRKRPNRIALVGDERGLYNTPTPDPTPANFGQTRTAEIPNGPAEIDEETWG JR316_0013198 MGKVHGSLARAGKVKSQTPKVDKQEKKKTPKGRAKKRILYNRRF VNVTTLPGGKRKMNPNPEK JR316_0013199 MSNAHPTVLLLHPSQPLSHVSQLIRSSLPSTSPTTTISFRGVSM PGRRPFQWSDSTELGDFLRDAARSSEFTICFTEPTDNSHIHLSSDPIRTPNDNGHKLE NHSSSKSSSSQSNMVISIPSFKDRTRFLRTRLDQIQRQLGEMESLKAQCDHEAHRGAR RMALGGFGTLVAYWAGVCRLTFWDYGWDIMEPITYLSGLSTVILGYLWFLYQGREVSY TSVLARSISKRRETLYKSHGLDIERWYELVDERKSLRATINRIAQDYEGGEIEDASDS NKSPESIPVELQEVIDKPLIASEETAESSKDALSHAHEDTQLDHSQDRKSNERTVDSP SEESENKGPSTSKSDR JR316_0013200 MQASFATVFCTILAAIGLSNAAPSGPVSNSTLSPLAAPFGINYG TTWNNDVAWVDGQSKCNFVVVGPLGANPCGRPFTINGQTGFTFEGCGGGLWINKNGQF YANCGSLSEPDDCGVHTEFHCI JR316_0013201 MSLVKIPLICILTLTYNAMFTPPNPLPAKEDLVERTQIEWISGR KFTLPMLRLGQTLLSAVEIVAIIASNNPSSSASQKILSLTFFEGKDPGKLQLHPLSYL AAFLWLSGYAMRMRTFRDLGQFFHFHVSVQKDHQLITSGLYTYVRHPSYSGIILADLG FCLWHGTRGSWVRESQLLDSAGGIVALSIFVAMFMLPGPALTLSRMSKEDEALRRKFG KKWDTWANKVPYRLIPGVY JR316_0013202 MISPRTVFTAILLAPNLITSSLAYQWPSPQYDALEQFVVEGTDH IGLDIGGVTRHCTHRNPPTASTVAAEWVRLAYHDMATHNVDDGTGGLDASIFFELDRA ENVGQGNVETVTLDFSVSANKYVSRADLIALGVSWAVASCGGPIIPFKGGRVDASEAG RLGVPEPQQTLQSHTESFRQQGFSPTEMISLVACGHTLGAVRTADFPDIVPNGDTTFD TTDAYDHAVVSEYIAGTTANPLVVVQDQTFASDLRIFSSDGNVTMNSMNSAQAFKDTC TTLLQKMVNTVPNGVVLTDTIEPLPVKVSSAEITQVGSQLILDVLLRLTQAPNVPIPP NRSVKLFWCDARGQFTNCNGRTNVASLPVVETAVVSPITEAQGITLPTYQFAAPIDAA ASVSKFWFQVDEGDGSPVQTYDNGGQGYPIQQDQVIYLPSISSFSLGSSGGINYNLVV GVRTESNPTSATLHAFDKGGVGSGPLTDITVDLTPVTISGVASGYKAYTATVNRPNIS TSLTADFHVVIDGVTYTADFKQTLNIGDSPALSRTLSTVTTIPS JR316_0013203 MSLTPTAEENSPSLPFDVLCSVAAALASEPAWESKFTTLSALSR CCSSLRAVCQQHIFHTINLCPRICDSSRRPSRPDNDYHVPSILFRELERILEHNLGIA RYIRALHYNSHPSNFTGNRVSRLLDKLGELESLRVTFFVDISEFGEVEDYGTEDENYD INPISVNWQSFPSNLQNSLIGLINLQSFRRLDMEHVINFPVYLLKFSRLQMLHLAHCR ILIPSALSHSSSEERAPTQLRSLYLAPGARVNADVLRTALDFSSIRVLEFEFVYQGDE EQVGELLDSTPQITSLALRIGRRCPDINVVSSVLAAHDRVCRDLLQHLCLSSKLEPRE LPKSNNFLLFNMLKDVPSWDVLETISITLEEVPQFSDYYVKDLEKLDKHLSNKSIFPA LRKVSISIELVINYAIDDFNPDDGPWSKLPEMHLLNLAHSSIDVDYSVGDFPGYSDY JR316_0013204 MSLQSALQELSLFRRNNIRKSQETFNKGLVVLKAGGTAKLGEEG WAFLEQLALASLDIGRIDIADQCLLQLAEKFPGSPRVDVLTGIRMEATESPSTVLSYY DELLRADSTNAAAWKRRISVLRRMNKVEKAVEDLNEYLDTFYTDVEGWLELADIHSSA NQYTHALEALSHALLLNPQNPFTFLQFAETAYTAGDLPLALKMFLVVIDMNEVEDEDT IPLGISVRAWWGTKLCSRQLVSSQTSHESNSNTPVPKNIKLIDELATERVLTAYSGEH GIQARSLVSNWMSGR JR316_0013205 MEDPSYSQKLHLNRPAGRKNDIIRINDLILLVSLHTGAQWQAET DEPVEQPVTISLSVYHDISSAALTDKLSHSINYVEVTKRIREAGISRPFQSLQDLANH VITSLATLPSLSPHLDGMQIHLVVRQLKAPLHAKSISSNSFAKFMVDGSWVAEKFVHD IEDLVCPAIIGVNVDERLQKQDVVLNLSIYTANHGIGYDNWIDFRSLISMLYEAVSAS DFLTLEALTSYIASETLTFLSSRQSPAVSVRIAKPSALPLARSSEVEIYRTAADYPGF EDEAACTTPKVEKIAVAVERHENDAHTVAIALGSNLGDSVRNIEYALRLLETPLEILR HSDVSMDAEPYVNVVDTSFMYESAPMYVTDQPSFINCACMVETNLAPVTLLFLVKEIE SIVGRVPSIRNGPRAVDLDIIFYDDDIIDTRSGLKDSKDLQGELVVPHPLVQEREFVL RPLNDMIPEYIHPILKRRVGDLLHAIYDPTLPPMNKVIPFPCSPLPPPTSESTAYPSI SSVPKTLTFWNYPSTSSAFQSPSQDASPSKTHVMATLNVTPDSFSDGAKHDALPAAIR YATNSVAAGASIIDVGGYSTRPGAAFVSVEDEISRVHPAIKSMRDVDILTNLASHTLS AASSFEQVIEKVMNVPISVDTFRWEVAEAALNAGANCINDVYAFTGPDSWPLSSASDP GKGHAEIECMEGMKRVARAYAVPVILMHSRGDAGRNKDYSPYEYAGHDGPATLEGVRV ELGRKVEKIIKGKGGLRRWFVVVDPGIGFSKSVNGNLEVLKRAADIVADVKVGGLGDI AYLNPLKGYPLLIGASRKSFLGIILAQKSRRGDIDSSRETQPHERGWATAAAVSCAVQ QGALIVRVHDVREMMDVVKVAEALWR JR316_0013206 MATIGNNDQERCLINKLPVEVISRIFEAYVGQTAISTSFCDAPI VLAIGAVCRLWRNVAWSTPSLWTVLYFVLTSGTTKTKVDLAIEWITRSGNLPLEIYIM AVICYPKINTEPLIFPLVDIINQHLGRCRVLDLNQLTSMTMSRFSAIEGPSLLEDLYI HPEDMDGPLTLGENASPTRLNITYVHLHLLIMNWRNLTEISAHGLRMSEIMDTLRLAP QLRICYYNCIESDSTSTTAGESTYQTHLKIEELTLVIGGEHGGDSNVAAFFRQTTFPN LDSCHIGWEHGTFPTQTFTSFISRSACAITMLTIQYNLITSDDLIRIGKALLSVEYLS ITPFHNTRYNGIMANPHVHLDGFFTALCQGSRSKDSILFPSLCDLDIISHNAFNWKLL LILCDSRRHNPPQLTTSTTEHISQTRIGTITITRVVYATDRTVIDHSLHASDESDVKF LPGDLIESWETFKDLLELGKNVRLNLSVDNADNNPADLFDLSYRKLCGTSGGPPDVIR QNEMFEIWRLQNAGVDQDTCTLSV JR316_0013207 MQSPTSSITPTKPSGDDTPPANVELPTLASYFPPQKSQSTMSRL RQFIREPMAEFFGVALFVIFGTGVDCQVVLSTNKGVAASPKGDFLSVNFGWAIGLAMG AWVSGGISGGHLNPAVTLALATWRGFPWRKVPAFIFAQVMGGLVGAAIVYRNYINAID IFEGGRSIRTQATAGLFATYAQDYMTAGSCFFVEFLGTAILVFVVVAVTDKNNNAPPS GLLPVSLFLVLLGLGASLGMQTSYAFNPARDFGPRLLLTFAGYGKQLYSYRHQYWLWC PIIAPFVGAQAAVGFYDLFLREEDPFNRFESPKSITNDESGTQTPAANGSSPV JR316_0013208 MPSRSPLRRLSVALRLAEAYKPPEAAAALTTSTSLSLFLTHAKT NFLKDAEGDQEKAADWIVVMGNEAGDLDTLASSIAYAWIESEVHKKPAVPLLQMHRND LVLRAENLHVLKLAGLSGEELLTLDDISQDRPFPAHKFALVDHNRLADAFSRNNPEAQ VVSILDHHQDEGGHLDANPRVVTACGSCASHVVPFCPAEMPAELATLLLSAILIDTDG LVPGGKATSVDREAALSVAPKSTIGYTIPPPSALSPIDHANPDALSEVQSIKDLTAIL IEKKADVSHLGGLDLLRRDYKEYSHKLLWATGQPTIKVGLSTVPTGLKSWATDGRLEK AAVEWMKTRGLTILGVLTSFRDGKKSLVGKNKKGKHKREMAWIILETSDLAKTSNEGL TASSLARRLWKGLEANAGIDVSPHKKFDLEKSEGLPKSSHARVYKQGNASVTRKAVAP LVKEILEGNIMKPAEEPKKQDEPKPTPTTIATT JR316_0013209 MLSKVAKRVRRQSLPQPTSEAWTEVLAECVQDAPDLLRWSAIPD TPFPRVVMGGYTRFYANSKLLFPPSPSFCPLLSQFPEGSPSKQVPSTAPLFSSPIAQQ QRLIVIHEASRETMASTSHSRDVSSSTSMSPKSLRRRRRQLLRTPSIERDFAVHAQQL FASNASDNETTSFDSPASPGSAHSHSSSDLQSSRSEGHHFEEPTTPTTSVEGGDEDEL NTVENGDKEKWVQPRPKSSPSKRPDSETSYSTAKSDFDDI JR316_0013210 MGFQPGPADPFYELMQPPPDETPAQMTARLKREADAQRINDIID EDIKQERAKARKSKKIIKVLLLGQSESDFRMRFAHEEWERERNGWRSVVQLNIVRSII TILKVIEAEMNGDVPLDSDEDDLTVPDDANGVEPVKFSDRHQLLMIRLAPLHGVEAEL KRRLGAGTEPLTPSFASLSATPFESLETNSNVRRKPEFSVRSWKDVLDRETGESAESS NRAMEAATLTIAGCKDDMKALWEDKTVRLTLKRRKLVLNDTAGFFLNDLDRVASRDYT VTDDDIMRARLRTVGIQEHQLIFKQGPWDNSKSGRESGWEWRIFDVGGCRTSRTAWLP YFDNVNVIIFLSPVSVFDQRLEEDPSVNRLEDSIILWTSICSSKLLSKTQLILFLNKC DLLRRKLKRGVKVNHYLPSFGDRPNEVIPVVKYFREKFKDIQKQNSPEQRAVYIYPTT VTDTNATAITLETVRDGVLRENLAASQLI JR316_0013211 MSIAKIPLICILTFTFNAMFTAPNPAPAKEDLVASSKIDWIAGR NFIVEMSRLAQTVLGAVEIISIIANAYPSSPLSQQILYLCYFHKRNPSNLKLYPLSYL AAFLWICGYLMRMHTYQDLGRFFRYDISIQKDHELVTTGLYAYVRHPSYSGIVLADLG WGLWYGTKGSWIRESALLDSAGGRIALATFVIFFVIPIPAMTLSRMPNEDRALKKRFG KKWDEWASKVPYRLIPGIY JR316_0013212 MLSSDIDISKSEFESKTFKYEPENSMPAVSVTGIRTSKPTTTSR SRGALSMLSRIRNALREPMAEFLAVAIFVIFGAGADAQVGVSADTRVVASRKGEYIST NFGWGIGLAMAVWISGGISGGHVNPSITLALAARRRFPWRKVPMYILAQTMGALVGAA LVYAQYARAIDIVEGGRGVRTLATAGFFSSFALDYMSSASCFFSEFLGTAVLAFTIIA TTDKKNSAAPPRGLLPLVLFLTLLGLGAALGMQTGSILGAQAAAALYDITLQEWEYDL SGGDGVGRYASGPGASLPAPVSAAVIVARGLRRTVTNKSHPATKFISVVRGTADSIRG GLEVMTLEAVVEQLKRRT JR316_0013213 MSVGTPSRQAILSLYHNMLRTSQSFSSYNFREYFVRRTKDTFRA IQNESDPERVRSLYSDAVRESTVLRRSAIVNQLYGGWKLAVEVQDKQKQSDSTLERAD S JR316_0013214 MSTPPPPPPTTTSAPASVSGGYQDPLETWSDKFARKFNENPWVP LGCLATCGALIMSAAKLRAGKPKDMNYWLRARVGLQGLTVGALLLGSTWGREWMKEKF GIESARSQSASSSSSSSSAGETGKETETEAQKHKKAQEKREFEERLRNAEEVTRMEKA VGVEGGKMTVKGPVVNKPKEDKEPTTATSTSTTEAVKQSGGWRFWGGKSSSPSPSAEG KDGADSTSSTKTS JR316_0013215 MSTISIKLEFGGGLELLFANKRSYRVDIPAVVPVDNSTSLSLSP SPYPASASASTSSSTTTTTGTGTTSGTGTETDTDTVTVIEKETKNVDVAYLIHYMRDH MLTERVELFMEKGTVRPGILVLINDTDWELEGEGEYELKNGDEVVFISTLHGG JR316_0013216 MWNARDAETIRARLTEEEELRRAAADYEGLACARCFKPGNTVTL RRHLIKEHGVAKPDVKDGIVMKIDFSAFRVQRKGRTP JR316_0013217 MPQQIDQGHKSPRAIPQPGPLARKARATLYHKYAGLQTLAQVLS TVSYATPSSFVCPFASSPSSSSSSSAVASSSTSSSKSYPLLTINSPTSPNFGIDLSQT NTFDSGSGSGSGSGPSSNAPACSTSSTPSSTSSHTSSIWGVCSRQRALDTQLCGRTLY TDEPEETLTPKQLTFPSSVGGVSSKVVSIDQCHSKRSSSSNSKSHSKSARQPRLSKLE SPHLDKTKSGRDASQKVSGNSSSCNTHSSIRQRASPRTSFRAFPRALHLDSASDFELD SSRDGDNSSSNGSNNARVYSAADEALVGKHSYGSDSLLPNSPSDSVCGFGTGSVSHPD QTANTEGANQTSSPSRASSIHSPRRESSSSTEKHAAGVPSAQAHRQPTSEASGSWRRY EEKRDELINLLDAHLAGYESVLPPHVVSNPVETPKPLNGFAMLKGRSIAVRKVCAIGS GNGTARIETVRSVPLPTSPSPQARQTSSVGTESRGEVGLKTDSIWALKRFKTRDVAFA DGLRARSQLKRECEVYRRIAEAPREGKVGFEFIMNLVATLTIRGEHCLLLPLMATDLA DVLDSRRTIVARRDTRRIIAQIATGLATLHSIGVIHNDLKPANILFTPTGTIKLTDFG LSHCTATMAPLHRDTVYASRAVGTRGYMAPEKVRTRGYSYPVDYWALGCIFAEMAGVE DRTLRSFEECGDILTWEEDYGDVEERRTFFEDQELDEDSLSLLLDPNPQTRFGFWELI SHPYFKITDDFSEFDKIAETEPENFLIVDSPNPGDKSTPTHPIETSDPTNDTMYRWIN PYGPYMSHCRTD JR316_0013218 MPQQIDQGHKSPRAMPHPGPLALEARDSLYHKYAGLQTLAQVLS TVSSATPSSFACLIASSSSSSSSSAVASTSSSKSYPLLTINSPTSPNFGIDLSQTNAF DSGSGSGSGPSSSAPACSTSSSSSSSSSHTSSIWGVCSRQRALDTQLRGHTLYTDEPE ETLTPKQLTFPSSAGGITSNTSATAEEAVAVTAKATTEALNSHDSARLNHHAPTRPSQ HAAWSRHPQSSSSNIGQGASSRTSTRTFPRDSSRNRTRSDNNSNNARLYSTADEALER INFYGSNSLLPTYSSASASACSSSTGYVSRVGQTINAECAKGRSSASGARPVQFVGRT LSSSIEGRAAQLSPVQTQRQRQPTSQTGGFKRRFAEKREAFINVLDAHLVGYQNILPP HIVSNAEQTPKPLHGFAMLKGHSIAVRKVRDIGSGNGTARIETVRSVPLPASISPSAR QTKTVEKEMESEFGLKEDSIWALKRFRTRDVPFADGLRARSQLKSECEVYRRIAEAPK VGKVGFEFVMELVAAMTFRGEHCLLMPLMATDLADVLDSRRTILPRSDTRRIVAQIAI GLATLHSIGVIHSDLKPANVLFTPSGTVKLGDFGLSYRTPTMEPLHRDTVYSSRAVGT RGYMAPEKVLARGYSYPVDYWALGCIFAEMVGVEDRMLRSFDECSDVMTWEEDYGGVE DRRAFFEDQELDEDSLSLVCGLLDPNPQTRFGILELIAHPFFMIKDDVSEFGKIGKTD PERFIVVDSPSPSEKLTPAHPIETSDPTNDTMYHWINPYGPYVLHS JR316_0013219 MPQQIDQSHRTPCTVPQSRPLAREARASMRYTALETLAQLLSPL SSATPFSIASSIASPIASSASPNPYPPFTINSPISPNFGLDLNQTIALSSQSDFSITS GSESGPSSSSPAYSSSSASSSYSDATSIWGVCSSQRAQDIQLRGRTLYTDEPEATPTP KQLTFPSGAGDISSKTDATAEEAGAVTPKAAAKAPESSDSAGPSHRAPKRPSRQVSQA KGLAAAAAALTTATRHTASSAAAAETTSAQAPAYANSSSSSSSSNARLHSATNEALSG ILFYGSNSLLPTSPSASASGSGTGSVSCPDQTINADGAKRSSSVHCLWSKSCSSFEER AARLPSAHAKRQPSSEAGRRYEEKRDELLNLLDAHLAGYESILPPHLVSSAAETPLPL HGFSKLQGQSIAVRKVRTIGSGNGTARIESVRAACLLDSHSASGMGLQRDSIWVLKRF QTRNVPFADGVRARAQLKSECEIYRRIAEAPREGKIGLEFIMELVATVSFRGEHCLLL PLMATDLADVLDSRRTVMPRRDTCRIIAQIATGLATLHSIGIIHSDLKPANILFTPSG TVKLADFGLSYRTPTLAPLHRDTVYTARAVGTRGYMAPEKIRAVGYSYPVDYWALGCV FAEMVGVEDRMLRSFEECRDLLTWDEDYGEVEERRSFFKDQELDEDALSLVCGLLDPN PQTRFGILELVAHPYFKNNDLSEFEKIGEMEPENLLVVDSPSPKDIHSMPIYPIETSD PSNDTMYRWINPYGPYVSNC JR316_0013220 MPQQTTQSNWPHRAIPKPGPLAREGKTPSLHYRHAAVKTLTHLS SSSFVSFASFASFASSSSSSSASASSSAQSYPLFTVNSPTSPNFKVDLNHTGTSSSRS NSRSRSKSSSSARSGSGSVPSPSAPACTSSSSSSSSSNASSIWGVCSRQHALDTQLRG RTLYSAHPDETPTPKQHAFPSSAGAGAKTSKCDSKGRSKSENTSAIKRKATSKSTRQP RLSRPESPRADKTKSSSDTSQKDSSGSFDYKRSTRPSDIHQRASSRASPHIAPKYLAG EIDVDSNLDVDLSSNWENNGMGNARVRTTADEALDGATLHGGDSLPQSCTSGSESVSP PDHIINTDDEKGSYCPSRADTAKSLRNSSPSEKPAVLSVEYTHRRRVSEVRECGCAET EDLVRILNAHLVGYKNILPPHIVSNAPQTPLPLHGFTQLQGRCIAVRQVRKIGAGNGT ARIETVRVVSLSDPESDATPPATSKATDVDMESEIGLRQDSIWVLKRFKTRDVPLADG LRARAQLKSECEVYKRIAEAPKEGKIGFEFIMSLVATTTFHGEHCLLLPLMATDLADV LDNLRTLLPRHDVRRIIAQIATGLATLHSIGVIHNDIKPANILFSPNGIVKLGDFGLS HRTPALAPLHRDSVYTTRAVGTRGYMAPEKICAGGYSYPVDYWALGCVFAEIVGVQER VLRSFEESSDVLTWNEDYVEHEERKKFFKDQGLDEDSLSLLCGLLDPSPQTRFGILEL LVHPYFKIDDDISEFDKIREMESDRWMVVDPPKSSKDKQSTHPIDISDPSNDTMYRWI NPYGLYVESNV JR316_0013221 MKNSQKKTAAHKGSQPKTTSSSQLLHPNQQLPAYTTDGQSNLVF AIGPEDKDSVQTHPNYTLEEEAERILMIDELVHDSRGMHHDSKVAFMNAYFQRCNWQS RYETFMKTGRDEVADKPDGQSKQQNGFSFARTCTGTPMKQ JR316_0013222 MPQQTDQCHRPPRAMPQPGPLARKTRASLHHRYPGKQTLAQVLS TSATPSFFASSFASAIASLSSSSSLAVASTSSSSKTYSLLTINSPTSPNFGLDLNQTN TFGSGSGSSSALGSGSGPSSSAPACSTSSSSSHTSSIWGVCSRQRALDTQLRGRTLYT DEPEETLTPKQPTFPSSVGGVSSKVVSIDQSHSKRSSSSNRKSHSKCTRQPRLSRSES SSADKTKPTRDASQNVNSSIRQHASSRTSTRAFPQALHLDLTLDFEIDSSRNGDSSNS NSNVRAYSAADEALDGKNFYGSKELLPTFPNDSACGSGTSSESRPDQTIHTQGAKRSS SETKRFHRRCEEKRDELISLLDAHLAGYGSVLPPHVVSHAAESPKPLNGFTMLKGQSI AVRKVRDIGSGNGTARIETVRSVSISASRSAIGLENDTIWALKRFKTRDVPFADGLRA RSQLKNECEVYRRIAEAPREGKVGFEFVMELVATMTFRGEHCLLLPLMATDLADVLYS RQTILARRDTRRIIAQIATGLATLHSIGVIHSDLKPANILFTPSGTVKLGDFGLSHRT PTMAPLHRDTVYASRAVGTRGYMAPEKVRVGGYSYPVDYWALGCVFAEMVGMEDRVLR SFEEFRDILTWEEDYGDVEERRNFFEEQELDEDSLDLVCGLLDPNPQTRFGILELIAH PYFKIDEEFSEFDKIGQTEPERFLVVDTSNPDDKSTPTYPIETSDPTNDTMYRWINPY GPYVSHC JR316_0013223 MKHSQKKAAARKGAQSKNSAGSPPVGENDKQPATNTSNGQSNPG TVIDSKYKESTQNHPSYSLDEEAERILLLDEQIHDSGDMDHNTKVALMNEFFQQSKWQ SRYEIFMKSGCEEVADNSECPAK JR316_0013224 MFTEELKAFKRLGFRHVLLQILNFASVIASGLMIWKGLGIITNS ESPIVVVLSGSMEPAFYRGDLLFLTNPPNERYQTGDITVYKIPGADIPIVHRVLETHD VSPKTKKSKKAAAETTISVPTLPHQRLLTKGDNNYVDDIELYQGLEWLERKHIVGKVR GFLPYVGYVTIAMNDFPQLKYALLGGLGLLALIQRE JR316_0013225 MLLVSLFINNHYELATARRGGIKSWQLKTIEFGMDHRFNLSASF WNLYAALFENELFRDRPKDVAGNLKDEEYLHEDWTRMKGVVVGVLMKTPSPEDFKVGI TDCFTPYFKDLAPCMEELRQLIFLQSIHGSKPTHKAVIAILRKHMLNLPDDDKWSWKN DSACYGRVGGKRNLEIFQEEEKESEEEPLETSPSAGRMKRVKTAPSRLSTTSTMRSIS SQTVKTQLA JR316_0013226 MESPVPPAQPINPSSSTVSQNAPTSSTGPQIRSRITVVCAECKR LKLKCDRRAPCGSCTKRDTVVRCIYSPAAAEKVDLHSLNNRLIQVEAILQMITNGQQP PAFQSAYPIAGSYTPGPSLSSTTASNAASNVTPAFSANSTSVSQQPSSQHQHHHHYAA PPSTTHDSRLMLSPQDVSSIWLEDLDLGIPRISSTATTNTSSASDSRTNPSPPLHTHH TNNTLTGSNVGNLGYIKLEPSTVDVDFSYPQSSDSNPNINNNSGFGRSIVIDIDSNAT SHIQSRSSTPPLAQRANVAHFPQYHQPQNHARAGPSGSNTTSSSLCSSSAAVAAHAQL LLPALSIYYPVPSIPPPGSSPSSSAAFPFQQPAHTSPTNHFTSSTPSAVSISNHTASS ATTANASNSSATASVNGYIFPPTHNTQPQNSHSIALTNPHSNPSPVPSSQAPSTKPQV TPALLALLPPLEQCKSLLDRAGEVFKVRPLPLPLPLSSDLDLDGVDDGPDINMDASRI GMDEAGAWDWGGRGGENQWTGDEDGDDGGGGGAMAGRRKVKQRENSRQIRRGGTATHG LHSPAKAIVTSWKAFENRCITLLSGPSSGPAYQARVKRRQERERERERERERERKERE KKEKAKEARRARQIYFGGIVGLQAGANSTSSSHVQDAEMEELSSSGTNRDKDAPLHPS SSSMAAAEESGDEESLTFFSTMCAVLAIGALASTSSSSSSISSSSSSNFGRNSSPTTS VATDSAAFFYALSQQALTVWETHLSSPLPSDTNREALDASTEKSDEVEKERMQSVLAC IVGVEYLQLLSSASVSGRGIGKEDTRADDGGAVHGLVGKAIKAARAIGLETEKFSTSS LIRRVGNSTSNTLGTISLGHNVSGPGIGKRRKEKDVVGAEREKTKDFEERERSERKTT REEWRRMLLCHVTLLDLFTSDASGMQPHTTSSKGASAKLPFSGSLSLDSSSVLPNVLH IHHNDGEHEDIDEFTSSNPTQRPHCHSTQFSDSDTSGTEQSYLDARYRLAHIAQSIKA RIMSPDCCCGYTLDQATSLQDDIRRWQSGLPLTFRLSFSSASEVPGSQSNIPALGDEE RHKLVLKMQSCELSIMANMLLIKVFTPFLRNASTSAVAAQSPPPSTTTTTTSPSGISV AYQTTMHAGQCIVRAANILQDLRTKLPGITEGHSIAIPNANTIANSGTSSHSSTALEI LPAMFDFYPLEKVVFDAVVICAHAALVAGQGNSKRSSLSALAFSVDGAQGVMEDVSVG LNMLSDMCAGPGRGVGEAQKKVIGALYRRLSLHSDGTGGLNLLKRKHGEMELGNAFAG KFAPVINCMLHTKNRRVDAPHNYSTMANGVGGGNTSLSDLDFGHNQNGRQTSMHFQQP LANSIVLDSPGGSSSTTHVTSVPLSSGQANALASFPQDHPLHNEQSKTDLALSVTAAR LSAAAARSAEKESGQDKERDRKQSKKTHPTVGIRVRAGKDIQAPSPSRSRGQSVSGPL MTSIPRPLPSSNGPTAEAVLAFQQKQKMQVIENVDDMQRSRPESFGDQIPRPNDQPHR RRLGSDPDYPLPFGQPSNDSIQRKLFGVHEHDPVQQQQLSPTQVPFTPRIVDQAHTMY DQTHNQAPFDAGPSSSDAMAYNNSVSSPFSNSAGPPSSSGSPFATASAASSGHPPTPT FNGHHPTPPVFGPQPSVPQGVNSSPTAYFHSTNTFGNESYSSPSSSSQHHQPTQTMSL MDMGMDQNMVPVSDNAVYDKPQHQQQQQESLYDVKPIAMGGNHALQHMHVQQQQQQTQ QQHRPQHHQPHMSMEPTPHPYGEDMDTARPGQQLSIDMALSAAWHPQQQQQQQQQSRP QTVQGPPTYWSNESEYRFYT JR316_0013227 MERHAIDVPIWYSSKERVRTEHDVDYETDSTTSEGSAVETDEDA VTFRPAAKANVHQISYLLFMSRLPPLLRSICIHQKQNPFSYCHRHVSSQEKPETPAYT SDDLETFRRRRKTDWKRRQGGQSFLDNVIVTVRGGTGGSGCAAFHREKFKPFGPPSGG DGGRGGDVYILPTSTLTTLSTVPKKVRGENGAHGQGTWQNGKAGAPYVIKVPVGTVVR QLPWDDPRRAKDAWESEEESLQGLSPSEKREKMRDKRWVHYPEHHDVNIERDSFLEAE EAYYNSERVRRYESRRKAMEEPIYLDLDKEEIFEKPVNAPLGTRHRENLGHLVASGGL GGLGNPHFVSAENRSPKFATRGIEGERVTLSLELKLLADIGLVGMPNAGKSTLLRALT GGRAKSEVASYAFTTLNPVVGIVRVAEDGTFEGSISGQTVHEETWKEELEEQEKIERG DYAMSPTRNQITEELPANLTSPLKPGHHFDVFETFRFTIADNPGLISRASENVGLGHA FLRSMERSLALVYVVDFSMEKPWDELSVLRDELEKYQPGMSEKARLVLANKADLLASD GDPAAVEQAKEKLRVLEEYVTTSMTLPDGRLLDVVPISAKFSQNLRKVVGLMQKYVEE ERDRMEEESEADPYSE JR316_0013228 MALLEALIGKAQKDLHELLNRHRALRESKNMVHEPIVRRFPPEV SAMIFQLALPNARIGSRLGLQESKPVTTPFTFGAVCRTWRNVAWSSPYLWSQISINIG PILRNNISYFDVVKGWFDRTGELPLTIFLYSKREVFDRTLSLTDRTHSTSDELKSLAT LINGHLFQCRELEINCSRTVLPYLRGNTPILQRLRVTSDFPWSSYHNCEYSGVFHLDN TQLHNIRVYLNRCALEAISIDWKNVTHFEGAVISMQQIFDILAACPLLIECSLNLINS FGELIPSNLKANQTMKSFKLESETGYMSNILVSMEFPVLSHLELNTRGTILPHAQIHS FLKKSTCNLTSLTLKNIQSDGEDIIVALKAMPSIRKLELLGSDFTEYEPEILFTYFGN TTVPQDDQEPFLPDLLDLTIEFSADIGFLWTHIPVIFGSTSDMIHPRRRPLKSLHVIH KWSLGNHWARQIPATTRVSEKLSNDIDKSVTIQLLQIAAAGVT JR316_0013229 MKPNSYPEPPPFQWDPYPVRVPLPVYPPTEPLPHPLPSLPSPPR QPNFSAPYTLTTHLFPACYLRSTRPAPVPTPPSPSLSKDERKQFLKETRKELDRLRTF KETDGYPRVLWNCVNRYVKNGLNEKQANAGVTLFFAHANGFPKEIFEPTLAHLLSSPA AAVIDEVWVWESIQHGDAALINAPSASGLFDWQDNGRDISNFLLYFMPSSSTASTLPT HLPRVSTECTATRARSGFASRNLIAIGHSYGGCTSALAAILYPSLFKALYLIDPVILS PTPPPPLPAGTTPSGDLALGALSRRDGWESREEALASFSQNPFFKAWDPEVLKIYIEC GTHFVEQESGKRTLRLKMPGVQEAVVFVEVHTEKEVFHLLGSGGFEGLSSSEKEAPDS SQKKKKTIDDIPIRWCVPGKPGARELRDEVGGTQQRVWLRSMRSHWTGRGRGVRDTNV RILGGGHLIPQEAPKELADDLGEYILELSSSEYPSSISPSDTPRTRQFNVKASL JR316_0013230 MPPKTSLHCNQHAEHLKTQGNELFQQGQYKPAHRKYTEAIKADP GNAIYYANRAACNLAMKEWATELDPNYAKAWSRLATAAFHMSSYPKSINAWETALKCL PPVEQSSETDKKLRAQFKAGLAQAKKAGEKPFVKEEHTRVYSEDAAKELPWHRAAAME SEIVSQMKISCAIVILQAYREFKTAVEDMKKIKKIGEVNGRPRLYGKSGTLEGICNGI MSDPRVFHLDCTDWMIFEASAFNAWQDGGAKVVKAEAPKRLKREGWSKLRPALSVTVR AWIIAGFVGGSIGKYAAAMEYHSRALEVLEWGERTWQNVPFTERGVMFRKTFIRAVKR MKLSSMHNCIVNNVEGVDFTVEDMADLARDIITDVEANPPLPEYPGEPLDLTFTFGYY IYPKAAALSTLAWHYMQLGHKATTADDAQAAYSQSADYYMQAAQIYPPDEEQMLIYYK VAVEAYWFSPEGKTLEEVLPTLMTIYERLPHVVRIWEHSALASSRDSQVVYALDFMTR CGEALESGKITKKSFVRPKDMVSAV JR316_0013231 MLYTGCSSCAVPKECSDNDPGSCSLLDGQPCSPCREMALLEALI GKAQKDLHELLNRHRALRESKNMVHEPIIHQLPPEVSAMIFQLALPNPRKGSRPGLQV TRPVTTPFTFGAVCKTWRNVAWSSPYLWSQIPINIGPIIENNTSYFDVVKGWFDRTGG LPLTIYVYSKRQVSDQTRFLSYHAHATSHDLKPLATLINKHLYQCRELEIDCSGTVLP HLRGNAPILQNLRIISDVHWSQHQISDASRYADLIHFDNTDLQNIRVSLHRCPLKALS VNSEAITHFEGAFTSIQEFLEILDAAPQLVECSWNLIEPSRAHTSVVKAHQTIKSFKL KCSTHEMEKIFSSLALPVLSHLELDTRRTILPHAQIHLLLKTSTCNLTSLTLKNIQSD GEDIIAALKAMPSIRKLELLGSDFTDYEPEVLFIYLGATTVPQDDQEPFLPDLQDLTI EFYADTGFPWTHIPVIFGTTSDMIHPRRRPLKSLHIIHKWSLGNHWARQIPAKSRIKE TVSNDIDKSITIQLLQIAAAGVTLDFRDVYYDLDLLLISMERYAIDVPSWYNSKERVR TEHDVDYETDSAASEGSAVETDEDAGSYETLSTEDSDEDDVASNLSELSYDPEYDLPV RVTGETTDLVYEPPLEAPRRMRFPE JR316_0013232 MFFNKSFSALAVALLFSVSAQAATIVAYSGNTCNGNVGNTVPCD GSCRSFSGRHSLKISGGGPGNCVTVYNKAGCQHERFDQWTSFRLDSGVCRNINTGYGV ESFRCSTDVCLS JR316_0013233 MSASSTQVAQPKSCPPINFTVHEYVDSVCPRQSQQSVLKQGYDS ILALYDKSIWKSVNLHAQQYEVKTSNSYVFNMAPPMSSVQNRSLKELKEWGSEVTDEE IFTLEALDEFRSSDFGQAGNSPTSTSTLEKKINQRATDTFSAELDGKDKQFKSGSLFR VIPQPHLYPTPSDSKIRTVNTAYTKCKPIYLPPYVPETYPKESRVAWVIPLRGSLRWE NCTSGEVLDNVAVPSPAQLGSKNQISWTTDAIVKFWDYLLMLRQKGSLGRLGVSFQPS KKHKHTSQISKTSNPVLNSSKITATSISRQPCRGQCVVDYIKVFQDALDAPYVRRAID VFRYQYTQENGTMQHIRLLKGSRLALVDELSQGILVL JR316_0013234 MSSSQHSHSPDEVEKISSQHTNREGTLHQSELGPKAHRKHFFSP LDPAYAEAVNLDAESVEFSEDEERAVRKIRFVHDSCVVKLLI JR316_0013235 MPKSGNAHVIDAFNRNFGITDNNKWTLVLSIFYVGYCLLEMPAN VLQRHIGANRFFFLSLTFWGLSSLSVVYAKGYGGILVLRVLMGIGEAGYYAGMIYYLS FWYKRNELALRISLCMTGTLPGAIGGLLAFGLVRAHTSVLTGWQFLFLVEAIPTLIMA VMILFFLPSFPFSAGFLSPRERAIAQARLNRDHKPQSHGGMNGWKGFKAIISDINAWL FMLIYASFNVGVATVSYFLPTLIKNLGFSSINAQGLTVAPYVVGWFMVFLQALHSDRT RERGYHIMASCAVSFIGYIILATSVQKSVGAAYFALFLVVGGNYSLFPLVMSWAANAF SPTSKRGVGTAFIVSISNCVSIASPQIYFDPEDSFRKGHAISAACLLLAFLATATLKI RLSLLNKRNAQRLSSLSKQEKDKVPQLSDEEIWDNDPRYVFMT JR316_0013236 MFTLTTKTKETLDAILAQAVADGKVPGASIVVGTPDEDIYFGAA GHKVLNEPSSGEIDEDTVFWVCSHTKLLTTIAGLQLIESGKLAYETPVEDIIPELKDP VVVDDISSETSAFRPAENKILIKHLLNHTSGLYYSQLAKASADDLTLGYTSAPYNGNF SVEQFFKIIRERFPSVPLAFEPGTGWNYGWGCDILGFVIERTTGKSLHEYCKEHIFEP LGMKSGFYVTPEMREKRVHLTYRNKEGKLERWANQLGTIEPDPTKAHFLQGGIGLYTT LKDYLAMLRHLLLVKEGRAQNPILSVETVNSLFALSIPQYLHKSVELFSTWKNVGFGI GLCLATEDWPGGRRKGSGFWYGWAGTYYFLDPETGIAVVYGSQVLPTRDPEVVKLWDQ LEKALYANAEETDD JR316_0013237 MFSKVFVALTLASTAFATVFVTAPVASSTFSAGKENTIRWQESG EAPTLAEFGPARISIYAGNSQQQTLLQAIETNIDVSKESSVTFTPDASIGPNGSEYFI RFESLSLKDDKQPQFPALAFSAKFTLEGMTGVFNATVASQIAGQATAPLASVRPASTT ASATSSATSKASTSASTSATSTPSSGAMAINAGFAGALLSALIGATMF JR316_0013238 MPLSLHFKTVDRAEKPDTHFGHLIAFQNSESLVSQSKRPFGPWG IWTPPNALARHLGTWSLLPMKDQKAHATSTYPNLYSAVWFECSPDIEQIQVDTTACKR MKPTDKHNTNPSTRGPLDNKTIVAFLAELRSADRLPTQLWRVVSRVKKEHPQWIVTED MIRTAYESMRWDIKELCTLTKDERAEARERAKEMAPRTREQRVHSGHCLPSEVANRVD TSSYPNTQKTAEKALAGQRKNIREENIRNTLSTLSPDPNPSPKLLNDELDIKLAVEFL RNLRETEETPSSSTKAYKRLREAFTEYEIPYPFFAEAFAKAGYRKRREAKQPDGKVER SQASAAAPSVKPVERIKAPQAPSISNVTAKGKVASVKVDENIDPNGKRKGADNCDRKP KKQHLIGVIEEDHPLTPRHRKT JR316_0013239 MFSKAFLALAFASTAFAGVFVTSPTQTTTFQAGQQATISWQDDG KAPSLSSFGPSKISIYVGNSLQQTSLQLINGNVDVSQSSSITFTPDPSIGPNSNQYFI RFESLSLIDNSVQPPIPALSFSHQFTLAGMTGTFSPAVSAQIAGQSTAPIGGAVATSA GPASTGGSPSAPANPTSANSTSKKATSTSSSAPTSSHSSSAMSIHVGWAGAFLSALVG MTVL JR316_0013240 MFSKFVVALTLASTAFATVFITEPFGVTTYAAGKEATIRWKDDG NAPSLAEFGPAKISIYVGNSRQQTSLQLLADDIDVSKNSSLAFTPNATIGPNSSEYFI RVESLSLKDPSQPTIPALAFSARFTLTGMTGTFSAAVQSQIAGQSTAPLPSVTSTTTS TTTSATSTSSSSSRSSTGTASNASASPSTGSAMGIVNPHAGLAGALLSALVGITLF JR316_0013241 MSVADYDALSPVLDLGHQSTMTFLRNEPYLARVHVAAITDAETL NVLPHWHDKYDELFRVIKGRLRVRIGDVTRDYTAEDGEILIPRGTIHSLHGFKGEETI FEERTDPMDGEKELFFRNLLEDGKTPTSLLQVLVVGYQGDMYIPLPGHIKWLEKAFVI IFGGYIAPLFGYKNRYSSYKVKGV JR316_0013242 MSEIRKRVAIVGGGIGGLAMAVALSHLKVDEHLQIDIYESTGKL TQIGAGIMIWPRGWEIIQSLGLEASLAQKMSPDQELPSPEQLKPTFKIRKGDTKEDRH IIDVMMPGGSVAFHRADVQEVLLKHISPSIKVHLSHRLISYRELEEGLVELEFKNGNK VTCDLLIGADGINSAIRKTFLRNKNGWSEEEAAQKAQPLWTGSTVYRNTIDAELIRKD SPNHRALTEAVMHLVAYPILQGKLVNAVPFLTNYAREGTYVDGPVLVEGSKDNFAPNF AGWADDVEVLIKHMSNPSRWEIQHHSPLDTYVSESGSVVLLGDAAHAAPPHLGNGASQ AIEDVYVLANVLAKGITSGNFDIPRLTKIYDTVRQPFAVFAADASVRLGYLLDLHSPG FENIKDGDEVSQESVDTLAKAIQDVWAWTWQSAKPDMERALAMV JR316_0013243 MYTTFVTLALAVFYLDAALLVNAGLFVYQPFSGSTCRAGEPCLI SWVDDGSRPLLSAVGVATVGLYTGKQQLVQTISPVDVAKVHSVTFTPNPAAGPNSDTY YIAITSTTLQGNNSALYTGWSPSFVLTGMTGSFDTPLPSAVSPISVPASLTQPSATES GTRTVTVGVIPTTIPSIPSPPPSSPSISTSSSSTAIVAPSSSTTVSSSSSSTSSRSLS STSKLTTVISPPSSTSLTTSSSASPSPTSALSSNTSGSCRGASILSPLTYLSRTFDAI LPWTPCRLPREHANPAHLQA JR316_0013244 MSSIQEESDNLVQASQQVQQEQSNNRSPKSDPDLPTGKLWDVQD PFRFAPPKPDGDSWALLLDPLIMKDKVQCDAWKDEVQYLLIFAGLFSAVITAFIVESY KNLQPDPNDAIVSLLSQIASQMDRSLNVTTVNLSPANSFAPTPSSIRVNVFWFISLVL SMGTVIIGIVSLQWLREHQSYESDLSPREKYALFNMRANGLKRWHVDKFLNSLPLLLQ AALVLFLGGVIDFLHAIGYWAVTIPVVVVIAFILLFLVTTTLLPCLQ JR316_0013245 MTMIDKTTVADLVAKYGSSSATAWLEFERYKLWQPTEPVLESSF TPVQGYMEKDPYIFAWGNPLVSDPAALPSVARQFVEFCESQGKRPIWSCVDRDLEEIL GGPEFEWSTVSCIYEDVVDPAHVLELTSPESKGKEGQKVVKDLKKNLYRADKYDVKVQ EILSNEWTEADKIAVEKGIAEWKENKSGVQIASTTLQPWLDSVHRRYWLAKKDGEPVG ILILTPIQASSWQIKNAVSFPSAPKGTSEALIFTALKDLHEEEEKARASGDKIPHPAN GNVTGEVIQPVGNGAPAADSASEISSISADAPSESETNSLSTPHLENGSNTNVGQGSA PAPSKTNHRDADRVTVTFGISASPEFQPIRNLGGWKVKALGKTYNKVASGAKLIQRGE FRSKFDSEADPMYVCYPADGFGLDGVNALLKVLKK JR316_0013246 MSKKECGRIKNLRNSLSKAYKSGLMVEEVPKEYLVESNQRVCID EGIAKWKESKKGIQIASTTVNPWLDIEHRRYWLARLDSTVVGILILTPIHHGHWQIVN VVPFNSSPKGTSEALIHAVLKAIEAEQDTLDESTSSLHSDSTGDSIPYPNYQISIGDA TSTPGLVSFGISATQDVVPRHNPSPKLETLVKIYNKVAYLGGLFGRKEFRSKFDPKYN IMYVCYPRDAFDKGSRFSLFEDLINALRK JR316_0013247 MMDLAGGNHSPDLMSVRSGLSRRSGHTGVSSLRFDPKDDKSRVP APSHRHASPSAPWPWVDLEDEVNREQLESPLPPIPPLCDHVDCGGCWRGYPQSRFPNW TKRQVTKSKIMGAIKNYSRTSPCVCYQVDVDIDGFFTNPGHIISRYGDEDATWASLIN EKRPDKLRVRALFIENISGPMLQMLGAKYNVGPSFWSSAMNWIPSRFQEEIEPGIGDH ITITLTFLRSMSNQDTVTFGKSTDTLRPSGTLLGTQRIDPHSPLMLHSNNRLLVLDLL AVHLIRNINGSTILSFHPTLNLPTTSAAFLHERIRYAGQNVYWQSIFQRSADSTFVLL AFVWHAMYGWDEALENLYDHICTLESRVITTTEDMPLTHELHVIRAHHLHYTSLLDDY AKHVIFIRDTPSPAMDGKSEEEREFSKAIMARECANLLVEIKRLEAELYMQERRLQNV LELVDRRYTRLITEATIRDSAASFLATSLDNIFKQGIFGMNVREINPGTTATFAIYAA LTLPLTALTVWVVIAFQSTDLYPPNTSFLRRLGWPVRRLRRRNPTKFFSSSSNATAVD VDTHSTISGAYPC JR316_0013248 MEMLAVPARVRPSDVMSVRSGISRRSMRSGVSSLRLDPKDDKGR VPHPSHRHASPSAPWPWVDLEDEVDREQLECPLPPIPELCNHVDCGGCWRGYPQSRFP NWTERQVIKSKIMGAIREFSKEKPCICYQVDVDENGFFTNPGPIVANHGEEDATWNHL ITEKRPKNLRVRALFIENISGPMLQMLGAKYNIEPFFWSSSLNWIPSRFQEEVKPGIG DHITITLTFLRSMSNHDAVQLNHAFGKSTDSLKAKAPGTLLGSQKIDPHSPLMLHSNN RLLVLDLLAVHLIRNVNGSTILSFHPTLNLPTTTAAFLHERIRFAGQSVYWQSIFQQS SDPTFVLLTFVWHAMYAWDEALENLYDHICTLESRVITTEDMPLTQELHVIRAHHLHY ASLLDDYAKHVVFIRDTHNPALDNVSEEDQQFSKTIMARECANLLTEIKRLNSELHMQ ERRLKNVMGLVFSSVNISDSRYMRVMTEAAVRDSAGKLPFLERVICINLSSSLLSLLA MKQVAYLTMVFLPASFVAGVFGMNVSEINPGSLGTLPRYIEIALPLTLVTAWIIIAFQ STYIFPENTGFMKRLGWPVYLIKRMMERRQMQQLDTQSEFTDFSIAKSEGNRVSPF JR316_0013249 MSVYSLRLRRKKRKEDSSKIHPPSHRHAAPSAPWPWVDIQDDVD PTQLESPLPPVPPPCNHKTCEGCWDLYPQSLYPNWTPSQVRKSQINKAITDYRNDVPC IIHLVDVDHNGLFKVPEPGKIISDEDRLSKTWEALITSNIPDDNRVRCLFIENLSGPV LQMLGTRYNIEPFFFSSSLNWIPSRYQEEVRPGEGDHITITLTFVRSIANDENANFRI SSQHAMESSPTLTDQEGRSSRKPGIQLAHQMIDTHAPLALESNGRLLLLDLLSVHLIR RKQGSTIISYHANKDLPTTTAPVLHERIRFAGMYTYLFHLLATNDPTFVLLTFIWHAI YAWDEALENLYSHICTLESQVIVTSEMTLTQELHVIRAHLLHYSSLLDDFRKSVIFIR DTENPALESLSEAERQENASIMNRECSNLLTEIERLEKDRRMQDRRLKNVMGLVFSSV NIIDSKRMQKMTEAAVRDSAAMKQIAYLTMVFLPASFVATVFGMNIAEIAPGTNGTLA H JR316_0013250 MSNIDRFSIVTLILFFLSTLFVIHPVSFHVRLPVIGKIRLTIGL MSAPIIAIAILWAAQCLGPTQIRNGIVGTDDIKPYNILILFISLAYMAITLDVTGILQ AAAFWVSNKGGDNGRKLYFYFYILLTGISMVVGNDPIILSGTAFLVYYTAATGLEPIA WLISEFAAANTASMVLFVGNPTNVVICEGFRINNAAFTAYTILPFLACSVACYAALAF QYRDSKHVPRRLSFTAKLDVRQVLKDPVSACFGSALLITCLIVVIVLSFLHVDVWKVT LPFAGAKFIFDLSWDHYRYTTGRIPRYGEKGESEDASGDDPMVSELKRAMSMPHDLER PATFTSMTATATEVAAVAQKIEPAPTKERAPTAGSSDTAVPKEDDGPRLFDKQRTKLD IVHAKLAAHFPTFFTALPRLPFGLIPFAFSQFILIEGLSHQGWIEVFGIWLVRASGRQ MIPTVWLIGVLGVILCNVAGTNIGATILLTKVVHAAPDFPVSSQKAAAIALAVSSNIG AVSFTFSASLAGLLWKTILGQKGITIKQTTFAFWNMLPLLAMTGVGLAIVTAEMVVLY JR316_0013251 MGALLPPKTIQSLPKEILLRIFEFVYQASCSSDFDSPSHFADPT LFPACIEGVCPLWKKLALSIGHFSSRILVFVDKPVVYTELRTRFTVSKKYSIDVYVVR KGYDVDEDLEEKARVRGVMQLLVQHIKRCRVVVFDVLHNSSLPHVSDFGDSAAKLLTF RLRSRLPGIGPKAQASFPANCRNLRPFYSRPLRYLDMDANIFMDAMSVPGWYKSFEYV SRKNLTLRNLPCLENNSYDLHKFLTILETLGHFHSLVLENVEFNPWYRIKGLIVTIFV QNFEFIGLSKEYVSEFLDAIDNKIEEPNITLIRCGLECQEDFGFRAWCLTLCNIAPEE DLYKFLCCWDGFSLILKECPGVNDNNVLRALSTHSWESMHAKTLRQLQITTSGPILIT MDGLKRMVIERQEEASKFFTEDHPMKSIPLPMHSIYISGSGHPLTPSDLSWFSDNLDF FYWHASLPRSDSPVLDWDISNLNDLEFQPSASGDIDPSSSPLPPVSQTPSLDPPADHS SSPPTSQPLPSSSPVIEGDFCYLDWPNTLESSPPPRSKTPDPDSPILDDDLSRIDWSK VPADFDLPPPEKPQRCSTPDSPIMDGDFSTFDWSIEQIILLTTIVMKVAVFGTQKYDL ASLTEVSIPEGKEFSFTFLDPLLDEKTAILAAGHDAICIFVNDICDAIVIKKLADIGV KFVALRCAGYNNVDLKAAHKHGIKVARVPAYSPEAVAEFTVGMIMTVIRKYHKAYNRV REGNFLLDGLLGFNIHGKTVGLIGTGKIGLLTGKILSKGFGANVIAYDPYPSQLAEEY GITYVEALDELLTRSDIISLHCPLNESTKYIINDSNLAKTKKGVVLINTSRGGLIDTY ALIRALKTDHVSAVGLDVYERESNYFFADSSAKVIADDSFARLLSFYNVFMTGHQAFL TSEALQNIAETTVNNLLELEASGTCKFVVEK JR316_0013252 MSSPPALDFPSSDMDDVDMADGTANQGTTQQTFPNAPPPAEPLF LAGTPSVGGTPASQRYANPATSPLRGATARRAVGLSTPKRTPLFLGGESSSPMAFPSS SPGKTPTRRRMPSSERPQDSDPLHFPSTPAANNTPKNRRGDIHSSLSITPSAPARRSA PRDPTNLNSDSTHLGIPGSSAPNLSVQAPMTDEPDEIRAIWGTTVNLAETMKAFRDFF KGFKPKYRISHDRDLGLKTRVLSTPAEGEVILYETYFRRMRQTGETNLNLDVNNLLAY PPSKKLHTQLSKYPQEVIPAMDQVLKDMMIEVAELDQQNGVEGMQSLEGEQEISDIMG RIYKVRPFGIPSANMRNLNPTDTDKLVCIKGLVIRATPVIPDMKVAFFRCLTCSHTVQ VEIDRGKIEEPRQCPRDVCASVGTMSLLHNRCEFADRQVIRLQETPDAVPDGQTPHTV SLSVYDELVDVAKPGDRVVVTGIYRSVPVRSNPRQRTLKSLFKTYLDVVHVKLGSGGT LGLDKSTRPAGGDGVPGVGGLGDGGDEDEEREGRQSRKAELEEKIRQLSQRPDIYDYL ARSLAPSIWAMDDVKKGILLQLFGGTNKSVAKGGGQGGPRYRGDINVLLVGDPGVSKS QILHYVHKIAPRGVYASGKGSSAVGLTAYVTRDPETKQLVLESGALVLSDGGVCCIDE FDKMSDATRSVLHEVMEQQTVSIAKAGIITTLNARTSILAAANPVGSKYDVNLPITRN IDLPPTLISRFDLLYLVLDQVDDALDRRLAQHLVGLYLEDAPAQESNESLPLQELSAY IDYARSRVHPVITEEAGSELVRSYVDMRNMGDDPRASEKRITATTRQLESLIRLSEAH ARMRLSEFVELSDVKEASRLMREAIRTSAMDPRTGKIDMGLLNTGTGTGQRKMREDMR KGILNVLEGAAKGRGIKWVDAVKLLGDQSSVKIDPVEFSEVIKGLENEGVIKVVGERE KRMIRKIQE JR316_0013253 MQLTIERIHLRDDLLQQDIPLKELHCYSLPFGLLGFISHVLTYY TIACLWFGRKPLWPFSKIANSKFDIILGSLGVSLCIIMSIVTMIKCKNTWQLLVIAVW KLSMSLLSGMTALHVAILVINNPDDDIQLKSKTSAWWLVLYIPGMVAGMIGLMSLVVK VTDRSETVLGLTIAFYSVVGASLVVGIISMILTCYLGSGESGKVAATGFLVTIALFIV LSAFYSDWCLGIMLDNLLGTPSSDNSGFYWTYFIAKRLTMFSL JR316_0013254 MASSSQSTHPTFPPSAYYNRRQHPQRPGSSRRTNSSSYDAPSRT IEGLLQRHNRAASSSSFTLALDGHRINFQPASRTTSPDFLPTADSRMLSILPSGTVDT SFHQATESLLPAFELGSASVNMVNHSERPPSPVPTVDFSIIDVDIDEADLNPSLPGSY PSRFLSSSMSFSRGRPAYVGYNHSNTSTSDSPQSPSQGIMSLKSFLPRIWDALSTPGR TMFGLSSANATSPSVSPPSSRAPSPSAMPRRHVNQSWYTPNAATSGRNSPVYWTPGSA NKGKGKSRTPNFFSSRNGSRNDLSEYIDYSELPPLDGEEGELIDDEACFIDVRAVHGI DIISLLPPELALHIFTLICPPPLPSPSNTKSSLKNQLSPGRNSINGNEFDAVPDDALR ALLSCRLVSRTWCRLASDNHIWRSLFLSRWNIDLRRATNATVLPQGLARNASATLGKT WDVDLTDIEPKAKRVLGLSSPVVDAPVTCAPLRLDWRILYRERLELDRRWSGASRIPI KKESNSFGDFMRRRGAIYDSINLSSPFGCRYDADRGDLQVEANYEPTPMKISGHTDSV YCLEFDSRRIITGSRDRTIKVWSLRTGALLGSFSGVHRGSVLCLKFEKDWDRDWCDSY ESEEEGNGDFTGSQRNPIHREGVYVKESKRQRTGFMVSGSSDCSVCVWDLHLGAIMES NDDDTSLRNGNSHFKDESEREVIATVRDVLTGHLGGVLDLRIDKQWIVSCSKDAVIRV WNRKTLKLHRALRGHEGPVNAVGLQSGRVVSASGDGKMILWDIQSGERLRTFEGHDRG LACIEFKDDLIVSGSNDRKIKIWSASTGDCLRTLVGHDALVRALSFNPRTGRLVSASY DKTVKLWDLGSGKLIREFKGNHTSHIFDVKFDVSRIVSTSHDRKIVVLDFSEQLNTAL FT JR316_0013255 MSYPSKIKPITYADAVRALNTQDVEENINDACGKLAFAMSAMIG KFEAISKQMHTIDLLRHTVPLRPRWDSLKQDLAELLWQFRTNSGVISGRLKLFCSTVL PMATRSLDTKSGRSPYQHENLQVLQSYMSVSAEHAANSRSLAERVFRLSARLVVFLTE YTKMANMHAVSGQEEMWTLSQKLAELETQVRFLFATTSEMPSAGIPHLLFTSARLVCS AGGAPGRSRLTRKPILLDGELSNIGKAYGDTDRKRDEVAHAQYSSQLRICRTNPLSIG HTMISAFLLDEILTSESGLSLYLSIWSRLRTDCSDIFHWVKNPAQVPVPSVISCYAET RSTVYSSLATALDVYMLGIDPSLYSAERLQR JR316_0013256 MTVGQTIVPIFDLPEDLQIHVVQYQHTERPIEDRYSVNLSDDGR RLLIGVYDGHGGPETADHISQILPSRLLAHPSSQHAEQFELLDNSMISNFKKDHSIFR RRSSNWVHNAQLMKSGSAALVLDVDLSNLSASYANLGDCRLVLCDSNSSQKAVSFCTT DLNMNTPSERERLIQEHPKEDYLNVGGRLFGRLMCTRGFGDGYYKLPKGIFGSSLHRK YIDTISSIERKGKIPMNAQYASLFYAYKTPPYITAWPDTGNLQLKKGDVVILATDGLW DLVSTEDATRIVLQGMAEQENNLAKFLLEMVKATISIGDDVTILVYRA JR316_0013257 MSDTPVNSSAPRRSQRDRKTVQPFVSASATKKRRRNDSDTEPDA HASNEVEQPETDDDNNGDDEEGEFETPKGKGSTYKKPKSKTSPKAKSTKKPRIDKPIV HKPPKVTARRGRKPKEGENAYDADQVAKDTKISADNPLFNAIMNPASPLQSSAEDFLQ SLQQSPSAALAELINLILRSCGCNDSVDADQAVDFDGIVSTLDDFTEALKLENSPIYP LTSKLPVFKRFRKSLSEWIERLINSASDTGALYSTQMMETLQQWVVSMSSSQIRSFRH TATVIALEAETALCDVAAAVDKEAEVVARQREGEKKRKGAKGTNPRVKELEAKGQDVK RRQNKLKSFIKEFVDGVFVHRFRDLDPNIRAECVRSIGLWLRKYPDHFLDAHYLRYVG WVLSDANNHVRLEAVKALSGVYDQSEYIPSLTLFTERFKSRLLEMATSDIDLSIRVAV IHVLSDIEGHFPLEEEQKEKLCLLLFDEEAKVRKAVSPLVRAVWEEETEEQINKHQKP SDKDKERIGAKVFASLLVKWGKTLDTLAGDAEESEIGDDAREPDDSGNRASRRAHRRK EVIALVGTEDRGRVALAIDALWGEVETVSDWQGLLDLLLLDHSASEQDSQSGAAPRAR ARPNGKKHNDEFTVDDAWRLEEIEESVLLEVLVASISRAKEESASGKKGEEENVSNEI TQALIKGLPRLFIKHQSDQNRIAEILIIPTLMNLDLYLEMRMITAYNSLWDDVIKQFM SHSSAKVLSHAINAIRYFMDATSLSNSNSTKILELEDELSTQLRDTIAGRDELEVASF SEDEVLALGAWCTRLAVLTGTRSMTAWIEEDEGGKQSSAWDIINALVERGRLGYKEEE TMIEQALHVLMLHILWKTKGLPAEAEPSPEDIRYKEILTEQRESLLEKLLEYAVGTES NTAEGVKRAAFKHLLDLHILFSSTNAFTPDGTPLPMASMSLTLDDEVQYRCAGYIQAE IERYAEFLDDSSEEDKSQKSDNEDSDKDEAEEEGNDDKLAKVRPKKKVIKEAELNSRD LLEREYLFIDVISTFLRAIRAGTIHIQHGAVILAHYGRLEMAFDTCARVVVDVLREEI TMKESPEIIVATLTHALQEAYTLVLDGIVHDESNAVQLAKLVSSCFVVRGSQLSILRR LPAQHIIQVQTNLLSWIGKRIAGYEKNKNKRSLKAAIAFFRVLVPLLGALQSRDALKI KAHMDQVFAEAAVEVPPTSKAWEPQRAYEKRLGTIMAKDNPQVPKVRKSKKKANLGQV SSGEEETEGERTHDEEERPRPKPRRVTRANPDATEDSAGDATGDDQPVTPNARRAIRR KDTPEVVAVEAEEREESPLQSLTPSEGPVEPEELETPKSLKRPREDDDEMNGVNGGEP IDDADDIAAMTDIQVRRKRIRH JR316_0013258 MAPLSSFINSRKAKSVIKSPFSYILRPEPSTSTIPPVPVYLPGP SSLEHTEVIDINEQARDTRYSLDFNGGKPTDDFEKSLVDEQPQLPRTDSTASPQVQLD IDISQDALTDWFPSGFLRSSTYYSAPAKEQTLVTGNGLRNEVSNQSSGSGSFSGTNGK NGTDSVIGEDESYSTSSEDVLANLQAMNPSHFGKLPGYDTFKRLEQPSPKKSTPKPIT IPSESTQSQNNTTIKSGESSMAFSPNMGPLSASFDTPASSAISGTTLARALMSNSFIL PHDNRMSKYRTSVAGLTRSDSTTLPRGEHSFGAGYNNDNFSIGPDAPPIPSNAEKLYE PPKKPRGVGAKEKKSQNLKRRSSTGSINARSLPDTPSTNSTGPNSALFSPDVEFDVGA ILLRNTEDKLPSLPRSPLPPTPRLPYSPGADSKSLAQESLSDKSYQEALQQFPLDSQL ISPDLNQLSPATSSEGPLSAKNYEDVLNYYSLPDSPAINVSGNNYRPAFSPISEESSS QLSPPAPYRTDKRESIRNQPVGARSPLTNSLRGRGDSLPLSRIPSELRTRQQLHPIGE RPRSVTQLPSPGSAHSASSFASAGSDLLAPPPLSRIFNRTRSGSAPSPIKVVRDSKDA NAYKITITPQLSELGTPTTEEDERVVTQEFPETPNAFSPLLTTDVGSTSMVQTLDVHD VIPMSNVPLSATLPNRGSLQPNLAQQLLLSRSATTSRHSGQTSTSRIKTNGIIGRSGS FSGRHIGDIAESPSEDVARDHPEPALDTSAPSMHPEPSTTTLVDSPETSLRELGPINL PLIPESTRSPSRSASFTQGSDSSSMYTPSIRSESSRRMKSLPSIPASPLVPAPSSAAS NTSMRSGSPSPAVLQPSPPVATASTPSIPPPPSIPPPSPTPSQKLKSQPVRSRLPPPA LTIKSSNITASQHAVAINGTETNSETSPAPFAQEPDTSVETISPPVPSLPQHESPIPN VQELTVPGPLYDPEGTFGDRASDIFRGTSLGSPPPYYTVVSDAINQTEAVNSPPNPIF SNLQTPDFGAQSTEISTAALSAGVLSATSSNGAAGSSMSISELGIIPNGNVSNPHLAR ENSVISQRARRRPPLPAGPRRPSQIQLIGGQTSQGYQRDRTGSISSVASTSHRYYTSR NQSESTPAFSPKFQTPAPKWRGYTMEAAKWTFTSAQLQAIVSRAIRQSAEASSIRLLR LEIIDTEIPEEIRRLDAQRTDLKTRYKVLAKKRAALFDALTLYLSAGDEDNTPYVLRV LEELRDVSINLDKLTEELHSLDSQLAHLDSLTQIHTGSALSMALRKLNASFLKQVAEN QALRTQIQTLEAERDEAWQQAQSVADEYDRLNDKIDDMSSKRSSRVSAFRKSSVRVSK AGLRTPSQRLSQISSFGSGMHNGLTPSSAKSPLLRLERPIPPVPPIPRRRTLDGLGSP SKSSAALSSTGLTPGLTPTSETRALARAQDELYAMLGLANPERSLRRSLSFTSGQPPT LRPNPPANATNSWRRSSLPGEDALAETYNAMAADRNAVLATIDMLSSTD JR316_0013259 MSSASPNRLRTKTSDLTDFFRGAGNSVAQPRSSQSQKDLSSTHL EVPSGDEASKKKTTRIPLFGRSRKKSTQSTASSPFASTSGVRESTDTGVHLGRASSSS ALDRHPSEAPAHSTTIPPPLPVPSKPLSRVPTSLSSKFAATFSHSRSSKAAGVSSQTE LRSKPPVSYPSGRVQPENNVRSVSQGESGSGSSVDKKVPTSATRPARPTITVSLSEDD DEEFKDLFTRSTEELEEYYKALELAKQQQRQSEDSHKMSARSSSSLGSPVEMSSSPSI YKRGYTPASAIAAAVRHQHVPSVESDRPSSTSSKNSRKNSDSDKSLDKVDRTSTPRPP ESQESPRLTSADKDRILPPSLSRRSTMAVGSIAYHSDGSVRSRMKLGATQSRSKPPTI PLPLLPPSSPPPSSPLPPSPTIPPNIPESATVQSESGTSRKVYVRPRAHTISSSTTSI PPSPLSQSTTTKADDNIPPSTVTISPPPAEEETLDIDTASAEQLRQALRTRNEQYAEV TALVVKLTEQHHAEIAVLEKKIATLEKEARKRDTQIKGFTWMLNDAESQQQPKPLPPG ILNQSRFAPSAANVVSSDSEYEIHRIHPNTHRRLVYQSDSGADSHATSGAEGGSATES ISSAFRVRKLRRPYPVGDGTQAASITRSGTLRSPKLPPAANSEKALPEMPYMPTSMAM ATKRSSVSSTTVSPSSSTSSLLPPSPSITVSSLSAIPEGSKPLGILHTTTRYDSSSEQ QDERRAARASHRTSLSSLTSSSTAASSSYSANIKRSRPPSIAQVLEKSPSNMSEVLYL KK JR316_0013260 MKYFSTRGGDERLSFEETVLTGLAPNGGLYIPESIPSLPADWKT KWSSYSFVDLSVAVLSLYISQDEISTAELRSLVEKSYATFRHPDVTPLKKLDDKTFVL ELFHGPTFAFKDVALQLLGNLFEFFLLRRNARKAPGEKQEKLTVVGATSGDTGSAAIY GLRNKANVSIFILHPKGRVSPIQEAQMTTVTDDNVHNVADIVKALFANKEFNAKHRLG AVNSINWARILAQTVYYFLSYFNFQAQLPSGTTDVELQYVVPTGNFGDILAGYYAKRM GLPIGKLVVATNANDILARFWKSGKYEKVDSSVSHGEGATAPVEGSSDGKQATDASGV KETLSPAMDILVSSNFERLLWYLAFEHAEGSTDAEKKAAASKTLDGWMSHMKSNGRVE VPIPVLETARKDFIAERISDDLTLQTIKSFFEGETSYVADPHTAVGLATARRIAPANS PNVYQIVLSTAHPAKFSEAVSRALEGSPSFNFDRDVLPKEFHGLLDKEKRVIDVERAD IELVKAVIEKVAEKEGASKEIAGSV JR316_0013261 MHLAKICFSAALLSTTVHGLPTAPQGRGILDEVGILDDILVFDS PAYPDPANAGNTLIDLQTFVSLRQIDLGGLAAALSAALTTLGVNVGDKLGNLQERVKL IGAIGLPGKSTTVSIAGCSAKAKTGETSGSDLGMSLKTGVSLGACNAGREFEATATLG GLFNSRTVKASVFSSPDSGFGVISDIDDTVKISNTLDKLALLRSTLLDDPKPVPGMPE LYSSLSQSLDDPQFVYITASPFQLYPFLNDFLDTTYSSAKGPIFTSNLTIADPSEIIQ FVTSSNTEAFKLASIDRLNGMYPNKKWLAIGDSTQKDPEVYAQSIRKHGDWIACAWIR RVEGANNTDARFAAAFADIPASRFRIYTDADIPGLADIDVAGGEC JR316_0013262 MADNMDVDAEDTKTVTKSKKEGKDSGKARFEVKKWNAVALWAWD IVVDNCAICRNHIMDLCIDCQANQVSATSEECNAAWGICNHAFHFHCISRWLKTRNVC PLDNREWELQKYGR JR316_0013263 MDPKPVHYPLQPKVEVTFQTNMTENSGPSLDSLSAKIRVPTKAA RRSRCVICGGLLRKTNNKKRGCAALCPNGTIPPGEGSRFVLAATEHLRHKLRRMEARM RSLEDALAIAHSSATDRPHPLLGVRLELEADDSPKLKPVAEDSSTASLSASQSPGTLW LDGHGGTRFFGPSGGSESLLISSDESLESPLVHKIEPLLQDLDPSYLSPEINHCYQSF PFTPPNVMVSSVQNMIESFLPPMDVAITLCDTFLEHLSWMFHIVSRHKLVNELIPIIY KQNPVPYGPHDLALLLIVLGIGSLVDLTLIPYNLEAQHYYRLCRATLALQSVLGAPSV VTIKVLHLMSVYNGMSGKESNLEQSYVLLDLAGQVALRIGFRELEFLRLDTNTYMANT KNSHPDIDPSLWGFEGREAYDRRVYFWNLMAGVLWQSLVTGRPPSLLMSYIDCRIPTV EDENLFQEGEVPLGFGVWGFRASSELMVPLVRLALAVKPPAYDSVMELDRKIREFSLP RTDSHQTHDDRTAISMQIFVRSHYQELMLLFLHRSYFAQAMTEHPDNPLNSKYSQSVH AAYSSACIVLQDTRAQFMKKPLLCSRIWRIWSFTFSAAVIVGTLAIRGSHLNLKPPPL EQFESIFKIFVSAAETSSRAARSLPILQVMLQKARQAHKFYCEKGRPAPSCDQDTDEL LYFGGQASIIQQREQDIFSGSRSSGSNESTPERVTQFSSQHPTEPGSPDTPIYKQHPA KEPSMSPPMPVAQPLASKPISISITPLTYSASPPLSNSSLPPECSRHASSSSRQVPMQ RMVPSMGSPQYQTFADLSGGWNGGHDRHLEAPQQSSGSTSNSYQARGHNPRDEVMLDD RWASFMDYDVLREPPYYHEPSQ JR316_0013264 MSTNPQKSTTNTASKPTATTARKTTQPQAKPDMLDLSPEMMKKR LMQEVNSKEGKEHIKKAKEYMKDQAEEQLKDLPEKMEEVNEQIDEVRENVQEEVDEAK EEMQREFDDAKAQAQACILILTE JR316_0013265 MLVPFFTITFLSLALSASASPARRTTTFCEQLVVSCAAAGPQSI TNPWTIPACIFGATCFGGSSPVDAFLIAVATERGDPSSAHASLSLPVLTVETFNNIST DRVVITQQNFIDGVYSALDASNGPYPDVSSVISSFQSISVWTQFCSNRGIPWKNFADY FKYSATVDSPGCTSPAYPVVTNEPSCQKIFEECLRTVNFNLYNIWTVKPCVFAAVCFP GDINVDKMLTAVYVYRTGNDPSTAPKSSDQPSLSQAQFASISTNGNTVTTQNWIDGYY ELLSGAGGPFPTSADIVVEYFRRVRNWTGFCGLDGVRYQAFAYYFNWSSTNSYPVICP JR316_0013266 MTTSKPRSSMTISRTDSQTFEQCRNPAFVQAVNRAANLKILVAI KSGKLRVVEPPKQTSPLVARYTPRMDIIDDPSSSNLAAIFEVPGITTENIKLTIHEGQ LHLYGERRAPYPTRVESFPNNSSLPFNVLTLGDKLDTGSPSQVKVHVQEICFGTFQRS IPLPKGIKMQDIHANLSDGLLTVTWPRSPAQTCMENHRAAAGKSVKSFNSSPPMHTMA AGTASQ JR316_0013267 MTPDKFCNDVELRSTHWYSNLATYSAFDISAPAKQSRSGTAMSN SNSRVVFVGNVPYNMTEEQLVGIFKSVGQVIGFRLVFDRDTAKPKGYGFCEFADHETA LSAVRNLNNTDCGGRPLRIDLADSDPFLEGKTTVRGEILDGGYPGPSESRGQWRSGAG GGGGGGRSNDPDAFLANIPPGITIPKGASALDHISRTLATLPESQVIEVLAQMKAFVI THPDQARTLLIKHPQLAYALFQSLLLNKIVDPNILERMLASSRGSAGPSGAPAQPPPN RGPLGPGGMPPPHMQQQHMPPVPGQYPPSMPPFPPQGLQHPQPMPAMQAQPPMMATPP ASAMYGQHIPPAQVPPQPQAFYRPPMPPAAQVQAPPPAPVAPQTSQQPVYSAQMAAAF AEMDPEQQRAMWTVLGMTQEQIDNTPEPAKSQIMEVEERRERE JR316_0013268 MAHPVGSSSHPVQIPALESPHAADTPAAAAAPNAEKKPKEKKPK VANAATGYPLELQPRPEFFDHRIKMFEELKAEYDAFVAAQPREEITVTMPDGSERKAT SWETSPMDVAKEVSKSLSERIVIAKVDGTLWDLERPLEKSCKLELLDFEHPEGKKVFW HSSAHVLGEAAERHYGCHLCLGPPTDDGFFYEMAINDRPVSNADYPALEKVSESAIKD KQKFERLVVSKEKLLEMFHYNKYKKYLIETKVPDGTSTTVYRCGPMIDLCVGPHIPHT GKIKAFMVTKNSASYFLGDNTNDSLQRIYGISFPDKKQLADYKAFLAEAAKRDHRKIG KDQELFFFNDLSPGSCFFLPHGTRIYNSLVELMRQEYFKRGYQEVISPNMYNSKLWET SGHWQNYKDDMFVLDVEKEKWALKPMNCPGHCLIFDSRDRSYKELPIRMAEFGIIHRN EASGALTGLTRVRRFVQDDTHVFCMPSQLEDEISALFDFMQHIYGLFGFEFHLELSTR PDNYLGEIETWNVAEEQLTQALNKHYPGKWEINPGDGAFYGPKIDITIRDALRRSFQC ATIQLDFQLPERFNLRYRSADESVMPRPVIIHRAILGSLERFIAIITEHFAGKWPFWL SPRQILVIPVAAPYKDYAAEITNRLTSLGLYADVDNGENTLPKKIRNGEIAQYNFILV VGQEELDARSVNVRNRDDVGTKAKGEMVSLETVIEQFVALKKSRSLENKLL JR316_0013269 MGNAQSGSALTRTTVALDSFVAELGGDIIFEKNLRSARFLKTVK CRHRNGYLVVKIFIKPDPGVSLRTHHRRLKLDKEALADIVNVYSYQAFVETEKAGYII RQWLASSLYDRISTRPFLSVVEKKWISFQLLNALRDARNRKISHGDIKSENIMVTSWN WIYLTDFASYKPTYLPLDDPADFSFFFDMSGRRTCYLAPERFYTQATNPEISAKKSRI AMEEVEGKRDGKVTEAMDCFSAGCVIAELFLEGAPLFTLSQLFKYREGDYKVDGQLAA INDEGVQNLIKLMINLDPSERPTFDTLLHTSRGTVFPESFYSFLHNYVSSINELSSDT LAVNNPPTSASSLSHSPIQPPLSATMRTSSSGQLNSSGAGNEGKNDTLPSDSDHRIER IWEDYESIEPYIIPEVDPNLDSDPLPEFLTSVTAPVRPFQDVLPVELHIPNRDSSLRN PVNRRSSAANEDGPPLIILALVTANIRNCSLPSNKIRALDVFLALACHLTDEAKLDRM VPYIVELLHDDAALVRSAALRTLMQTLMLVTVITPSNVSIFPEYIIPHIKHLVQDPEV SVRSTYAQCIVQLADTAVRYLEMGQALKAHGAFQSTAEGHEYENANFEVSYDANMQEL QGHIQEHLSALLMDPSSIVKRAVLHDISSLCIFLGRQKTNDVLLSHMITYLNDKDWLL RYAFFESIVDVAACAGGRSLEEYILPLMVQALSDVEESVVAKVLAALTSLCELGLFQK MRIWELMSATLGFLYHPNIWIRQGAAAFITSAAQHLPPSDIWCILYPSLRHFLKSDIE HINEQSLLVAMKPPLPRQILDAAVQWAMKADKSAFWRGPRRSTKQVESPRESVISMRK TGNAGIARNRSEEDEAQLTKLQQLGMTPSDENKLLALRDYTLKLANATSSFASRLNYE PDTGKSLKVVGNIELQKLGVVPQTVFLRARNSDIAPRSSRLSISSRKANTASTSRTPL LSPNRQHRFSNDAGAPFEDLRRRLATINASASSLSVTNTPRDTRSTLSPAANSSSTSL SGGPQTLPDRPPSPTESIVSTSNSVSLRPASRLQVGSTDGQKAAPAVGSSKTNATGLL EAHFKIRPEGSPDESGRSSPMSMSATLRAPRQMRNPSSLAISTYDGQEPGISNMLENL YLDNNRELQQDFGPRVHEGPIRRRNVNRPSYARDGNKRVEANLIANISSHSEAITGFA VSPDHTFFVSSSDDKTVKVWDTARLERNVTSKPRHTYGQHHARVKCVCIIDGFHCFAS AADDGSLHVVRVHITQSGALPKYSKLQVIREHRVENVGEYITCMTHYSTDSASILVYA TTHSVIAILDLRTMRILQTMENPRHYGPITSMCIDRKRAWIVVGTSTGVLTLWDKRFG LLIRSWHVGAAAAGRSNRIHQCAIHPSKGRGKWVIVALESSKKSADRTSTQLVEVWDI ENAVLMETFMTRVGSPTDPVPEPPQVTGTDAETTPAAAIAALVRSRQNSGNQHDPSRS SPREELPQPPAPDVRALVVGSDFGGYSTIPRSEFAELDSTSARPTSRGFVITGSDDWK IRLWDLGKLDRTAVLSGIENESETPSYSTSTSTATTGGATCFVETWPRSPASSSSNRP PQRISLITHSQQNLLKNHQDVITALACIDSPFRGGIISGDRAGVIKVWRVDLVDQSP JR316_0013270 MSNASTSTSTTLENAVGKLNLNVKDKPIDLPVWVPPPTTKENIE WADILTVDLTKFDTHRQELVDTVQTALERDGFFYVVGHGISPEKINRQFAIGEIAFDQ VPQEEKEKHVAPIKEKGTFMGYKLQNYWEIKDGVRDRIEQYNFYQNCIDPVTSHPKAL QPHVEEVKEFLETSRQKVLKRVTYLIDAVLGLEEGYLWRLHETEEGVKGHDLFRYMIF DPLTSAESEKTNGVMLSGHTDFNSISCLISQPITALQVLMPDNVWRYVKHQENAYVIN IGDQLSFMSGGILKGTMHRVVRPPADQVSLRRLGLYHFAHFIDGVPLDLVDSKKVKEK GRVLFEGKIPTTDEWERSRVKSFGVDKFIKGEEYDIEILNGIQLRHYH JR316_0013271 MENLPHPELQAIKTRLLQIHDGISTFTPLTARPPILDSSYDAGN ENNEDNQWLQQEIVPGLKKLKENIKLDLGVLEKFLDDPNCVNLPALSTNAPYLIAVWN EVICAAPPIVSVYKTFPLQVSSSTLTHAEKVGTRQEPKTGAKVDVVADNGRQWIRVNT VKNSRMMSEFREIDSYLTDSSDDSDEEWDDVSRPTLAQKEFDNSVLRMGRTLLQAAKA NPIEGTAEIPKVTLRLTRLDPHANEDGTLPDSRIGETIDRLLELGVNVELGERTDAEL SAVPPPSTEDAPIPDDISFAPTSNINLDLSGLIALISDLTHASVPETPEELNRRFVPP QEYREWKQKRQDMKLKNGGKFSQSDLEDRGKSKKSNKNAGGGTQVQREGSETPELEND INDLPRDLIQHARALTNQLLQEMGQGLLQEIRDKLEMSTACPNEIINPSSSLKVVFWT TSEARERCLRIVSKIGGVHEKRRAYALFCLSPTDGSEISLEEAERLYWQGSRFTPQFI PLLPIHIFPSDAPDASSVGPNVPVRPRSGFAKALGKVCADILSQESIPRRRALPTDDI INGANSEIQRATVTKANPRLTAHTVQSMQWGAELGWTTLTANRTSVKAILRELKSAKV AGRLAGVGKGDSDADGQATAAIWIIDPRSLAEGMSSVPPVRSGQ JR316_0013272 MFSPRPRHERYDLYTTAMIQFSTLLNPLARHSATAPLLGLFLVC NAVAVAGTPIQSGESISKRADQQISQGMTPKIWVPVVVAAIIIFVLTLLVWSKTSWRR SLRLFSFSGAAAVGGPVGGAANTGPRELTAEQLAGTINGDIPAAGGAAAAARTTRRPR RPRRTPSQMSVTSLPAYNKEPGEEELVIFRGRDAEDATMPTAVAMTSLDEERDESAFS HDNAERYSPMPASPHDMPLLQDDTLHGDLSLQSLPNVTPGEDGARPSADAGSQSSHET SSLMRTTSNLPDGLPDPRGNAPAYYEVVDPNEIQNNITDTRVARQPSTASRASRRAAS PPDVNHTPGRRSGFRTLLNRMSMSSHHHAHNRNESVNSTFSSNISHGADPSNGNAGTS TSNHRTTPSGSGSLLSVNMFRTISRQRSNHTLNSNRLNSPSLISLNSISAPLTHTVTR SEFTYPKSGPTAEQLKVISSRESFARFGVPYGADAIAYASSSRLDLVPPPDFESSVEA LVSPRSAGPSRLRAASNARRIPDAAAASTSPSGDNGESGISTNSTANNDPTSPSIPSA SAPPPPSSDPVAASVPSAPQNAPSSSISKNHNSLSGQARAIPDAEAAVHDVNTAKSGT ASSSKSTAASATQPVSEFGKLSAPPPSSYHELTASSVRSESRASVFTFATAQESLTGR ARAGSVTDAEEYFTDADAGAGESEFGSAPSTPRLGGQHTLELTDATIVPDSNKRDTIV VSSAPGPSGSSTAQ JR316_0013273 MSRSSSVQSMSMSEESSNDVGDNESSGVGLSASSLNYEMRRKLD IMKKLHNTGVQVDIDLPQIAVIGNQSAGKSSLIEAVSGITLPRASGTCTRCPTECHLT RTDAPWQCIVSLRITTDSNGKPLGQVRNEQFGDIIYDKSQVEDRIRRAQRAILNPQQS LDLQFFLNEREDDINTKLTKQLAFSLNCITLSISGPDVADLSFCDLPGLIASVARGGE DSSIALVEKMVVSYIKKPSCIILLTVACETDFENQGAHRLAKQYDPEGKRTVGVLTKP DRIPQGEESSWIPFIRDEREPLENNWFCVKLLSSFQLNMKLSWAQSRQLEEDFFSKKP GPWSEIEEPYRKYLGTENLVERLSTVLSDLISSRMPEIQDELEKSIASTRELLSRLAP PPSTDPRSEILTLLHMFVQDVSQSVKGVSEGFGLGAPSGLIQSITPEQENFKRAIRAT APEFWPFETRVLNGKLPPADFLHGEEQEDTREGFASSKAVASPKICLDEVNERIKKAR TRELPDHMPPIVVRTYIQNIVKQWDAPSDALVKSTYNIVSAHLKQMVRDHFHQFGQGK LEQQIRGIVQAHINACHAKAEQHVKYQRKLEQKWPFTMNTHYYRDYKAKFLAQYKSAR EEHAKNAKAPLKITFPQPPSTNTTAFGTTIPRPNVFGDGTSNTISFKPIEPAESSKST PVAEANHEAQTKTTSSSEADDRNAAALEIMAAVRAYFQVAYKRFIDYVPLTIDAELVD GLAQDLLPTLYSQLGINGPKGDAICKDFAQENRKTATRRVELTKKLERLMAGHNELFA AFV JR316_0013274 MQPIIPQSPSFHSVSEEMEEDESTGIGLSDSSLNYAVRRMFEVM KKLHNTGVQIDIDLPQIAVIGNQSAGKSSLIEAVSGITLPRASGTCTRCPTECHLTRT DEKWQCVVSLRLTTDVNGNPLGQAKNVRFGDVIYDKSEVEDRIRRAQRAILNPNINSE KFLLDDDPTVKGLLHNQLSFSLNCITLSISGPDVADLSFCDLPGLIASVGNGGNVASI ALVNKMVETYIEKPSCIILLTVACETDFENQGAHSLAKKHDPEGKRTIGVLTKPDRIP QGEESSWIPFIRDEKEPLENNWFCVKLPSSHELRMELSWAETRRLEEDFFVKKSSPWA EVEEPYRKYLGTTNLVERLSTVLSDLISTRVPQIQDELERSIANTREQISRLPPPPSA DPRSEILNLLHTFVQNVTQHVKGVPELAGSNSLTGLIQSIRPEQHKFKRSIRGTAPEF WPFEKGTTNPGRLLHAHFLLSEEGDEFMEPSFARNSLPTKIYIDEVSAQIPKAITREL PGHVPYNIIEEYIRTFIKQWDVPSEALIKAVHDIIVKHLKQMVHDHFWQFGHGKLEQQ VRAIVQGHISACLARAEAGVKYQWRLEQNCPFTLSPHHLTDYKKKFLALYQSAHEEQR KAKKDGPSVTSPPHPFTTPNSFAFNNTNVKQDTWTKSATGTTSAAPGFTPATPTFSSA PTSGNFKPTGEKLAFNFPPNASGFSTSSSSSTPVQDNSTTGNDPSSDPMEPALVIMAN VRAYFQVAYKRFIDNVSSAIDLELVTGLAEDLWPTLVSQLCINGPNGDKICKEFAQES PKIANRRLELTKKLERLEAGHNELFAAFV JR316_0013275 MFLTHDNNYGVNNDDEPSDSDHGQLSSGGTDDDSDQDKEDKVLA LPQDSNVKASGYVRNAQIKCYRCGKTGHIGRHCRNVRQNISSTYNESPSVSNRDRELD SNSENEHHGATDQTASGLGSYFMEVEVAMCDIADTGLNTDIYSYSDHSAIDTTASQGT NVELSELHVNQILVSEDLEGGWTQLAQTVTAQLQDEDVDLEPEKIATSLFDADSLVKQ GIECQKSCPIEFTHESGDISHSFGMPGGRM JR316_0013276 MATRGATLRSGRAPRGHTAARDVPTTGSDRDPPASRGDDPKQEA LPTGMEEGGARGRDDHRGNNPNNTGSAAAAARRARRSLSLNSFNRYMVDTEINSVYRS SVNDAQTVVTETKELITSLMDRLSRIENAINMNGSIFEVSRAPEDSDNSHGREESQEI TIDNDYLQIQEMERKLTEMKKVYTRRYQSIEVQPKTERRGISTTPMSHDVEDLITQAA RQQTQARRTEAIKPSNQLPAESLLGQLLANPKGNSGKEHAELSARALGPLDTEGHIIN PARFKPLPPTAPDKYHGDADFMKFYKYITQCDRFCKEAALPPQDQVVKCADYLAGKAY KFYSTMVSISVDSWDRQRFFTELYNYCFPPDYRLKQRKKLEKFSQGSMTVAEYAAELL ILFRIVGKSTPSQRVDRLWNGLKPELQSALWKEGLDYEQNTWDCSSL JR316_0013277 MVSFELRRLVGLLVVAYPLLTSAAPPPPPPPPSPPSPPSPPAPP HPPAAADPCAKIAGLTFVPPADALACYKAFPFNETLRDNVMTNMERVFDFFTFEDFYL NSPPPFQESTNNIRATLAKIGSTKFATDYDFNKALYDFTTQLNDGHTRYFPACYNAFQ NILPAPVVSLEEDGVQNLFVAPDSVEFISLLGTNYTGFFDKINFDWKRLAGAKIVEIE GQNPYDYVDLIARTVSGNYLDHGVRVNSVFTSYRISGGVFGQRIGDLAGPLDVTRTSL NMKLITVNSTKVESVEIPYLADFIGVDFTDKESFWANNCAADDSTNGVDVRGQVSNGP IKKSLRKQPKADIIDGRQSRAINLPDPFLPTAPPLDGSDDAIKTFVLPDGKTGVMFVG TFSPNDFDQFQLDTVSSVNALKKAGVTQLIIDLTGNGGGFVCLGQFLHQFLAGSQIGY PGFESTQRANPLAQKIVAAHVALGNNSIQELFYNPTQWAFLNDTIMPVTFDYNNPSAP FVVNGKSDPTSQRFHDTCTESFSQAIPETPPFDLSLLIHCATYSKVAIVSNGNCASTC AMFSTLMFERHNTKIAVFGGKPGENVEFKGMAGNQVLEWADLDTEIKSVGLKDDPLAP PDLLVNSNFRHNWRTAWSFLDETRPIAYVSELPLLRFPYTKDTYNNPQNLWTFAATKL FT JR316_0013278 MASATNKEEGGSGSGSGLRSAPARSVRRLPALPSGPPLPTAPLP NAGAGAGRRVRPLPSVPGTLVSVKESGHVRSQSVRKPLPVPVPVVRPKEEEEEAQEVV VIKQEERDSWTPELEEDEEDEEELEDLEEGVVQLGNRIVLGRISSSVEGEEEKEEEKD EYVDYGWVLADRMMGQGVVSKWMLERKGKRYTERDYENIIQALRSL JR316_0013279 MSGKPPPKGPRALLGGTPGQSTSSSTSNPTTSSTATSTTTTNAR PPLPINAATGSRIGAVPPTGPRSLQMQAAHSRSVSSKHPTPNGTNVNGALRPDRQPVS MRPAKRLDARGGYEGGVNGSTSRQETTRLPSPPPPPPLGPTGELKPDRPALHISMQPK HPARERLHLGHDTPLNLNTNSNTHPNSSTSSNSSSIHTQPPPPPPPPPTYDPPPPPPP SQPPPPCPPPPDAASGSAARGPPAEPSPPPPPSSTPPPAPSPPPPPPPLPPTSEPPPI PPPPPLPSSSSGVKVNGSVNASSHSQPALTRSNPSSSSSSFAASSSSSSSSLPPSASA STSTSTQQQQPLPQRHALPHKPVVSSLPARPVNGRDGRDGREREGSYPRERDRDGRDG RDGKNRDGRDGRERERERDWERERDVRERDVRERERDRDVRDRERERERYRPTRGERE RERVERVEGYESASGPVASTSRLALPAQSQSQSQSPQPPPPPPPPPSSSSSSELPLEA QTESHSISTSSKPPTSSKLHSETTETERTEPQTETETETETPKFKYDPTPPWPPPASA YPPGVRSWKVLYDPAVDSGVGSSSSSYSSYGSYSTYGSYGSTSYGSYGSSYASTSTFL QGSSSSGSGAGGVSGSGSGVNGTGAGTGGGGGGGGGPGPGPGPYKTAAYYRALIEHVR RYMPFHVPLSARSSASGAGVDEGQGGKEGGGGEGQAQVQGEPRIRGKGKGKEVLVRYE GCVVGDRVFSSASGSSSFASSSFPGSSSSAANPNVGEDIGDGGIREEEIVLPLRDPRL DIRFGFGLGLEGAGRRQGQGQGGQGQGQGGWRHVRTEFLEVRYEVSFRV JR316_0013280 MRHLDRSSWIDGWTEWNTPTQHYDANSTGPPPPTSVLITGIAPL TPNPALRRTLAQHGTLVSFEPQIDKENGSALGVVFVRFQSHEEARRCVEKENGRRGGV AGVGGVGMGGVGQGMGMGLGGQGEVEEWRVVFDGEGLKLKAVVKELEERKKREREEKR RLASQNQSQSQSQSHQHQTNGRDAPMHTHSVTALPLPPGPMATPHSHSHSASRGAATP LSSSGTPHSHSHSVAQSPVPGKRLHSHGHGHGPSHLSNSLPPKPVGVDEQPKPVAPPA ALMKARAEAARGERERERDRDRDRDRDRDRDRDRDRDRDRDRDRDRERGRDRDRERPE ERRGQGPYSRYNASRGKMPMMPLRRTLYKASPMDDTSRSPSPSRGGAESGLSMGMGGA SYGQDTMSADAKEKQRQEIVRQLASNGFDHVHMEGSAQFVGSVPDQVVFEFFAGFPVD KILRDHTGLYVTFTKASSAHSARALLHGKSLAFQTTTLTVHAPPVYERVVGKTHWSPT ELVDEAQKIIVKELKALLEKDIADQLVTRDLKKVWFEERARFMSAMAVKPEVAKSADR KGGLKGLSFKKEVKKKTPEPEPEPEPEPEPVHEPEPEIEEEQVETKDDEEMDVDEEEE VEKPTKKKKKKSEVVKKPSRRIIEDDEVESEEEDEDDLARIAAFTAEQARKRLVSEDR DEEEEPIKKKPRVETVKPAKKQTKKSKKAQQKQAAAAAAAAAAAVDAVSEVILGDAEA FESPTVTQLRLEDSSVTPSRSPTPAARQPKRRKRPLSPPPPSPPPDPIALGLCEDDED MFFAKLVLSGESPSEETPQPPPSSSDVPTFRKHLTGSARTEGYYKITHAEKAAYVAQY QARAANAGTAPPVVDEPKPQHVETSRANRANARRRAQGLEEINQVQRAVALSKGENAA NELTFKFNQLQTRKKHLRFARSPIHDWGLYALEKISRGEMVIEYVGEVIRAQVADKRE KAYERQGIGSSYLFRIDEDLVVDATKKGNLGGEKKIVIYAKQDIELGDEITYDYHFPF EQDKIPCLCGSAKCRGFLN JR316_0013282 MSTPDDLPWPELGNVSRGATRGNQGQKGVLGPADREGRCVLQLR VQPGVLAVRLNRQASDWYRTLDNEVNRALKMTKTYWRKARRRQDYDARADQDHMELHE WLQQLKDKTMSHLELLMQKGDIQGPPYTMPDDIELTFLRKFIERQAAGIPHNPRLRNS AGGEPSYVHRDHSVDSFTPEPSEQSFMDQSRQPNDHDSAAHMTHSRNSGSPSFRTPEG LAAEASSSKQALQAARASLMRAQKDTQEAFMRYTSCLDIERQARQAVSNAEKRRDDIM AILLSQNQVPLDARSRKSSVDGDDRRSPPRYQMEYQEVRNPVDPFMNARVPQKAMEWP IDGQGMYSNESVAHMEDQVRSRKHSRTWEHAPQMHVGAQDYSDYRTDRPYGPQDVAGL PPRKRIHHAMIPGFDVMNGAPLQTQNMTLQS JR316_0013283 MDIRSKKPEPIAQSEDQEDELLSIVSIRGGSKFVVGTQLGILSI FNRSSGWGDCVDRIPGHPMSVDALCALPPDIPGVDTTSTILTGSSDGMVRAVQILPTK LLGVVADHGEWPIERISVGGGLGQLTIESEESSSHGETRIKSNNVEGENEGTEAHHSR WWVGSVGHEEVLRMTDLVGFFRDNEGDETNQGALGVEVSEDDSDEGSDSGSEEDNAEG TQKQPDVLAPASGEVDEDEGDNSADSSESEPEAPLPAKRKRKQQQNEPVMAKKKKGKN TVEIEPSFFDEL JR316_0013284 MPVQTISLTDPNTSFGSHGAGVLSIRPFNTSRIKIAATAFLRHG YLTPSHQHINELRRHNQSVLACTLPEQVLQNIFITCAQLTAQDFENSDWSWVNISYVC STWRRILLDCPDFWRYVDFSDPRWHAVTLSRAKMSSIHVITTVTENNIRLLHRSLQLA HRIQDIHLKSSIENIYPLLDILAHPNPALESLIIDIHIPQNNGPISGFGPPSFPTTGP PLTNLKYLELHHAPFYLLTSRCTSLTHFHLHDLPHSERPTLRYFLFMLEQLKQLRYLT LDRAFPINMDMDDIKALERPIRLPRLESISLVGSVPDIANILACITIPASTHLVCKIY TLSDIKGNIWKLTEALSSHSWGEQDVDSPLETLVISGSQSDCPRYKDSAVPFPNPDFR QSLRIRAFRAELSRGGAAIDITISPDQGDASNDHGIITALSAIWRALPLTHIHTLALQ DVDIITQKTWSPFLRTLPSLRVLDITGRAPSGLVWALLLNARSHGQGLKGDGDAQRLL VPRLADLYLQNVDCSSGGYMVAARAPVNSHFDLDDSRFLDVLVACLNKRRKFGLCLRS LSIGRCSHVLRSTVIAARKTVAYLTFDCLNVEKDEDVDPSYPARYSSGCDFRNSKTRH YYRLRTLIQLDAEG JR316_0013285 MTLDILSFIDSKGGNSEEIRESQRKRGHSVELVDEIIQMYADWV KMDFDTNVLRKKVNEIQKQISAKKKAKEPADDLVAEKKEIDAQVEAKKKEAKEFEALM RQKASTVGNIVGKDAPVSLTEDDNTIIRIWDPTGEVADPAVREGIMPHHEVLLRLDAM DLDRGAKIAGHRGYYLTGDGVDLNQALISYGLDYLKTKGFKKIQPPFFMAKEQMAKTA QLDQFDEELYKVIGSDDEKYLIATSEQPISAFHSDEWFESPETQLPVKYAGYSTCFRK EAGSAGRDMWGIFRVHQFEKVEQFVITDPEKSWEMFNTMIENSEGFYKSLGLRYRVVG IVSGALNLAAAQKYDLEAWFPFQRAYKELVSVSNCTDYQSRRLEIRCGLKTKEQTRKV YVHMLNGTLCATERALCCLVENYQTPEGLVIPEALRPYMQGRDFLPFVKELPKGLQKK QA JR316_0013286 MNSDLPSHSLEKKARSHASWTGPFLIPIPGVRTRRLRIYLPNAA PLIPVRLTRVRRKRGPLLILLVCLAILCTFTFTKKSFQRPTWTESPWIQSEPYDPPTL IFQRPHLQRIWKWEVASGHYPSREPIPAEIRLREALTNPAIPRRTVSGRQKPYVATSE DGYVTEAIGTGAKRVYLDIQSQPPNVAYPPRPVPGSVADMDIIMKHCDFSKGKYVRDC LEMLRIGGGLDNGNRFRRETLDDFRYIYIEQPDNTTVGLSEERDQAPLFRKLAPPPSP DSDINEGLVRKEGVNWEAGIDLPQPAVYRPTKSLPSPCDPENPRIFHMFWTGPFTDKP YLALLSFLYSQNTGIHLKNWPADAKVCRPQFWLWINPGPAASVPNPNAVRQMYNQLKA NPWASPFLHPRFKDVIQFKMWNTTEQLDSIPEIRDEWKMFKSNMFNSGGTVVGLKEDV GASNSTEGEEDEEKKDDDLANRAGTKSEESYDRLSVILSDMARFVLCHRFGGIYLDAD TILLRDWEELWGWTGGFAYRWSRLNSYNTAVLRMNKGSAMGTFLFRSALRGGLHFHPM AVSRYTIDAHLEPLLLRLPDALFDAAWLNTENYQRDRPPQPYFTEFSEFFEPSAEKGA MPHALGLRGFFRGSYSYHFHNFWWKPFDPSRNFPDLGPRFKDGEEAARAALRKEKYKA LVKERLQAVDTATLEPLPKETDLNEEEPEPRDLSWSTVIKRTFEAYLRGEAPNMYGEW IQWIRMSKFIKQNKQGQRVPADHDLRRVPLSSSPHTDPGHIQQMFNLIRRISYGVIPR PDRPWEEDPTSNAPQTGRKRRLSSTERHSRDDADQANKKARGESATPSVADAEGSLIA APQVDTQEVKDVTQGVKEVDLDGKASAPSAEEAASAPENVPLPDAKSGELDELASDSG DAPAEVALEKEGEGETVKETADDDDDAADSVQSSSAGEAALPEDEKVAQETKPAADAP TNELTTESPSKQYTGDADATATTTKD JR316_0013287 MFSFLSQLRLPSIGIGAQTQLQEEDDDVSSSGRSLTLPFPVTES STKKTAASTAAKREWMASHPIAPSTAGANGFNISKPIPRTANALTAFDTEFPAPPTGL GEQVHVFGQVRPIRATPPFSGAVQGPAWYEQDKSSPQASVSPPHIVRINSTIESQSIN ANKQAEGGSVNPPPSRPVYKYTSYPNPSAYAQPYPTSGGSSGIASAKSGQMHSTVDRD EHDNESEHEFYTSPFDDTDPLGALPPSTTGKSSMTKSRWNLKLQLHSSGAQSTPSLLV GSGTSQANRLHALPHFASQNPLKKGIPYGHKVTLNEDGQILPVDVDTDVYNNAYNYPL AKQLSPIAEQDYTSPTTATGDTESRSISLRFGKGGDGNLSKASLGTESPISVALAGAT KKEQGGVSDVMEREPTRNRSGSVGSMGNGHNLSRAGSISRSIVVLPGSTNGSPIGSLM PEVFIPGPSPIHASPFITRHLNRTVSQTSSKSDSHMQGQVTPTAVNFQGQTPAPPRAV AQLSTGSIQRSATAINTPNGIAYGRETIQTPISAGTTSSTPTGPYYTPTSTLQTPTVA QAPTPTSPFTAGGIGVGAGVSSGPAVTLAPPPAAVTTNTLYPTYPVGSVESAAYPTTT PTPRLPKIPSIPNIPPLDLRFSLLGSVGPRASREMRMERVAASAAAASAAHRRSLGGD VEKMPVIPGSVEGHYEEESEDDYLEEEDDEEEEEGGEAEEDDSEEYDRESLHAESFVT AGTNEDANVGGESNVHDPELGVELSDLGKQSRSGSQSTSGSLHSRAPSASAPLSSSSR CLPATTLEPVAGTSSAPKSATGESFIHRRWDRDAALGFGSISSSPTTFRAKGQDSRWP TRLFSTTFFSSSSSPTATFTPAFWAFWLGFICPVLWLVGGWHFTNAGELPPKYTMWEW YFWSSRWSIEGFKAGLTRMFAWRWLPWVRRAAANAEKERKRGSHQSGQSDIGDGTMAQ RRKGKRRSSSSQQSKKARAGKVFPSLPQWVAEKQSTDDGRMRLNDPKRSLRGISFGYP FISRPPMGSQDSCGTPGDITVPAPPAVAAGASTSTSSSVSKLKKHVVDVVSKPNRALD LLYGVQLKEVRGRPESGRRMFDPWIQRCRYAFCYAMLLLAAGLCTASVYLIAINTKKL EQ JR316_0013288 MALLLLCAFAALLAWSQALSTRSADSQELARRAAVPSDYTSQRY GLPPVEEATPNINKEYDLLDIVLVASVDGKFHALKRSTGHILWSMSSFATTTSVSAPS SLAPLVRSSHPTPDFEAFDEPQQETYIIEPQSGFIYVLPTPDAPLQRFPFTMAELVEL SPFTSGNPNDTRVFVGRKETSLLLIELETGKIKATLNAECPFIPDEFEDTPIDLDELE GSKPPMSAPTEVYIGRTDYIITIHQKPKPGMPLIPAQELSFSTYGPNNKDNHLQAIYH RTNDDMYVQSLTNGEIIAFHATSGHEKGRKAKPTDPESGKALWGHPFKTPIVAIFDVL QPVPATPHHGHSAHPIVLLQPRPRLQDLFPKLSSGPGLADPLLGKLASAYVGLVEETG SLFVMSADRYPLVVFSGGDRPGLSGGENGVESTYGRRTKYRAIDPPNAHTNSDEAHVG VLEDVEAADREYCAENPYDPRCLVGVRGMELGDGVEDRMKRLIDGPKTQAPAEQQPMG GNPQHRPVVQISPLRDVVVEDSENSSGGGSPQGRKLLEIDGPPTGSVSSRYQLVGSID SNGGQFGRPPFGGAWEAVILSTLFGLLTVWVMWAKVKIRVGLGKQNSSEVVDASPKTT KLEEAVGISKAEGDTKLQVIAAESDPTIQNKDLDSIASRPAAAANVDESPTSVPGGVG TSKKETPTLDLPPIPTPLAPSEGLATPIPGTPTPGSGAPLGAEDGEDNEGEADGGASA TPGKRRRPRGQRGKKKKQGLAPAPGSGEWVDIAKDQPSPPKEEEEKKPSIILTSSSPK PPVIQPPSLVVSDTILGFGSHGTVVFQGSLQGRAVAVKRLLQDFVTLAAREVSILQES DDHPNVIRYYYQESQGNFLYIALELCPASLADIIECPDREQWRDIAINFDPKRALKQI TSGLRHLHALKLVHRDIKPQNILVSFAKSGAGGGFGGRAGGAGAAYRMLISDFGLCKK LDTDQTSFLPTAHGAMGAGTVGWRAPEILRGEVKLDEMSTGDDASLSSRGSVATINGN SSTSSGGGVPSSNMTRLTKSVDIFALGCLFYYTLTNGGHPYGDRFEREVNIMRDEKDL SGLERYGEEGTEAKNLIEAMLSFEARKRPDTTTCLLHPFFWDPGRRLNFLQDASDRFE IMCRDPKDQHLLTLETGAQSVVGNDWHSRLDKIFIDNLGKYRKYDGRSVQDLLRALRN KKHHYQDLPDNVKRHLGPMPEGFLGYFTRRYPQLFLHVHGVIQDTGLHSESMFRTYFE LPDS JR316_0013289 MKSSLFILLIALKPAFAATGLAPKKYLSLPLGAVKPSGWLLDQL KVQANGLAGHEHEFYSYVSQTDWMGGDSFYSNLEEAGSYWFSTSQNAMVPSGILANSS EINAKTSAFLSYVLSHQDSTGWLGPEVNTTKPRFLWGRYPFMLGAIQMAEYDSTLTTP VVNALYKFVTLANTMLHAGQGLEQWTESRWEDFVIVLQWLYDNHPNGQEDLLIDTMQQ LRATGIPWEKVFSPQYFPTTPVENIPNPLNLPIAWHGVNVAEALKALPAAFRFSHNQS DLDAASQGWDLLFQYHGRPSGIFAADEYLAGLEAARGTELCLVVETMFSGSYLYQVIG DPKFADRIERITYNALPATLTGDMWSRQYLQQQNQIAAKNMNPSYSNVFGLDPNYPCC TVNHPQGWPKFVSNAFLTTPDQASLVNIYLGPFETSTTLSSGNRVKAVVDTQYPFSDT LTTTLTASKAFTYYVRIPSWVVGGTISINGGSAQPVSPVNGLQAVSAAAGTTKFVLNL PAPITTESRPHGSIAVHRGPLHYAFDIARSQKVLAQNSQQPLAVDLEFDATVNWEYAI DPSTLKFNSGITTPALPSPIFDSGKPPLTISATACPIAWAVAGDTFASSPPTNPNCTG AQTTITLSPYGATKLRIGEFPVFQSK JR316_0013290 MSLEKIPAILLTAFGVHICAQSPTPVIPENERKMKDGVLDIQIG SLIVKSAFWIHSAVELFIIGGAAICPESTSYQQALQYLLPVGHGSDRIYLSPFTAIAA LLIVVGATIRYLCYQELGRHFTFQVTVLKGHKLVTTGPYSYARHPAYTGSAMAIAGIV MWCLAQGSWLRESYVQAGGDWTVILIPAVAVAFTLAFVINVLRRIPTEDRLLREQFGE EWEVWASAVPYRMIPFVY JR316_0013291 MIDPGVEVGYWTHALIEVAIILGAHWCPEWGRCKQIVEYLLPHG QVFLSPATVVAAVLGATAGAIRYQSFRELGRHFTYHITIMKDHKLITTGPYALVRHPG YMGWTFLYPAMAIYYMAPGSWFRETGMYARKEGWLLILPMFIASVALMELAVRRSNAE DELLKKEFGKEWERWADRVRYKFVPGLY JR316_0013292 MSSDPSDLWSPPEGTQTYTDTYSRNSYTSRSDTQRFSSDGEDST RHMRRVAVSPSPSQQNDDDDVDDDDDDDAYSDDNSLDEVDATLSNLDEELDDTEQALT EWSHGYTSPTFSSATGTFTYTGSYTGSPSFVSLPTFSPRTPPQHALDPRVRLSRITER TENSRPTSAAFSTANRTAVPTPDNIRRSLHLGSSAPSHSRSSTDPSSDRTLPPPGRLN ELRAVFESQSPSTSHTRATSTPGYRPASPMFGTGTGPSLTTGQTYSSRPSSPSKSAAS SGSYTGSDVRSSLLSPPNRPLTSSGFRSMTPGATTRTGTGTFTGPTQSTSPSIFSNTN TWNNNTSAVTPNATYTTPTSETRSYTDTTRTYTDTGRTYTDTGRTYTDTGGTYTGTGR TYTDTGRTYTETGRTYTDTETATRTSVTPTSGLRRPHTSPRSPLASVRNIVALWKERT PAAGRADEKSAPGSVSSVSPTGAGDNDGVRRRLGKASALLRESRATSNPPVTPARPAS EDAGDNMSVRSGRSGVFPPGFDMTELSNYAQSKEPPIHIGLLWYLNVHASPPYRWQRC QALLYPHMLLLSWLAPGGGRGIVALDLLNCTSVQSAPSPTHPSARDDVGTMAARQQSG EPASLPLMDMLVPFHMMYADGVERLAAESLLERQKWVNRLWETVNRPIAAVPDSVSVT RSPTGSIRTIMSVNSSSSSASATSNGSRSTFFVPPLSSLPDISDFQSQTSGLSRHSSI VSSHHTRTVDDTVIRNQEYVYPGDPRVITPTRGNSLRRSSSLADLDEEFKSALDRARG PGGLFGFGGSPVTISSGSSLGKNVFVTPPPTVTRTSDRSRSDTDENFFSAGSSDGGRS GISSTYYSHTSLTRTPQTTTVTGMSAVSPSQLMSSTMTSSFRLSDSGSYRSGDSDDIS FADSPSTLSRARGIRRRAAGTATSRSYTSGYQTDASQSQSDKENDSGLESASVVSGSV SGSGSRSRTPSGTYTPRSVGSSLSGSYSAGSYLDSRSRTPTGSYTGSERSRSEIYSGS GSYSGSGSYTPGSGGYTPGSGSGTYTLQDGLSMDSPSSGDYGVPEFGHHTPISSSETG YDVCPSSDLSDLTRRTITETITETPLSYGSDTLSEVASEKFVTASQGSTEYGTALVPP QSSRASITSFESLLTIPGSETEYLSADSESTEYKTASEPGIETEYATASEPEVSERSV ESVYVTAYPYESDVESIAEIIPSTTSSPLSSLRLLSSEPREDRSVVDISSDLPSIRSP TPSTRSPTPTPLARSPSVQTQSSLSELLPEEIPLPPDGPPTESPATVTPSLLSELHPE EIPLPPDTLSISSPSVLSELTPEEIPLPPDAPSVFSPSVLSELTPEEIPLPQSVPSTV YPPTPRDLPPLPVEVERTPSPPLPPLPTSLTPTTVSLSASPTPLIDSSVSQPDIPTPT SFQLPPLSESTRPEEQSEEDALEEESVSLSLLSSLPPSTLGSTSVMTPTSIALVSPST ASPIPPSTPLVIPPTPARSPSITQSTISSSGGLSSFTQTSSSLMPPSPLPWATATDVS YESSILAPSPSIRSLALNDEPDLSFETSIFRPSGYSSSSDRMSTIPPSVFAPTTAPHS PLPPLTPVPALPPSISSGTDALTPSSLMFSSESSSSLGRTSSILSSISYDSQSSLSSS IFDSRSEIEEPEFEQPEPEIEPAPEPVPEIESDSEPESEPVPEPIPEQVPAPASEPST VPSLLSTPRPSSPSLPSSHISSMPTPEGSLIVSFTTPHGSGDTEQSSLRTVPTISTAT ERDLSRDIDRLADELRTYDHARGLENQDIADNVRALRDELRDLADFLQRPQTPRTPPA PVIVQAPAPYVVVGPPPPVPATPTYLEPPAQETPRRGVQLMDRPVGGSSVISSLHLSG PRIVEITDAIPRDQGLLSRSASNASSMVSYLSSHHSDDYIFEEEPEMTSIASPADAWR QMSTTDETSSSSPGEEETDSLVSSSPLSISDDDTEIPPELPPQPEQPNLLEQSLRAIQ DQLRALENGQVSTKDILDELRQRELPPAPEDHTAELANRLNRIEDLVRSLAEQGHPKG PEIQTIYLPAPTVPSAPTTTNRDSTISDSSDSIDRLRDILNGLADHPEEHTHMPVPQP PPGPSIAEEIERILSARNLTPTAPPEPPRFDGIHYQPAERVRPRSISPVSIETLQPRA PSVPVGGRYNAWPPLRPPPWIPRFDRSRGPPSETESSSHLTSPPPRHHPLSTAPTTTP APMPPSQPQTNTQTETVPTTTRPISKLPPPRPVFPENNMYNDRSPSAPSALGGGPPRP PGTSWYRQKPDDKRRTEGNVPSSSQSQQPQQPTAAPSAPQPAPQPIYIPVPQAPSGPV PVQLPPIFDSLMEILRENRLAQLATVDQQRELMRYMRGLNEWLEKDVYDRQSEIRGVL ARVEQLSHDLRGLQMQGQRTPSSSSSSSDEGPGRYGYPPGPGSRIPMPEPEHQRPYGF VPYTAHTGPVIPNVVPTTPVPPFRPVMPDMHPHGSFPQPQPTVIINPGAMGGGAPPRI PTDGYARTPGPMPMPPPPPQEDIEFIPPPFTSQSTGSPRTHEPPLPVQVQPFPSGLGS NVIHVPPSPSSSRTDSTERSGRSRRRWSSRSPRRSSRGRRHDSRDTRRTRYDDSPPRR SRTPTGRGRSHRSSSGHRQSIPLIITNPSTEGVPAVVQDPRHGFQGVSPSQQGAPPIQ MEPSQQGMPPIQMQPSQQGTAYPQAPTFNIISPSSRGRSRSRSRRHSSRSPSRRRSSE SRRPHSRERYDDHRRTPPQQQQQPTIIVQQPGPSPQQLQQPAQPIIIQTSPSHGSHPD DLRPVTPIGSGMPGMPMTGIPMTGSMPGMPMPGVPMTGMPGVPMTGMPGVPMTGMPGA PMMGMPMAGQPTVIMQQPSRSSSRSRSRSSSRRRHRRSPVPAVAPVAPAPAAAPVIIT GSHRSRSRSRSRSRRHTPPQAPVQMPPAGVTILPSQAPPFGVVPQGIVPGMPGGGGVV MMPSRSRSSSRHRSHGRHTPPQVVVAQPPAAPTMYPPPPTAPTQQPMPQVIMPGSMGM PMGPPVIMPTRGSSRSRSRSPTRQQAPIIIPGQPSQRYRSRSRSRSRRRHSRSPSPRR RHHSRSPSPRRHRSRSPPRTQYVPTAIPGMPTAPPVMLPPSRYPTHDHEFLRVRLLVV IVQRTVIIAVAHQMTGTAADTLLSGVLPTRHLIVVDPRLMVIVGILGLPLTQNAVDII AQGLDILLSMIDVAHFPQPVAVGVTKHQDTQGLLEAYRLHQYEALRQCIELTEQVLGV DLPSVELVPELQFPFNAALALVLPSVATQWVHRRPVVTVIHQGVRHLVAFAMLSQDVE GALHELVLPVNTPVGAVHHAYMSQVFHQGVDRPKAKGLPGIGSSRPVHRTPTIFSIDD APRRTPSQRRPSGESYAGLQPSIYGDPVPPRVPSEDPRVPPATSHAEPTNLADRPVSP IHGVSEHDIPSSVRPSTGAPGTSHVGTGPAQTPSSIAQPLPPPSQHVPIGAGERPAIV QPPPRQATATPFNFQVAEESRGQLQELNDAANRLHLTAAAAQEAEDQRELEFLNQEEQ RERIFRENEERRAQEARERAADILHDLESRVAALPAPPKAGDEPFVGEPGEPGELPAS DRESIRTISAIATQAASQHAADVLETVRLEREEAAKEREEAARERELLLSELRAEKDR VIEEKDARIRALEEELRQMRTDFESEKQQQRITEEEEAGARDRQFAERDEFVRAQLGD ITNLVQEQRDLLESKKQMAEERQREKEQRRAEKEANNIELRDMLQTIIVEMQKDKARY EDDKAKSDAAMEQMIADLQRQNAEQRELLTSLSESWRADCERHHEETIDAVRSTANEQ IPFNVQGYLDEFSRALATEVRMLLGEVGKIREERRALQHEIGDLLCMKSKYGPGGEYE PDWKPPGPPPPPPADIPPPMPEILEMPPPVKPAWRTVHPRPKKKKKAEPAPPAPPPVP SMSADPRRQLVTGSWATWHPDRNALTPPSIEPTLMVPGRESPGLFGPRTPSNGSMYDQ RR JR316_0013293 MSEFHVREAPSSEQDFLPRVKPSPKKSKIPGSFSYTASDDGTDE NLLILLHGLGDTELPFSKMGKSLKLPQTAVLALRAPEQVPFLYEEAYQWYTSFDDLGE TITRPNPTPALQFLSKVIDHLMKDCAWPISRIHFFGFAQGGSVAAEFGIYWWKLQSKS ITSGNTTDGTQTPAVPSFGSIVSVSGPLLSYPTLPLLCPTPVLIVHRSPPADTALPSD AIPAFKKGYQNVTESKLGPKPAGMPASRQEWEPIMRFWSQHLSKRQISGLYEVLSGSG VP JR316_0013294 MNPNSFQKPSGFNPNTGPFPNNAPQQPPQAQVNIPALLSAAGIT QEYFAGLPQQERQILVQKYIHHLRQQQQQQQQNMDRERLMMNMNPSQNFDRPSSSASS HQMMPPPPPRPPTAQGHGPGIPQQQQQQLQHSRPSTAMAHRSPTIPTSMHDMMGQGGQ RPLSRMSQRGDNPNGFKPPQAQQQPQQMFNTPNPQQQQQQQQQPSMHIGQQAPPYSAS STPIPPNPSGSPTIGSPYRGAKRKLTGEMVPPNMGIGPGPIPGSPRIGGGGGGLGLSG MGPTGGMMGPPGLPRSVSNDSLGMGGMNTGAMNPMNMSMNMNGMNMPGNMGMGSVSNA SMGGAMNGQRNSPRPQSSMGMASNIGGMGGMNMGGMNVDRPHIPSGPQTPIRQGSLPP QQSTPTPQMGPQAQAMMRQGSLPPTPQGPMGMRPGMGMGMNMGIGGMPSSPHGGAPQP MAPSISTSSMASNSLPQVGGMGIPNGGIQPSASSSSLLPGVAPAINANTPAVPNNTST SNTTSNLAPLPPLPASTNLNPKTTAVTLVPLLTSTTTIPPLSPTTISQIKSWMETDNE YEERVRQMQRTMLTEAREVFAPGGLSGPNWWERGAVGNGTGNFNKYRERHPPRFDVRY PRPKGATSSSRSNRRGTRREGFKLPRKISPEDANRPERLVPIRIEFDVEHHKMRDTFI WNLNDPIITPEVFAQSLVEDYSLAPSYHAIIVKSIQDQLTDFRAHSLVDDDEDHDGDD DGSDADADANHTAGEKSGSAGLIRGSLGADDAKWWDVWRRRVSALAHDDDDDEAQDSE RKEVTGKKRGKKRKATTSQSSVLQNPHLQHLKVEDDDTDADASMLADNEFEGADDIKK VTFGFGDDDDEDKGEEGDEKILGEAAFKPMTVDELQQNLDEKLMHEDMRILIKLDIIV ASIKLDDQFEWDLDNPNASPEEFAEVYTQELGLGGEFKTAIAHSIREQVQTYQKSLFL VGHPSDGTAVQDDDIKQSFLPSLTSGARPVSEVQQFTPLLNYLSDGELERTEKERDKD LNKRRKRNTRGRRGIALPDREPIRTYRTPAIGFPELDAATLALAAAANAPMSRRAAAA AASVTIANMVASENGTTYMPQTTPSVVSQPAPSAAAVAKEKKPKGLFKAPTYPSSVLR PRAHVVAPTPSTAADVSSMPPQPTEHEAPVSSSSVAPYSLQDSRANKIITAKRAKELE REAKEKEFVDGQHPNYINGVWHCSNCGCPESIAVGRRKGPLGDKSQCGTCGKFWHRHR RPRPVEYNSDPDFHSGLKQKEIEAAKTPASKKKGAAAALRAQSAAHSATPAADASEPQ TPTRSNGDLESSRRSPSPSGHQGANQDDDRAMSPVSTASSASEPPLAQKVKMNGSSHT KGASSSGTPMPAPATPARQPAAESSAPPPVSQSHTSSSTATGAVQASPSRTWPPPWLS GAIKAMQARYPHDRFEVTLRKVNASTTPEWRIKCLDCPGKLYTPGPGETLSNYEVHLK NRQHRQRVNDRLSATAAAADGSAGASNS JR316_0013295 MPAVFALINDQQCNASSALPLPLPLSTTSSLELQLLVHFSAPTT FLNEQQSHCCSFCPPPPSPSTFVSLPPLLPAAAASIDDGIIISLAPASIFLNNQQLGC CFCFPAIRQQTKHAHSAKAAGFSLHCPLSPSSLTNQQLKPAARYAAALGCWSVHSAPA SIDNQQCKLLVCVFTGPAFSTNLQLTLLVLRRLHPCILLLCWSPAAPTSLTKPATQSC JR316_0013296 MSGSEDMDVDLAGARRKLIDIMETNQEGADETGRSQDQEKGKES ELSELETDQKDTNGTERGQDQEKGEKSGFNDDVPDNQNKEDNTVDNNCDVIMDIPDGA INNTVSVANIEDDTVDKNHDVIMDTPDGVINNMVPV JR316_0013297 MKPLSKATIATIVSLLTSGHSYASIKAQTGASAGSITKICQDYC PGAVVSHGGCPKKLTGANITYTKRGI JR316_0013298 MYLMESFSKRNVVLMSPSQEESISTCSDEFYSQVRRYMGDMMST RSIQDMSTMSSNPIEKIKCGSLSQVMEAARMKGKRRKILNALDIPLPHAGAHSFDLST EAAALRATSGSWKYSTPVPFGDTVGKKTVQVLVMYEKINL JR316_0013299 MARAFSRGYSYHPMTMFSAPPSPLQADWHIAGMWLLSSLPCLLS SVWDYFLQRGYARWAGLGGRRMV JR316_0013300 MATTIIQRTPVDMPVPKFSAAPKFTGSYVDVKNFLDHCDRIFDQ YNVTLDDDKVQYMVQCCNQESREIIEGLPSHHAKQWERLKTDMLKIFDHARTTQKFTL STLRAYAFQHSNLFMHSLDDFREYQKQYIRIAGWLLNNNKISKTEYNQYFWLGINKSL RPALDSKIMVFNPHIDFSSPFSIEDVTKAVKIIFKRDRFDVGIFDNPSACPFTSLIPP KDSYPEHSSVFDKIKKYLQEMFPNIETRNARKRPYNPLEETKRIFQDLDKEEKQAHKN DEVENLIKQMLKLTIHDSLYAIYYLCAIKLEPALANMLIAPAIMNPSAQPAQPVPNAS QTAPPAPRAPRQSASKIICYGCHQQGHGINNCLTLIDLTNRKFISRDSSNRVVFPDGS RIICQNGESIAQAVLRQQQPPPSLLQVATTSIAVSEAYYGQMFKNYRAMVAEEEEDDI GIWDGEDEFEFTLAGPGNQILTEKRTRAARKQVMDAVVPPGPVYLKEKRAEMSKAKDS SQIPSILKRPANSGLPNNVPNSTSIQPVPIPSIN JR316_0013301 MNPSIPVKQAQPNVPIRANPTENPKTAQRHEVFDPANDDQIMED VTPSPERGKSVTKPHAAPQKRVSDISQTVDTMAILRRCLNQPVNATFGELLGVSKDLR TLLINSIKGKTLTVNEFKASLANGNLKLSNKEVLNIICSAEKNNILSKQAWKKIVRLP MDSRNTIVMVNIHGGKSHMLGFVGNVQLDIGTFDILLGRPWTRDNYVDILERSEGTFI AFYDVKDPTCEQKFLVTPDTQHNRYYFTDTIYDKTPHTMLAHNNLSLANPDAEEGEIE EDLEPGELLDDLRYPSPTPSIIELSILTRQQSLNRDESANPNEDLSTSQDASSFSDSN CEHDSTQDSSHGLYASDYDSMYQDDSGTSQFNVSIHYGHISTPPYNSTHEAPKIEQPP SPSVNPSIKSHALDNNDPHEEEPADNNPEMEQLSLPNVEAIQFSSDNTHLTAMGMINP HLRFEDWILYDATYFSPTCVVSDQTGTAFVHYVYPQRDNHINLTTTPTILHFSRTGTS SQSNHSASVSAYPMGQRHSASWTHFIPQSICSQNTSSIPQPHFRSSALPETPLSIMIH QEIRVSLTVTANVDKHHRGPKPLGHPLRVAHENSKEPLNKDEEELQSAHNGKEVDHNS SLLSLPTTSTMHESRASNMDNGNEWYYQYGEKSPFGIDNTEEHPLDANTLY JR316_0013302 MDEQGVQFGLAINCDGLRLNVAVNGHNGHTKIGVNVDTNNKIEI VFSVDKPATGRVHTDPVTSTNSQIREGQEAGQLVVAVENCIKALRTIEARDVKMRDGE GNDQDVEME JR316_0013303 MSCTDGIWLCTFGEWVGVIPLWGLDTDWSVTIGRVAAQVSAHIL QPTEIPEGLLGARIYPFSSSKALATSFNTRIEKALTAIDTNKELKCPELADTLKGARW IHQSIDPFLNVKSVIQEGLKFLANHITDGMTRQQILDLQPKLWSFYEMQNLMPDLMDI IRKCEDNSALIGKVIDLVSSAAAHSQSDNLFKAHKLIPELIPYNLRVPLDLQAYKLAC ARVNRGYKHPAYAALLILRVKFAELADLNAREILVQCESLKADTKNGDTLDWPACLYD PDIPFDIDDEIQGLFRPLLGPQFVQRMLVGEANRLGGRASKGQIHKLVIAISGKTWPE VKSILKANRLYASILMLLLGLSDDDTWAKETVAWNIILPSEPVDYDKKSPPLEGSARN GCLRDPVEQRKAQMAAAAQAPAPAPPCAPAPALPRAPAPAPPRTLTPALPCAPAPAPP RTPTPEPAHNVSAPVLAKAFAAVLLAEPDLFRIPPDLPSKDSSSFSSKNERSPFLPAP IKIKLHAKRKVAPCRPGPKPTGTTFRPLRDRDEALPTPNSDATAANGKEPPAKKAKKG AQPKETRQPVRATL JR316_0013304 MLSFPIPDSHNVPKFVPTKLAELLRFIDQMEDLYKEHKITDDKK KIARLGKYASADTEEEWKAFDLFRGNSWDAYKQEVISSYSAATNLVHGSYLKIKKICA PYVGRNRITSDDISKLLKLKRAFGAAAAKIMKDPPLISNQEVVELFLGCLSTSFRTRI RDKMDDALDSRRLAAENLVGEERKAALAKLETRLDDRYCYSDVIAMAIKIVDRVSQAS AMAIDLTDDRDDEDIPREYTKEIKKEYNKADDVVPKIEEAVAQISNMLNLLMKKEAAR DKQNELFDRKDGGRVYNIDGSRIAQDGNKSIKEVVKQRNAPRLGVIPIAKLPPQPGFF QDQENDDYEVDNGDIQIARIMTALLQQHGQDTVERVVTNLLRKGRVARNVLLTTRLAT KNLENKNANKKVTFKETENNEGSVEEDELDDEEESPPMKVGPPKHQKVEVVIPIRRAL TKKPEVAVPETVTTPKKEKVPEILFVGIPPIEIVERLTDTDKPTLDTPVVKPGPAYRT REPIKAEVEADNIIDEILDLKVGVPLKQLVGNTPAVRERLRKRFTKTRQALLQETKSA YEPYAEDIELD JR316_0013305 MIYILHLKKVLVAFKPEYFIWKELTRGIKAACGNIAKPRTATNV TKHGNRLYKSKAIAEDSNEVEFISSTLSEPELTSSQEEQDESMAVDDNPATAAAAINA ANDVITHVTTTSAITKETTGPASSTCASGGPKGKYARKPIPNGPKAFCLNKKHPKVIL LEYTSVPGMDTNSTAYLKAARYVNNPHKRARTEQPNAKEGSLPIDEPATTSVLSIVAG YLDQPVANPFLEIQDSEAIKQLVILIRS JR316_0013306 MPWEYYFVANLCKAIHQFCKPVSVIAPNAPPPAPGPAPSAASTL TSAVTPHAKAKRTCKIPKSKANNEVKQCRTSKWSFNKLIASMQGMSVDEDIVKIITDS GNPIPPLAKPLVPTSVIPPPICTIPGTNPIGNDGSKCAKVTLIDFEEVGIDSNSKKPC VKPSVANQGGNPVISVVEKALALLCLDCADFKFCCAFAQC JR316_0013307 MASALSPRFQQVFPPPMDNVYMDQMDLKTLYRFSWTCKELNDRV SGYMRRAFHPKNLFAPIFKPNEHLLFRLLQFKTGLIYIT JR316_0013308 MSNFDVDIGAMDEVIFRLQGIVSDKMLPPMAKPAFRDRTVKQQP YLRTAIAITGLGDIVFNKVMEKLEEVFLRFANNFPADSVSGYDPVLHKDTGFNVFHAH SQYFTKVSAYQDKSDNIDFHPLVDPDNVLASMVGDSFIHAIDNKVQFLCREILPDGTA RYYSYNPASIRIGDIVEISVAFVAFPAQGNKYKFVVALCGILVLDQEAREKADILRMR SRYTPAKR JR316_0013309 MASVQVLSIATPPVSAAYKAPSVPSTSRISAPHPSGHRTLLPVG PAYINHLRLSLHHSHSFSSLDKHLEAERERLAALHGEDNAGEDDLGVGDEEESEELLA LDPKEWKKQDHYAVLGLSHLRYKATADQIKIAHRKKVLKHHPDKKAATTTNVSSSLYL AGVNQNTNDDAFFKCIQKAHEVLTNPEKRRQFDSVDPVFLELEEEMPTLAEFKSKNLD FFKTFGPVFDLYSRFSKAQPVPGLGHIDSSKDEVEGFYDFWYNFDSWRSFEWLDKEVN EGSDSRDDKRYTEKKNKSERARRKKEDTAKLRGLVDMALGLDPRIKRIKQQEKEAREA KKKAGNPAAAAKKTKQQEEEEKKKAEQAAKEKEEAEKLARAEAKKQKAAAANAAKKAR RQQRAAEEGGAA JR316_0013310 MGTSQSRTSSEGSSSKTIDRLKRYSRADLKSPLKAAFGRHKGGA GENGTSDGATTTSDYETPIYEAKPQQHPQQTPLPQTASMPATVPCQISFSSFCSLAPR QYRTGKTLGSGTYAIVKEAIHVETGKYFACKVINKRLMEGREHMVRNEIAVLKRVSSG HRNIVTLHDYFETAHNLYLCFDLCTGGELFDRICAKGNYYEADAASLIRTIFIAVRYI HDSGIVHRDLKPENLLFRTPAEEADIMIADFGLSRIMEEEKLNQLTEICGTPGYMAPE IFKKTGHGKPVDVWAMGVITYFLLAGYTPFDRDNQQQEMEAIIAGDYKFEPEEYWQNV SETAKDFVRTCLTVDPLKRPTAAEALQHKWLADDKPHFVPDPTREDGGPADLLPNVKK AFNAKQLWRKATSTIKAVNRMALLAHADTGIAQMRENLDKYKEESRRENLETVDITYQ AGTVHKDSSTKDSEDLSNKMARIYFCFVSQLTINRAYKLPGRRRYGSIPGSPPKRNEV NIVEVGPRDGLQNEKGAIIPVDVKIDLINRLARAGVTNVEAGSFVSPKWVPQMAGTPD VLKGIDRLPNVHYAVLVPNQKGLEDLLALLEQNPASPPLTDEVSVFTGATDAFSKANT NCTVAESLERLRVVTRTALDRGLRVRGYVSVVIVCPFSGRVDYRRVREVAKELIDMGC YEVSLGDTVGQGRPHDIAEMLEEVKKDIPVSKLAFHDTYGTAVANIFAALEHGVRTFD SSVGGLGGCPYSPGATGNVATEDVLYALQESKYHVVGSSYGGGTIDLEKISDIGWWIS ERLGRENISRVSRAIRARRKREEEKRLKSKL JR316_0013312 MRPYNRPATLGTRVRRNVKDLSPEEWGKFVNALRIMKTRTRPGG VVSIYDEFTALHMGAVEMNRNWRRSHGHLESIDSSGDGPADPAHDNPGFLPWHRQFLL EFEAALQAIDPSVTLPYWDWTDHDFAQKLFSPAMVGSNGGHNQTGGAVINGPFSLEEG WACTPALHRPSWFRPMAAKARDETVSQGKKHKDVQQPSLGRSIIRYLGDREDLATIAD VIEFTKRKAVFGPTKLILRSGITTVADNTQDVSSMGPLPRGTIYIGDSLPASVLQSAL AGSDTSFGSQDSWDTLEDASEVPRKIHLTPRELTPGFRWSVEGGPHMHNQTHDWFGFH TTILQDDQIVGASTIPVVACSTADPIFFLHHCNVDRLWAEWQDSGHYGPTFYPDVGDP LWLYADMKSVVEDKPLRRYVYPRGHNLNELMGYSYDTSTQLSVNSVTPVLVHLPASKN ERTHQVFPVDIQRRNRYLVAAKNTGEDHAHLEIQVYAEYERMFEHNFMEQGFRGESIN PEPEKDETNASTSGVWCLERGRYYVVVSAIVVKEGKNVKENASISAKYEIGVATSLDF EMPDVPIQPGVIANPQVPQESCVYIANRRYAPIKLEVGRPQFRLTQMKGTHELFGINV YQSAGLVDVQFEGMDALVQLYGPFDLDNDKLPHFDIDGKPIEGETLTQLSSRVQIASS EGCCKRVGSGISSLVTPGRYIVLVFHCSIGHNEHQVYKVSYRFDSAAKVRELELGRMS VAMPGSGRAVNELYRVAGKNGMPLVTQRGEIYKTSVVADDAKTQTQIRVAVFSYSSGD ILGKEECDRERYVSYNEAFWGDDTITFSVDEDQFTRSFSSSPRFPAMSTNSAIPTPST PSVVPLPQRQEIPVKHKKPPVWPIEAAEPGVEPPPASKCARNAREDGICCKELIKEER TLIDPDVVRDVVIGLSDGLTVPFALTAGLSSLGESKLVVLGGIAELIAGAISMGIGGF LASQSERDHYRYLQHHTAERVRHSCAGEMEREVTEVLGPIGVDDKTCYAVARCLREAE GEELGQSYLTGGAGVDVENSKLRWSKDVGLTAFLLKFGQGLEEIPDRRMYVSAFTIGM GYLIGGIIPLLPYFFIPRAHIALIYSSIITGVILLIFGAVKARVTGAAQNPAGYVWGA FSTLMVGGIAAAAAFGIVRALEGGGD JR316_0013314 MIQNDDPFAPVLLRFLESGYKFEEPFPDYRDNPEPSNPLNLYDR HLSPSLLLKNIRHAPWITKELSKICEDTITEFTSAGHKFDKNRNYWKHFREKTYDNDC VGVADCHFDRISVPCNAYASKLLFSPNDPVWFSFLVPRVNRSYTPQKSFRCDGEISMI KPKKKDNGDRELEIPPETRSTLDSDTLSKIDKLRGLKSIFNYEFFIKAKSAEALLNKM NRWGAFKWEMPTVTGAPALPTSPPCMDSPIIHSLFPSIDTSNPRSTLASTKPPGTKRK VIAPMKSNEKGESRYRINAQHYIQKAWVDAVDCDVTFIVFTCGKKERVAIRHRQSQTL YLSDLIDPMRMPGYRQMHLGLTIAALKDRLAMLDQKESSNKHSSKRKTPPQDPVSYNT VKDKATRRQRRRLDPELEITTHEIDKKAAKRDLLLFYLNFEMLCSPAPSSFQRMEPSC VSRPFQNQSYHFKRKPSYPPESYILVTAHQDDIGHGAMGLIYRVTVEIEMNDGSKHQR PLILKLAIGLKKDQIIREYEMYKRLAEADVTYGIVGVHGLFHDMESDAMLLIMEDAGK SLRTRAIEKNLKIHYDWDDSVETTEEECNAFVEVLEGIHNAHVVHQDLRIDNLMMNDA GDVFIIDFDNGEYDPFKDSFRYKRDTEDLLDALGWAVSEDDESDGDDESDEEDEDEDG GEDCEEDA JR316_0013315 MSYYDNILDVLSKEPVWSLENEQAILEPFTYITSNPGKEIRGKL IEAFNIWLKVPSDKLQVIAKIVNMLHAASLMVDDIEDDSQLRRGTPVTHKIYGIPQTI NTANYVYFLAYQELFALRGSETSDPPRQPLDALVTTELLSLHRGQGLEILWRDSLQCP SEEEYVRMVNNKTGGLLRIGIKLMMACCTTNVDVDYVPLVNLIGVYFQIRDDLMNLQS TEYTSNKGFAEDLSEGKFSFPVVHGIHADKSNRQVLNVLQKRPTTPTLKIHTISYLKN VTKSFDYTLSVMANLEAQTRAEISRLGGNKGLEAIMDLLHVDASKFS JR316_0013316 MTALANFWIGSLMRQDVDLDDVTTIRAIVKASRHFYYNLSRKPP CQFDGVHMELYKLSATMTEEFDRVRSYGSHNIQHLFYFDPNESTIGGSYLPAIGAGKN AQTTKVDAPLPARSALAIGHGDDGATTSENILAGKLDS JR316_0013317 MIRTDDPFIPVLRRFLESGYEPFPSYNSYNPDPENPLIFHDRHI ASALLLKNVKHAPWIMKELSNLCEDTIEDFTAAGHKFIENRNYWEIFRRPSEYVSYCA DIAKRHYDRTSVPCNAYASKLLFSPNDPFWFSFINARLSGSEIARRSFYCFGDIAIIK PEGEDTGDRQFKIPPETRSTLDNRALSNVNKLRDMGNIFNYEFFVATKTAEALLDKMS CWGEFKWEMPTVTGAPVLPASLPGKDSSFIQSLFPLLGVEPLRPLPIHMGTSSRKSAH TRKVVAPLTNTRRAEAEYRINVHHYIQKAWQNAVHTDATFIVFSCGRQERIAIRHRQS QTLFLSDLIDPTKIPERWDPIEVENRRPSKRKDPPGYSNIYNSMKDKATRRKRRRLNP ELGLTSVEMDKKAFDDELAKRDLVLFYLNYSYMSSPAPSSFRRMEPSCASRPFQNHPY HFKRKASYSPAKYILATTYQDDIGSGAVGLVYRVTAEIEIEDGSKHQRTLILKLAIGD KKDQIIQEYEIYKRLAEANATCGIVGVHGLFHDMETDALMMIMDDGGVSLRTRAIEKN LKIHYDWDDSVETTEKERDAFTKALKGIHHAHVIHRDIRIDNLMINDAGDVFIIDFDN GRYDPLHESFEYEEDMAELLTTIGWLDSDDDTSESDEDEDEDEDEDEDEDDGDGQA JR316_0013318 MASHVDTFSSTLILPRDSPTVRLPLLFYCKLDRLANKGIRVYST NKTWLESVFPPSLQSSLCATIVDTRKESDIEFAVADGRVRIYQFNEMVSNHIGSLMRK DADCDDVTTIRAIVKASLHFYHNLCRKPPCQFEGVHMELYKLSATMTEEFDQVFKTDG ENILTEEPVTMVVDEDVPMGVTIYNNSDRDLYPYLFYFDPSELTIGGSYLPAIGAGKN AQTTKVDAPLPARLALAIGHGDGGATPWSFLLPPGVKKDLGFFRLFLSTRPASFESIL QEESPFSGILSRSMQSQVVQDDFLEDDGWSVQTVTMIQV JR316_0013319 MLLLLVQQAASCSNDVRNNSAERTPCPISSPVTPYVYPLSLETT PPILAVVIGINKYTSDEYPNLNGATNDADAFEEYLTTRLNVPAQNICSLRDEQATRKN IISSFIWLRDNAAYQRDECAIIFYFAGHGAQTQKPTEWNDWITPTGMIEMLCPSDIGT MTGRSGVLHLQPAQQSAVAGIPDRTISVLLSQIADAKGNNITVILDCCSSAGMSRSSK KDPYTIRGITNPPSIHASADESLFYFGTRGGRTAKNDVQLQNSHLHVLLAACGRENFA LENPRTKRGLFTHHLLKILNKEDIRNLTYVSLMHRLKMPERQTPHCEGEGINQRLFNN WVNGGDKSMILTHRIKDKDEIILQAGSAQGITKGSRFAAYATNLIETPLVRNSNIQKG EGARNGVWN JR316_0013320 MSCLNTTHPLVASHSGTQIISSKKYACETCIKGHRSSACKHTDR PLFEIKKKGRPVTQCEHCRELRKTKQVHVKCICEAKDDPHASAPQGPKQGFESAAFPN GLPQALEASVAFQSQSLTEGASSDSSDYGGVLRQAHKCRSGDPCSCVTPRTKHSRARD RDRELRERERDGMGGGGVPSEQQQQRHTGSPGPSSMNTNPRHPYTPSRTSAQILARIA ELRPVLPRPTSASEGGYPYPYPYTFGVGPVHDPSTGLPHAHGSRHHDHKPYKHASSSS SSASASAGYSIPTPYHPSQHASASYAPFGYAYQTQNQTYGDIQMMDASPLPPPPSSSS SAMWSPFNSGTGAGAGTTAFADPFPSLCGCGDGCACPGCLHHNSNSNNNSNNNNNNHA SATRGVPPAYAYASCRNPETCATCLDCTIMALPLSLPSAMGIPAEDTALSIYDSNAGA NANASASSMGLEAGAAIDDWLRQMAGGAGGGGGYPGPGEDVYGGYHPQQQQMQGYQQT SSPSPSPSWNMSYAQSPPPPHSSMMAYTARSTSGGSNSGGGGGGGSSAQRAQVQGQGS ASGVDDTLIDPRLLPPANANAYYRVPIPGPIPGPIPIPVPRSRSPSTSTTSSHSSYQG SEGRGGGGGGSAGTSASASASNERVPPYRPSGRMQGVYSPPPSARGGTSAGTGASGGV SVPQLNMLNLRPNLGVGTGVGAGGRVQRGPSSGSSASASASASVSPSPASASASASVS GKGGAGRSSSTRSGSVSGSVSGSRSASASASATSEYDPSLAGLQIY JR316_0013321 MAAHSLDALNPAFQNVQYAVRGELAIKAELLRDKLKEPAHGLPF DKVISSNIGNPQQKGLDQPPITFTRQVAALMEWPELAKLAPDVFPADVLERAEELRRE IGSIGAYSHSQARDGYPADPATIFLTGGASAGVSLLINMLINTPKAGILIPIPQYPLY TASLAQHQGVPIPYYLDEPNGWSTSVASIEDALADARKEGVIPKGLVVINPGNPTGAL LDEATQEALVRLCEANGLVLLADEVYQDNLHRRATHPFTSFKKIVSRLDSRVPLVSFH SISKGVSGECGRRGGYFECTNFTEEVIALLYKMVSVGLCPPLAGQIGVDSMVRPPQPG SPSYALWKQETDTIHAALAQRTSIMSARLNALPGVSCVDSPGALYLYPRIRLSEKARK AAEEEGKEPDALYALRLLDATGICVVPGSGFGQREGEWHYRLTCLCPGVEEYVGKLEK FHLEWVGKYGVE JR316_0013322 MPDAFFAGTKQRKRKRSDAEPRHGVKKFARKPQHGSDKVNAKPN SKAKPNPKKRRDEELSDQTDSDGVGAVDDMDLRADSVDPNASDAEEDEDETPAEKRLR LAQLYLESVKSGLAEGEFDAAEVDRELIQARLKQDVLEHSGKAHLFIADSFDFTQPPT SVLRTRGHRLSVTSAVASESGSGPSTAYLFTSGKEGHIIKWDLCTGKKVASLFKLRDP ASASVDAPTNGNGKGKAQGKGKGKGKGKGRAPPDAAVPGHTDEVLALALSGDGRYLAS AGRDRRVCVWDAARAEWVKSFCGHLGHKDAVNAVSFKKGTNQLYSASADRTLKVYDLT PSVLGYVETLFGHQDGVPALDALRGDTCVSVGARDKTARFWKIVDETQLVFRGGGRSR VREVLEGGLRGDEEGSEDEEGEEEEGEGVDGKGSGGGNGKGKGKGKEKKFVEGSLESV AMIDETTFVSGGDSGSICLWSTLKKKPVFTQPLAHGFNEVLSESEGVIKTPRWVTALG CLRYSDIFASGSWDGEVRLWKVDAKLKSFSLVGTVSVPGVINSLQLLSTTKAFLEATT WGSPSSSSSSSSSSSSATTTQKDIDMDPTTTTTTTTTSMNKKKPAEVPVNPFILVAGV GQEHKLGRWLSVKHQPQQQQQGEGEGGNDGGAAARNGAVVYAFWPRTLGSSSPSSSS JR316_0013323 MLKQLCAAARRALAFVHPAILFVIIMALLIVECLDARAAVAGIG YYASSSGAGAGVDGVGDGAGNGVGNGDGGDEKDERERERARVEARRVRVRIHERGVQV RFGREPEREPEREREREVMGRGRRCMCVGGEREHARVRMRGVRSVRPRVSGESASRRA DLGIPASIPAPTPNRIPTPTPNRISTPTRIPTPTLNPTRIPTPTPNPTRIPTPISIPT PIRNSIPTPNPNTTTNPHSNPNGRSGPLRKTKGGDGIKKKVEHRDVALQVDLRELESS SPTSTKLNVNDEENTLVGCRGECHGRGCGQNASNENEKKRVLESNVVEVKDVCVQVDP VELSGVKIEEEEEGKMKTARMEKGDDDRSGEEEGGQHESRIVELKDVYVQVDPPEIVP EVKVEFKDVALQVEPLEPLSETTIEVKTVEPCVESLPRTENDFVPGFHRKVEVKVDLL ESVSEINEENTPTELLLETKEQVPHEEYSLNPGKQREREHDVSLLQETPCNLGFLYES EEERSAQNVMDGGYIGMSILHPDTAVLFERTRATVKDDEEIPPDAFVDDVGVGTFEHE RLMKTRVTPLGRSASRFSSTVYFRPTRSTSTSTSTSTISLPSVLNVTPIEIPDKADTA NTQPSPGVVPPVSSTSEAGAAVRFAEEEPAIARLSKLPASFGVPIVEALYKHPNGEPA NVLQSTMGESTTTITTPPASQCKSGAAVVETQHDDDRANGKGTPRVPASASASGTSLH PTTSTSTSRPHQPTRIPVPSRIGRPNHRNSKPVPVQSSHASESIAIAIDNNKNKNKNE NAPAIPEPYSSTSYSSSSSQHVGTPAPTFWNPAPGLKVTIKAPR JR316_0013324 MIRTDDPFIPVLRRFLESGYEPFTSYSSYNPDPEHPLIFHDRHI ASALLLKTVKHAPWITKELSSLCEDTIKNFTAAGHKFIENRNYWENFCRPSEYVSYCD NIAERHYARTSIPCNAYASKLLFSPNDPLWFSFINVRISGSECPSFGCTGDTAIIKPE GEDTGDRQFKIPPETRSTLDNRALSNLNKLRDMENIFNYEFFVATKTAEALLDRMSCW GEFKWEMPTVTGAPVLQASLPGKDSPFIQSLFPLLGVEPLRPLPIHMGTSSRKSAHAR KVVAPLTNTRRAESKYRINVHHYIQKAWQNAVHTDATFIVFSCGRQERIAIRHRQSQT LFLSDLIDPTKIPGYRKIHLGLTIAAIKDRLERWDPIEVENRRPSKRKDPPGYLNIYN SMKDKATRRKRRRLNPELGLTSVEMDKKAFDDELAKRDLVLFYLNYSYMSSPAPSSFR RMEPSCASRPFQNHSYHFKRKASYSPAKYILATTYQDDIGSGAVGLVYRVTVEIEIED GSKHQRTLILKLAIGNKKDQIIQEYEIYKRLAEANATYGIVGVHGLFHDMETDALMMI MDDGGMSLRTRAIEKKLKIHYDWDDSVETTEKERDAFTKALKGIHHAHVIHQDIRIDN LMINDAGDVFIIDFDNGRYDPLHESFEYERDLEELLTAIGWLDSDDDTSESDEDEDED EDEDEDEDEDEDEDEDEDEDGGEEHGDQT JR316_0013325 MIRTDDPFIPVLRQFLESCYEPFTSYSSYNPDPEHPLIFHDRHI ASALLLKNVKHGAWIMKELSNLCEDTIKDFTAAGHTFIENRNYWEKFRRPSEYVSYCE DIAKRHFVRTSIPCNAYASKLLFSPNDPIWFNFINARLSGSHIARRSFCCTGDTAIIK PEGEDTGDRKFKIPPETRSTLGNRALSNLNKLRDMDNIFNYEFFVATKTAEALLDRMG CWGEFKWEMPTVTGAHVLPASLPGKDSPFIQSLFPLLGVEPLRPLPIHMGTSSRKSAH ARKVVAPLTNTRRAKSKYRINVHHYIQKAWIDAVHTDATFIVFSCGRQERIAIRHRQS QTLFLSDLIDPTKIPGYRKIHLGLTIAAIKDRLERWDPIEVENRRPSKRKEPPGYSSI YNSMKDKATRRKRRRLNPELGLTSVEMDKKAFDDELAKRDLVLFYLNYSYMSSPAPSA FRRMEPSCASRPFQNHSYHFKRKASYSPARYILATTYQDDIGSGAVGLVYRVTVEIEI EDGSKHQRTLILKLAIGDKKDQIIQEYEIYKRLAEANATYGIVGVHGLFHDMETDALM MIMDDGGMSLRTRAIEKKLKIHYDWDDSVETAEKERDAFIKALKGIHHAHVIHQDIRI DNLMINDAGDVFIIDFDNGRYDPLHESFEYERDMEELLTAIGWLDSDDDTSESDEDED KDEDKDDGDGQA JR316_0013326 MSHYDNILDVLSKEPVWSLENEQAILEPFTYITSNPGKEIRGKL IEAFNIWLKVPSDKLQVIAKIVNMLHAASLMVDDIEDDSQLRRGTPVTHKIYGIPQTI NTANYVYFLAYQELFALRGSETSDPPRQPLDALVTTELLSLHRGQGLEILWRDSLQCP SEEEYIRMVNNKTGGLLRIGIKLMMACCTTNVDVDYVPLVNLIGVYFQIRDDLMNLQS TEYTSNKGFAEDLSEGKFSFPVVHGIHADKSNRQVLNVLQKRPTTPTLKIHTINYLKN VTKSFDYTLSVMANLEAQTRAEISRLGGNKGLEAIMDLLHVDASKFS JR316_0013327 MIRNHDPFALALRQFLDAGYEYAEPYPDNSNNPEPVNPLIFYDR HVASTLVLKNIKRAPWIARELAKICENTIKEFTMAGHKFIDNRDYRKLFHKQPSYNRY CDGVADYHFDHISLPCSAYASKLLFSPNDPRWFTFIVPRKGAYQDIHYSFRCSGDTAI IKPKGEDIGDREYDIPPETRSTLDRYTLSKINKLRDMNSIFNYEFFIKAKPAEALLKK MDCWGPFKWEMPTVTGAPVLPVSPPHKDSDIIQLFFPALNSETPRPLSTRKGNTSPKP ARMKRIVAPLRRNNGGESKYRINVWANAVETDATFIVFTCGRQERIGIRHRQSQTLYL SDPIDPVQMKEPGYRQMHLGLTIAAIKDRLAMLVQTEEVNQRPSKRKDIAQSFVAYNS MKDRATRRKRRKLNPELDSISLEIDKKACFTFDEELAKRELVLFYLNFGGWTSPAPSS FQRMEPSCTSRPFQSQPYRFKRKASYRPEDYISVVTHEDAIGSGAMGMVYRVTVEIEM EDGSKHQRTLILKLAIGPKKDQIIREYEMYKRLAEADVMYGIVSVHGLFHDMETDAMI MIMDDAGKSLRTRAIEQNLEIHYNLDTSVQTTEEERDAFIKALEGIHSARVIHQDLRI DNLMINDAGDVFIIDFDNAEYDTFQRTSIFEEDLGELLTVIGWLDSDDEDGDGKDEDG GEEDGDQA JR316_0013328 MTIRFTSYIYKLVKVSSSLTPRLGFVLHQALQSFIGIMDHKQSQ VTSAKSVELLKEQQNRNISDGADDVKEEEHSNSQPISSDFYVPPRERLDRFLKSPQTP FKASKNASRSTASRKASFFDLHLHPELQLRRIIPVKDLPEKVSSVCDDWISTHLLFTS TKDDFPINNTGDLDNGKLASDQTSQEKSIKNENALAASYGSKFGTPLALLSSNLIFKP SKWTKIFEFELSPRERHAGKKFATPDGFLCISRQLEDEQAEALKSLCANDQKDIQLIT KHNLHHMMLWEFKSLYAGSRQTLDKFSKLAGDFKWPTCSRERKEHCKDSRHDRDGRRT STGRKVGFDSRDVKIINEIYESVYVPDPVNEDQESDEESDSSGSDMDDSSDGHNAGGS GTRYHRPTTYDDMDTEPDTNPYPDADDVVDHNPTWTNPFGPESTPVENISIAMTSSKA TAKKKTVIQTNRKKRASKTFKPITSSKKISKKKKKEQYDKRPPKPRDMIIQIWSAMVK FDATILILNAGNYEIIFIRNRARNTLFMSDVFKVDDLLYRKTQISLHGLAFYDARDRS HQLEALETTPDPIFPPSYHLQIDFDPYPPVHPAAAGLSQAAEAQLTLEDVVYDFENKV VFNVLLPKILSGKASMEDPYDKCMLRDHKSTPPSQLESKWILELGDKITDKVYPVVVR NGSASFSNKFVVKVTQNKYEYKTLKYELQIHRRVETTSFGVKVFGILEVPNSNVRMML MNDAGVSYDDLRHKRMFTFAKKHRNCYVEAINALHEQRLLHGNPKSGHFLISKVEDGT ARVSIVSYKPQSGSFNNNEEDEATIFGRAKEDELKLFDKFWI JR316_0013329 MIRSDDPFTPVLRQFLESCYEPFPSYSSYNPDPENPLIFHDRHI ASALLLKNVKHAPWITTELSHLCEGTIKNFTAAGHKLIENRNYWAKFRQPFEYVSYCS DVAERHFVSTSNPCNAYASKLLFSPNDPLWFNLIDARLSGSYIARRSFFCFGDTAVLG SGEEDTGDRQFKIPPETRSTLDNRALSNLNKLRDMENIFNYEFFVATKTAEALLDRMS CWGEFKWEMPTVTGAPVLPASLPPKDSPFIKSLFPLLGVEPLRPLPKHMGTSSRKSAH TRKVVAPLTNTRRAEAKYRINVHHYIQKAWINAVHTDATFIVFSCGRQERIAIRHRQS QTLFVSDLIDPTKIPGYRKIHLGLTIAAIKDRLERWDPTEVKNRRPSKRKEPPGYSNI YNSMKDKATRRKRRRLNPDLGLTSVEMDKKAFDDELAKRDLVLFYLNYSNMSSPAPSS FRRMEPSCASRPFQNHSYHFKRKASYSPAKYILATTYRDGIGSGAVGLVYRVTVEIEI EDGSKHQRTLILKLAIGDKKDQIIQEYEIYKRLAEANATYGIVGVHGLFHDMETDALM MIMDDGGMSLRTRAIEKNLKIHYDWDDSVETTEKERDAFIKALKGIHHAHVIHQDIRI DNLMINDAGDVFIIDFDNGSYDPLHESFEYERDMEELLTAIGWLDSDDDTSESDEDED EDEDGGEDHEDRT JR316_0013330 MILNGDSFAPTLRQFLDTGYKFERGYPDDTNNPEPQNPLLFYNR HVASTLLLKNVRRAPWIPTDLSKICEDAIREFTMAGHTFVDNLHYRGIFREDYIYNGR CSGVADYHFDRVSKPCNAYASKLLFTPEDPAWFSFIVSRRDRSTRAQYSFRCAGDTAI IKPKKEDLGNKEYNIPPERRSTLDHGALQKINKLRDKKSIFNYEFFIKAEAGEALLRK MNCWGTFKWDMPTATGAPELPLLPPHRDSPIIQTLFPSLYTTTPRPLSTRKGSPSTKS GRIRRKLVAPLRNAQRDESKYRINAHHYIQKGWANAVESDVTFILFTCGKLERIAIRH RQSQTLYLSDLIDPMRTPGYRQIHLGLTIAAIKDRLAMLDQEELSNQRPLKRKTPPPQ NPIVYNSMKDKATRRKRRKLDPELELTSEGMDKKAAPSTFWRMEPSCALRPFQNHSYR FKRKASYPPEGYISVITHEDDIGSGAMGMVYRVAVEIEMNDGSKHQRTLILKLAIGPK KDQIIQEYEMYKRLAEADVTYGIVGVHGLFHDMESDAMLLIMEDAGKSLRTRATEHNL KIHYSWDDSLVEATEEERDAFVKALKGIHSAHVLHQDLRIDNLMINDAGDVFIIDFDN AEYYTLQHPRNYREEMGELLSVIGWLDSDDEESDDDSEDEDEDENKNDGEEDGDEG JR316_0013331 MLRPPTIPLRQNIVPCTRLIKDVKQDNILDKHPAEIVDIALDTL QSLGIQLVEWRSLLYRRLGVPVLVLDYSFLVPDDDLDRASDLLTRTGLPLSPPNKLFM KTYGDFYAKGRFHRITKATRISSVQHITLYPMSFSTLAPADLIEQSPIHIQPSRCSKI LVPRPPALFASFVRMMTMYPRFGGTRTTLESELSELVGYHLYGLSGGFVDADDEGAWE EMDVDRRVSEAGQLVRQWSVEEEWRAGEEWIADALAAIAFGADVGELPYKT JR316_0013332 MAIISITTSSRDQSTAAESLSQYLEQLNCRHAYIGGFAWALLGS RRPTEINVLVETVNLDIKTLREKLTELNRQFASAGIKLFYVKEPIGDLRDDDLVRASK DNVLIETLKAGTMGLPIVAEPVYVVEHESGRRINILHPGILILTKMKRWSHYHDSDRP KTVIKNKSDQADIEFMLNWLAASEMFIDFEQYQGKSRSDLLGIVRVYRNKFISNTDLM DTLKMVMRPEDWDELCDEEVAIETSNSTVHLNE JR316_0013333 MVKKRPGTSRKVPAALHHELSEYASLLRALRVRDMMDLTKHILK PNPFLTDAETNPSGASHAGPSDLNHPFNAQTQPKSAKAKGKQKAESSPDKPKQIRRDH WTRWPLLLEDVPPPEWNLSDEVAVIASQVLKARPPLAFPCPISSSDVDDLMAEEDEDD RVVKVREMDVDSDDPDPPFYIPYLTSIMAKFLSTIFRILASHTPRRPASMQNRIEPLG WRSVIDVVVSCGIPEFANPKVVENVIKRIERLVGPSILPIEGRKATSFRAVERMKGKD AQSEAFDQLFAREAAMYWAPLELLPESERNSGPDPLPVKKRKSKREKQEEAGYRARKH WTPKDKEQGQSKSKPKPKPKPKSTAKTSKKKATPTPSVDVESPSASDSDTEVLKTPEQ PQPVRRSTRAKNNVSYREIPPDDIPLI JR316_0013334 MAALTQSGRKALDSLTARVVGEAKIPGFVFGATSVDEEIYFTSG GYNAVGRPESGKINEDSVFMICSQTKLLVHLAALQLVEQGKITFESPISDYIPEFSDL VILDDQMADVWTYKPTKTVMRLKHILNFTSGLFYPFKGYKPDKQPDGYAAAHDKKNPV SHFVSVLKCGLPGIPLLFEPGTSFAYGWSSDILGFVVEIVTGQSLETYLAENILKPLS ISGTFYPTPDVRKKMVDLAYRRDGKLEAWANQVPLPEQDPERIALHFGGGGLYMSMKD YLILLRHLLQIKAGKAKSPIVSQESMRGIFEPALNEEGSAMVSRFMSMDTNMPKDSKI QWGTAMGLCETDWPGRRKKGTAFWWGWAHTFHFMDPATGVAAVFGTQLIPTGDHEVFK VVAEFEETFYAGLAK JR316_0013335 MPVLKSSGKEALDRVVDKIAAEKNLPGFLFAATTIDEEIYSKAT GYNVLDKPESGKIDDESIFWICSQTKMITHLALLQLIDQGKVSYDTPVSDYLPDFANL LIIDDQFADVWTYKPAKNVMLIKHILNFSSGLFYSMKGFKLDEQGGAYAASHDKTDPI GHFLSELKGGLPGIPILFEPGTSFAYGYSSDIVGFVVEKVTGQTLEEYFQQNIFKPLG MKASFYLTPDIKSKLVDLSYRRGDTLEPWSGQTKLIEQDPSKVAVHLGGVGLYASVKD YLGLLPGKASNPIIGKATLDTIFDPALTEAGAQALGFLQGLDSTVTSKGAQWSTALSV HTEDWPGRRKKGSASWWGWAHTMFFIDPTTNVAAVLGIQVIPTLDQNVFKAWAQLEET LYSELQE JR316_0013336 MVVLTKTGKGAMDNLVAEISRNKRIPGFLFGATSATEEIYLKPS GYNIVNDPASGLINEDSTFALCGQGKIITHLAALQLVERGLVTLETPISDYIPEFADL VVLDDQLADVFTFKPAKTIMRLKHVLNFTSGMFYPLKGFSLAQQPEAYIAPYTKDDPI SQFLSFIKGDLPGIPLLFEPGENYVHGWSSDIAGFLVEKITGQTLEEYLQENIFKPLN MKASFYLTPDIKDRLVDMTFRRNDILEAWAAGAATNPILTSKTVEQLFEPVLNEQGSK SLDRFLTMDPFTPATHTQWSVGGFALSGSDLPGRRKKGSGFWIGWAHTLFLIDPASGI ATVFGAQIMPAGDREIFEVVAELEEALYAGLSSEA JR316_0013337 MNNPKTPSRHRSRSEAKTPLTPSILSGLNNISLAPSTTKRTTRP GAPTKSKSSTGPFDTTNPFIAPLPKSRPSSPVKRITSGGIPNSDSFQRQANGGVIRKG GVESRLDVVTRDYVPPPKPEVKRSKSTPAVNRAGADKRDRFITNREATDIAAVSATME QMSLNPQNSSPGHTARLAAATGMPLNRRILGYHEQPPSASSSDTTLAQQREFAKPLYA QRPGAIATSTGAVSNKTRKIPTQPERVLDAPGMVDDFYLNLLSWSCQNAVAVALEAST YIWKADTGAVVQLGECSEGSYVSCVDFSGDGAFLGVGVGNGDVELWDVETGQKLRTMS GHQAQVAALSWSQHILSSGCGDGSIWHHDVRVPRHKVMELLGHTGEVCGLKWRSDGEL LASGGNDNVVNIWDGRVGDVAEGARGSAKWTKRNHTAAVKAIAWCPWQPSLLASGGGT NDATINVWNSTTGARLHTLRTPSQITSIQWAPHKKEILTTHGYPTNSIMVHAYPSMER VAEIRDAHDSRVLFSCVGPAGDVVCTGAGDENLKFWRIWEIASETTKKKKTLGVGDEL GGGRPNSTKEGILSIR JR316_0013338 MENLMKRHYVADQTNDVVDVADPDGILTSQMAVAALSAQIWEAI ICFGDEVEYLWRGKIRAVKLLYACSRYLMLLGQVASDNNKSVIRDVWHYHLYSFNMDF ERMQVMPFFKSVYNKADDINGTSAGVGTAQIVLVLATIVRLTRRYRSGQSPTPLSTMV MNQGLAIFVLVFASVAHMMVNNFFREVNMGNGNSTASWYNSITSIGASRLILEIRKLA RSDNEHCPANNLEDSETIVLTVISEPDLQINEGVPDHRQLKPFPQTIYSRQPFWNIGD PEIRKRGSSESGRLDGNCRLIIPPTQTFFLLPYIRKIGTRYSVAIDPQRKPSCVELRG KKKPRINSHRGDKLLVMVYSVGWTNVNPQFCSNEAFTLDSEA JR316_0013339 MHPMTNPVSLASVAALAAQFWDTMICFGDEVEYLWRGRFRFVKL IYFCSRYLLLLGQIANEVLLLLFHTQGDTPNQNICPGMFIFKSSLTQVGLVLLEIVLF LRVYALYHHIAQAKWFLFVAFLVSFTLEICGTALFIQSMSTMSGCGLLRADDKSVYFF GTGVGLAQIVLVVATLLELASKQRQRRVRSPLTNMVLQQGVASCFLVLTLIAVLMVYN FFSYLDESMGEAMISWYYTLISVGTSRLILEMWKLPSSENSEHNFARDSETGNLHLTT LSDSNYRNGNTTAWMTALTSTTSHYMAAPSLYRSVNSPSTSCDISDK JR316_0013340 MLSDLNPESGPLAGRCKSSASTRNIGNSRINYELPGTVVSLRDG WQWTCQSGAVVSGLLAAVAGQFLGLVRSVAADNASFETQSFLLTMCYAAILFNISATI GSFIIMDNLGEMGFRASCKATELDEVSDMGLGTFMTSQDGLLIKFGASPEWKWMLYHW EYNVGTRFYI JR316_0013341 MAKLTESGKQALDNLFARASQEKKIPGFVFGASNLDGEIYFNAT GFKVIDDPNSGEITPDSVYWICSQTKFIVHLAALRLIEQEKLGKETPVSDFFPEFATP VILDDILSPSPSFRPASSPILVKHLLNFSSGLFYPPFPDPTRNLPPAYTNSYKDAEDP FAEFFRLLKGDYPGIPIKFEPGTDFAYGYSADVLGFIVEKIVGKTMEEHLQETIFGPL GMGSSFFRTPKIIEKEITMSYREADGTLVPWNNQLKLIERDPQNDGKISTGIISQETA KELFVPTLTEAGSKSLDLFTRIFPGGQWSVGLAVCSLDWPKRRKRGTAFWSGWAGSYF FIDPDTGISVVFGTQLAPSRDPEVIKLFGQLEETLYAGLEA JR316_0013342 MKSAVPKIVIRPRASSARNAATPSAAATPTSEAGSAHEEDGEDV EHDESNAMDVDQENLDDDAGSGSEDQAENDSVSGRAATRPRGRPKGKGKAVSSGTSTP RARGRGRGRGRGRGRGGNSLLIRLPKRNDDDTDADEGEDADVEGADAVDDNDAEKEAP IGGGKPFRKIHGEVYVIDGDEFITPDNPKGDEKIDKFGNLLGGRRFKAATFILPNRHP QRMYMLAIDAARTSGFRDSLYYFRRNPLAFKLNATQPEKDYLIAEGKLGSHLRTRSVT LVTARSAFKLHGSKMVIDGRWVTDDYDEEKVLEELAERGAKPGDLVGELPDPNAGHHN NELSALNASATGASSNKNERGGGGGGIYRAGGPTTLFGGSGWGPYSDGPLNAVRKSLL SRDGVDEENWMLMMAKRVSEANEDWTKQRKENLKVVEGVDGLVMGGALLPPSGPTQLP DVSKKWKEEEEDGDETGETNDGNVTITDAAMPNSKKRKVMFEDNTPVVGVYEPHGNLI HYRSDTQPTRCRWEALPDSATKRRVIGGTKVGNGAWALAWVDTVMEFPDPSSELTTPE AQYREKLIKEVEASGEMPIDLT JR316_0013343 MVSLTTSGKKQLDDLVAKIIEEKKIPGFVFGVTSSDEEIYFKTG GYRVLDDPASGPVVPDSIFWLCSQTKLIAHIAVLQLIDLGKLSLDDLVSDYIPELANP VVLDDINVENSGFKPAKTPIRIKHVLNFSSGLFYIPRVGNPTHLSGPYSSKPHNQDNP IGHFLSLIKGDLPGIPLAFEPGESWIYGWGSDIAGFVVEKVTGKSLEQYLQDNVFKPL DINASFYLTPDLKDKLVELCYRRDGNLEPGSKQTEEVVIERDPAKLKLHLGGVGLYSS LQGYLNLLRHLLQIKAGSAQNPILSKKSVDSLFEGALTEAGAKNITWLSSAVDPTVPA TPIQWSTGLAVCEADWPGKRKKGSAYWGGWANLSYFIDPTTGVAVVFATQLVPPFDPH VQKYYAELEKTLYLALGN JR316_0013344 MFNKAALVAFSLIAMACGQQVGTLTTETHPALPWQKCTTSGGCV TQSSGKVVLDSNWRWLHSTKDTTNCYTGNTWDATLCPDGTTCAANCALDGADYSGTYG ITTSGNALTLKFVTSSAQKNIGSRVYLMASDTSYQMFKLLNQEFTFDVDVSNLPCGLN GALYFSQMDADGGMAKYPTNKAGAKYGTGYCDSQCPRDIKFINGVANSVGWTPSSSDV NAGTGQYGTCCNEMDIWEANNNAAAFTPHPCTTGAQSRCEGDACGGSDDRYGSVCDPD GCDFNSYRMGAPNFYGQGKTVDTTKKFTVVTQFITDDGTANGNLKEIRRIYVQNGQVI QNSNVNVPGMSSSYNSITEQFCDDQKAAFGDTTSFQDKGGLAGIGKGMATGMVLVLSV WDDHAVNMLWLDSDYPTTADPSAPGVARGSCPTTSGVPTDIESSEANSSVTFSNIRFG DIGSTFSGSSSTGGGSTSAPAGTSTTRPVGTTTTPAPAATQTKYGQCGGQGWTGPTAC ASGSSCKVSNPYYSQCL JR316_0013345 MPVGEYSLRLQSGITGGFAPPTPNAIFTVTQPQNSDTLNITAAI RPAGTPSLQEIAPKQINSKQQHIVDLVEELYGILKTLPTESPPGSEDIYGLDTSIAFG SADLEWCNGGPQGCGGGTSSVQATDEEKAKFKRAVDIVHELVKEE JR316_0013346 MADISTLLLASLNPDTRKQAEQSLASLSTQQGFLTHLLSLVLDI TKDRAVRLSGSIYLKNITKLRWEEDVQPLAEQDKTALRAELVPAMLALSAPADKAIRA QVAESVSLIAELDFPVKWENLIDQLVSSLSATDYNVNVGVLQTAHSIFQPWRAHVRSD ELFTEINFVLSKFMEPFLQLFRQTASLLLSNPSPNPALTSPKSNYEVLAQAMVLLIDI YYDFTCQDLPPAIEDTHEEFFGPGTGWFQNFLLWDPVELRGDSDDTTASLTSQIKTGI LEIAELFIKLYPEQLQKTSSVENLVQGVWTLVGSNKLPGVADDALVSQSLRFLSTAIR SGYYKTLFSARDTIHSLVQGVVVPNVALREHDVEQFEDDPLEFIRLDLAVSATGTDLA TRRQAAADVLQALVGSGYETEATDIVGSFINTGLSEYASNKEENWKAKDSAIYLLTAV ATRGSTSQHGVTSTNALVDVVKFFSDHVFEDLQAASGTVHPILQVDAIRFLHTFRNQL TKPQLLSVLPLLARHLGSENYVTYTYAAITIDRVLSIKQGNQILFAQADIHDSALEMI NALLSKIEAARTAEKVAENDHLMKCVMRIIVTARQTLIPGHEGILARLVAILGVISKN PSNPYFDQYIFESISGLMRFVVAGSRESLLNFEQSLFGPFIIIIEQDIDQYVPYVFQV LAQMLELHRGSQIPVAYRSLVPFLLKVEVWSQKGSIPGLVRLLVAFLEQDGDNLYQTG QLVGLLGVVQQRLIPSKTNDVWGIELLQAVVVNIKPVWLQQYMRPIFLCLLTRLHANK NDSFSYLMAKFILYIMAVKVDELEPDYFIKVIEEIQPNLWSQIVTTFIIPHAPKFPHK ERKLAAVGITRILCESTFMLQEPSIRTWSGLYSALGKLFSERQHLETKPDGADDGAVT AIDFEEQNAGYQAAYSRLAASDTGRKFDPVAYVTNPLQYLNQELEKLTARYGQQVQML IANAEGGRIV JR316_0013347 MSDGSASSTVQGTAASAASQPLQSEKITSQNIPPNEFETVENDS RDVEPIFDTGKDAWMTVCGAWMIQFCTYGYVSAFGVYQDYYSRNFLSQNTPSEISWIG STQLFLQYAAGIFVGRAFDAGYFHRMIELGTLLQILSMCMLSLARQHHYYEVFLAQAL GMGIGQSLLFLPSLTILGHHFKRRRALATGISVSGSSIGGIVWPILLNQLSQHTSFAN AIRTTAALNGLMLVASYFLMKTRPIFNTSERTTPNFGVIFKDAAYLVSVASAFCINIG IFFPYFYLQLFSIDLGISKNLSFYSIAILNVGSFFGRLLPSLLADRVGVYNMLLPSLV VASALVFVLFGVSNFAGVILFGLFYGFWSGAYVSLIPSLLAQLSTHPGEHGTRMGTAF SIVAISVLIGTPIEGSLLHTDGEKQVWHRSIIFCGMPYSHHSHSGQFCKHASGLLEDV VQEAIRQRFEVYGLTEHVPRYRDVDLYPEEKDVSLETLSNQFNDFLEEAHRLKSLYAS KLTLLVGLETEYITDLDLRNLETLLKNLGNRVEYLVGSIHHVNGFPIDFDYPTYQRAL TSFTDCAEEDRQEALLLAYFDAQYELLQRFKPEIVGHFDLCRLYTPTLRFIDYPRVTE KIERNIRFAVEYGALFEINAAAFRKNWHTAYPGEDIIIRSHAGRFALSDDSHGPHAVG LNYHRLPEYLHSVGISELWYLKATDLPNAAGRFIHPVKLDEKWLIHDFWRQKSS JR316_0013348 MFPKLALYAFALISVVHGQLVGTYQSETHPSLSWQKCTKSGCTN TAGSVVLDANWRWVHTKSGYTNCYTGNTWDSSLCSDNKSCAANCALDGADYFGTYGIT TSGNALTLKFITNNSNGKNIGSRVYLMASDTKYQMFKLLNQEFTFDVDLSKLPCGLNG ALYFSAMDEDGGMARFSTNKAGAKYGTGYCDSQCPRDIKFINGEANAEGWKPSANDAN AGTGNYGSCCNEMDVWEANSISAAYTPHPCDGTQSSRCSGSACGGESDRYGSICDPDG CDFNSFRMGDKSFYGPGLKVNTNSKFTVVTQFITDSGTASGTLKEIRRLYVQNGKIIQ NSKVNVPGMSSSYDSITQQFCNDAKAAFGDTDSFSKHGGMAGISKSMANGMVLVLSVW DDHTANMLWLDSNYPTDADASKPGIARGTCSTSSGKPTDVESSAASAQVIYSNIKFGD IGTTYSGTA JR316_0013349 MPPRSNLASKGSFGPPNDSATYRDLLLFEERLKTNAANLQRRKK RYQLFLFQLLTVIAILLCEVILPPHISILAIPLKIVLQRLLPEIYTPETDVTVHPYFS TGLLFVSVTTLVLFFASGMYSEKIAYANKYVPHANRALRSFNMYLNVRKPPLGSKFYW NPLTFFFPRPEESTSVVSTTTSRSSSPTPVNRQRSPSTTRPLHTIPPATNPRGELIFS SRVDKNFRDSYERYRSTFERRREEKAYAERRKTWYGKLMFWDRPPTPTSAGTSPGPLP PSRTASNASSRGRISRSGTPPTTPSNMSGGGGGSGGIVMKQRDRSGSPMRRLSPPRER GLRRDRESMAEADMRTTVLEKTVVSQTGHSP JR316_0013350 MVLNNSLLFVLACAVSFAAAASFNNTVYIISNAETPSLHLPGLT PVGFERSRNCLPALLAPLNIGLIATCAFDKDSGLCPETIETITPTAQTLGLNITTTCG AGEEADDDCLHDLIRKFGKTSTQSILIVWDILDLDSLFENLDIDDSTEDDNDDDDDDD DTPQTRLPASPLKDALDSMGKHPGHSGVLSSLPDAIPKETKGSEEFLLASLEDKSKMT JR316_0013351 MSEIRDQDSEGRDDVEDGGAKAWISITGAIRLQLDRKLSAHDAI RVRGRLWKAFRRRVLSCLGDSRISNFYFVPQQYLEVFLSQGVGMGLGLGLTFVPTLSL TVHHFRRRKVLATGIAMSGSSLGAVLFPISKHGFITPDSLSSQPHLQ JR316_0013352 MKEIWANLRTLPYVIRQILNHSTISAWIAWFPVLFYSTIYVGDL HKKSSPVPTTDDERAELDSEANRLGSRALLYSALLALLVNLIIPLFVAEAAPRPKPGP AATKYSRGTTWWEQIIRVPRGMQVHLATLAEAILTEPATRSTDDNDTASIMLTDTRTQ GRRSTERGDEQSAFLPGNVSDDDDENYGNEERWLIEERRRVLSNSGAQMSRVVLQGGG SGDINFGDYEEEENGYEIVRAEDEGTRMGRSRATARSEGGMLSSKAGVILGIHNIFIV IPQLLVTGVSAILFALFDPQKPALPAHRAPVAPAPVVNSTETDTSGVLLVTAGNATAE MVSKGAGILYSVLIRQEGLGEQGEVQHSNSVVHIFR JR316_0013353 MNLGTEIPASQTAIYLRTLPAIRERCGRIHALAQEGKLEYFEYH PEKEVEVARFCVQLMKRDYGDNYASINPHGRWRHLDSGAERIKPLIEKWAAHSTNTAD IKEQARRTIDLFVVSVLLDAGAGNAWSYKESSTGLTFSRSEGLGVASVHMFESGIFSS DPEQPYKVDAIGLEKVTAENTAAAMQVSVSNPMVGIEGRASLLVNLGKALKSSPEFFG ADGRPGNIIDFLEKESKLDGEIRVVPIAALWQALVDGLNPIWPSRISLAGVSLGDVWP CPSLKASIANPQEGDDLVPFHKLTMWLTYSLVEVLEKILKWHLSGLEDMTGLPEYRNG GLLVDLGVLTLKPNALPKDPKSGLPHALPTHPAIVEWRAMTVIELDRIADLIRTELGL VASQLSLAQVLEAATWKGGREIAKVKRPDTGGPPIEIVSDGTIF JR316_0013354 MQSELNRVIDAAKYKSPTLEEMVGKNKDFQQASLRVDQNHERES IMSVVKWWTSVLLAVLACLQIARGVVTDTDAAAAVKFPDLYEASVLELQAGLDAGHFS SVDLVKAYFARIDEVNLKGAALRAVLETNPSALAQAAAMDKERKKKGKRSLLHGIPVL LKDNIATVASEGMNTTAGSFSLLGSVVPDDAGVVKRLRKAGAIILGKANLSEFAHFRG NLASGWSGRGGQATNAYFKNADPCGSSSGSGIAASIGLAAVTLGSETDGSITCPSSNN NLAGIKPTVGLTSRAGVVPISEHQDTVGPMTRSMTDAAIVLSVIAGKDPNDNFTLAQP RNVPDFTKALKTNALKGKRIGVPRHAFLNDSISGNDPFVNIIFEQALNTIRSLGATIV DPANLPSADEIINSNNENVVLDVDFKIQLNAYYEALLKNPSGVRNLADLIEFNNAHPD LEEPKGFQSQSTLIESEATTGRNATFFQSLAFDKELGATRGIDAALKAHKLDALVLPA PGFTTVPAGKSSSSKEVDHAI JR316_0013355 MSYEYTVSPTLVQKSLSKPMQSPINDTQALNSRLLVANDNSALH ISRTESSKQAQHAEITIPTTNNNGVINISRAQYMSRLASIRRKEPLREACIDANLAPP KSANLEHLRTMLTDYWFPPVVTEESIPDAQVAELPSSSSQYVGTSMSVLRGNNDEILI QEFGIEGAAAEEVLGYDDDSDSDPEDEFQEVLEINDELLAHTALEDDSEFDLETQMQG GPFPGESYTKFQTRIRLEETRRAENNRRAGGVKTQNAVIKDWKIFCGHALKTGQIKDE IVDAHHLLLYIRYCAERPKRTRKGIDIPGTFIGASHIKKLYFGALRIRKEQEAKDPSL SQQRPATNVHVWDALKGRMNEALRRAREGLIPEEDAPDIVANTFLSGITEAQMNSVGK GFLMHRELRSVINGHLSWTAQNASGNRGDDFRALRLAELQPYVFLHPNKETAIPCVLG CQGEEKAGASRGMRTKVNPVYSVFIAHLDPVKCPLGAFAFYHHFLHDVIDITSALDIN WSINKTWRQVRVLHGKKSYATPYHEQSLYNLYVKAFSFAEFTSHLKAYLPRHILGYNQ ERMGVDPSVTSRMGWVRGETYYDTYAPALPKEAVLGAHGYKAHEVYDPIWRHVHVPEI FLHLVCPMAETIYSDVVGRQNLSGAANYWSMVMELRPYLFQCGAAIFQEVPDSALFRL PAFAQQDVQNWMKHQFPSDFSVLKANAGSRVDLQRIQNQLLQLALEETRSLLASQSVQ LAEVARIQKIVEQRTQVLSPAKGYSATHYSSRMATASTSAVHKPLPALQLTTVAHETL ESATTAANLPFYNSENDDTGVYMAENGEFRAFANGSPKTPTCTIRRKHSQVDLVLPSA SAFTEQGQPQFFWPPVFGQTSVTWNQVFALIKQPEHLWDCWKPSKTLDKYNLHDQWAC WSVGEGVNDADGNQTAIKPPLREVERHFGSLNSEGKHLKSWQSGISSKVGKFDINMIQ DRKFWQRFREIPEYIDSEAHNRKVSPLAIIDELDILGQQDKQLKGLSALTKHIKLKRE AASLQKEVEAQPTKRKKAVAPRRPLKKAKHDNL JR316_0013356 MATASTSAVHKPLPALQLTTVAHETLESATTAANLPFYNSENDD TGVYMAENGEFRAFANGSPKTPTCTIRRKHSQVDLVLPSASAFTEQGQPQFFWPPVFG QTSVTWNQVFALIKQPEHLWDCWKPSKTLDKYNLHDQWACWSVGEGVNDADGNQTAIK PPLREVERHFGSLNSEGKHLKSWRSGISSKDRKFWQRFREIPEYIDSEAHNRKVSPLA IIDELDILGQQDKQLKGLSALTKHIKLKREAASLQKEVEAQPTKRKKAVAPRRPLKKA KHDNL JR316_0013357 MSYEYTVSPTLVQKSLSKPMQSPINDTQALNSRLLVANDNSALH ISRTESSKQAQHAEITIPTTNNNGVINISRAQYMSRLASIRRKEPLREACIDANLAPP KSANLEHLRTMLTDYWFPPVVTEESIPDAQVAELPSSSSQYVGTSMSVLRGNNDEILI QEFGIEGAAAEEVLGYDDDSDSDPEDEFQEVLEINDELLAHTALEDDSEFDLETQMQG GPFPGESYTKFQTRIRLEETRRAENNRRAGGVKTQNAVIKDWKIFCGHALKTGQIKDE IVDAHHLLLYIRYCAERPKRTRKGIDIPGTFIGASHIKKLYFGALRIRKEQEAKDPSL SQQRPATNVHVWDALKGRMNEALRRAREGLIPEEDAPDIVANTFLSGITEAQMNSVGK GFLMHRELRSVINGHLSWTAQNASGNRGDDFRALRLAELQPYVFLHPNKETAIPCVLG CQGEEKAGASRGMRTKVNPVYSVFIAHLDPVKCPLGAFAFYHHFLHDVIDITSALDIN WSINKTWRQVRVLHGKKSYATPYHEQSLYNLYVKAFSFAEFTSHLKAHLPRHILGYNQ ERMGVDPSVTSRMGWVRGETYYDTYAPALPKEAVLGAHGYKAHEVYDPIWRHVHVPEI FLHLVCPMAETIYSDVVGRQNLSGAANYWSMVMELRPYLFQCGAAIFQEVPDSALFRL PAFAQQDVQNWMKHQFPSDFSVLKANAGSRVDLQRIQNQLLQLALEET JR316_0013358 MSYEYTVSPTLVQKSLSKPMQSPINDTQALNSRLLVANDNSALH ISRTESSKQAQHAEITIPTTNNNGVINISRAQYMSRLASIRRKEPLREACIDANLAPP KSANLEHLRTMLTDYWFPPVVTEESIPDAQVAELPSSSSQYVGTSMSVLRGNNDEILI QEFGIEGAAAEEVLGYDDDSDSDPEDEFQEVLEINDELLAHTALEDDSEFDLETQMQG GPFPGESYTKFQTRIRLEETRRAENNRRAGGVKTQNAVIKDWKIFCGHALKTGQIKDE IVDAHHLLLYIRYCAERPKRTRKGIDIPGTFIGASHIKKLYFGALRIRKEQEAKDPSL SQQRPATNVHVWDALKGRMNEALRRAREGLIPEEDAPDIVANTFLSGITEAQMNSVGK GFLMHRELRSVINGHLSWTAQNASGNRGDDFRALRLAELQPYVFLHPNKETAIPCVLG CQGEEKAGASRGMRTKVNPVYSVFIAHLDPVKCPLGAFAFYHHFLHDVIDITSALDIN WSINKTWRQVRVLHGKKSYATPYHEQSLYNLYVKAFSFAEFTSHLKAHLPRHILGYNQ ERMGVDPSVTSRMGWVRGETYYDTYAPALPKEAVLGAHGYKAHEVYDPIWRHVHVPEI FLHLVCPMAETIYSDVVGRQNLSGAANYWSMVMELRPYLFQCGAAIFQEVPDSALFRL PAFAQQDVQNWMKHQFPSDFSVLKANAGSRVDLQRIQNQLLQLALEETRSLLASQSVQ LAEVARIQKIVEQRTQVLSPAKGYSATHYSSRMATASTSAVHKPLPALQLTTVAHETL ESATTAANLPFYNSENDDTGVYMAENGEFRAFANGSPKTPTCTIRRKHSQVDLVLPSA SAFTEQGQPQFFWPPVFGQTSVTWNQVFALIKQPEHLWDCWKPSKTLDKYNLHDQWAC WSVGEGVNDADGNQTAIKPPLREVERHFGSLNSEGKHLKSWRSGISSKDRKFWQRFRE IPEYIDSEAHNRKVSPLAIIDELDILGQQDKQLKGLSALTKHIKLKREAASLQKEVEA QPTKRKKAVAPRRPLKKAKHDNL JR316_0013359 MMENSDGITGSDDLKKLQNLDTRTESNEETTEEQRDGGTVGWIS IFGSWLVSFSTFGYFFNNWNFGVIVTDNIIELAIFLRLEYIKISTQDSISPTTVQAKS RSFQLMMPFLFGLVSGKLFDAGYFHALVITGSALFTIRFNIPSLFMLSLVKPQHYAQV FLSQGVGMGVGLGLTFVPTVSSIVHHFQKRKVLATGIVMSGSSLGGIVFPISEYWTSV QGIELKAGHSHLIPSIGFPKAVRASGYLVLGMLVLANCLMKSAYPKSTGNRPQVNILS FFTDPPYIFATLG JR316_0013360 MPNNRSRIDLASFVFHIRAARGIKAGKQLLHCYCPVYFPVSVRR AALVPYAFTCTCRACIHASPTSDTLRREFATHVEAYRRMSEAWVGKKTSGWGKKVDEG VVRELKVFRKELTYGVMLGAISRLYMNSGRIREARVLVEEMRQR JR316_0013361 MKAFVLSLELGAGVPLTSQLLYSAGSTDDTRQALMHIVHRFPDA PLLGLSFSLGANVLTRYVAEEGDRCLLRSACVLACPWDMKFNGDRLNAAILGRHLWSK GMGRNMQALIKQHKDILLSNPDSPTYKVTRRVLSMRNPALNELDNVFTAVIGGTSPPF PFEDAASYYTWASSHKCLDKIRIPFLTINASDDPVVKQVPEECSNGLVVLGLTQGGGH LGWFQSDDSFDVRWTTKPLLEWLRMSGDYLLGDHERMKSSLYVADDGFLRDAKNPRLG CKVLEVKENSLIDGNAGQQQVFRGQFL JR316_0013362 MSEAGQSVHDSRAPSPVPAPPANPPRAHITRAVPAAYAVLKSLP LPLKLARNKAIVAKNLERITKTANHSLDPAAFPMPGSPEASVISLSPDDEAQLRIPAI AKKYLDKDEIPDYLIAWLSEQDSLAREQKRSIEDSSIKDLPSSAEDRIAKRRCMQGSS LSIRDPMAPTVIAFPEIMFQTEKAQANLPLPFFTQKSLRYIIDNLASLPIKKSNSISP DSKALYILDIEKLTAKLGEELSLDFGSFMQAADQYTRFQRERASDDEWISIWTGHFSF WSSRPDSVALYPAWKVAEFKLRRDTHTFLQRFSVLDYETAFNHAISEYRQAQAAQSQV AALSSKLASLEESVSRFKSFSSNRRSGNSSSNTLFQSGNRSSTGPPICLLCAEVGHSL GSHPKDKVPAKFPDGKNAWAHSQDGKLLAPDNREICLSFNIRGPNNPTPCGHGTARSH ICCFCGSKSHHAFSFTCRARPAYN JR316_0013363 MKRGFLNGNKVKQRALYPLPSPNANSNSNISTNANADRDGANIN SQMRYTKSEAHKTDHSPASIVFTTIPPRPDPDGQSEWIALGDAKKRVLGVPGYPRALP SMDGESVAYEVRDAGAHEKGMGLGVFATRDIDAGALIFAERPLLVIPVNSGRLATSDS NIENTLAIAVGRMRAGSRDAFMQLANCHNDEGPLLGIVRTNGWIVRELSDEGEGTDKA YTAICKIAARINHSCMPNIHARFDLASFAFYIRAARGIKAGEQLFHSYCSVNLPLTAR RAALAPYAFTCACHACTHASPTSDTLRREFATRVEAYRRMSDAWVGKKASKKVDEGVV RELEEFRKELVSEGLDGTRTYGVMLSAILRLYMNSGRIGEARVLVEEMRQRQRLEG JR316_0013364 MASLIAILDLKGKPLIQRSYRDDVPPAYVERFLPLVLEIEEEGQ QVTPCFSSQGVNYMHIRHSNLYLLALSKRNTNASEIIIFLHRLTQVLVEYFKELEEES IRDNFVIIYELMDEMMDFGYPQTTESKILQEYITQESHKLEVQVRPPMAVTNAVSWRT EGIRYRKNEVFLDVIESVNMLVNANGNVVRSEILGAVKMKCYLSGMPELRLGLNDKVM FESTGRTARGKAIEMEDVKFHQCVRLSRFENDRTISFIPPDGEFELMSYRLSTPVKPL VWVEASVESHKGSRVEYMVKVKAQFKRRSTANNVEIYVPVPDDADSPKFRASTGSVQY APDKSAFVWKIKQLGGSREFLMRAHFGLPSVKSEQDIDKRAPITVRFEIPYFTVSGIQ VRYLKIVEKSGYQALPWFEDGVGEGECADYADVRGKEQEGRGKRKAGV JR316_0013365 MIYYPQHLRYAGIRLDTEQPLSSADHSTSTSNMKTKTKTGVRSA EWRLSVILAWITVAHFVFVALTTAYLLSTTTFPPAPSPAPLPPTPPTPTPAPTPTPTP HLPPQISAWATLLGLSSASLAAIQYAPQLAHTYRTRLVGALSVPMMCIQTPGGVLMVL SIVWRPGTNWTTWKIRQRRLGIDDFGNALLDPASSPPTSTHNATYGATDTNANANTST TTRAGNVENVSVEEVEDIVPVPGLVTTPSEDPRAVRAVLAAALESAAESRLVDVGDER TPLLGGGGSGVVGAGAGASGSGGGVGGGTGAGSKQVSEEVKGWQAWFRRR JR316_0013366 MLVIEHIAKLFLLLVALFAVHASAAPRANVSPALDNRNLVTNAA RLRAGLGPLKPRSLYSPSRVGARSPTKSVVPVGGTIKVVRKSNRAEVGYVSKNAGSTG FGINTSPSDKLSVTFTPTNPGSTSQNVGNSASGQSQSAIWSYNSQTKALTAQWVNLLG SK JR316_0013367 MIPDSHLPAPALHRFLHEHFELDPLGTGRFTCSRPRNPVLFFNR HLAVGHQLKRVEHAAWIPQQLARLCEDAIIQFTEHGHSFDETSGYKKTKYGATVSGAN SEDVAAHHVGHMAARCNAYASKLMFNPKDPTWFSFVQSNIECSPNSEHSFNLCGTTTV VARKTEILQTLDEWALSTIDRLSTVPSLFNFEFFAKNKDGERLLRSMVSSTSFKWEAP TTTGVIAMESSHQPPLDSPFLKSLFPSLKGVPTNRTSQGAGPSLPLFSVKRSSLVAPS RHNKDRDLDYRINPNHYIQKAWYTAVVTDATFILFSCGTLQRIGIRHRGSQTLFLSDV IDTMRWSSLGFGQIHLGLVLAAIRDRLSMINEEELLNRHSSRRTENLIQRNLYNAIKN NATRPQQRISNPVHQLTSTEMDKKFFNDELARRDMVFLFADFGVHQSPAPSAFRRMEP SCVSRLFNSNSYNFKHKPSYSPDSYISATINQTSIGEGSMGVAYKTIVEVETSSGTKY QRGMVIKLATGDIKEKIINEYEVYKQLASRGVTRGIIGTHGLFHDTETGAMLMLMDDA GNSLADRYNREGAKTNGDGEPALVSDKERNELDGEFFIIDFDWAEHRMYISQAMFEED QEALQESLEVE JR316_0013368 MPSVDLDTATTNAHDPSHQARRSSRSTRSPSLTRAAAASRAAPT SPTATKRSPSPVINFNTPLSTENPTGVKSITRKVIRRLEGLGHLEMVGMDVPVTEEEE ETLTPSEEQEIETVLYAIGKETVKKAEALGETQNGHSNGNGHIKKEKKEKPDLEIPRK VLHSSIGFFTLYLYVSEGDVRTIVMVLWMALAIIVPADLLRFNSRRFARTYEKVLGFL MRESEKNSINGVVYYILGVNFVLSLYPQDVATVAILILSWADTAASTFGRLYGSYMRK LPARLPILRLPLAPRKSLVGFLAAAATGSAIALGFWGSIAPMRAGGQDLTWSWDEGVR QVAQGTEPKALGGGGALGLLAISIVAGIVSGISEALDLGALDDNLTLPIISGGCILGF LKLLGIAASWFSS JR316_0013369 MIRNKSSSSNHKSSNMQVSTQSAKNTANTANNENMQGLNDEYKQ LSSGAKVRGLREIISREEKKVGSIQVVETLVGSKQLTRNGRAFIRLLYSTSRFTNREI AEAVSSNPSAVKRVVENRYGKNADDLSRDEDIYATYITHTMNLQKFANELPPLSMKKS TSGVSTIIPTLPPSKQEQEFLRDRNEVEEEKNRGKGAKQKTVTLSLSFFNLKTHFATI LPPQENIKTTNAIEARGVDDRIDEESTSDASSDESNIFKTKTVAHFSDKGAESDAYNN FLEEEQFSKDPGMRPKTTSFKEKRARFSIDQPEARSVAGKLPPSPVSTNETPSKPQKR KCEEMESLPLNAPSSSKIAKRCDTDDDRRRITGFFKSRGYYLSAVIDGLVEAGFGPTE LELLRGKPREVVAKQLVKWGDLRRIKADALAQAIESATAEDWRKLVA JR316_0013370 MSKSKKQSSKHKSCLNQQARAQRKDDVAKDQKRHKMSRRERNSL PVAEEVSEKVEQLTDIMLHKSAKYGLISFVEETSKQLTRDGRAFIRLLDSFGCFTNKE IASALGTNAPAVQRTLENRYGKVDDDFDEDEDTYVNSLVPVQVSEPEKNESTSKKKTE CEPCCQEEVKRESHSDDDQVSNSASTDNFNIFTSTRAKKVAAYSERDDDSEGYDPKND SDMNSDSSVEDERHQKIQTQCINILWQGEGRQVDQKQTGVGCRLKIEFEMRSTVGKPP PSPVSVRGTPPPKTKKRKSQEMLSIPRYAQSKVSNHRNFADDDIRVKAFFVSRGYDSD PVVEDLIAAGLGPKELQVLREKTRKAVVKQLRRWGNLLPIKADALAQAIESASSKDWK KLAG JR316_0013371 MVRVSVLNDCLNNIVNAERRGKRQVLIRPSSKVVVKFLSVMQRH GYIGEFEIIDDHRSGKIVVQLNGRLNKTGVISPRFNIQHSQIESWVNLLLPARGFGII ILTTSSGILDHEEARRKNVGGKLLGYVY JR316_0013372 MAIVLYRTNSATAEFAGLAGQTAAQFSAAVKTTLLIVKSLKEPW VAFARKPPRGPPESSSKNIVIELIVPIDSYPRLTLDFHTNKRIIDEVAVVPSKRLRNK ISGFTTHLMKRIQRGPVRGISFKLQEEERERKDNYVPEVSALDTSAGLEVDPDTKALL ESLNFDSIPVNVIVPVLAAPERGPRRSQRAVPGAART JR316_0013373 MSTSDDSFQLYDLRVEVVCPPGERILCGAKQGDHFILQGEMLHL PPGQGFSIYSLAAVLPLLAAKQRATHPNDWMTTDGEIACPDPHCKSRLKIERIGLRTF RHSEVTVVPLDKTA JR316_0013374 MSSRQLSTTSSSSLAALSATLQSHDIISNPPPPPEPVKAWVDPA SLPHHGHASYIGGNAPDYVKQLNYNTFMTYGVGEEGSFGFKVGKSVKFTDVNVNRKME RQGRLEATTTAEIEVTKHMLNGAGMLHGGCVAYLIDK JR316_0013375 MADGMRFSEMFYILVALLSLTLLAHANTSADSPSHDYILHEKRS FIPSGWSLVRRQQSAALIPLKFGLKQSNLDRLEEFLNDVSHPDSRNYGNHWTQAKIAE TFAPSNHSINAARKWLTNEGFDASRIRLSSSKSWLQVNATVGEAERLLKTKYHVYEHR DGTQHIACLDYHLPAGVASHVEIVTPSIHFDVMVKRRGPSSLSAKNIGHPGVGITPKT TGVIDNIAFDLRNCDQVITPICLRALYGLVYEPLSAHKNSFGIVEYTPQAYVQSDLDK FHSNFSSALVGKQPKLVSIDGGIVQTIQGGFDYNGEANLDLQYAMNLVNLVGSKQEVT LYQVGDIPLGASFNNLIDALDGTYCTFEDGDDPTQDPIYPDDYPNGYQGPANCGTVKP ANVISTSYGYNEADLSPFYAARQCAEYGKLGLMGVTVLFSSGDNGVAGNSDLCLEDDG SQTEEGPIFNPSFPSSCPYVTSVGATQVNPGATVTERESACEEVIASGGGFSNYFAMP MYQKNALDNYFRDHRPTYSGDIWNSTGNSRAYPDIAANGANYAVVVDGRYTRLFGTSA AAPVVGAILTMINDARITVGKKPIGFINPAIYSNSFSDAFNDITSGKNSGCGTDGYSA VPGWDPVTGLGTPSFPKLVARWLLLP JR316_0013376 MGIDRPAPGTGLKVHFKDSNGNLIKTVEANEGDDILAIAHEYDI DLEGACEGSVACSTCHVILPEEYYDLLPEPEDDENDMLDMAFGLTDTSRLGCQVKLTK ELDGITCTLPSATRNIGPVVLWFGCQASVIKGWIGVGVLRKARPEKVATGKKPSH JR316_0013377 MEWSGRLDSDDDDDDEDDGVRFLHRDQELVEEVGLGSTDANSDA DADADVDSNVEERRG JR316_0013378 MNVSSAVCLHSFSSSSSLLSSLLIMELHRSRPLRSSPLAGPSLV SLDNTTRPVSVHSSRPTSPCSTSTSTSTSTSTPRHKHTRRPSTAPGPTRPRSELSTFS TSTSEDDGRFSPGTLHVRYSSSLLSVPGTRASSVMLDPEVRMPRPILKRRTSFQPLSQ SQGQSQSGGQSGGQIQGGVEEDGRFSAADKGKGKMGSGTGTTTRVGVGMGPGQGQQEE SWYTFAPYATTPKFSRLGLAGAGVVMPVSARELARVKSKRQSGVASSSSSSSSSSSLS ASASANARDRHQHQHRGSTDEHGVIRGAQTIRPQPQTLPQKLHPLPQGQAQGQGQGQG QGQQQRPQIPVGSPSPSPSLSSSCLSPSSSSSSPSTFSSSSLSTFPSSSSSSSPSPSS SQGWLSVPPPARTRMGKAAVIASSSSSAPTTVNHPPETSVSTPASASASASASASASA SPSSSRSSTSTRSRSKSSIWRTSASQSQSVSVSVSPSAASSSTSLPSSTSASASASYQ QLHVVPPPPPVPVNATVPVQVSVSVSGKNEVKDEAKDEVKDAKEPKESKDDEKQLKKE SKRKSLRLNLGLGLGLGLGLKSWRSFRGASGSSCASGSGGAVGSDGASGSGAGSASGA GSGASTVTASAIATGGTTTTATATAGSATGGITATGTGPNTTPIHIPLPIPLPSPPPA ARFADSPTSPTFVGSLSLSSPSVSTFAMNASAKGSAKGRVIGTARPKTAPSSSSQAQA DTDTDIGKETPTDARAAFARRLRTISERGRGRGGVGLVPAFVAAPAPAPGPLSTPAPA SESLSAHTSASIPASIPASTPVSTPASKPASTPASTLLPASQQIRPQTQIQPRAQTQP QPHTHTQQTQQTQTQGPTQPQIQAVPDRKTNTKTSTQPPTQPQPQTPPQNQPQTQPQP PTPTPTQPQTQPQTQTQTQTHDKLKNLKKRNSASAILALSRVRTWRWGSASGGIGKGR NEYVGAVEGARGVGAVEGTVEGVVDVRASAGVSASASASALEGVVGVEGALEGVGVEG ARGVGVCDKGVVEAKAEAGVVDGARVRVGEGSLRAPPSPSPSPSQQQEEQQEEQEEQT QTTTPPVVVDAEDIRGASVGVGCSASTPAPPPSQPSSSLFSSSSTSTSSSSSSSQVKE LEPEQAHEEVQAQIQEPAQAHEEVQAQAKETDTTQENAPAPSAAVLALVEAMALVDAV PTPVSGPGPVAALGPGSVAGQVAGPVPGPALVPALGPVQSVPMDSADSEVEVEVQSVL DHQHDVDVDGDVNEDVDEAAAREESAPSCSCSTSTSTSTSTSTSSSSSSDSGCTLVSE PEHHTDESADTHVHVHVHADADVDVDAEGREDRKTKSVMEEGYGGHGGQVVVQALVVD KSAELGAARERERSRSQNRSQSQSRTQSQNRGQSRARGRTQTQTQTQTQTQTHGSSAG KGIGTRQRRSSMRRMWTAFVCAISAAEAEKERDKEREKEREKEAGDAWAELCAVRSAG VGVGVGEGGRGRSLVRDADAREVNAREVNVREVKGAREDCKADAVVTVAVVPAV JR316_0013379 MTRSKTKKAARESTKLAQKQKASNTQDQHEQDASKLTQQEIQRV KKREKQRACRARRAARKLAKRQEEARAQVIEQIQLSGLQYKLTGSKQELAIVPEDEQS RNPEQSLESYSNVSTRSDQRMASPNANCNGTSSARQSMREKDQAHASTSEVQNSLSQE HSSVLGHIGHGEDAFFSGDDPMAGTLEQEAFHQFDKVRVFLRKRNYANPLVFYLLYAT GFSKLAQFESLAFAIITASPADWAMLEDGRIWCHIYNTRSNLIIRKSKPGFRLFLYLA LTAINLLPRTSRFKAFFSFSWVGVTVIAVPCSKAMAKSKSKKADRESKKPAEKQKPSN TQKKHQTDKPKLTKKEKKEKRAKRGERRRAYNARRAARRLEKRKQDEEEARVEAIAQE EERVRAIALEEARKQHLESLDHAEEESVSEYGGYMGPRPDYSDDFEEEEEESNGDSEN ESMTSDGELSDFSGWLQAAYGFH JR316_0013380 MERLPELEQTQKTTHSAVTKMSQRGNPPQSESSESEDSSSESSD SDDSSSDSSDSEDSSSESSESESDDPQPSTSTTTKPERPNRNTGSSNIPVKALDGMKE KSESKKTDEKETEGEGTARNQEDGAAAIKKATGRKSKDVSVGKATIVEKEVVVVETEV VEKNQREDNDGQQHASGNHSSDKSSRGRKRAMSLSPSESDSNENTRRRKKTRQEKRAP SNKGELEAKKKMLAEGLRKALEKERLMELNIHSQPASTSPLPQLPQPQPLQSQSPEAS VTIKIEETESPSGALVRRREVSLSEFYSQCFDNVRTFFRRRNYGHPDVMARLEKSGFT EYELRSMKGLDQRTLVTRFSLLLSDGCFKSAQLESLSFAVFAASPTDWDVLHPVMELV IPTTPPPP JR316_0013381 MTIEPSSHPEIELRAAGFRNTEPGGITHTTLLNLLEIYPDKAAW APDLDHARLLRHFDHSSELPRLRIFFIEDLDFLDWSRWKTNDNPNLEPNDTAHWMQQR FGVSPLFFDGMTSRHFSGNASFVRKGHGRRVSLDGLYRFKSGISTPLTLVWFSHSLDQ VPSSTYMIYQYTESVKNTILSCTHPDTTSSLLRPLAIDAFLAEDCLFALGADVLQPRN ELIKYERRKFARFTPEQVAMAVENLHALSQRFNVISGHLADHHERINFLLKVHRRLLY TSEYYNTHTSSRRYAPWSFDEMPEEFPRPRMEYTNHSETEEPEDIESVLDSFDFILSK TDVLKRWVLNYNERTGIRINLFFNISTQTDNKTNLDIARLTSKIAVSTQRDSSSMITM AAVTMLFLPGTFVSALFSMVFFNSQSDNTLVVSEQIWLFFAITIPLTVAVFVLWLFWR RYRNEAEARSLGLSDDDLILNSEGKDAAHSKMLINEE JR316_0013382 MANPFSRQSSSKSKHSAFVPSPRFLSESPAKNRSPLHVDYPGQP SRNDLRSPIPPPPANLYPGTPLAFTVDPGAPFLAAVAPTDDHRSENGSTWDDPGPKGF TGAVVSGIKNVVKRSLRDRSRSQYTQKQRGYGQVDNSIVYDLPPQLRDSGYAVSSVPI PPSGLPPMPQNLSTPPSSRHTSYSARAPSETLLGHAEDRYDKDDATIIGHSNSVLKQQ TRPQPVNQEYEYGQRYESDQEESPAQQYQRQVMDAYRLSQTASPSRQVPRRYTVPQPT YSEIIGPEDIASPVSADLQIGPNYKQMDVRTPPPSEISFNTYLKRLQKFASDVNSLPW IAKERVTKDYYPERSRRSDEPKKAPAIVWRSEAFLKAEGYHDPEGSGADGDGSFSEWS GVEKDGSVRTGPGMHAPRRGSRSAMDLDAEFEGSRTPLPANQPNLTPYTRPRGVQLTD TPMPPRVSQPPDSVLFQKGPPPVIPDLPLPQQQTMPVQPGGEQGNGYVHIVPSHPPPI IAQTPNTYTAPVPPMANQYIPQDPNAYSRDYSQPFGPEGQNTNAWYPPQVTPPNYSIE AVNQLVPTAEFPYLGPNPDWAGGQPPPPPVAFPSPPRPLREQDRTNQSPIFIPPSPRP PPSPQQLQPQQRTPTGQPPAASFPSPAPAPPRPREHDHAMSPSPVIPPLNLAPAAPTF PNTPRASTPISAPQSSAPPSPSPAQWEQYATSHRTGYVPAHYAEHYYGEVYGPGVHAA RPPVVQPPVTVSAGSGSGSGSGRSGRSDTDKVVY JR316_0013383 MSTTIHNTHTASSSSATTTTLSRSRRAPVPLLLEAFPVPPSHIP PTPTTPNNAAFANPPPTGPPTTPLPPVPGPSRISEHEQLLLLSSAVNRSRWSSRHSAA SASSAASQRESLVSLASSGGHAHAQGQSQSQSHGYGGPSVRSSFASSSGRSPASSSSP LPPPSSYHPSNRNSGSSSGGGGGGVVSSSPRSRPSISISLSSASTSSSSSPRLYPRSL RPPGTEDGEGMDGGEEEQQQLTRMSISPIPLSDIDDDDAGEHNIPPAVMPSGSNSTPG SGSPTLFSHPTSTSSRPGQHNHHANESISSIDMRDVLGVGHDYTSSSEHDYEYEYDYT SDGSDDFFPPSIVSPTSLPPVPVSGFSSASASGFSFGSASASGSGSGTASRWHHQHHH HQTQMSLADPIDPQGILLGMGMGMGGFAQRQQAFVSPPSSSPTTSSSPRSSSFAQQQQ QAQSQHQHQHPIHTHTQNTQQNTSQNSTATSSSSTPSPAPTATTATTTTPKMHRSSTS IGSFSYPSSSKMSPVPVLAPFVPAGSGSGSGSGAGTGLGAGSHGTHRSDTGAANEGGM ARTVRSVYPLLERDEGGGGVGGGGEKGNARGDEWGKTGDGGGDEQGGVSASVSTMTQF SSGSSFNSVVGVGGQSQVNSNPNSNSNSKSRSLEQTPSQSQAKSISLEQANSKSKSRS LETERERERERENGKAPERAPERAFNPRPAPTTTTTTTFSSILTGTFEVPFSIPPAPP PPSARAYGVGIGVGGAGAGASSMTMSTSTSTSTGTSTPNINTTSTPSPSTNTLSPSST STNTPSPSSTSTSTPTTTTTTTSTIKDSRIERRAERTRSAALRRVLDGRSRSRGRGLS GGGGGSAGGGSAGGGAVVGEEGGDEGGDRDRDRERERERGRDRGPGPGPEPGGALPPL PTSAGAGAAVKQAGGGVGLGITTSTSTSTGTSTSTGTGVSKEREIEVLQDLDRAVSKT MEVVLASSSSGSGSGSGSGSGSWGKGEERTSLESKTGSRKSLDRQSEEGGGGGGGTMT LDELGPGLRIRRGGGAAESNVTVNSNVNNIGVDSGGASAVGGNRTVSGDNDTARDEGG VGVMFPRRASVESTMSATPRSTSTTKHQHHPPPPPAPSEPSRTPSPDIATILNTSASS RKRSKSRTRTRSRAGIGLGLGIQSSAPRMRVRSGASASGGAGGGMGQAAGKRIVSEGG AAASLRLSVGSSKSSSSWDDRKLGMGMDRVRSMDRMSGHSQGEFEGEYDEMEMEMERV LEGQGSEDDVYGGGGGRGRRGYDDEDSDSSLDLHTPLPHLMVRHGLLSPHSKLLPGAS RATTPMAPDGRPGSIMSVASNGSMMTKSGIMKDERDTPMRRVRHRDGRMLRGGIGLTT GLGWSDSEDEDAPSPLTRRLSTLNLSRRASASSLGHSLTSSSRPSSHHQQGGKYRHPL SRSYSSGALSSSNTHDLPDFDEFDDDDGEEEVDHSLKESGEWAQRQRERAQSGRSLRS APPLPPPTSWQRRTVSGSGMSAGSRLSTGSRSSYSTMGGGGGGGGAGDRSSLGTAASG DRTSKASFSSVASALSVSAADNANNSSTRTPSRLRTPVGQPTPTPTKTMVQEDVNTTP SSTASTLSIPMPLTPRDTDHSSASTAAAAGKKAAYDKEKSLPPLPAGGLKKIPSHARI SGDAAGAGASKIAFPRARTFSSTSTSASASASVAASPATTTTAATTTTTTTAAVRPLQ LPRQAGLSRDRPAVPVPSVLSLPTSTSRSSLRAPSSSSPAHGSLSLSASPPTHWSSTA SANEFGVMSSNGGGAASPPSTSTSTSPLSQSHSGTLLSTGIARPKPRTGTGMVYRTSS SVGLGSKMRAPMVLSASLGGGKGGVGGAGAGVGAGAGASTSTSTSASASAANTGSIGR SGGIPRAIAL JR316_0013384 MAYRCSHPEVEIKALDIFNTESDRGQHNTLLDLLDVYPDRVVWA PKLDHARLVEHFRRMESTPSRRNDVPPLRILQVSLERFVLQALIDIAVSSKTSLTSAK MMIQNCTPTRRHTGCTSDSGPDGLYRFSSGLGARMSRVWFSHSLRTDRSSVYVIHSCP EKAKTAILQSTRDENRQKLLRPLAIDAFLAEDRLDEWGRDSMAPRDELIQYENSKFSL YSATQVAMAVENLHALSQLLHVIKGHLNDLHERLRYLIKVHQRLQHLTSRRRPPYSSY PGSFEVEFDRDGADDEDQYTDSVLDSLEFMQSQTAGLIRWIINYIERTGIRINLFFNI ATQTDSKINLDIARLTSKIAVSTQRDSSSMITMAAVTMFFLPGTFISALFSMVFFNTQ EDGALTLSKQAWLFPAITIPLTIVIFALWLLWQRYRSRIDAKSLGLENRLTLFDDTHG TSEKDLEALLG JR316_0013385 MLRYRKPLKPALSLVRSFKTSVWRRNDSSAAPFNASAVERAEDE VDVCIVGGGPAGLSAAIRLKQIEREKGNEIRVVVLEKGSEIGSHIVSGAVIEPRALDE LLPDWTSMSDHPLTQPATSSKMLFLTPKMSLPIPHPPQMSNKGNYVTSLSQFTRWLAG VAENEYGVEIYPGFAGAQLLLSDEPDSTDPWGNKVRSVQGVITNEVGLTKNYRMKSSF EPGMAFRAKVTLLAEGAHGSLSKQAISLYNLRKEAEPQTYGIGLKEVWRVDPEKHKPG EVVHTLGWPLDKDTYGGGWVYHMDGGLVSLGLVIGLDYKNPWLSPYREFQRMKHHPYF RALLTSSKAERLSYAARVLNEGGLQSVPKLNFPGGALVGCSAGFVNIAKIKGTHNAMK SGMLAAEAAWNAVHPSEAESSDGTVAAAADMSSYDTSLHKSWVQKDLHEVRNLRPSFG TRLGLWGGIIYSGIDSLILKGRVPWTFKHHGPKGKTKNPDSTSSLDSSLTEPARNHMP IEYPPFEAPLSTDLLTSVALTGTNHAEDQPVHLRVVPTPTFIKKGKTNPAVGAGVGAV RTEAEVEEGEGGKTGEEDVKEELERRRRHVEINYGQYAGLLGRACPAGVYEYVPEEGV TTNETEGWGGHKLVINSQNCIHCKLCDVKVPTQDITWTVPEGGGGPKYSE JR316_0013386 MSSQYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSVDQVIDCVFFDYRAALREFLLNLASWCDKRETVKASLERLESA VSAGNTPNRLKVKAPEFQLTKEFADAGSAEALRVSTTFSTARDVFQKTINDGAIQAKK DELAFWDDKCALNSCYEAAALIVKATYDDRKSSYKLPVFSTDNKGVRRIAEWVVSPQK KAECSALQTILPAIFSHIKQIVNMRHRALAIKIEKKRTTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSVGKKASFRNPSPIILDTNYYSNYPDFVWPEFVQGSSTSGQ KSWPFETQVVVDAFAEEASNQGFQQGRQQEEREGESSRQEQRSKGKGKGKSLDARSTV ASFTSEGMNKPFDPDYGVSSKKKEKPPMLPQFMELGLSMGRRYVIKTIASIPEEALKE MRKHAFSPKRDKIQKFLIDNNYVITMTDKNLGLAVSERDWILRNELNLLEDERNYEEL EFEVAQEVMKSKMIQMQTLARTVEDEHLFLFELGLSRFFLSNVPEDGSSFKYPQFHGI PKIHKKPTGFRPIIPCHSVVFNPAAKFVSKELKPIIKSTPSIIHGTKDLFTRLSQLRI DSKRQWYFVTGDVVAFYPNIPLDLCIEIVCSMYEEWLLNTSEENTTLAHIKPNSLENN LVKLGIFKRAIEIGNTQLITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKIL DHPNVAFYGRYIDDCFAIVYAESKALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFI FKESGKLHWKPFVKTGNNRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAI RDLNALYLMRGYPENLVMSWCKKNIQERWEKRFALRVAEHDESILVLKTRFDQVWNWF SAAELGKTVTEYWSAWYEHAEKGLYSADSSRPLIKPDPNYVHDLDDVRPELFTQILVD GETEFVPDLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPET QNVNETYHSALVEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0013387 MELGLSMGRRYVQRTIASIPEEALTEMRKHAFSPKRDKIHKNLG LAVSERDWILRNELNLLEDERNYEELEFEVAQEVMKSKMIQMQTLARTVEDEHLFLFE LGLSRFFLSNVPEDGSSFKYPQFHGIPKIHKKPTGFRPIIPCHSVVFNPAAKFVSKEL KPIIKSTPSIIHGTKDLFTRLSQLRIDSKRQWYFVTGDVVAFYPNIPLDLCIEIVCSM YEEWLLNASEENTALAHINPNSLENNLVKLGIFKRAIEIGNTQLITQHGSRYFRQKNG LAMGVADSPDLANLFGAHFEIKSKILDHPNVAFYGRYIDDCFAIVYAESEALALNLIK ETIKFDGCVIEWAVSSSGCQFLDAFIFKESGKLHWKPFVKTGNNRERVPWVSHHPLDV KRGVYIGELSRLAVLCSTKEIYIGAIRDLNALYLMRGYPENLVISWCKKNIQERWEKR FALRVAEHDESILVLKTRFDQVWNWFSAAELGKTVTEYWSAWYEHAEKGLYSADSSRP LIKPDPNYVHDLDDVRPELFTQILVDGETEFVPDLRKIGLLGSRWIVSRKRNTNLFDL ANVWKKTVFRKLDEDIAEKGGVVPETQNVNETYHSALVEAAEQISIESDNEIILHRRS ISQEREHPEFGRISKSYNR JR316_0013388 MSSQYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSVDQVIDCVFFDYRAALREFLLNLASWCDKRETVKASLERLESA VSAGNTPNRLKVKAPEFQLTKEFADAGSAEALRVSTTFSTARDAFQKAINDGAIQAKK DELTFWDDKCALNSCYEAAALIVKATYDDRKSSYKLPVFSTDNKGVRRIAEWVVSPQK KAECSALQTILPAIFSHIKQIVNMRHRALAIKIEKKRTTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQAKKAGPSKPKSSSTPSQRKPQTKASN KVDNKKKGKGRAPVKNDPKGKGKARA JR316_0013389 MELGLSMGRRYVQRTIASIPEEALTEMRKHAFSPKRDKIHKNLG LAVSERDWILRNELNLLEDERNYEELEFEVAQEVMKSKMIQMQTLARTVEDEHLFLFE LGLSRFFLSNVPEDGSSFKYPQFHGIPKIHKKPTGFRPIIPCHSVVFNPAAKFVSKEL KPIIKSTPSIIHGTKDLFTRLSQLRIDSKRQWYFVTGDVVAFYPNIPLDLCIEIVCSM YEEWLLNASEENTALAHINPNSLENNLVKLGIFKRAIEIGNTQLITQHGSRYFRQKNG LAMGVADSPDLANLFGAHFEIKSKILDHPNVAFYGRYIDDCFAIVYAESEALALNLIK ETIKFDGCVIEWAVSSSGCQFLDAFIFKESGKLHWKPFVKTGNNRERVPWVSHHPLDV KRGVYIGELSRLAVLCSTKEIYIGAIRDLNALYLMRGYPENLVISWCKKNIQERWEKR FALRVAEHDESILVLKTRFDQVWNWFSAAELGKTVTEYWSAWYEHAEKGLYSADSSRP LIKPDPNYVHDLDDVRPELFTQILVDGETEFVPDLRKIGLLGSRWIVSRKRNTNLFDL ANVWKKTVFRKLDEDIAEKGGVVPETQNVNETYHSALVEAAEQISIESDNEIILHRRS ISQEREHPEFGRISKSYNR JR316_0013390 MSSQYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSVDQVIDCVFFDYRAALREFLLNLASWCDKRETVKASLERLESA VSAGNTPNRLKVKAPEFQLTKEFADAGSAEALRVSTTFSTARDAFQKAINDGAIQAKK DELTFWDDKCALNSCYEAAALIVKATYDDRKSSYKLPVFSTDNKGVRRIAEWVVSPQK KAECSALQTILPAIFSHIKQIVNMRHRALAIKIEKKRTTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQAKKAGPSKPKSSSTPSQRKPQTKASN KVDNKKKGKGRAPVKNDPKGKGKARA JR316_0013391 MELGLSMGRRYVQRTIASIPEEALTEMRKHAFSPKRDKIHKNLG LAVSERDWILRNELNLLEDERNYEELEFEVAQEVMKSKMIQMQTLARTVEDEHLFLFE LGLSRFFLSNVPEDGSSFKYPQFHGIPKIHKKPTGFRPIIPCHSVVFNPAAKFVSKEL KPIIKSTPSIIHGTKDLFTRLSQLRIDSKRQWYFVTGDVVAFYPNIPLDLCIEIVCSM YEEWLLNASEENTALAHINPNSLENNLVKLGIFKRAIEIGNTQLITQHGSRYFRQKNG LAMGVADSPDLANLFGAHFEIKSKILDHPNVAFYGRYIDDCFAIVYAESEALALNLIK ETIKFDGCVIEWAVSSSGCQFLDAFIFKESGKLHWKPFVKTGNNRERVPWVSHHPLDV KRGVYIGELSRLAVLCSTKEIYIGAIRDLNALYLMRGYPENLVISWCKKNIQERWEKR FALRVAEHDESILVLKTRFDQVWNWFSAAELGKTVTEYWSAWYEHAEKGLYSADSSRP LIKPDPNYVHDLDDVRPELFTQILVDGETEFVPDLRKIGLLGSRWIVSRKRNTNLFDL ANVWKKTVFRKLDEDIAEKGGVVPETQNVNETYHSALVEAAEQISIESDNEIILHRRS ISQEREHPEFGRISKSYNR JR316_0013392 MSSQYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSVDQVIDCVFFDYRAALREFLLNLASWCDKRETVKASLERLESA VSAGNTPNRLKVKAPEFQLTKEFANAGSAEALRVSTTFSTARDAFQKAINDGAIQAKK DELTFWDDKCALNSCYEAAALIVKATYDDRKSSYKLPVFSTDNKGVRRIAEWVVSPQK KAECSALQTILPAIFSHIKQIVNMRHRALAIKIEKKRTTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQAKKAGPSKPKSSSTPSQRKPQTKASN KVDNKKKGKGRAPVKNDPKGKGKARA JR316_0013393 MSSQYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSVDQVIDCVFFDYRAALREFLLNLASWCDKRETVKASLERLESA VSAGNTPNRLKVKAPEFQLTKEFADAGSAEALRVSTTFSSARDAFQKAINDGAVQAKK DELAFWDDKCALNSCYEAAALIVKATYDDRKSSYKLPVFSTDNKGVRRIAEWVVSPQK KAECSALQTILPAIFSHIKQIVNMRHRALAIKIEKKRTTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQARKAGPSKPKSSSTPSQRKPQTKASN KVDNKKKGKGRAPVKNNDPKGKGKARA JR316_0013394 MYEEWLLNASEENTALAHINPNSLENNFVKLGIFKRAIEIGNTQ LITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKILDHPNVAFYGRYIDDCFA IVYAESEALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFIFKESGKLHWKPFVKTGN NRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAIRDLNALYLMRGYPENLV MSWCKKNIQERWEKRFALRVAEHDESILVWNWFSAAELGKTVTEYWSAWYEHAEKGLY SADSSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVPDLRKIGLLGSRWIVSRKR NTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQNVNETYHSALVEAAEQISIESDNE IILHRRSISQEREHPEFGRISKSYNR JR316_0013395 MSSQYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSVDQVIDCVFFDYRAALREFLLNLASWCDKRETVKASLERLESA VSAGNTPNRLKVKAPEFQLTKEFADAGSAEALRVSTTFSSARDAFQKAINDGAVQAKK DELAFWDDKCALNSCYEAAALIVKATYDDRKSSYKLPVFSTDNKGVRRIAEWVVSPQK KAECSALQTILPAIFSHIKQIVNMRHRALAIKIEKKRTTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQARKAGPSKPKSSSTPSQRKPQTKASN KVDNKKKGKGRAPVKNNDPKGKGKARA JR316_0013396 MYEEWLLNASEENTALAHINPNSLENNLVKLGIFKRAIEIGNTQ LITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKILDHPNVAFYGRYIDDCFA IVYAESEALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFIFKESGKLHWKPFVKTGN NRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAIRDLNALYLMRGYPENLV MSWCKKNIQERWEKRFALRIAEHDESILVLKTRFDQVWNWFSAAELGKTVTEYWSAWY EHAEKGLYSVDSSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVPDLRKIGLLGS RWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQNVNETYHSALVEAAEQ ISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0013397 MSSTYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSIDQIVDCVFFDYRAALREFLLNLASWCDKRETVKASLERLELA VSAGNTPNRLRVKAPEFQLTKEFADAGSAEALRVSSTFSTARDVFQKAINDGAIQAKK DELAFWDDKCALNNCYEAAALIVKTTYDDRKSSYKLPVFSTDNKGVRRITDWVVSPQK KAECSALQTILPAIFSHIKQIVNLRHRALAIKIEKKRSTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSVGKKASSRNPSPIILDTNYYSNYPDFVWPEFVQGSSTSGQ ESWPFEIQTLVDAFAEEAPNQGFQQGRQQEERERESSRQERSKGKGKGKSLDARSNVV PFTSEGMNKPFDPDYGVSSKKKEKPPVLPQYMELGLSMGRRYVQRTIASIPEEALTEM RKHAFSPKRDKIRKFLIDNNYVITMTDKNLGLAVSERDWILRNELNLLEDERNYEELE FEVAQEVMKSKMIQMQTLARTVEDEHLFLFELGLSRFFLSNVPEDGSSFKYPQFHGIP KIHKKPTGFRPIIPCHSVVFNPAAKFVSKELKPIIKSTPSIIHGTKDLFTRLSQLRID PKRQWYFVTGDVVAFYPNIPLDLCIEIVCSMYEEWLLNASEENTALAHINPNSLENNL VKLGIFKRAIEIGNTQLITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKILD HPNVAFYGRYIDDCFAIVYAESEALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFIF KESGKLHWKPFVKTGNNRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAIR DLNALYLMRGYPENLVMSWCKKNIQERWEKRFALRIAEHDESILVLKTRFDQVWNWFS AAELGKTVTEYWSAWYEHAEKGLYSVDSSRPLIKPDPNYVHDLDDVRPELFTQILVDG ETEFVPDLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQ NVNETYHSALVEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0013398 MSSTYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSIDQIVDCVFFDYRAALREFLLNLASWCDKRETVKASLERLELA VSAGNTPNRLRVKAPEFQLTKEFADAGSAEALRVSSTFSTARDVFQKAINDGAIQAKK DELAFWDDKCALNNCYEAAALIVKTTYDDRKSSYKLPVFSTDNKGVRRITDWVVSPQK KAECSALQTILPAIFSHIKQIVNLRHRALAIKIEKKRSTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSVGKKASSRNPSPIILDTNYYSNYPDFVWPEFVQGSSTSGQ ESWPFEIQTLVDAFAEEAPNQGFQQGRQQEEREGESSRQERSKGKGKGKSLDARSNVV PFTSEGMNKPFDPDYGVSSKKKEKPPVLPQYMELGLSMGRRYVQRTIASIPEEALTEM RKHAFSPKRDKIRKFLIDNNYVITMTDKNLGLAVSERDWILRNELNLLEDERNYEELE FEVAQEVMKSKMIQMQTLARTVEDEHLFLFELGLSRFFLSNVPEDGSSFKYPQFHGIP KIHKKPTGFRPIIPCHSVVFNPAAKFVSKELKPIIKSTPSIIHGTKDLFTRLSQLRID PKRQWYFVTGDVVAFYPNIPLDLCIEIVCSMYEEWLLNASEENTALAHINPNSLENNL VKLGIFKRAIEIGNTQLITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKILD HPNVAFYGRYIDDCFAIVYAESEALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFIF KESGKLHWKPFVKTGNNRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAIR DLNALYLMRGYPENLVMSWCKKNIQERWEKRFALRIAEHDESILVLKTRFDQVWNWFS AAELGKTVTEYWSAWYEHAEKGLYSVDSSRPLIKPDPNYVHDLDDVRPELFTQILVDG ETEFVPDLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQ NVNETYHSALVEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0013399 MDSSNVFDYSFVDYSLDYNLSFSEMLAEDPQVVLEDHPSTDAIT DSITGPPSAMPSLSIHLEIPIEHGIEPYLSPDSTSADMSKAMLKSIPDMRTTTNAALA STQAPKLHTPFNTSPKSSSLCHQINEEPAMASSSMYQSQALDDPSYLGFSSAPEGFNF VDPANQLSMSGAGIIPTRPLVSRNSSLNKIIPRSEGIFPTSTRINTPPQASSSNQAPS FRNPEACQFVDQNERYLSEPSTTSTSAASEPGKQNRYIDIIHGGIWFINPELPAQATH ALTRVHTEDDKRRQQFLPTLENVDQLVSYYQHGSHANIPRSATDSFHSNIGETETNYQ SRSIKMTLNREEGSGGNPVESLAKLRHGNGGYDILMTNHCTETQNGDSQVATASRYTR KRRLSTANGDRGESASALKRPRAGSPLVRGSGASSSAQTEGSVSRVAATLTAAPRPQL QPAQPRCLAEGFSQGRLVIEKRERHRKKDGGLTFQVMRPTHEP JR316_0013400 MTSHIPSPPFSHRIVNDAFTPLYRPPPPNIRLYDTLFVSSESLE DLAEVTGRVNISTDIEGSMPHVKECVLGLDPSPMTDGIWAVRGDATLVRPHDTIAARG IHKSPSHQYINLRPQVSRPFDYLRAILDTALLFEILGTRGQIYGTVTVDSENWKRLRR GYRT JR316_0013401 MSTFNVNIAHVNTSQSVPVSTPRLPQSAGNSSGAGATTYVVAPQ ATSSSKYDPQPWTDILSLVGPTPRDILEIYYAKFSSHTVDVGKAAPSEESTNLIRSPV DVLLGFPQSGSGDVSQRHDNFHRTTSGSVAKESESIVDRLVVVSLATGTVDPAVLIRT SRRSREKRSQGVMRSQAASDRHHPYNVANRPSRIAVPRNSGNEDANTFCRPSGETENT QFRTSQHREQHHRHGSGNDIPVEQMPLTAPNPNEDGRDSEMRGSTGDGDSGETEQPPR KMQGHRSDRDPCFRTREEICSQGKAGVGKRKRQKR JR316_0013402 MENFSTFNFLYSGLATDSTMILPQSEPSIHSSFNEADGGHISVI DSYAPFFNTYTQFSPINPQQQASTLASTLDLSPHPTMEPSPMYSFQNFEAINPLFRLS PDFSPSLTSGRVSTPDPCRIFPEDSCTSATYPPTFCQAPSSMRQSQSLENFGEYTSVD VTDGHLCYPPTSSTGVAPTNVQHDRYVNIAHGGIWFADTDPSTQVAKTLTRVDTEDRK QKRQLLPTLESVEQLVSYYLPGSHASLPGSSSNSFHFHIGEKETHHKNGSTQWTSNRE VGSGGDPVEPFAGPTHKDGGMANHLSATRNGDRQVSTASRYTRKRRLSTADNDGSKSA PAAKRPRKRSPRDPLRDQKAGEGRVARALTAAPTRRPHRKPATPRCMAGAVSEGHVVV HDRERHSKKGKELTFQVMSAVQRP JR316_0013403 MERRNDLTCADPSLADVYVAGYSPANSAHTVSPRWVLALQRSGL GADWEIQGDLFLAWQTEQEFTVPFYRLFNPTTTDWITMISTDGSPPVVSGFEDATVIG FAYSTQVCGSVPLLGASLPSKGDHWYTTDVREHNFFLENGWVDAGIAAFVLPLNEPAQ DQQDSWAAIDTLGPRIIQFNVVRDSESRGNDTGVCELCQVLPPLWYANGTYPYLQGSL PHHDGATLTVDQLKPMPVEVSPRLL JR316_0013404 MSSSYTHPYSYASTSELHETLPSPQAESEILNTQEDMWFDSEDP AEYAMAATYSSFNLTDVENDQLGDSGSPLDLPVSVDVQMEEGFREMEQYINYDEDPDT PVNPILRAVHPLDGRCHTALLLLSTEVHSNRAYGLDPRLPYPAFYTAVSISPLAPSAH IALCALSSPCVPITTSSSNVSISTTLEPKLSFGGNKSLKIID JR316_0013405 MKKPLGEPKTEVAREIVSKSFVHPLLRKQTIKDTGPMIAPTNDT EQLPINWDGWPDGYIELDLSHAEFSATKQLMYHWAYTVSGGYKSGLEDPNADRWEDGK QSTRKCCGVILCTNKDCTIVVRPNTKETAFKHQLQKKCVCGARLYHEKCEIRATLWKW AGGIHYVHPGFHSHQRLTHILHITQAEESRFIEIVTANKDIGPLGLIVGVPTKNGPGE SVADISDVYLNRDRVQKDRLKICGRSSQTAQALFQAFTEFSKKFPNFVIHSEFMNEVV ISVQTDFMRSQLVKLDALDEAVNGTVNDAAHGWWKERQWVLIISSTYCPVLLCWVPGV MSFSNGQSTQHFKYHFIAVFQSIIREAKEKDIPVSHSMFAGVRH JR316_0013406 MQIISYEEILRIEAEVLAPTFPDLIHPTTFPEAASLASQRQQYD LEMAQLVEQTQKMVLLTENRLILAILALFNEINWTTLDPRLLSLAKAKITEGDQWLKA RAEETLRDADSGSPEHILTQGMSIIANGQIHIRTVEDLIRECQDH JR316_0013407 MPLLADQAVSTLSEIWHPQDIPSVFLPPAKVGGSSFPPTHSRPT SKQISSDLQSIASHTHPIHPHNSHPSISGHNPSPTLLLASGTKSDQILPLKSFVYKVL RHSRTSKNVLQIALCYLESIRPKVPQILQEENIGIRSYAQPKSSIQKATPEELAMDAE LTALENSGKINIINNFIDNSMQTFRVADSGSQDLAESCIYPQDSLSSVDVQVSTAPLS TTLSLPSPLLCPRRAFLASLILASKFSQEKCYSNRAWARLSGLPPREIGRCERALAQA LQWRLWVGKCAFGESAATAT JR316_0013408 MHIATQIITQHAGLVVHKDDSDQYQQPLLTSPAFSHCIIVTYCI SLHPSHLCRIKSFSLIFRSPPPKLQRILPRVPLPLNKNSPPVLSIKLSAPYLRSGALK TFPPYFYPLKSFED JR316_0013409 MLPESHPLRRMVDFLRRYEDFTFLNYDVSSSSMRAAIKYRQSLA ALQNSIVETPCVTSATWEQAGSLFAAYRLLDLVALWPAAFGPSLPVTVPLNEIQASTR TKEIFSRFKLVDDLKDWPAMLCSARKRILRADSKSHRNINTPSISDTPSSAFTQGGPS ESTLLLCLARNHFKGVVGKIIGNVYCASLHYQILSGMRGMKDDEHVLPDIPTDTATLA NLYASGHPDAALFLSEVTPSHLKIPLHVALFISPILLFVNKSWYSKKCDREQLLKASK ALGNCRPRVLREVEMEIWKIIAAQNCDIQSALYKLVISDCWMECERVIAQDPAFHFFI SSTSSAEVTANSNLARRSTEPHAQLQTVSHHGEKTTCEGSDADAEGDDDHEVDTTEMV SMGQRENGDNTVPTSNIQPPEDTSSVAGKDVSMGQQENGDNTVPTSDIQPPEDTSSVA GKDVPTDEQPGLPRSDDIGSGLLQTENTGPSTAGDCEMNVDPEQADVDHQMEDGPHTN GPENLGDGGAGQGAEGSSDEANRMSEVHEGEKQGQDGERPDEENRMSEDGEEERPDDS SSDNETEKQGQGDVPPVQEDRMSVDGEGVGPEQNNDSSDMERNTLEDSQGEKEGENAP PAPLILRIPPVSQINRSKNTTADSSQKGKGKGRQGLSKRPPKRKPTQATDTFDSDDDL CIDVDLYDSNTTLDVVSTPEKVYGTKVWSTYNGRGQLKSFCVVAHSQADVDRVERVLE LVESDYVDGVPLHIARPEESCFAVFDRSTTKSMYLMESFSERNVVLMSPSQEESISTC SDEFYSQVRRHMGDMTSTRSIQDMSTMSSNPIERIKRGSLSQVMEAARMKGKRGKILN ALDIPLPHAGAHSFDLSTEAAALRATSGSWKYSTPVPFGDMSWGLVATEGAFSDIHID ANGFCSFIQPLHGLKLWIIMYPRRLDVDLSSDRRVFLGDKLDYGETHHQDWIYEAIVL DNQSELFMRPNTLHMAYSISSVVCRGGHFYSSTSFEETLTGIIHCFTAGYRATNTNHS TSRFFLQQTIHWFYKVLVEGDSDPEDFYEMYHVPFYSTQSGYSSLIALCVCMILANAL DYETYRNPDQMFSTKTSAQLDAWMRWDTNSLSDEERKACIFARGEALAILEWLCHRTK SIHYMIETDKNKDAQNIMATEKFHEMILCRYARMILAYDAEAKHNNIGGAPCCTDTSL LFVQLQGVCSGELNKVICRSIDQLPRSSVPKMLKLPVITLIDCDACADSSTQLRTPKE ILELGTSVRDKLYLDGMKVKLESTNPSTSATPVSRDPSPEIGNFMEVDYIDNFLGASS EMEDDPPPKASKYNYPYVKEDYNGAGRAFGTGLTFMDQLKQDQFEPQRAENLYYPFAS KDEWELSLFLLRSDMSVGMLNDFLKLELIKKLNLSYKSAKDLRNRAEILPSGPQWKSQ TIIPEIPSKNQLTLFYRDGLECIKALLISPLLQDSMHFSPFKLFDKCNEMMRVYTEWF SGDIAHFMQYNKVPKDQLPKGATLIPPIISTDKTNISNMTGGRVAYPGLISIANIMMN FLHRSKAVNGMMAARLYHQCMDIALESVKQTARVGTTMADALGNNRFCFTPLAALIVD TPESALAACVAGSTSSVTLAQYETFGDSFRHPSRTADHTINTIMAINNVKPPNHLEPY LKESKKHRLNGVHLPFWRDWPLSDPSAFLTPEPLHHWHKMFWDHDAKWCIAAVGGSEL DFRFSILQHRTGFRHFKEGISSLKQVTGREHRDVQRYIVALIADTVSTPFILAIRSLM DFRYLAQSQTISEAMCLRIEQALQDFHANKQAILDAGARRDTTEHAHIEVVKAPSSSS NNQRYEPQVCRYLDRRDKLRNFDLFTAIREMRIDFRAIHSATITDEEEQEEGDEGEEN GEVVMDTTSELLSTIMPMTTFQSAKSNRIVDYFYKASLYERGVLEGPVPYRTFSCSKN VVAHLSRDASSKRLHIDEVASIFKIPDLRPAIADYVSLINKESNPRQTNSRGYHIKGI TGRRVSPPGCPLPYSKLEVWHKVRIQSTAYQYPHEILEAVTLNAYPPSNKHPFGYFDS AIINVDESEEWPRSGLQGHSVVDIRIIFRIVGETPSTVSPDITGRFLAYVQRFEVLNQ PQSLGSAIRGPYPEPITGMYKLKRSQRTDNTIMGGILPLNQIRSLVDLVPQMGEKARR SLTTHNSHSTSTEFRLNKYFDKELYLALSS JR316_0013410 MSSYKRRRLDSLGNRTDINPFIDIEAAVSDDDESSEELDYEGGQ LLNDNDEYSEDEERVAHSRLYHAMQNTDNADEWSDLLPMLLPSRMKIRPDNDIEPSSS RELIQKYGNPQPGGLGDNNYMPSATDIMYEIGCKVGREKAVAFKIMQMSTNPTFPIIL ARSVFAQSSIPGRIYVEAPSMQHAHTLACLVRELNPTHLVRLSSERCMEILSHPPPSR PEDQSWVKVAGKRKAWTTYANATGLVFTFQGRKSVVLIPRPPDNIKKSHLDRIFQDGF IITDFDAIDLKYLSNVLPTSSELEQFRECPFVTTETLAQASKAISMTRLKRYDRVKII GGEYLGLFGTVKSVSDAEVEVHIPSQGITQAVALHDLRAAFQIGDSVEVVEGDHKDLH GWVSDFDGRSVCIIAPEHEREVIVPIHTVIFYVPPAHATLRPRKRHSSKLGERDHNDV YIGLSVIVVGNNTFKGYYGIVKSTTPDGFADVELEARNQRVERIKISHLIIHNREHIN SAQDPGPSGGATPMPSTVASFLSPAWNPYSAIPVHSAVEIAELPSTVAHWLDTKYDKL KGLRLKVVDKSKGDHQVAMELLSLTDDTAHLALLGRTLTLPKSVLFPIHPVKKDDFVT PLEGDSMGIIFRIRSIDKDICVVHKYPVTRMKRGDTFPTFPTTSLIQIFPPSRGVKVV NM JR316_0013411 MPPKGSKKKKAVVNATVEGQEVEQGPSSVAENEPPQAPEADPNG RPIRSTRGLGGVNARQEKTSNIIFIDFEKAGKRKSRAANVDTSAMPENEMAPPLKKPR NGVTVVSHVGPPIQMQPRPTPSVAPWDVQQVQPSPRQSQGAPPPVQIQRAAQRNQEHS ELDHAVQRPTAPIQRPAAPVQQPAHPVPRPVQHAAHPVPRPVQHAANPVQRYAAPAAE NSVQYYDAPVQQPVHPVTQHAPIQQPVHPAAYNAHQEASISHQDEEEQQDYEGIEQEE DEEEEEGDSDGERRSEEASGDECMQGIDEENIGDEEALQYVDGDEGYDDAGQDDEEPA AQLVDEVSDDEEERRARALLRQPSPHVVEVEDVLQEHRRRNRANKPPRPEALRKAAVS QGAVSQGLTRENNEASDDDEVLGEAHIAHKKSSTSSAREVSKHSVASFTGYWKDVLKI ARKLMCLYVVEEVPFPTRENHLLVADGCVKMAVTVFERMNTDKVLPDKKKTLLDRNTA VTAFVYASTFRGRLKTMIRPLVKNAYGLEVPSEVIAANPNMFENQMGEIEYIKDRVAY WLLNGKYHRGVAKTRYHDVPFGHPFVKKICLDFFYHPTKGVAVPIKGLETKTDFFKTS LPHKAFALVASCIHNCLEEWRDGIDPARGGPCSGIEFKGEEYSLRYDACMLVAAEAEK DTLNQGPRLARLCREVAEEGCAIMRPTKNPPNPYRMTLHSIPQEELDYGPEG JR316_0013412 MFSRTSHSRSQLSYTHQHIHMIHIASNLETESSGDVNTPESSTD TMGHGCMQNLSGRMVEYTLFYNDKATRESTIEVDADGYAKCPDCFDRIRCGPGGIKNL EARHRGTAKCRAKAAKLQKSGAKLKDGSISMFLKRTNPADKIRVPSQTIIPKKIGFIP ESTPLLSTPLQKMPSELDLNLSQHTETLTVAVSNTVQRLPVTWYKSNLANKMQTLVNN LPAASQHSTSDLLEGFNRNPAEYDDLSISNEDLWEVVLNPLLKSLLGWGTSLDVAAVI EGQHDGLNGLAPFIEYFVVQRHVEESLLEGKFSHLIEGLNKQQLKLGPGYIIPGSKTS DLRLTSAACKGYILDLPNGRSPHSAYPFALHDILPLPWTYEVKNHRMIVRSLRCGTVE VPPHSACCSLCSDLSSNSMLLGIISRIQNGVDVNTPYAYHSMDTLRTVLARKDKQINF LKLQGVSQARAIVRKSKSLDERQRLIIAIASKQVGHVERLLEIGIRQKRSITYILQQY KLAAIGVYHPKSYSDMENMLGLLLMDLGGNRISGIVHRSLGLPGITTLRTKKMVPLLI PSASTPTITEITANITACFQGLSDVLADANVMHTTLAFDEIATEARIRWDEHTNNFLG VCREHGRRTALEFNSQDDVEELFHCLDKGEIHYAREATVGALGIMSPNNCIYAACPIL ISGDCKRETAEEHAEIIKTVINSVTSTRQQTKLRLVSIASDGETRRGSAFALLTFKHW LSLTSPIFPLLTNMTFMDLHVGDDDLTADKDWKHVFKRFRNLLLRPRGLLISGVRITP SIISTHLKMSGLSAVHVASLCNPNDEQDVKLAFDLLRAIWSLPTITEHQNPSIIEARA SLVILGKLFYHIVFPYFCVDLTLSEQLEHISAAVHLALALYHESGTQFIPALLYTDLQ IMAKNIFFCVAKAKVHLPHSQFYLVLLGTDRLEEIFGILRTMIGNDSNVDIWQLSSRL TGTTEVSNILAKYPHWDRAPRRLKMPALTRLSQELPDSSDHIKPASWKGNVSVANVTL LTSWKRGRFLLEAECPWIIEILARLECDSKYGMLSPHGKILVGSTLFEHEAHDDISQE IISLERPIVDSDISSTLTSQQANIEDQFLTPFFNESMPSESFIHYTSPDEENFTRTVL YNGDLIPKSRALSLHSKHRGQRSVASTDRLRRVQGAERFGKAINLSEAKCQYSDNAQD IVSILDPVVTLVKCEQHLFLCLGEVNSIKIHSESPVEAISMDDINESDSGKVSISMSI LGLRRSTVGDDPTLKADWRTYRLLSERTIHTPAKMVLPINPTIHTSGIGQLYYLLEGS VLVALVSQLRSTIDSDKGVLKKLPQVSLGKEFPYYEESGKACFSASFGLKEALNCCPM CEPPFNFAGKGGPRILEHIGSHILHDPLINRDDEPCGLCLRPSPQCVFFLKKGTGGNI KINTQASLSCPNVIYYKYAVAEKSTSSSPCSNVPIYCPICPKTSPAVWRYNLKYHMIK THPSASLARHSHLWDLSSFEKSQMKNIWINRLTTGQTRSGSSKAKKSALVISDAHTMS VELPQHSMLSDLDNVSESDSCSEESEIHDDNVLPTPQSEIQSIDNPIDTNISECTQVT NPADIQPNGNDDHVHQDTTLHSESLISQRPRRKRTYFDLNGLSVCVCGLSAHPATGEL TAGLAKCKRVNCETVWYHISCLTPKVHAVSKTWACSSCLEGKRSRY JR316_0013413 MHFNSLVAFTVAAAAIFAGAVPAPTNNGGIMSLEEMKACYVFAS YVIDGDGVARQAGLRETRRMRQKWLSQCTGLLTRTVKVANNPDANVRSSLSTGTSE JR316_0013414 MSDESSAFPSVPVNIEDYTISPNLNFRDLYGGIWLYALRVRSHR IVLTAISAFYGLTVLNFIAQCFSMKWNFVINGDTRDSIFWSSFDYPSWDYEVVGIVMP LIFVISDGLLIWRCYHLWGESIKVTGIPVFLWITEIALVITDIVLRTTISQSPSLARA ELNNHISTSLLFMGLATTCCTTFLIGYRIYTASENISRDRKRYIRILITIVESSAVYS FLLFLEAMVTIIPGFRDVQSTLYQAFPYIDCTLAVCAGLGPTVMVLRLTVANQLEIST VSSGNNMTHITGLNFDNSQNGGAVGNNGLISRAVNDSSVGEELNGGGEAPIGGQNIIT SPKNSVIRQGLI JR316_0013415 MYTQYYTWSPTFSATAQSPQSESGSSSVQEDLWYREMEAFVDYN YGSNYSSTNTSISTPAAFSSTRESASLASWQEHHTYQTSMSSVSENIAPVGTVPITSI HTSYHASTSASILLPSQKSDGSGVQRRKALRGAQRSTGTTTRKRTNTRARKVPQRKIH ATGVLTSEEVASLPSKEYTDSVVRRILGVPPNINLEDAWPIGADPWRRYTKIKVLILI VGCSPNHRASLEDIETYLMNKYPKLADTPYSKKWRMLGIHSFM JR316_0013416 MPRDIWTKVGLETFLHRANINADAAVWKVDTSLFPSASPVQYCL HNAAHNPTTLSLSSTGRTFLNSTLVSQSDVEHEMPAYPGSICAHQYLGKGVEDEVVGM RDNSACEGCRALGGAANQVNVGSAWVEIRRGELSAY JR316_0013417 MYTQYYTWSPTFSATAQSPQSESSSSSVQEDLLYREMEAYVDYD YGSNRNSTDTSSNTPGTPGSLTHPKRADPEAEFQAALSSTGESVSSSSWNKHHTSMFS ISENLAPISLVPIASIPTFCRTPASVPISLPSHKSGGSSVQKRKTLRGAQRSTRTTTR KRTNTRARKVPQRKRHATGVLSPEEVASLPSKEYTESVVQRILGVPPNVNLEGAWPKD SEPWGRYTRIQALILAVCCSPNHRASLEDIETYLMDKYPKLADTPYGKKWRGTFRGYL SHRPEFRRVRRSKAHGDYWTIDVTKLSLRR JR316_0013418 MADTPSSLQSESLPGRPPYPPFTHETATIKVKAAQDLWNTRDPQ KVKNGYTLDSIWRNRDKFFTGHDAIVDFLTDKWRVENGYRLRKELFAFTDNKIAVQFW YEYHDSSGQWYRAYGLEDWTFDPNGLMRKRQMSANDVKISEEERWFKDGVDVNSVEIS EKHW JR316_0013419 MSEGVELVKKYIEFTEVFAEYDDLVVYTPRLPLGENWAYLGQVA RKRNGEIPDHSGMILLAKAGSDALGDIVDWVPVMHGIEPTPFSTWRGIPADPERYVSG GDFFVLGMDKPTAEQTAGIKAIRKELISENKPDRLIWSCLLPFNGLTIWDVASTFKIY YTTRAFVSTSSQTSDGLRLPVIIYGS JR316_0013420 MWHLAVASVDTSHTPGNCQINDTVTFVFDPESIHTVTESSLENP CSPLPGGFSSGTVNGAVEINETLYIVKWDLQISNISTIWFFCENTDTQSHCVDSGMVG AINPPSIDAYTKFQKAAKKVKGTPKPSFQIALTGLGAFATQTPAPVSTPTSISLPTST SQHSAITGGAVGAAIVIVLGLVGILWHLRVQRLRRNGKTQGSSSTSEDDDFFRYDPRA VRRQRPSVVFAEEKQLEVARSLNAAQHSTPVRTLSTRPAPPITSNHSVKVPTESHLPR VPQMQNPKTLIGLPAKSLNGNSSTP JR316_0013421 MSDGAELIQKHIEFTELSAQYQELSVFTPQLPVGANWGYLGQVA CTSDGDNQDHRGLIFLAKDGSDALGDIIDWAPVFIDIEQRRFSTWRGILADPERYVCG GDFFVLGADKPTAEQTAGIRAIRRDLVSERQPQRRIWEGRLPKAILSIWDIVVTDRLH VIPGTFASTDTDSVEGLTLPVVRFNA JR316_0013422 MADINSELTKQVIEFTEVFAELDDLVVFTPKLPVGANWGYLGPV AWKRDSDIEQHFQISVPSLSQSQYGVILLTKDGSDALGEIVDWVPNMGTIEPSRFTTW RGIPADPEKYVSGGDLFVLGMDKPTAEQTAGIKAIRKDLVIERTPDHLIWSRRLPRDT VSLNDVRIVTGVELASGAFVSTSAHAGEERTIPVIRFFS JR316_0013423 MSEGIELVKKHIEFTEVFAEYDDLVVYTPRLPLGENWAYLGQVA RKRDGEIPDHSGMILLAKDGSDPLGDIVDWEPVMHGIHPTPFSSWRGIPADPERYVSG GDFFVLGMNKPTPEQTAGIKAIRRDLILESKPDRCIWGVPLPFNGLTIWDVTLLVLTH QPTGAFVSTSAQSADGITLPVIFFKA JR316_0013424 MQVPSPSLYSSFLFLFVSLRYEIEFEFQVLSFELLPVGEEWAYL GQVAWKRGGTTPDHKGLILLAKDGSDALGDIVDWIPTSTFSFSASTSSPSTSITSTSP SPVFSTWRGDPSNPHKYVCGGDFLVLRCTKPTPAQKVGIKAIRRDLVLEVRPDRGVWA REGVVWGVAVEGGVDTENFGVRGQMLSVCNADRVDLLHKRTGAFAVVVGRSGSGSGAG SAVGASARVVVVRFDA JR316_0013425 MKFFKTTLIFWIFVLNIRDSKSSKFKPKPLPVGPNVGYLGQVAC TPNGKVQNPRGLFFLLKEGSDALGDIVDWEPVFIDIEQGQFSTWRGVPADPERYVCGG HFFVLGVDKPTAEQTASIRAIRKDLVSERQPQRLVWEIRLPKAKLSIWDMEVTDHLHV VPCAFISTVSDSVEELEIPVVRFDA JR316_0013426 MQPHGPALWFPGRRRPRRLFPLRTRQNNHATISANGDAHVGGLI AQAMEKVGKEGVITVKEGKTIEDEIEITEGMRFDRGYISPYFIANTKTQHDILPALEA AAQARRPLIIIAEDVDGEALTACILNKLRGQLQVCAVKAPGFGDNRKSILGNLAILTC GTVFTDELDVKLERASAEMLGSTGSIRALIVDPTTGDFDRSKLQERLAKLSGGVAVIK VGGASEVEVGEKKDRYNDALNATRAAVEEGILPGGGVALLKASLQLATASAQAASTSN PVSGDAQPICMANFDQELGVGIIRRVLTQPTRAILNNANEKSAVIVGALLNQGPTGYG SADKFSWGYNATKGEYVDMVRKGHIVDPLKVVRTALVDASGVASLLFTSEACVVNSPE EEKAGAGGMGGMGGMGGMGGMEGKLAKPLTLLFDPLETVVLFLSVLLVMKPVDERVLL GEDALVTEEVHKQHSHAQQGYQHPILGAPHWSAGGKENVHAH JR316_0013427 MALRSTIEYVHISQIANDDSKSDSTHIANSDSKSDSTTGGKTHH TIKGKSDSDQSALEFLATGPPNDFSNMPASPLRDVSPPDSDFSINCRCGATGNGNVLY RNDIEGAVIQCSRCLEWSHIACQRNGTACRLGNRSRFICYKHNERPPRFPVRRSSRKP SQAALRKEMEERTLSSLPLNKRLRCGRGVLVKHQGFWYPGRLISLEDSDGLLTIRWWR GCEFILPPPAQINGMSRVPLKDVVDSLWGDVHARRQIQLGKWTPTWLLPDADDIMSDS SIGYSTMVDSALSPARDILTELLLEPEKVDSALVPAKAWLEASQKDISKEIVAHTGPL TPIECAQIINWFEANVAMGDRSLRRFWTSLLPLAHAYTVYIASLIADTKPSTEHRNMS LIERAWIAQCTPKSRRIIKKVDVDLECLSLLEEEMFEHSICSGPAGNKPWGKDVGNHQ DNWGPYQDLPSHWNGGDRDPFEHEEELEYGPNYVDLPKRPVQAPSNEPRPKPRPILKH RRHKMLD JR316_0013428 MPEEKSPKAPDKVPTIVASSSVIDVKSFIPVYDALGNLISYIEN SNAHSNGRLSVTPAPMQVTQSQPTVNSVPSSAAVQGLSVSIPGIEFTTSNTWDGWPDG DLELDFTHQEYAKTGNLTFHWAFSTSGGRRNRSVHAEVWQNGHQITRKCLGIIVCLDP TCQMIIRPQARKTSRDIQCALPCHCGLERKHELCSVESVISTWKYGVHFSNHGTHTHR RPTHILHILPGQRQQFEAIVESHPKSGPLALVMGVPTLNGPGESVADITPVLLNADRV GKERRKFIKGSLSNTHEQAFASFAKFHEDHEDSLSMTLLLSFSIALRQAISIYGNQKT IYTYDGQLYGGHPKVESKAKFAGFVSGKNISLPDGYGVIYALYCLRGGTEAQERFYDI JR316_0013429 MFWRSLIADESLSAERWKIALSQRSPYTNDFDEEEEFWQSTDYS GIPLDPGVKPDTVSFVGQLDIVDSLWGDRYGRRQIQLGKWEQAWQIPTDDDILSDPSS TPYSSEVDEALTPAKKILYDLLCDPEKVDSNLVPAKAWLESKNKDIFKTIIPYTGPLT VVERAQISNWFESEITKDKRLRRYWVSLLPLAHAHTVYIASLILKSQQKVNDSLLDSA WDVQCSPKPLRMFERTDVDLECLSFLEEEMFENSLRAGIAGNYQWGLDVGNHQDDWKP YLCRDWFIGDREVNDDEMLPGPKYIDQPKTKVEVQPKRPRPKPRPIPKKRKLSDYVCG GHFFKLGAEKPTAAETAGIRAIRRDLVSEVRPENLVWSCLLYGDTLSLYDGAFVADDA VPSGAFVSTTVAPGTGVDFGTIPLLRVK JR316_0013430 MPTYPNDISSIHSKYGTPETIGAIDLTQQIVAGTEIDSENVADA KASAQALCVLQTVGKHPFLTDEVVKGAELRAVAVENIHATLEYTATPADIVAILHGLR DDINGIRTEVNGIRTEVNGLSGLCAGINRLRTEVNRLRTEVNGLSGLPTEVNRLRADM NGLRTEVNTLRTDIQLGFVQSNNIKIKLETNQSPQESIHQFRKLYLGQVLTRPKG JR316_0013431 MSNSKSELTKHLVEFTETSATYEDLVVLTPRLPYEGNWGYLGQA AWKRDGPTAPPRGFILMAAEGSDALGDIVDWEPVLRSVEPTPFTTWRGVTRDPKYVCG GDFFKLGTEKPTAAETAGIRAIRRDLVSEVKPENLVWSCRLPFDTLSIYDVAVVTGVV IPTGAFVSTTAPSGINYETIPVLRVE JR316_0013432 MLTDGMLSTATRVEDRPGHELKLHEYSSCDIRDIVQRQLRVRLC LVLSFPALFPSLRAHILILILILMSSTLPPKPTNQQHQGDIDARTPSDFSNSTLTAPG KFNSISNDGDGDADDDDGEEEEDVPLSMSTAAGGRGSGLGGGSGSVGVRASKRRRGTR IADQDGDSDYIPPSSLHRNGHSSSSHHHSSSRLPPPPMPSSSHSSRERERERDRDPAS LIPLSNDRGSAHPFHGYGQGQGQGPGQGYGQGYAYGHTRAAKRQRTTLGLDMDMDMDM DRERERDMDSGSDVPGSRGPGPELYLPDQHPHPHHGYPHPHQQQPGRFNRMIRQAHHT AAGPGPGSMGPPAHPHPHAHHGGYHPHDMQGGGPGGPGGPGPMPGGGGPGGGGPGPGG GPGPGGAYTPLFPVGQPQDVTPSFIPLHASEYGHGHPHHSHSHSHVHHVQQQHEYGHQ TRSGNTYGRDSAYVSGDGGGPGAGGGARGAAGGGAGGRPYMEPQYDPALYNATASPPP SSSASALPTSGSSSAAGGGAAASGANVPGSLVIGSGSSTGAGAGMAVGSDAGTGIGTG IGAGTGTANRREERADMFDAFFEADRRRALAEAEASAQAQVQGGVGVGVGVGVGQKGV GGGQGGGGQKGGAGGGGGGGGGGLEWPSHQDGSAAGGGGGEEGSAQHLVSSNSGPNAN ANANANSAGGGGDGGSWFDVFSGTGTGNGTSTSASTSNANRSGNVSDNRTAQPVSAPV SAPAAPVSAPAPAPAPAPATAPQSASQSPSENPAQGQAQGQAQAQGQAQAREKGKGKA KAKPKAKAKGKAKAKGTGKGKTADEELEGEAVAVAGGGGGDGDGDGDGGGDGDGDGGT AEAASSESVEAPAAAAGAAVENSDSSLDLNVKGASGSGSGARDTQTQTQTRESVAVAE VEVEAEAEAEAEAEADEGEEGKGGKGGTESQGNALAPTVGATLSAGGDGEADGDGDGD GGGEADGDADADADADLDADADLDADADADADDDDDDAEADEDGVSGDTVSAGAGAGA GAGVQRVSPSAFISEVMKGNVDVNVNVKGEGAGEGGK JR316_0013433 MPIIPNNLAPPPMPVIPPTLDPPPMPVISDTLDPPPMPVIRDDA APPLMAAHVSYTSVVDKIIMDSRPAISSERKQGRYSPPPEACHLLLQNPYFRTYGRFD PMGGYPGSPPTQSDIEFENVTDQTAYFNPPFLYNYTEQQLRATYDQIIDSSVGDSSYD GKVTMFKNLRLHQLQSEILTYNALEEIDAGLAGIESSLEKHLYTGRDE JR316_0013434 MASSSSATATFAQRLADWEKTFTECYRNGESAFNTQLEQLYRDL VPLCQEHVRDAANFRLVDYVASPVVYSYKTSQGKDGKQVARFEVDWANLHHQVANFKA YQQGQEAQRKRREEEEQEKRREKEEQEEKERQRVEERRKREVRRKREEKKKREEEEER QREEEEERQREEERRKREEEKQKVEERRKEERRKREQERKTREQERQKAEERRKREQE QEQETDEERNKEETEKRRAKKGKGKAVEPPVEDGPVTDAHKDKGKRKAADPVESIQLA PADYRGPRTRKGEIIPHITASNMPGHPAYREKLERLAKSKQGKFRSKAIIGSHTDKDA DANVDEDDEGDDQEAPPTTPTRKMLTRSAKKDANQDNIPPIRKARSRSEKARQVPEGM VDMVERCTGCTKFKVPCHVKGETGTEPLVPVKHQFCESCKSCKIHCSFYPGRFYPGRN IVAGQFNLSTPLGSYGEVLKLEEGEDVPAKGKAGEGSFPEDVGELLVQLFERQGRLME RMDGLSASMTAINAWIATFAETNLAVEKRMKTVEDSFQELKAEWTTAKEQVAGNTSLS VTMFNNIKQAIQDVQYVVGVLLEQDEQRNPAPKQAAEPSKTEVEQESGPLRTREPTSA PQSPSPPPPPAPLPSPPPPPAAPILPSPPPPPPAPVLPSPPPPPPAPVFPAVSAPPTA LFLPGSTPEAPSPPPAGRPSLPPVPPVLSLSPPPPLPAPRPRSSTSKAAPLSKAKPSS KAGPSGNGHSSELSDPSDSDEVEIVEEDVEIVASTLPASNIATKTRAGRKRKAETTLA EASRSPKKPKAQKK JR316_0013435 MPRTTARFEVTPETDETPTDAPSRSSEPPSLPSRSSPTIVNPSS SPTPEVEEAPPKPARKIMPRKRTKPYVGTAEMDGPDEESSVPAPVKPKPTRRIQPRKK TKPYSDPLDTVEESGVQTGTTQDTDNTRAGRDVTCDAFVETVTSPDTDETRARRNVTS DALALQEASLLVVAGKRQRKKTLKA JR316_0013436 MPTNASPDADPLPSTANATTHNGPRNGQTAEGDFPPEESNIWTT KEMRVLKKHVQPYKDTSKSSKADYIQNTVIPELQATWDHRYSRRARKEDKQLHKEWKV KKHRIFNWFRNHASNQIGVKLEGLNSRITFQTVFREEKSAEIDSEVALLSGNAARGSK DWMKFYQQGRKQVEARLTQEERDRFMEILEEWNKKGVSKSMKAKTAARQGRKILRQIE KLKWQRMGMRSITFEGHYDIEGKIEYSMTQTSDLALDDVRIPSFGQLFPSELAAFRRA FVQYLVHISEIEKGVANPALPDASFHEKSLKFSSNGFPIVPSPIYGSTGREVAYAQKS IIRIYMNKVYALAKDRPGSSVPWDALERRSAEMIDPEYWPSSIPVTDPSRLRLESTSA ILKLWRDRQAQGDIPFKFSKGFGNGYDIMEPLYPSNLFDGLEAHPIPPPPAAITKRRL RQKAI JR316_0013437 MGFKKVPDRGSDEEFPNVREASWSSGAKKRKRGRPRIHKLPVES SSTNLPQTTTYSFQNHEHNILEEEIPHYPGDLTDQQVLDNLRQLDDNRTGGKSQNDYL REWLPKREVYLGTMIGGEAPDPDLNGQCQQCQGMSGVNHQFTPFHRVEKWTGLYFHPG ALWEVGVKLYLGHNGKRCPYPTDPSHLGWDGGNNSSGSHGHGGNNSPVFEDEHGLDLD PLPASNDQESFTAQLLADPEVPHLVELDDGDDEDDDLFEEVDTTYLDQPRPKAADNNG IPFKAIVHTSGVHYLPVRTCTCRSVRLPSIDLQYLEMGLFAASFENVQTVFTVEVLED FRMDNLECKTSAYQYYQKLRRLTSPAFPKKVLNRYRELRRLSREYRDLVLRRQYGEGH TREAVVPYYRHCHDSSPERMGINVSDPIDGGSDSPSQEVGMSTDPSLGHGGLDGPPVP QMTRTEDHPEVEDRRGKLALFCPACPQPGINLPDTWIDDADRQVLVILQGYVADGNFK ADHLNQKNEGDDVWLSVGEGYMTAPGPYKEHIKEAISLAPRYKREQTCHNYHAQKAEN RVSPGKRVRGIGAHACARHGCFCPSSIVDFDKGEKQMHMDWSLTQARETTNTQGITKH LEIYDINCQYCVNLARRLSESTKMHWPPSVKMIFAIGLFHVHGHKTECLYNYASTYVP GVGIIDGEILEPLWSVLNDTSRSTRSATTAHRAEVLDDHMGDSNWKKTINMAATIAAK FKRAREQSGITDRFYRGITDQQDSGLINTWEDEISKAEADREQGVADAVGKVMASKVK TAAGRQEIELHLSNMELTSNGATGKAAWISSGLKLEQAQLELRDHVRKLGKHPSTAQK LDLVNKRRSMRTRVEAFCRSAMTFMGEDVLEDIQGDIAPILDYEVSDNNDPDLGNVNI TRADPERQPLPFPSAVKQDFFDGLDAGTNLILKGLRKLELQIRHGHAEDCLEAVRSAL IQLSWQYKYQVRTADSVYMGTRAWDGVKLLNASWKLHRRLYNTNRQKMIYLSAGVRDE DNIRKQYPILQVHNCKHSNAVSDPNIRGGSSDRLSWIWRSRQGLDNDNQLYVNEFFRL NWLRARAQRNRWQEELALTKKEMEWTVRFYVYMAKTWRARHDFVPDRANAQKQIAMWN DLGRAADKVFRQINPEYPSLNILVVSHLVEFMHLPWLFKPPVEDEHHLLTYDERRALA KVHVHICGARIRAGYPWEDDEILNQTKSWGYWWRDGFAEGDEWQVAFVTVESQAREFW NKVVLPEYQQEVLRLNNQRKEAKEGASSSTSGPPRNQENNPKGKGKAAERTSVPTLGK HGSALTGKHGSAFSPPTGKPNPPTRVANTRDETSPIAISDHRPPYCPHCGQPILTGVM RELAHLHRNVADKMKNAHKAVTTSLAALGRYSVLEKMWIDSKELPFSNGKGLSAKYKF QHPVHPSPDSWGAITAQARSFEVSKLKVASFYINDIFYSFIAVKELPFHPLWYHSPPS NTANIHLPTDTLPTPGSVSPPSNAHTSNAFLFNARSRPVFPGEEDDIDSTSDTTESST PATFLEHLAQDFEEEADEESSAGDTTEESDASGNSEELSEDVSETPWDEES JR316_0013438 MDYAFELTQSLSNYMKAQIASRLWHAELPNQIRENFSAETMFEC NLAVEVILQAFENQEYTTWDAEEYLTHLSARCTGQNFTVEARLKDKFSPVHSALQYQT LPGTVVDSAGNILVWYLLGILSETRVESVWNSLRDIETMIHKAVPLATSWRVNDSYFR HEPGWVQPGNINFSPAWFQQGHETSNPLEVSLDLCNPIGQEFIRDTTTSSALLGAILS IIHPEQYRAGMKFLQRLAAEPELVHKAEILKQILTIWSSPFGVMTVISNRDTPYHRDN GSCYSWYDFLMPLGKGEHGRLELPGLGLRYKYDPMTLVAITGRLLQHGAVCNGDRAVI VYYMRRTVFEELGVQEAGWSTTYDLFANLPATNAFDFEI JR316_0013439 MQGTRCYNCKKEGHLARECPLPKENRVHLRAAHTAVSEDDEKGS DRDDNTPEQEPTDKQNSGDEDAYTEVEVMNSDRYKEYSSDNEDNMYAMRIIGLDEDTH HDGTQIPQVGHDTMIKDNDSGLETTDEAFPDLYSVPASNDEGECAQYNTAMTETPERS AKNYDEARMRKSRVRPRVKTEHKVCLATYTNVGGVDAWTLWDSGSTTSGITPTFAQIT NIAVDTLLDPHILQLGTTGSRSMIKYGADVTVMVGNTTTKTYLDVANFDRYDMVVGTP FMYQNKVVLDFEKREVRVNGTKIPAVIVPHPDSNLRLRRQRITNKATQEKATVEDVAE KEEQHVGEKLSSDDPRILRSVDDNNEGMIRKNEPRKTTKKPTERQHRDNIHNAKWVAK QQVKDRPKQKTNPTLDTPPERPREYCQPTLSRVKVER JR316_0013440 MALRPDVKGKKRAAGLTEEDILRLRQQWHDEFVDIVHGTPNELP PFREVNHEIHLIDENKQYTYHLPRYVNAGWWEARATSQAAPLMCIPKKDGKLRTVVHA RQRNDNTVKDVTPLPDQDVIREDVARAKYVSKLVLADDDINKCE JR316_0013441 MASALSPRFQQVFPPPMDDVYMDQMDLKTLYRFSWTCKELNDRV SGYMCRAFRPKNLFAPIFKPNEHLLFRLLQFKTGLPDTGQGYD JR316_0013442 MASALSPRFQQVFPPPMDDVYMDQMDLKTLYRFSWTCKELNDRV SGYMCRAFRPKNLFAPIFKPNEHLLFRLLQFKTGLVISGSTVLHFTCFSGPTQSVA JR316_0013443 MSPTRSPNADAQILVVGAGPSGLILALSLMRHGVPVRIIEKSMK NRLGQRGAGITPRTLEVFESLGFVDEIIKRGIDVPTVRAYKMPEGVEILREFNMSPKL DSTPDKPYANFVMFGQDGLDRVLRSELEKLGCFVELGAELQALTQDDDKVHVKILHHK PDQSVHVEKTSYNWVVGADGARGVVRKQAGMSFVGQTTDLAFVLGDITIKTPLTHHWH LWGDISNILNILRPTDVAGVWSFMIGGRHFTGSEEIYTNEESLKAYFKAHTGTLQDIH IDKFLWISPYRISVRMVDTFQKGRILVTGDAGHVHSPAGGQGMNTGVQDSYNLGWKLA LVAKGLAPPTLLETFNSERYPVVSEMLNITTKLWKQMEEDSSNEKGWNRTGDVHQLGV NYRGSPIVLSDGDTVATGPLPEDDSSYTIRPEGYVLPGDRAPDATGLYRVVDGYRRGP STRLFKIFDATKHTVLTFADRTADSENIISALRMYDETLVRSILITMPGSGARIPADC ITFEDSDGHAYNAYKGPGGLSGSFVIRPDGVVGARVAGPETLGRYFDAIFGKSNTRWS CKM JR316_0013444 MEKEGSATVDRPRSIAAGETLSGGMRMVMTPIGEQFRKLRRAMH SHLRPQVVPDYTPILARNAKRHLIDIINDPFNHQGHAKRYAASVVMEIAYGKQPKLYT DPEILAINECSRRLGLNLRPGIYIPGYLKELQDAHIDELALFKRLLYEVKTKMESKSD VPESFSKYLIERQPELGLSDSEMAYLAGSMFGAGSDTTASAISISIIAAACYPAAQNR VQDELDAVIGRERAPTSADQDMLPQTMAFVLETFRWRPVAPGAELPDS JR316_0013445 MSHLPPAPKDISTHPAKGSVVDPVDKAAKDADVDRKIRLYTVLQ AFRAGKLPSNAQIDRALAYLLSSDALSSSSSHAPTTATTTTGGGHTTTTASVNAKSLS PQGRRLIQDLKEIISTARLMIAEKNADELVQDFVWHTRGAATASQGENGGMGMGGALK DKIPVDREKVDQDSEMAVKHLRTLLTLILSNSEVRKLLGDFSVIGRDLLARAASNASQ AIAPPREALERVDETAPNDQFITEGGRVAAPGETPVLKTEMPGGVKIRADPHDDAHGG ARVHTSDHPEGKPVGEVYDQAQSFKEQARSGAMDAASQAVRDPTKREEMAGKGKEMAG EASKRRDEGMREVKGHVGDVQESEDPDMEVEKKKGGVMGKMRAMRDNINERIPDKHKD AVSNRYERGRQFLNEEYFPEERRDQFIFRGKKVIIECQKHDDYQESIKWLLGFVEEYV RHGKSVAGPGGVLHQHVGTAAKQSNLDLCIAELRTILERFANGVQFDIVTSSLDALID DARRDPALREWFSALNLYVRKVLLEPGYVLEPACNSHARKLRDIGREFYDGKYKGHFD ALFDSVGRWFGAMGEDPINKQFGQDWARLTKDLLFDSEGSLKFKPELWNDIRKVILPG LIDQIGYIPIPRVEYTDDALDLVVENLTLQGRNLLPNMVSFEANNYVAFSPYNAIKEE NHHRVRVHLEQIQADMRDVAFYYKKKTGIPKMKDSGLADVVIGGEGLSAMIELVSTPS SDRTSVFKVHDIKVKLDTLKFAIRDSNHDFLYKTLRPLATGLIKKQVQRAVADALRTG LEYLDGRLVVVRDRMEDIKGEEGEGRVDVLKDLFARKKDEAASLTSSAKERESASQFK IVADKRNSILADQGNPAGWVNRAEERKEMVGKGVDGWRSDAFHVVDNTAGVKHLNAK JR316_0013446 MGSIVEIVFLPQIIAVLAFCITLLTFIHYRVTCSGHRLPLPVLP PGPSGRFVFGNFIPTSSAHLYFESLTQQYGPIFTLRQGFNTVIVIGRLRAAADIMEKE GLATVDRPRSIAVGDTLSGGMRLVMTPVGEQFRKFRRAIHSHLRPQILPDYAVIIARN AKQHIIDIINDPSNHQGHAKRYAASVVMEIAYGKQPKLYTDPEILAINQCSRTFGLNL RPGLWMVDAYPILRYIPGYLKELQDAHVSELALFKRLLYEVQTKMKSKADLPASFSRY LLERQSELGLSDSEIAYLAGSMFGAGSDTTASAISISIIAAACYPEAQRRVQDELDAV IGRKKAPASKDQDMLPQTMAFVLETFRWRPVVPGGIAHRTTRDIIWENYLIPKGATII GNTWSIGRDPNFFEDPESFNPQRWLAEDGRIKQDLKSYSFGFGRRVCPGQYMATSSVF VNTALLQWAFKISPDPAAPIDKMAFTDGVTTHPLPFNVNFKPRITSSMDAIKELLGTY EI JR316_0013447 MALPTVRELVNDVKSLTRLNNGDFQSAWEDSFDDGYHCHEEGGF IYIDFPIKRPITRAYVQRAPKGGTMNQRTKGDNASIDLNNPGISRDNVILVANFHTHP LSTNVQGDPQPSKADKDNAYHRGLPGIVISRDGIYAYGPEERNGTSNPRGYAPSVPIE GAPKATLVKAHPPPEPVPNQWPERAKFEDDIEMEEDGQEEEEECSNN JR316_0013448 MHTPFNLHSRSANVTQSVSPIWKLSEDLLWRIFMTNVNNPQILT PYQYNVKDHTPRPLEVTRITSQVCRHWRNIILSSTAIWGRLIDFVSFDQKTTHWRDEV ISRSGSSSLLWVVEGETSNYVGAPKATESRAFLLRFIADQWNRIEKIFVNRWSGEDWS FLSRPAPCLSQFEIHTMFDAGASDHPSSLPGNIFADQAPQLKSFQMTGAHCDLSLGWT ANLQDLTLGGSFSNQAVFEILSNLPLLQSLDLYGHIAVPDFEPPIVYLPHLQTLYIDT CLEYLRHIRPPPGCLFRFRENRDKILSRLSRERELSEMYQNYFAAHHPSSLSLMCTKR RFVLHSGIFEGLARDTPDMELNFTSHAGIAINSVTYLFQMCSSPLLKEVTRLSMKILH PRPGLLVALKKFSFMLQSLDYLQLDEDTLNILLHWDQESPCSGIVFPRLQNLKLSHLS HASEIEGGVSTESRLERFLFHRRQIGAPIKVLDLTHYQDLAVWLLDATRSLERLDELK GMKVLYRSVDTPGVILHYECGSGHPDVLRLRYGADIARELSDSMVLNLPYID JR316_0013449 MNFRFAILLASCAFTFTAGRVCNNKQIIDTKPFTLGSGEVIQIQ RFNCSSDTATPIRHSKKVVKRDTFDLNIRAASECTEPNCFCGVPLSQPIQSAHCTQLA AQLSNTQGTITIPENEGIGFILQSCEYTISGSETAQTQYCFDDLGAAVTELFAVCGPQ QADCKATSGGLNIFVELTLANAFVLNPRI JR316_0013450 MANGRTSTSSLTLRNPTASPVSKLTEDILWLIFMINTVSYSPSD EELSDPNCLPLNIARLSSQVCHQWRHVLLKTSSVWARLLDWRSLNQETDDWRNEVLGR TGTSLLWIGGHDETDSDEPKDSTLLFFHRLVVDHWERIERLMQFPTQYERIDGLRYVV QRPAPNLKMFAFPDSPRDYHEFEGSMFAGQAPRLRVFHAMGTRCHLEIAWMSNIRELA LGRLFNNKVVCRVLTQLPRLEILELDGHTIIDGYQPPVIHLPELRVLKLWACISYVAC IKPHPGCALLLWQEGQTDRDPFEVYTQAISTLIHNNISMHPAKRAYLECRTSIFTLSE AHIDHSGHFSRKDETFDITICPDTTSSFAVIERLLLALESPYFSTTLQMTLACDVMME STRVCQAFNRFCSHFSGLEILSLHPTDINMLLNDGCLEHLLFPKLHTLILLGLGSVEN RICWIDPFTRYLSYRRDRGFPITTIDLRTELCFQGGIPKSLECLDSFAGMKILWK JR316_0013451 MFAEGWYLRKERFVVYQIAMLTGLAAECTATYSLSKYQTLKHNF YVQSTILEPSSSTSAHLDQRSLNSSAISTIVFCVLVATLFGADFFFLVFWPERRYPKW YVRVKEVLAVIITAGVGASAIVSTWVVATHSAVISGVDHDTAASILRVYSRPPIVYRH WAQNIAWVVLLWIAFLSTLASTFLMFITAQHDARLGPESDLLSSKQERGLGTEEKVPI AAASTGTETGIGRRTTTGSPPSSIRDSTLSDVDENGGNIHKPDMDKDNGTKANATSAA EEANATRIAQGNSGHPTMALKHVQLPVKADSK JR316_0013452 MARSYNTPSTTSNHENSDATLMEFFTNNAAAINAILHQHSSTET FNSSTDDLAWNNAVAALHNITHSRPATPVLLRRMYATSNAFSPQPSESSTVVSPAVSQ PASPPPLTVISPAVSQPASPPPLSNTLSLAHNDNNYSDYTQYDDYSSYNNQQSQPNDF RPRSTLIPRVLPPINWPSDLYPPIQPTAELQAQTPFPNMESSPVLQDFQIEVCHRLID DSVHFATLPFELVMGLIAQLQWLLKCARSSAEQRDLQRLFFLAHAILRNRD JR316_0013453 MIVGSTTTTLGSATANSDTSASSRCVLIYYTDTDNTINLTYLRF PSISIHPRIQHSNRYRLQRTYLTPPSRTPPPNHNRSSPNIPAIVGGTIGGLLLLALCG VLYVFMSRRRRRMATEARRRTFHRDMMVQRRAGGGGGAGTGLVSQGPGVGGGGAAPTA NGNVNVNEEGSRVGDEPRHDIPAPAPPALLPPADPPVPTTRASDLPCVLPALSFWSWT GTGSSSISIAGGNDSSDVESQSQSISRGLSSANDMERGFAGAGFPGNLGIASGLGLAP GGGTTTDRGIPSINGRAPPLGTGHIVPSPKGPRGPAKHGGAADGDVYTPAQPTPFVSQ LPFQSVSSPQLPNSAAAVATPPTSATVALARAQTTTGASGTSATPKRGRGNSITRAVT SRPARLPTALSSLTIPPPSPISSIPPSPSIPITPTVPPNAPPRTQRQAEIAARIDMLS AQMVEVVRAQRDARAGRFDAAREGNRWSMREDGSVVLSPVSPQSGFGPVVSPTGTGVR ASVGGATMNTTTTTMTNSETATTTSGSVSTVTMARYDAVLAEMQREMVWLRDTEHSAW AMGLTEVRPPGWARYMTP JR316_0013454 MSGTDSSSSPSPSSSPSPSQSAPSPLGFFQTDVQEGIISACLNS AMLFNFLIGLYTMVYAGTLYLYMTRIPFSRARRAVTCALTLLYIFCGTQCIVAWYALN LAVVTNGDTRLSIVLSVLTGGSQSVWALGQVMGWMAVVLADGFLIWRCYMIWGQSLRI IFIPLGLLVAETALFITSTVLSSISHALTARITSNITAILSTITHNKLTLPKATALAQ QFALLDELDATSAGNTAGNAKAAAAFVSLGATAITAFLIWYRLVCNSAASSLSQVDSS NFPASPPEKMKGKAASKPMSAPRKVLARIGAWFARVGVLCIESGAVYALVLLVSAITT LLSVMSPHAFGSAVANANTYVSFVLIFAAGMTPTLIIAHTVLCPTVGGTKSVSPVLSK LSGLQFQYQSQNRSGTRTHATNTTTGGEVVVSFHTAPEEPWGAEEKERTKGIGIGKGI GKGKGKNNANGEKTLPFEYSYEV JR316_0013455 MSNGPSTLVPQPDDKGSHYKTAAIVGGVIGGLVGLSLLIFLLIF FRRRRQTTMKRLTFDRDLMVQHRVPRSPPSTWIPGSSIFGSKKGSNRGSHLHTTDNRA VLVDGESTRGTDVEKLGWEGEFGAGSAGVDTGYAFTHPKAALGTGHIVPSPKGPRPST KQANLPPINSPLHQMLQNPYPSQSPTQPVSQAQQHVLEWVQTQARPPFESPMRSELAP PPPTQRRR JR316_0013456 MDIKFVGSGDAAKAFLYYVTDYITKASLPVHAGMAALSYATPNN STLDDVSNTNEQENEESVELNMGDRDITASNQRLDYCLRPANDKFIDLCLYDFVAWGI KQRYTKEMLHIETAVRPGSFLNDEHPEYFTHYMTIRRKSCIPIILGPSIPNPLKSDQL KDDWARDMLLLFKPWRDISDLKTPSETWTDAFHNYEISMKLEHTRIIQNMQALTECSE ARDAHRQRRRGKTSEDVVSDEVQDIILTDTEGNTDTLNPNDVYSPDPFQCIENPNEDF TTNLHDSIDNIGEETSRFLDMCLPLDTTETAVDTEYQKSVPVNQQTLTSHEVDDLLAS HRAIMKSKRKRAMLHEPDTDDITTPPKRYRNGNYAPIAKQAILQDLYDLSHNYNSITD TDTMNNIAEEMGLLNNPEQLKAFRIIGNHIITDNKEQLLIHIAGVGGTALASQE JR316_0013457 MANLEIHRFSPARILILDAQERLLAKDSRRFVLFPIRYIKIWKA YLHAVTTLWEARCAGLSRDSKDWTECLSFQQRCGGIFFFKLSIASHGIHKRLLDIISK EITVPEAHCYFSFQSVNENVHQEAMAKITHGLTGIRMDDCVDEWELLRPKEKFMNIWT RSSVYPFSERLLVFIFIQGIFGISLSRLLQWFSGKDYLPTMVSTYTRIFNDRECHVDF VSLLFYHLKRRPLTSFVNEFVGTIVKIEKKFGSDLLDLSEIDIPLDDLNRYVECKADA LLVSLGYKQLYGTCNKGIDDLIPIVPGELKAGFFLEEMALAYIPPTMEDAILDGQFGN HLLDLS JR316_0013458 MYSHPAPAQAQAAQPKAPENELFSFDFHAPSLVQNTAVMEQPKK DVKQNILSFTLISVYCRLYRLSHAWIRVCTSMGILVSLCFSIPLHWRTRVTLGEDGMY EDVLRFWMDKDKEGNTPDALQEVIEHLMYQGKACPHLYSLVLRFLTSTPELLNRHQTG LKDILEHIDDVQLILLGSLTSFESKWSGECRFNEAMVDQDDQGK JR316_0013478 TLSGQNSSKAPQPQARKAGPSKPKSSSTPSQRKPQTKASNKVDN KKKGKGRAPVKNNDPKGKGKARA JR316_0013479 MSWCKKNIQERWEKRFALRVAEHDESILVLKTRFDQVWNWFSAA ELGKTVTEYWSAWYEHAKKGLYSADSSRPLIKPDPNYVHDLDDVRPELFTQILVDGET EFVPDLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQNL KLLNR JR316_0013480 MSWCKKNIQERWEKRFALRVAEHDESILVWNWFSAAELGKTVTE YWSAWYEHAEKGLYSADSSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVPDLRK IGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQNLKLLNR JR316_0013481 MSSTYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSIDQIVDCVFFDYRAALREFLLNLASWCDKRETVKASLERLELA VSAGNTPNRLRVKAPEFQLTKEFADAGSAEALRVSSTFSTARDVFQKAINDGAIQAKK DELAFWDDKCALNNCYEAAALIVKTTYDDRKSSYKLPVFSTDNKGVRRITDWVVSPQK KAECSALQTILPAIFSHIKQIVNLRHRALAIKIEKKRSTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSVGKKASSRNPSPIILDTNYYSNYPDFVWPEFVQGSSTSGQ ESWPFEIQTLVDAFAEEAPNQGFQQGRQQEEREGESSRQERSKGKGKGKSLDARSNVV PFTSEGMNKPFDPDYGVSSKKKEKPPVLPQYMELGLSMGRRYVQRTIASIPEEALTEM RKHAFSPKRDKIRKFLIDNNYVITMTDKNLGLAVSERDWILRNELNLLEDERNYEELE FEVAQEVMKSKMIQMQTLARTVEDEHLFLFELGLSRFFLSNVPEDGSSFKYPQFHGIP KIHKKPTGFRPIIPCHSVVFNPAAKFVSKELKPIIKSTPSIIHGTKDLFTRLSQLRID PKRQWYFVTGDVVAFYPNIPLDLCIEIVCSMYEEWLLNASEENTALAHINPNSLENNL VKLGIFKRAIEIGNTQLITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKILD HPNVAFYGRYIDDCFAIVYAESEALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFIF KESGKLHWKPFVKTGNNRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAIR DLNALYLMRGYPENLVMSWCKKNIQERWEKRFALRIAEHDESILVLKTRFDQVWNWFS AAELGKTVTEYWSAWYEHAEKGLYSVDSSRPLIKPDPNYVHDLDDVRPELFTQILVDG ETEFVPDLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQ NVNETYHSALVEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0013482 MYEEWLLNASEENTALAHINPNSLENNLVKLGIFKRAIEIGNTQ LITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKILDHPNVAFYGRYIDDCFA IVYAESEALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFIFKESGKLHWKPFVKTGN NRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAIRDLNALYLMRGYPENLV ISWCKKNIQERWEKRFALRVAEHDESILVLKTRFDQVWNWFSAAELGKTVTEYWSAWY EHAEKGLYSADSSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVPDLRKIGLLGS RWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQNVNETYHSALVEAAEQ ISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0013483 MSSTYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSIDQIVDCVFFDYRAALREFLLNLASWCDKRETVKASLERLELA VSAGNTPNRLRVKAPEFQLTKEFADAGSAEALRVSSTFSTARDVFQKAINDGAIQAKK DELAFWDDKCALNNCYEAAALIVKTTYDDRKSSYKLPVFSTDNKGVRRITDWVVSPQK KAECSALQTILPAIFSHIKQIVNLRHRALAIKIEKKRSTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSVGKKASSRNPSPIILDTNYYSNYPDFVWPEFVQGSSTSGQ ESWPFEIQTLVDAFAEEAPNQGFQQGRQQEEREGESSRQERSKGKGKGKSLDARSNVV PFTSEGMNKPFDPDYGVSSKKKEKPPVLPQYMELGLSMGRRYVQRTIASIPEEALTEM RKHAFSPKRDKIRKFLIDNNYVITMTDKNLGLAVSERDWILRNELNLLEDERNYEELE FEVAQEVMKSKMIQMQTLARTVEDEHLFLFELGLSRFFLSNVPEDGSSFKYPQFHGIP KIHKKPTGFRPIIPCHSVVFNPAAKFVSKELKPIIKSTPSIIHGTKDLFTRLSQLRID PKRQWYFVTGDVVAFYPNIPLDLCIEIVCSMYEEWLLNASEENTALAHINPNSLENNL VKLGIFKRAIEIGNTQLITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKILD HPNVAFYGRYIDDCFAIVYAESEALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFIF KESGKLHWKPFVKTGNNRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAIR DLNALYLMRGYPENLVMSWCKKNIQERWEKRFALRIAEHDESILVLKTRFDQVWNWFS AAELGKTVTEYWSAWYEHAEKGLYSVDSSRPLIKPDPNYVHDLDDVRPELFTQILVDG ETEFVPDLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQ NVNETYHSALVEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0013484 MSQQTHIDWAQGSAFVQKGTIYVSPNCKRVVIIPPAEVDVTNPF EQKATRAEPRRRDLDLEGVKQPVRWHDQYGWIAFIPLAPSFVSLPFNFLCWSPKILRN KPHKSPATYEMEWHSVKEWRQLDENITLLCEKIRLWCRVPGTPPPSPKSFGYDLRYSS EADAQRSFEATRNAFILWMGYLSYLVAQSRREIYSKHIKHDPKSPVPAWHERLRAEHP DISEVWLDGLYHSNVFSFNARTPRVGMVYELSSTHATRPPIEWLLEHHVPVYYPWRMR EEEIFLTHKILNLELRPPRDLLAATLTDLFKSMDVSLAAAFARKFFNKSHSTEGLTMK LLGDEYSTTLVYSILSNDFAHNSEALDQHMSQPYEELERKLQKRDEEQRQLAIDSANL PTLRMIELANENHKLLTSVHDDWDAYWAARVEERRRILAKETPEARQARLNRENNPSV VRSKVFVWKTLVSTEGASVYMREHIATSRNSIEEAKLRPHRKVYNGVTDEWDLCRDLE PPAELAGASAMLDQRNQAQTRPARGQHRRGRGVRPAKQPRQHPKPAPAEEEWEGVPWY DTIEPDPDAPVASTSALPPARNPSPPPLPPNNPPVIEVPTPSSSRDRPRRAPTTPPRP TLGRRPRSRSPTPPEVATRSRPRARRSPESESRVQQSPPAVVEDFEMEEAGPSVEDEN ADVEMTTDAPATDVVQPQPITPDNDMVRCLRQSYGYLPGTTTTPCAAADWDELVETFG FTELLTPEVALDAQQQIKQFYLACIEPTSTEMPAALSDLNTHSLTSLSRLLDLSQIHR PHENLFVFSEPRSEEHEWMLGVETAEIALYVCRLRLEHSWARIDSLTKMLLERGVPCR TLMGIEMSGRCSTVQEPYTPRSVRPSAYKFGVDDFEAYRLQCENIIKHQQHGRAALLR GGLVGRIASEFLSVDDGLAGPSKEIIQNRQGFIVPAGDTTWCYCDDQLTENELSIICG TYTLYTATKGQITVKSWFPPPNLWQVPPSMNGSQWVEWTPANEAWYRERVEDIRTRQA QPLTRVQWKSILRGTPPSRKLLAAASQRAQAFVNGHVPVVPTYRVRPGL JR316_0013485 MATQGVSPLSSALQFSPVRSTSSQQHLKGHRVVWCPKTPRRYIQ AARRTQDPSKRRPRLSQRCHQPPVECQVIVSADPQRRHVNTSTLAAHKTPQYHNHDHN QPRSLVKMHNNIVRLLECQAIVSSGAQSDASV JR316_0013486 MLRVKASLSVAGVDFQVDSTLLWGGQSRVVPDEQNTEQLTFSTP VRRWQRLVAVANSYVSTHIHVEQRITYLQVSYKTYTRIPRRLDG JR316_0013487 MPALAVPTLALATACRCAATPGPLLPPPPPLDACRCCRAASPRR LPSRCRVHSPPTTTRGRGSLCTMRSLSRILLRRRLFLPAPVLTVNNGGPPAPGPDIHQ QLQQQQHHRQQQQQQHIPSHTTHANHSLLYDHLSHQTLNPLERLLLFSLAHECAGSVR RKTVDMICDVANQGMARGRPWHALQAQAFSMTHAQGLGGEEGSGSVGGGAVPGWMLRE SAYRVFAGCPNLVMDLQINAMLGVFQCGLQDPENIEVCLLYFIISVGRC JR316_0013488 MSQQTHIDWAQGSAFVQKGTIYVSPNCKRVVIIPPAEVDVTNPF EQKATRAEPRRRDLDLEGVKQPVRWHDQYGWIAFIPLAPSFVSLPFNFLCWSPKILRN KPHKSPATYEMEWHSVKEWRQLDENITLLCEKIRLWCRVPGTPPPSPKSFGYDLRYSS EADAQRSFEATRNAFILWMGYLSYLVAQSRREIYSKHIKHDPKSPVPAWHERLRAEHP DISEVWLDGLYHSNVFSFNARTPRVGMVYELSSTHATRPPIEWLLEHHVPVYYPWRMR EEEIFLTHKILNLELRPPRDLLAATLTDLFKSMDVSLAAAFARKFFNKSHSTEGLTMK LLGDEYSTTLVYSILSNDFAHNSEALDQHMSQPYEELERKLQKRDEEQRQLAIDSANL PTLRMIELANENHKLLTSVHDDWDAYWAARVEERRRILAKETPEARQARLNRENNPSV VRSKVFVWKTLVSTEGASVYMREHIATSRNSIEEAKLRPHRKVYNGVTDEWDLCRDLE PPAELAGASAMLDQRNQAQTRPARGQHRRGRGVRPAKQPRQHPKPAPAEEEWEGVPWY DTIEPDPDAPVASTSALPPARNPSPPPLPPNNPPVIEVPTPSSSRDRPRRAPTTPPRP TLGRRPRSRSPTPPEVATRSRPRARRSPESESRVQQSPPAVVEDFEMEEAGPSVEDEN ADVEMTTDAPATDVVQPQPITPDNDMVRCLRQSYGYLPGTTTTPCAAADWDELVETFG FTELLTPEVALDAQQHIKQFYLACIEPTSTEMPAALSDLNTHSLTSLSRLLDLSQIHR PHENLFVFSEPRSEEHEWMLGVETAEIALYVCRLRLEHSWARIDSLTKMLLERGVPCR TLMGIEMSGRCSTVQEPYTPRSVRPSAYKFGVDDFEAYRLQCENIIKHQQHGRAALLR GGLVGRIASEFLSVDDGLAGPSKEIIQNRQGFIVPAGDTTWCYCDDQLTENELSIICG TYTLYTATKGQITVKSWFPPPNLWQVPPSMNGSQWVEWTPANEAWYRERVEDIRTRQA QPLTRVQWKSILRGTPPSRKLLAAASQRAQAFVNGHVPVVPTYRVRPGL JR316_0013489 MSPRHNKVKEKHSHSPLSDAFLSVSSISKVIVSSGAQRRHVDTF KPLDAHKTPQNDDLDYHNVVSESQYNEMTKNCALTSSRRISSSQPPVECQVIVSADPQ RRHVNTSTLAAHKTPQYHNHDHNQPRSLVKMHNNIVRLLECQAIVSSGAQSDASV JR316_0013490 MLRVKASLSVAGVDFQVDSTLLWGGQSRVVPDEQNTEQLTFSTP VRRWQRLVAVANSYVSTHIHVEQRITYLQVSYKTYTRIPRRLDG JR316_0013491 MPALAVPTLALATACRCAATPGPLLPPPPPLDACRCCRAASPRR LPSRCRVHSPPTTTRGRGSLCTMRSLSRILLRRRLFLPAPVLTVNNGGPPAPGPDIHQ QLQQQQHHRQQQQQQHIPSHTTHANHSLLYDHLSHQTLNPLERLLLFSLAHECAGSVR RKTVDMICDVANQGMARGRPWHALQAQAFSMTHAQGLGGEEGSGSVGGGAVPGWMLRE SAYRVFAGCPNLVMDLQINAMLGVFQCGLQDPENIEVCLLYFIISVGRC JR316_0013492 MSQQTHIDWAQGSAFVQKGTIYVSPNCKRVVIIPPAEVDVTNPF EQKATRAEPRRRDLDLEGVKQPVRWHDQYGWIAFIPLAPSFVSLPFNFLCWSPKILRN KPHKSPATYEMEWHSVKEWRQLDENITLLCEKIRLWCRVPGTPPPSPKSFGYDLRYSS EADAQRSFEATRNAFILWMGYLSYLVAQSRREIYSKHIKHDPKSPVPAWHERLRAEHP DISEVWLDGLYHSNVFSFNARTPRVGMVYELSSTHATRPPIEWLLEHHVPVYYPWRMR EEEIFLTHKILNLELRPPRDLLAATLTDLFKSMDVSLAAAFARKFFNKSHSTEGLTMK LLGDEYSTTLVYSILSNDFAHNSEALDQHMSQPYEELERKLQKRDEEQRQLAIDSANL PTLRMIELANENHKLLTSVHDDWDAYWAARVEERRRILAKETPEARQARLNRENNPSV VRSKVFVWKTLVSTEGASVYMREHIATSRNSIEEAKLRPHRKVYNGVTDEWDLCRDLE PPAELAGASAMLDQRNQAQTRPARGQHRRGRGVRPAKQPRQHPKPAPAEEEWEGVPWY DTIEPDPDAPVASTSALPPARNPSPPPLPPNNPPVIEVPTPSSSRDRPRRAPTTPPRP TLGRRPRSRSPTPPEVATRSRPRARRSPESESRVQQSPPAVVEDFEMEEAGPSVEDEN ADVEMTTDAPATDVVQPQPITPDNDMVRCLRQSYGYLPGTTTTPCAAADWDELVETFG FTELLTPEVALDAQQHIKQFYLACIEPTSTEMPAALSDLNTHSLTSLSRLLDLSQIHR PHENLFVFSEPRSEEHEWMLGVETAEIALYVCRLRLEHSWARIDSLTKMLLERGVPCR TLMGIEMSGRCSTVQEPYTPRSVRPSAYKFGVDDFEAYRLQCENIIKHQQHGRAALLR GGLVGRIASEFLSVDDGLAGPSKEIIQNRQGFIVPAGDTTWCYCDDQLTENELSIICG TYTLYTATKGQITVKSWFPPPNLWQVPPSMNGSQWVEWTPANEAWYRERVEDIRTRQA QPLTRVQWKSILRGTPPSRKLLAAASQRAQAFVNGHVPVVPTYRVRPGL JR316_0013493 MSPRHNKVKEKHSHSPLSDAFLSVSSISKVIVSSGAQRRHVDTF KPLDAHKTPQNDDLDYHNVVSESQYNEMTKNCALTSSRRISSSQPPVECQVIVSADPQ RRHVNTSTLAAHKTPQYHNHDHNQPRSLVKMHNNIVRLLECQAIVSSGAQSDASV JR316_0013494 MLRVKASLSVAGVDFQVDSTLLWGGQSRVVPDEQNTEQLTFSTP VRRWQRLVAVANSYVSTHIHVEQRITYLQVSYKTYTRIPRRLDG JR316_0013495 MPALAVPTLALATACRCAATPGPLLPPPPPLDACRCCRAASPRR LPSRCRVHSPPTTTRGRGSLCTMRSLSRILLRRRLFLPAPVLTVNNGGPPAPGPDIHQ QLQQQQHHRQQQQQQHIPSHTTHANHSLLYDHLSHQTLNPLERLLLFSLAHECAGSVR RKTVDMICDVANQGMARGRPWHALQAQAFSMTHAQGLGGEEGSGSVGGGAVPGWMLRE SAYRVFAGCPNLVMDLQINAMLGVFQCGLQDPENIEVCLLYFIISVGRC JR316_0013496 MGGGDVVYSRNQEIHTIVEWLTPITSDATHYDTLSKRMPGTGEW FLSSTQFKDWLSGQRSILLLDGKRERYFAPLLSIAKFLLNSWLRKDYHCVSSDE JR316_0013497 MAALISQVVSAIVGFKYGLKHSIASGCWITERVSAYVGSVCGEV FRYIEERSDTPVMVRGRNRAQITDVEAQNLRTTDADCNADFEEIEDLLRIRQEIMDLH TLLDNKRALTRPMTPEAHNINIDRFALDHRHRLNDIAIEAQIRAKYPSRNEYLAICKK YEVSPFRT JR316_0013498 MAPLVSTLFDTDMEKTTPVTTTLSRKSSKRSKAVSRKTVDFSAE PVPPVSPPRLADPVKKETTAIVRATKLEVIELDEAFIDFWSDSLLDPITATWPTFIIC KFKSTVVPQLLYGPVQEGGKQKTLKWLVLEQAFTVRPAPPPSLSAVPRPESARPVSPA LSTSGRNRFSFWSMSRTASSSSQSSQKGKKKERALNVGEMGEIIEEPAEQAKQEVVQL KAAPSKSKSSKAEKAQMPIEKPVEAVKQLADTSDTPDLETAAIVTGAAITDAIAGAAA AAPAAKIPEPAVEIQAEAPAPAVEEPATVSETPAAVVAESVAPAAEVSPPVTEALVIS EPEAPVLDAPVEAEREAAVEEIQVQAPVSEPQPSTDAPSVVEAQETADASTDDDAAPV EASVSESQVAVPEAPSASFVAEVDESAPEPKAPTAEAIAPARGNRSKDPVLKQVSEER ASAIVAEAQEPVVESVPPLATAAKEPLVAAPAEVAEVLVESNRPPLLTTYRLQQRKPP PSPTKSQLRMSKNLRLFWQQILPRHQLRLPKLPQLMLTPRRRALRKNHGLWTTPVAEV SGASQPENLSQVLVSDVPVAVELQPFKADYQAPIVEAPAAEPQPQENLSALP JR316_0013499 MDGVVVQRRDTRSRADNTYERPNDPVVDSKDRADGAVAGAVKKQ ADNWPVEEAGASIEARLGGAPTGTDGAIAIHGDEAYVPGPDDVLVCAEGGGPVCSECE AGGVKWSPKRTTTTTTLQPS JR316_0013500 MEEHEATRRAATSSSGVWGTRLISTTTSWRTSTTSLATLKGDFT DTIVGVCEMRGRISRLSTLCKCFPAVVRRCDVERFLNIGRLYPEIDPPEKRIDMHIDL LRRGEFRDMECVNDIVKIEAQSDQLAETYFDGFDTDLARKLVYVTAFDHNLDRRF JR316_0013501 MDDVDVSRIMHEEARIEIAPPMHPGAAPLFPPIYHQEQLRQLEQ LYFFSRRAAYCFIRPFHESQSHGQVNLRSKGEVVFEAASNYLKTTIDGMDTG JR316_0013502 MKKIDPRIRQFLDTEAQVDDEISEEDEQTGSGEIDREDGFIDDD TPPDVGHSVLPTQLSVHRHTEGALERLISRIESRINAAGDGGFAIPEDPDDTLHDNGL LYIPRADDYPLWRVECRVGIEEQAVMSLLSTVSEVHQVRSAFTRGSTQGSIYIECKMN QALVDLLLRTPGVLRNGLGIKRQLIDSSEYSQVLGMRDGPVDVGAWVVIKKGLYKGDV GVVSQKSFQHARILLIPRLHTTPQNPLKRKSSTVKPAAKLFDPDHFRQLFPTDVQSRG PDCYCFRDMDFEQGLLAQNLDHRSFTVNVKDIPHDFYTMFRMSQHPAVNRSHMPRPRE WTLKEGDAVLICSTPSTSTSFFAPAILKVMDTYYAEVLEIGTHGVPLADNPTKRVPWQ EIRKDVKIGQHVCVRGGHHTGKTGWVVALKDDRVHFVSKKFEGEIPTYFRDGDEVIES TEVFVNFVDIAKEPVVLHHKHEPEQTAIIPYVRQPESGPLPKTPPHPWCGVKVKISKQ HHPRKGEYGVIQDVKENLDDNTITLHMQLTRYDPNAPFHRISVRYDDVVEFASSLELV LFLDPGEGHIRPTPTQTLSTPQPEQGHDTSAHHPYLMERPSGSATPLPTPAPNNLSSP PSPAWDPSSRTPLSDSVASDPLWMPPAPPPHVLLNPKLVGVKLNAVVDGGDFSKQLIA VSIELHGNEVVLRHKKYHTWISLEPRWVTPKYPHPIHDNNLLVVIKGDHSGKYVRRIH HRLDQLTKKINIILSVVARTVGSPDSLTGEQLELDPQFLCTVPETKKERELNWRVMNT TRAMHNRGPSQNQ JR316_0013503 MVSSLMTFRSTTRDLHMTLNARYYAVDANRAVTKKIHTLANASG RSPRLDLLMGGKSLQPHIHDGVVSIVNKGRTTRFHIFVKNHKFLPRNKSLRRWGNARW RGDVVVFRKGLSLDLVNFTIRDGKLADHAVKR JR316_0013504 MSSTRRETLPLKERIVALLTPSATGSSTDQEPSSMDTSPDYPTE RLEQVEQGGAGATLSNATPSNVAVSFPIPPPGPAADEHGARTASIAPSVSQPTNGASL TNQVPVNAVILLPPRLAADDQGAQGSSVPNRVAPSVSQPSNGASLTNQAPVNAVTLLP PRLAADDQGAQGSSVPDRVAPSVSQPTNGASSIDQAPFRFATDVRGAQGSSIANSVSR PSAGHIPRIGSSDVLFPGHFRQNESSANTNPPPNPETSMDIDPTLPTSSNPSSTSTFP RFFIPVLSGNLFNFTRSDPNQSNQSKAKKRRAAPEDHTTKVQVIEQKDVDIAELSKQQ ARYPKSTFLIKQREEVLKKIIDDERAELTKSKNAIKNAEKKAERSKKEVEAVRSQYTK LSKAMRTEKESSKGLEETVKTQQGDLSSALIKLRRAESLSKAYDRQRLEAQERHEKTN ADLEAAVASRGQQLFAQISDLENDIARLQQEKSSEVSTLQRQLQQANSRIEDLSASQN TAALAQEQISSLQQQLRDANSTIENLNASQRAAATLQQQLQQANSRIEDLSASQNTAA LAQEQINSLQQQLRDANSTIENLNASQRAAATLQQQLQAANSRIESLSASQNTAALAQ EQINSLQQQLRDANSTIANLNTSQSAAHAQDQINALQQQLERANTTRVEDQQTITGLN ERLRVASNEITRLTAQLQNQREESQKQYHALERDLKSQMDLHQQKSADAENALKAEQT NRMAEMNQMKATYEDTVSSLRLQVSNLAQQCQANQGRLNELESSNTEFGNTTSALEDI LKNERIKNEVNLFPSLSIFDSDHTSKVLQARINSVMKNRTHNTEDDYEPTEIRRLDAR RRLIGINKRNAGFSIHSRIAQRGQSAVPPSNPPQNEASRDEDDEMVDSSPLRNSPRAP NSSPPLDSDDEHMEDGTTHQRPVPRSASPRRYIIPGFFPTRYTTIPPSQRRRDSNRAT PIPPTGQSATERYFAAAMQRLGRLPASNSTIAPTSATSAVNDPYRAAYQARHATRPTV SPVVDRTVPAMPTTPTTPRHSASEVRTSNTSVPPNSSTANPQLAAYQVRHANRSGAST NPQLAAYQARHAERSGASAQRAKSPATAQPAPPMANTPRHPTSTVTAPNMPSDDDQHP SPRPNTDNMLIECIQTMTASVNGLRDDLANGRGPRVRQTPTKVKSPFKEKAPARPRTI DRTQLTV JR316_0013505 MSSTYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSIDQIVDCVFFDYRAALREFLLNLASWCDKRETVKASLERLELA VSAGNTPNRLRVKAPEFQLTKEFADAGSAEALRVSSTFSTARDVFQKAINDGAIQAKK DELAFWDDKCALNNCYEAAALIVKTTYDDRKSSYKLPVFSTDNKGVRRITDWVVSPQK KAECSALQTILPAIFSHIKQIVNLRHRALAIKIEKKRSTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSVGKKASSRNPSPIILDTNYYSNYPDFVWPEFVQGSSTSGQ ESWPFEIQTLVDAFAEEAPNQGFQQGRQQEEREGESSRQERSKGKGKGKSLDARSNVV PFTSEGMNKPFDPDYGVSSKKKEKPPVLPQYMELGLSMGRRYVQRTIASIPEEALTEM RKHAFSPKRDKIRKFLIDNNYVITMTDKNLGLAVSERDWILRNELNLLEDERNYEELE FEVAQEVMKSKMIQMQTLARTVEDEHLFLFELGLSRFFLSNVPEDGSSFKYPQFHGIP KIHKKPTGFRPIIPCHSVVFNPAAKFVSKELKPIIKSTPSIIHGTKDLFTRLSQLRID PKRQWYFVTGDVVAFYPNIPLDLCIEIVCSMYEEWLLNASEENTALAHINPNSLENNL VKLGIFKRAIEIGNTQLITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKILD HPNVAFYGRYIDDCFAIVYAESEALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFIF KESGKLHWKPFVKTGNNRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAIR DLNALYLMRGYPENLVMSWCKKNIQERWEKRFALRIAEHDESILVLKTRFDQVWNWFS AAELGKTVTEYWSAWYEHAEKGLYSVDSSRPLIKPDPNYVHDLDDVRPELFTQILVDG ETEFVPDLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQ NVNETYHSALVEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0013506 MPPGNQLLLSGILSQIEVKGDILNGNKHRSFYWVQIYVDNQEII KSDKIKAHSDKLSWQWGSDTKIYFSPSSVVRIELYRGFKSSAMDKFRQILVHVAQFEE QIEKLLDNSSALFELKDKKEQSVAQINMSLFLSETPNEFISDFMKKVDRDVANLKDID GVSKLALSILGPVLQSTKTLMDTVADAHPILKISWKFISNIYDATQETEIQDQSIREL AENLREMLATANEKLDLPKIPNAVDIIKQIGQHSLQVASIMHEYTQLSYNKRTLFLST GNLGKRIKECQSKLQALNKRFYERVQLEMNKAVKENQDMIKDVKKEVTKVKDDALEKK IIEWLWHSGPPLDFSKNYNEANKKHHGETCSWFLDDNRFGKWLHHSGFIWVYGKAGCG KTILMSLIIKKLPEANSSTGICYFFFDARDGQTDSQLYMNFIRSLIHQLCDFRHGGIP QELVNLYTKCGSSQPLDEQLEETLQKILEGFDHVFIAIDALDECLDRQRTLDWVKKLL TKSQGQTNIHLIITSRRETDISNIFHNFRGDHIDLVNSENKDIEQYITQKMKSDNLRK FDEQHQFEIKQKLLSCADGSYIALMLNEVEKCSNLAMLKTTLTEMPKDLDEIYGQILR KCDSKKALDLQRFLQFLAFSIEDVKLEELAEIITIEFTPENEPVYNSNKQYFNPIDVL ELCGGLVVTVRNDESDNQSEDYVKLSHFSVKEYLMSSHVQDVFHLAKTTSQIEISKTL LLYLLETYATILNNNNIGPYNFPLKFHAKSYWHLYVQCQGVGEDNIICKLVVSLLRLG DSTGFNDKVSNILWYGRLETKTAESKMICQASVLGLCGVVKVLLREVDDITLTKKSMD GKNPHQERVKGKYSDALQAASYMGYGLVVETLLQHGADINALFGNYGTALQAASYIGH RLVVETLLQHGADVNIVGGRYGTALQAASYYGHELVIETLIKHGADVNVVGSEHGTVL QAASFYGHELVVETLIKHGADVNVVGGEYGTALQAASYYGHELVVETLIKHGADVNVV GGQYGTALQAASYRGYGLGVETLIKHGANVNVVGGEYGNALQAASFLGRELVVETLLQ HGADINAVNGSYGTALQAASYSGYELLIITLLQHGADVNVVGGKYGTALQAASYRGYG LVVETLIKHGADVNVVGGEYGNALQAASFLGHELVVETLLQHGADLNVVGSEYGPALQ VASYRGYGLVVETIIKHSADVNVVDGKYGTALQAASCSGYRAVVKILLQYGADVNIVG GEYGTALQAASYMGHNSVAETLLQHGADINAVNGSYGTALQAASYSGYELLIITLLQH GADVNIVGGEYGTALQAASYRGNIFVVGSLIRRGADVNVVGGKYGTALLAASYVGQYS IVDLLLKNGADVNTSASRFDNALQAACSKNHEKVIQLLLSHGAKVTSLDYLDCISNPA LQVELRIAYSAHKESISNPLQTMPEN JR316_0013507 MGQREINGARGATRENLTSGSPGNELKQYNKLTGHSEHYQEYAD TVRESLVHYGIQEDLANAIITEQMFLLTTELLFRLQKLEAENKRLDNNLLAYGRISKR SAAESTDDNDGRPSKKPTHNADTAPNMSTPTAGVTTRDPENNDPTYDILTNVVVPGER HPSPKLKRDPSRDHPNPVGYRTEDEDSEDESNLSTAEANRRLAKRQLDFDMYSGRIDN VWGVVLHPQLSPQRLNILRNTLPRHTWFSERSNTVFTGNTAIAAMQWERTHDNAYNPF KNPLYATAPRGVPRNPLEVKGLVRITQDETFEPWVRVEAYILLRVLKNAAAMSNPQVH DRAMQCLEKVSVDEFTPKVDENEWMEIALPHDFDRIRNFANLHKNNRGMGIPMPKREH SLLIDDFAQYALMHARPGSISPLPGIAMRLSLEVDRRSSFGQGLVRVLGPVDKAARHE FTKAFAALASHANRYREAIEAYDAQNPGAPFEAQEGPTYSFVRSSLTIEGAKLFGIVD IIELLVRNRIPVSWIDHAYPFGFNYLNARISRPIPNNHHLAVYDDERLARIDRFGVPP AIPEWDGWRQPSEDDRLRLYVLMSLDEKAKPKCQGFQHYTWIRIGDPAFQRFLKFRDQ NIIDDQERIAAARKAPTGSTVALDDNDDEMIDATSHDTEDNVMGSALLMEDDIPTQGI ASLSLKKVNSI JR316_0013508 MASPQPSQGNDTPKDTASHDILVFNRETMRFDKVKVTQTGVTRD SVDNVDAPEPGTSKPIDKGPVGDDTQPPETSKDEAIEGLQREIDARLETIWEIYGVDR LDRQIALRNKAIDIVEQEITRIGNASTEGWGIDKYISVVVKTASRMAEKYADRPRYHY ISSMGIPISPITEMTNILEMPVSSPRIRPPDNAVYKLPSVADISAVDRGQEASLSMND QQAQFAGFPNVTRRSDARVARAVSWKDRVAYQTMRNASLRESGTSNIDDQGIEFDGHR PREAVRATSPLPKQEYVEDMASLNLLDAPYGSVRSKRTIEREDKYARSGTIPPQFTNY GESRRTPRGYSMPRAVATRVKPGISEANEAHKSDMQDRLSRLIHELLGTRIEFPEGFK YNTKMESDKSTRYSGSPKFSDLENWLSDLCTKMAVRQLGGPKNEQIRRMILPEYLDGE AKFFYNHHVANINRQKRSWTFEEVILALYERFVHPTSMQDAREAFRTAMFNPDKGVQA FYDTILEHAQNMYEYPDQYSILEVFLSGIPRAMRSHLIRNDGLSPEINSVDEFVGLAK LYEECQKTDAYYQRHGREASGATNPLKAQHIDTKPLRIRSRGKLMVLRSQLIDPNKGN NKPEESNAVKIVHKTQATTANPVGANKPKGNNVGRTIQCYNCGKTGHFAKECVEPRKD RVQLRAARTVAPDDIDETMSNMGDDEADPEDIGPADEDQYTEVEVVDSDWYESESRIE SDQCRAMSVMSPDVANTNNFVECESSSDNEFVDVLVPLEPKIHDMTNDGTHAMTEIPE AQARSDEDKIKMRKVRLRTSKKERMRPVLKPEDKECLVTYVNVAGMDAWTLWDSGSTT SGLTPAFAQIADISVDTLIDPHILQLGTTGSRSSIKYGTDTQVNVGNLSTTTYLDIIN IDRYDMIIGTPFMRKHKVMLDFDKSVVHINGVSIPAIRVPRDTDGRLRRQRVTEKHAD T JR316_0013509 MHFTSLTVFAALTLLTTTLASPISADLDARHVVSVERDVASVYS IEHTVQRDVEDSALTAEATPPDRDWKRDSVAGPPNQDWKHAGPPNSDWRRAGPPNSDW RRDAAGPPNQSWKRGQPNQDWRREAVADAAPLGDKDWRRDASPAPAPGDRDWRRDASP VPTPGDRDWRRKDPIYPWRRADTPPNRPWKREASANAGPDVAPGNSA JR316_0013510 MFQYLVPFLLLAVPTLALPAPGACTTLPENIDFTTNPALPNPFA FLDGTPVVTPEQWACRREEIGQLFQRFELGTLPPRPEQVFGSFSNGVLTVNATEAGKS ISFTVPITPPKNLTLAGKGPFPAVIAVGGASVPIPSDVALINFNNNDIALQNDQSSRG VGKFFTLYGSNHSAGALIAWTWGIGRIIDVLETTPGHNIDVKRLGVTGCSRNGKGAYV AGAFEERIALTLVQESGSGGAGCWRISDDMLNKQNITTQTASEIVQENVWFSPNFNPF VNEVTVLPFDHHMLAGLVAPRGLLVIDNTGIDWLGPESVWGCQTTGHLIYEALGIPSS MGITQEGNHDHCALPADEAPDVSAFISRFLKGQNVNTTVFDTDGPNNVGFVPSTYINW NVPKLH JR316_0013511 MRPKSPHWDSPNSPTKRPAAFYGNSVDYRGNPSSSRLPSQVDLL LSTPSRRSQYPNNTAVFSEASLNIEECREEVGKMMNLMSTAHTRLENLPYGQPQSWIQ YWKNERAAALVAIRRMLGNMNKSNNMTPAEYFLQEFWRDPENGVPLVLPTVAYTDACN KGIGFFMPAPGGHQQALGLAWSWKTGKNYIIPRILDGSVYASWGELIAVEITLETLLT LHQYESIQSRRYPPDPPCFVILSDNQDVVTSLSSQNRWVDRRAVFLEELDSVVGRIGK TCLDHNVQLHVRWIRGSNNYADPWSRMEFSKENADSMEEWHEKIKMKLFDHGITVPAV PYHHRLLLEPCWFKHRTQSFRGSPRKSTRSFHPY JR316_0013512 MDTGSPRSRPHEQECERELVRLLVEHGATDLERLIILQDKGWND TTTELHFCKQRHTSDTCSPKLNLIWFKRMKILFREIDSNVDVVSFTHGLVFLDLGCSP GVSLPVEEGGHEFLLESDYLTRFHLLSANLTYFELGPHCNDTVELHRLPMYMSVPVFD LVLLDGHQLRTQTTSVPWDRDRLLISQVIIGLKSVRQGGTLVVKLPLPHRHIAARIIF LLRILSNELVGWKPLCMHANRGQFYAVAKGVGEGKSGGNLQNYIEKLGLLWSALTFGG EDNVGRAMVPEDLDFIISMNDLRDHHLEWLLEYGKPFWKVQANAMERFYLKKDPSGQC ALWCPAPVKEQLCM JR316_0013513 MPYFFNLSDSESMGVTARNTAPDAVDGTSYLLDNGTNLMLDQHT APVENADGNKLSSFLSPHIEGCADAAQHQGLFSDNARPWFELGEDSNTHHPSTSLSTE REEKKRCTSESINDGREELRSRLTNELVERQDVNEAQRNDGGYGGRREIGPSQTIGRY GVKARLESKRRRNELDSEGYRRYKKPRTTESPDSACGLGQQRIGSPEVSKRKGLWDAK IQRQLSDGVSVEPIVYDSL JR316_0013514 MPYSDFTLADLQDYAPQNPCDDNRDLGALSGASYGAGDSNPVEA IDAPLPDPTFQFCADVLRSISRIFPGLPTYHKGKDERGATIDEVNVQAPATSGASSRH QDNHQLIPGEIEDSHHRHTLYATPSAYNSNQYEVLSPPAVVPVHMSSFPVLINCRDTT SLGSRHEPSASPSIPSMGQPGLSNWHQGQFDEPFQPSIDRSVWPEHDMAAPSALHLDS ERAGFPTHQTVPDAFDGTLSHRDNGKNLMVDQHTAPVENVDGNEMSSSLSPHIGGRAD AAQHQVIFSDNAWSWVELGEGPNTHYPTTSFSLLREEKENCTSTSIRDGHEEHRSRLT NEPVERQYVDKAQKNDGGYGGRREIGPSQTIGSGESGSRKRQHEESDSEIESAGGSHK PKKSKVKESLDSVPGDRSTGVQGARGKRRNLNPRAPAMMKSYAHDLTPLATSEAKQGG FIPFVAAETKAASKAFAHHQMSVNMENMTIV JR316_0013515 MFQNARNIRISGTPTFQNINGSYHYHDHTSNTTNNNTTTITGND FSQTVHNHNTYTIEGGRRSYSQPEESSGVPQHTPQAPRPPTIHSLPNSQHISPPPDTQ VFHMQPYPHRFITKNPMSATIPYTYPSLGGAAYGFHEGHHMAASILPGHIVAMSPEVS LSLTQFFRKLEDQVSCEKTRDAGTITDTDLDMDGDGVRDGSGDGEQVYQDTVYQSHPT TAPPPQLTFERSGAAHIGVCTQVPQTGNQWEWATPHQPAQQPLPQNSHLQNQDNDTNA PYTSYTPVPNQFNLADQQPNANSNLNANSNSNSNSSSNHNHKKNPIPPRVRRTLQAAF TFNSHLGESSSSTTSSTEYDYTAASKNTTSLPFAYTHSPEAGTTHTDAVAPRMEVCDG TVVEMRRAENVHTTWDVRGDDVGRVPVHVQRLWHCEGESEGEGEEEEGEGDRGDGKGV VYAGESGDMDGRMRWTGNRSPLESMQISMGYLRV JR316_0013516 MPSLPSVPGTDPTKCVLDSFRIAIAQKLSNALPLTLEQAFSGVD YGKKGEDFTVALPRFRIPGKLEETAAKVIDSFQSDEWVEAVVLDKSFLHFRVNTKSMI REVLNQIDSLTRSSPTGEATYGTNDSGKGKKVIIEYSSPNIAKSFHVGHLRSTIIGAF LANLYKACGWEVISMNYLGDWGTQFGLIATGFEKYGSQAELEKDAIKHLFDIYVAINK DAESDPSVKAAAAQWFKRMEDGDEDALKNWRVWREMSVKKYEKEYERLNVKFDVYTGE SKVGKESMDAALEKLETMGLISDSDGAKLVELEKWKLGKAVVRKKDGTSIYLTRDIGG AIERYEKYKFDKMIYVVSSQQDLHLAQFFKVLELMGFPWAKDLVHINYGLVQGMSTRK GTVVFLDQIIKEAGNVMHEQMMKNEEKYKAVEDPEETALEIGITGVKIQDMAAKRIGN YTFNWDRMKSFEGDTGPYLQYAHVRLASIARKNPTLTPLPPSSEIQTELLAQYAHARD IAFLLGTYPDVVKVAMKTQEPSGVVTFAFRLAHAISSAWDSVIVKGEEDLEKARAKLY LYECAREVLGAAMRLLSLRPLERM JR316_0013517 MSLVGTVVTQSINLALKTTLACILLIGAYFLSPIALRRFVKDKD GNTIPPGPPTRYAYLRKYAERALDSWAKQYGDLFSIWMGSQLFVIISDPQVAKDLFVT NGAIFSSRKRYFMKSQVILRGRAITGSPYGNTWRQHRRLATLALSPKSMEGHADIMDY EAHMLMKALYEESQRGTTPLNTTQATGRYALNNMLILSFGIRTTSLDDPLVAKALRLT MEFMELTGPWANVIDFFEILQYIPTPKKARGHQLYADMVDTYGSMLLQFKTKMLAGEE VPDCLIKTLLENQESEKLDWEDICMLSAVFTLGGVHSVSGMIQWFIATLPSHPEICAK AQEELDRVIGRDRWPTIEDEFNLPYVRAIIKELERLHAPFWNATPHFTTEDFSYKGHY IPKDTVVILNCYTIHHNEIRYPDPFSFNPDRYLDDGLSCNESSKLSDPMARDHWAFGA GRRICPGIQTAERELWLVCSRLLWSFNFKSVPNEPISLEEYDGLSGRTPKPFRVHLTP RFEGVSKILDSAEDVPFYL JR316_0013518 MTTPNLSVPVNVENYAISSNLNSSMTFNLLMDFSLQWYYLNWSI VVNGSTRNSIFVASLSSPTWLHIFNEFLFNLLFVVSDALLIWRCYHVWGQSIKMISIS LIFLTAELALSLTSTIFDGTSPILATKARETLSNNISTALAFVSLGTTFSATFLIGYR VHILSNSHVLSFKSFYNRIVLIVIESAALYSLVFLFWGIILVIPIFHNLESPLVEVVP YVEIVLTVGAGLAPTVMVARLALEEPETSHNCSTTVPPISNLYFNHQYGSAGSSQDVI ASAVLAIEKSVTTKDVHHGIV JR316_0013519 MSSSDSFPSSTVEGYTLSASLNASMLVNLLLGVYTVVYGGTLYL YWSRKSSNKSRRVVIAMISTLYLLTLADTILEWYFLDWSFITYGITRDTIFWSSLQGP VWYQAVDNFLFSSLLIIWRCYHVWGDSRKVIAIPVFLLIAEIALTITTTVLDAMLPSL TSESNTYMFNNIATTLAFVSLGTTVCATSLIGYKIHSASKNNILGSRAAYKRIVVTIV ESSSFYSLVLLVYAMTGVIPQLQNFQLPSIQATYYVGAVLSISAGLAPTVMVARLALT GGSTTASSGTIGNISGLQFTSKTGDRGDEESSSSG JR316_0013520 MYRVPASCPDTTYRRPPSAPVTCLLTIVRLTLTLDFDTTYRRPL TGIGPLVHRNFLQAKTLDAMYFRPSSEIRN JR316_0013521 MDGNAPVATPSRRRGTRMRVLSELEDGNLACVFPRVGHVVETVN LRLLSELEDGNDLESVFPSGGNAVESRIDWGAGNNETYAKTHRAPPIYSKAYIRSTGA ARHMRSARCESPLDSPPCCGFQYAIGEWTGRGGDVVDVLWCCRGFASAAYTVEMWREV PSRCPKDAIAGLRYPKIWLSNIPGGTPVECESGTPQQAGKGIRIDAYVPYTGNVDS JR316_0013522 MSTNCKKRLIRDFKRLSSDPPGGISGSPLPDNIMLWNAVIFGPG DTPFEDGTFKLLLTFDESYPNKPPTVKFLSRMFHPNVYANSELCLDILQNRWSPTYDV AAILTSIQSLLHDPNPNSPANAEAAQLYRENMKEYVRRVKVTVEESWLDPEEGGLGEN EGEQAGEGGEPMEGQHAGGAASQRV JR316_0013523 MYRVPASCPDTTYRRPPSAPVTCLLTIVRLTLTLDFDTTYRRPL TGIGPLTDLDPRFALTQCIDIRRPNFALTRRIAVRRAGVVSCVPVEIYLETIYRRPTS RMGHLHECFDTIVEISYKQKPSTLCISVRRARFGMLTPMPPAAVASIE JR316_0013524 MSPGVATPSRRRGTRMRLLSELEDENLESVFPSGGHAVENLGLL SELEDGVSKQAIQHGTPLTDLDTQNDLESVFPSGGHAVERTARD JR316_0013525 MSTNCKKRLIRDFKRLSSDPPGGISGSPLPDNIMLWNAVIFGPG DTPFEDGTFKLLLTFDESYPNKPPTVKFLSRMFHPNVYANGELCLDILQNRWSPTYDV AAILTSIQSLLHDPNPNSPANAEAAQLYRENMKEYVRRVKVTVEESWLDPEEGGLGEG EGEGGEVEMDEVDREGGEPMEGQHAGGAASQRV JR316_0013526 MSPGVATPSRRRGTRMRLLSELEDENLESVFPSGGHAVENLGLL SELEDGVSKQAIQHGTPLTDLDTQNDLESVFPSGGHAVERTARD JR316_0013527 MSTNCKKRLIRDFKRLSSDPPGGISGSPLPDNIMLWNAVIFGPG DTPFEDGTFKLLLTFDESYPNKPPTVKFLSRMFHPNVYANGELCLDILQNRWSPTYDV AAILTSIQSLLHDPNPNSPANAEAAQLYRENMKEYVRRVKVTVEESWLDPEEGGLGEG EGEGGEVEMDEVDREGGEPMEGQHAGGAASQRV JR316_0013528 MYRVPASCPDTTYRRPPSAPVTCLLTIVRLTLTLDFDTTYRRPL TGIGPLVHRNLLQAKTLDAMYFRPSSEIRNVGMI JR316_0013529 MSTNCKKRLIRDFKRLSSDPPGGISGSPLPDNIMLWNAVIFGPG DTPFEDGTFKLLLTFDESYPNKPPTVKFLSRMFHPNVYANSELCLDILQNRWSPTYDV AAILTSIQSLLHDPNPNSPANAEAAQLYRENMKEYVRRVKVTVEESWLDPEEGGLGEN EGEQAGEGGEPMEGQHAGGAASQRV JR316_0013530 MWALDIALTRRIDVRRAGLDLWSSDFALTRRIAVRRAGVVSCVP VEIYLETIYRRPTSRMGHLHECFDTIVEISYKQKPSTLCISVRRARFGMLTPMPPAAV ASIE JR316_0013531 MPTQSNPDSSDHKHSTPTIYAIDPNNFPEISPPSATNTSDEESS GSDESSDDGSGSNDSPDDGSGDNKSSGTGSGDDESSNNGSESADEQTIPLNDKGKGKQ KVNLAVNDNSFVPPPDFDSSSEDAQSVVAMQTSRSSAQFLAPKPSATISSAITAASSS TELQINEPTAEETPTVPTITPIISYTSVVDSIILESRPMVSTERKGGRYSPPPEACHL LLRNPYFRTYGRPDPMGSYPSGSPPTAKEIEYDEVKERTSYFNPPFLFNYTEQQLRQS HDKIKHTRLGDNSYLNKATTLKNLTLNQLQTQILYSNALSEIDDGIAIVENDLETLIK YSPA JR316_0013532 MASTSSASLKTDVEHWENMARSSIDAGEKIFGSRIEVLWTELAK VFPLHTRNPKAYRLFDYIGPIINKACRDGSDNQGRTVREFVVDFAELRKQMENFDKYA RESKKRKEKEGKSKTKANEMEEKEQEREREKEKRRQEKEEKEKKEREEKEREAKRERE REREREEKERERQQRAKEEKEKRQREKEEKEKRQREREEKEKRQREREEKEKRERERE REREREEREKRQREREEREREREEKEKRERQREREEREREREREREEMKTHPKKRSRT EKKSKPTVDSSAEGSGADTMRPSKVDKGKGKAPPPTVISSGSESEPRVRKSARKTPAK PETSAPPKASSSRKKPASKSKSTIGTDTDRSEDEGEGEGEGEDSEEEGGEDNEAPLKK ARRRSEAEDQKKNLVTVTPSCKACQARKRRCKMERGQTIVGFATEGSTSIPQYSRACV LCRRNKTKCDLFPGRSVKPPGMTLTTPIVEYTEILRAEKTAGSDLPGQGKKGELPVPK DVGELLVQLYAKLGEVGEENAALHSSLTSLHARVTSLTQLSERQKAQMESMEKVMKSM ESKLGEMRLEGKHASPTPRPPSPSPAPPSPPPASPAPPSPAPPSPPPASPPPASPAPP RFSSLASSNSPSSPPLPPPEPISPPLQNREVGSSAPPSPPGPMSPPRQNREVGSTAGS NLPPSPGHEEPPSPMPPAPDHLPKDVEMDKINEDHRSSDLSSPEPSDDEAPAEKVKGK AKQKGKEKVDPKVSNAATRTRAGRSGKRKAAEEPETLPSPKKPRGRASKK JR316_0013533 MPPQPPPSNTSDNSGRRPSVPPPGGTVGPDIPPDEEQLWTAREM RILRGNVQNYKDAPRLSKSDFIRNTIVPLIKATWDHKYSEVAMRADKALFNEWKAKKD RLFNWFANHASTPRNTKLDGMHGRATFQSVFREKKAAEIEEEVQLLSGNARRGSPQWI KFYQQARKRVESRLTIAEREEYARILEEWKKKGFSKTLKAKTAKRQGKKILHQMDRIK WLRMGMRSITFEGHYDLDGKIEYSMTQTHDLGLDDPRIPSFGQLFPDELKSFRRAFVK YLVKVSEIENGVSTPAVPAGTFLEKDLKFNSNGFPLVPSPIYNSKGRETNAIQKTIIR IYMNRVYALAKDRSGSRIPWDSVEKHFGEMIDPEYWPSSIPFTDPSRLRVDDTAALLR HWRQRQSRGLIPFKSPNSAYNPSTKALDYLKESS JR316_0013534 MLQHFEHNIDESDIPHYPGDLTDQQVIDNLRELQDVRTGGKSQN DYLREWLPKREIYLGTLIGREAPPPRRDNQCQQCQRLPGCWRCLECFGSQLVCPHCLR QNHQLVPFHRVEKWTGEYFRPGGLWEVGIRLYLGHNGKRCPVQLPLNESTHGTSIGAE GYVGNGIQSAAENNYWSGTSLAADEYSRNGTRDAAEVTDTHDIGPTPANNDEESFTSQ LLADPEIPTLFELDDGDDEDINLYEELDTSFHNQPRPRAEDNDGIPFKVIIHTSGVHY LPVRTCTCRSAMLPLDLQYLEMGLFATSFQNIRTLFTLEVLEDFRVTNLECKTSGYQY YQKLRRITSPSFPKQVLNRYRELRRLSRQYRNLILHKIHGQGHSEQALKAYMELKYPQ QGSEMHPDQEPFGSITPPEPGQTRNNSSSRQSEPNEDSERNDTTSRLEVDPGDSDPNN MSPEPPSRNEPDKRGSLTLFCPACPQPGVNLPDDWVLEADSDIYIRSYVADGNFKADH LNQKNEGDDVWLSVGEGFMTDPGPYKEHIKEAISLAPRYKREPTCHNYHAQKAENRVS PGKRVRGIGAHACARHGCFCPNSVVDFDKGEKQMHMDWSLTQARETTNTKGITRHLEI YDINCQYCVNLMKRLTDSTKMHWPPSVKITFAIGLFHVHGHKSECLYNYASTYIPGVG IIDGEILEPLWSVLNDTSRSTRSATTAHRAEVLDDHMGDSNWKKTINMAATIAAKYKR AGEQSGITEAFYRNLTEQQPPELVDTWEQEIKQAEFDRDQGVSDAMSQVMASKVKTAA GRQEIELHLSNMELTSSGATGKAAWISSGLKLEQAQLELRSHVRKLGTHPSTAQQLDL VNKRRSMRTRVEAFSRTALTFLGEEALESIQGVNTPVLDDEVSDDEIADIGNVNITRA DPERQPLPFPSALLDDYFRDLEEGMAHQLKGLQKLELRIRQGHAEDCLEAVRSALIQL SWQYKYQVRMADSVYTGTRAWDGVKLLNASWKLHKKIYNANRIAMIRIAGHSEEDIMQ IRREFPVLYDHDCKHSAAISDPNVRGGSSDRLSWIWRSRQGLNADNQLYSFVLTGSEL GHKETDGKKNYP JR316_0013535 MSLPRIFNPPTEDEHYLLSYDERRALAKLHVHLCGARIRTGYRL LLSSASSVPPDTLARWLSLLEREACWSAEEDNDILKSTKPWGYWWEPGHKADEDWKVS DVTIESQVREFWNKIVLPRYAEELKLSKKGGPSESAAQTHSAAPAAAQHSERPTPGAN YPDQPLADAPHPISSISSALNRQKSSGSSKKTAPQGSASKARDSFPSGPQASKQPSGS RSAAPPVANTRDHMSPIAISDHRPPYCPKCGQPIFTRVMRSLANLRLNLADMRKTADE AVAHFSDLVGRYSIIENIAYEYGRPPFENNFSLPDEYKLQHPEHPKSDGWNARLSEMQ YNALSQMNDASFCINGIFYSFVALKELPFHPPWLYSTPISRVVNIQTAPETAAESSDP NVIPVKPFAVSASSSSTNEVFVLDPRASLNNYRENNDDCASSSSSGTSVPADYLEQLA EQIEDEEDFEEFSDVMEDSEVSDDETQSHNDWSYEDEDQDDDQDSSYNLDNNFNTSQ JR316_0013536 MQSYMASKVNNGMPTLIPEDMTAETLYECNLAVEIILEARSNQE QTKWNANNYIEHLSERCTGRNDAVEDNLKNLFPPIHLPMLYRCKPGTVADSEGNILAW YLPGILTERRVNSTWKMLQNIEGLICMTPSSTSWRANKTYFRHESAWLRPGNANFSPA WFQQGHEQSNPLEVSADLTHPDGLSFIAGLMTTSALIGAILSIIHPAQFRAGIEFLER ISSQPEIIHKAELLKHILTFWTSPFGVISVISNRDTPFHRDNGSCHPWYDLLMPLGNY ENGRIELPGVGISTG JR316_0013537 MSTNCKKRLIRDFKRLSSDPPGGISGSPLPDNIMLWNAVIFGPG DTPFEDGTFKLLLTFDESYPNKPPTVKFLSRMFHPNVYANSELCLDILQNRWSPTYDV AAILTSIQSLLHDPNPNSPANAEAAQLYRENMKEYVRRVKVTVEESWLDPEEGGLGEN EGEQAGEGGEPMEGQHAGGAASQRV JR316_0013538 MSTNCKKRLIRDFKRLSSDPPGGISGSPLPDNIMLWNAVIFGPG DTPFEDGTFKLLLTFDESYPNKPPTVKFLSRMFHPNVYANSELCLDILQNRWSPTYDV AAILTSIQSLLHDPNPNSPANAEAAQLYRENMKEYVRRVKVTVEESWLDPEEGGLGEN EGEQAGEGGEPMEGQHAGGAASQRV JR316_0013539 SYPNKPPTVKFLSRMFHPNVYANSELCLDILQNRWSPTYDVAAI LTSIQSLLHDPNPNSPANAEAAQLYRENMKEYVRRVKVTVEESWLDPEEGGLGENEGE QAGEGGEPMEGQHAGGAASQRV JR316_0013540 MQYSQTSRREGGPANKYSPDSDAAVDQTETWYKEMVGYVNFDDE SESESGSLNDSAGPSHSTITACVHSQDLSSDSSEEGLEEIEGRRRPVVDSQLRSKDMN NTSTDFPNLCQPTIHTPWHNYTHYPYDSYYHASYRSFGATFIPSVQFATSSSLFVPPE SSLENSKAKQGTHQLSKVGRRKRTPSKCVTKRVSGGTGRLSREEEDSLPSREYTENVI RDILNIPPDVAIKDAWPTAVTPWVPYGKVNALMLVLCCSENTRATVKEIQKLLVDKYP ALKSTPSNHGWRRTLCGYLSHLPQFRRIEREGNKGDYWVLNYFYTIKDLRKAVLPMMF AIHFNFIIKHTMDMFGGAFTLQSLPEVVNEQSVK JR316_0013541 MPKIAETKVTASQVPYTTTPCESQRELNHPMLSRTQQLVSYYQP GRHDNLPGNLANSFHSSAGEKKSCHTGSMQMDLNSEGSSGVDPVEPFARRGHHDGGMV DRPSTKKGGDSRVTNASRHSRKRRLSTSDRDRGKSASTAKRPRTGSLQDPVQTKEGEG RVSATLKPAVRPQVVQPARPRCLADGFSEGRLVIEKRERHRKKEGGFTFQVMRPTQGP JR316_0013542 MLERAFFTLRCYLSHRANGIEAMNMTGPLYATLISIFVADSTIA ATLCVLLWQKKSQIKRTRCIVKSLTFYAVATGILTVLINLTTLLLKIFMNGTPAYEGP LFFLSNVYACSLLTSLDRRERMLTEICPSKGHISISLNSKDHSSSKIEISPYTKCDRD GDCCQPSTENIQAQCALNGDCGKDHTDYGDVQIKVETQTFRSTPDHESASK JR316_0013543 MSNFDVDIGAMDEVIFRLQGIVSDKMLPPMAKPAFRDRTVKQQP YLRTAIAITGLGDIVFNKVMEKLEEVFLRFANNFPADSVSGYDPVLHKDTGFNVFHAH SQYFTKVSAYQDKSDNIGFHPLVNPDNVLASMVGDSFIHAIDNKVQFLRREILPDGTA RYYSYNPASIRIGDIVEISV JR316_0013544 MSSQYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSVDQVIDCVFFDYRAALREFLLNLASWCDKRETVKASLERLESA VNAGNTPNRLKVKAPEFQLTKEFADAGSAEALRVSTTFSTARDVFQKTINDGAIQAKK DELAFWDDKCALNSCYEAAALIVKATYDDRKSSYKLPVFSTDNKGVRRIAEWVVSPQK KAECSALQTILPAIFSHIKQIVNMRHRALAIKIEKKRTTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSVGKKASFRNPSPIILDTNYYSNYPDFVWPEFVQGSSTSGQ KSWPFETQVVVDAFAEEASNQGFQQGRQQEEREGESSRQEQRSKGKGKGKSLDARSTV ASFTSEGMNKPFDPDYGVSSKKKEKPPMLPQFMELGLSMGRRYVIKTIASIPEEALKE MRKHAFSPKRDKIQKFLIDNNYVITMTDKNLGLAVSERDWILRNELNLLEDERNYEEL EFEVAQEVMKSKMIQMQTLARTVEDEHLFLFELGLSRFFLSNVPEDGSSFKYPQFHGI PKIHKKPTGFRPIIPCHSVVFNPAAKFVSKELKPIIKSTPSIIHGTKDLFTRLSQLRI DSKRQWYFVTGDVVAFYPNIPLDLCIEIVCSMYEEWLLNTSEENTTLAHIKPNSLENN LVKLGIFKRAIEIGNTQLITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKIL DHPNVAFYGRYIDDCFAIVYAESEALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFI FKESGKLHWKPFVKTGNNRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAI RDLNALYLMRGYPENLVMSWCKKNIQERWEKRFALRVAEHDESILVLKTRFDQVWNWF SAAELGKTVTEYWSAWYEHAEKGLYSADSSRPLIKPDPNYVHDLDDVRPELFTQILVD GETEFVPDLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPET QNVNETYHSALVEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0013545 MNKPFDPDYGVSSKKKEKPPVLPQYMELGLSMGRRYVQRTIASI PEEALTEMRKHAFSPKRDKIHKNLGLAVSERDWILRNELNLLEDERNYEELEFEVAQE VMKSKMIQMQTLARTVEDEHLFLFELGLSRFFLSNVPEDGSSFKYPQFHGIPKIHKKP TGFRPIIPCHSVVFNPAAKFVSKELKPIIKSTPSIIHGTKDLFTRLSQLRIDPKRQWY FVTGDVVAFYPNIPLDLCIEIVCSMYEEWLLNASEENTALAHINPNSLENNLVKLGIF KRAIEIGNTQLITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKILDHPNVAF YGRYIDDCFAIVYAESEALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFIFKESGKL HWKPFVKTGNNRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAIRDLNALY LMRGYPENLVMSWCKKNIQERWEKRFALRIAEHDESILVLKTRFDQVWNWFSAAELGK TVTEYWSAWYEHAEKGLYSVDSSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVP DLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQNVNETY HSALVEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0013546 MSWCKKNIQERWEKRFALRVAEHDESILVLKTRFDQVWNWFSAA ELGKTVTEYWSAWYEHAEKGLYSADSSRPLIKPDPNYVHDLDDVRPELFTQILVDGET EFVPDLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDENIAEKGGVVPETQNV RTQEFADAGSAEALRVSSTFSTARDVFQKAINDGAIQAKKDELAFWDDKCALNNCYEA AALIVKTTYDDRKSSYKLPVFSTDNKGVRRITDWVVSPQKKAECSALQTILPAIFSHI KQIVNLRHRALAIKIEKKRSTAATADVEMADATKPGPSIQSLIDKGLNARLKKLNLGS TLSGQNSSKAPQPQAKKAGPSKFKPSSTPSQKKPQTKASNKVDNKKKGKGRAPVKNDP KGKGKARA JR316_0013547 MNKPFDPDYGVSSKKKEKPPVLPQYMELGLSMGRRYVQRTIASI PEEALTEMRKHAFSPKRDKIHKNLGLAVSERDWILRNELNLLEDERNYEELEFEVAQE VMKSKMIQMQTLARTVEDEHLFLFELGLSRFFLSNVPEDGSSFKYPQFHGIPKIHKKP TGFRPIIPCHSVVFNPAAKFVSKELKPIIKSTPSIIHGTKDLFTRLSQLRIDSKRQWY FVTGDVVAFYPNIPLDLCIEIVCSMYEEWLLNASEENTALAHINPNSLENNLVKLGIF KRAIEIGNTQLITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKILDHPNVAF YGRYIDDCFAIVYAESEALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFIFKESGKL HWKPFVKTGNNRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAIRDLNALY LMRGYPENLVISWCKKNIQERWEKRFALRVAEHDESILVLKTRFDQVWNWFSAAELGK TVTEYWSAWYEHAEKGLYSADSSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVP DLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKGGVVPETQNVNETY HSALVEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0013548 MSSQYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSVDQVIDCVFFDYRAALREFLLNLASWCDKRETVKASLERLESA VSAGNTPNRLKVKAPEFQLTKEFANAGSAEALRVSTTFSTARDAFQKAINDGAIQAKK DELTFWDDKCALNSCYEAAALIVKATYDDRKSSYKLPVFSTDNKGVRRIAEWVVSPQK KAECSALQTILPAIFSHIKQIVNMRHRALAIKIEKKRTTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQAKKAGPSKPKSSSTPSQRKPQTKASN KVDNKKKGKGRAPVKNDPKGKGKARA JR316_0013549 MRGYPENLVMSWCKKNIQERWEKRFALRVAEHDESILVLKTRFD QVWNWFSAAELGKTVTEYWSAWYEHAEKGLYSADSSRPLIKPDPNYVHDLDDVRPELF TQILVDGETEFVPDLRKIGLLGSRWIVSRKRNTNLFDLANVWKKTVFRKLDEDIAEKG GVVPETQNVNETYHSALVEAAEQISIESDNEIILHRRSISQEREHPEFGRISKSYNR JR316_0013550 MSSQYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSVDQVIDCVFFDYRAALREFLLNLASWCDKRETVKASLERLESA VSAGNTPNRLKVKAPEFQLTKEFADAGSAEALRVSTTFSSARDAFQKAINDGAVQAKK DELAFWDDKCALNSCYEAAALIVKATYDDRKSSYKLPVFSTDNKGVRRIAEWVVSPQK KAECSALQTILPAIFSHIKQIVNMRHRALAIKIEKKRTTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQARKAGPSKPKSSSTPSQRKPQTKASN KVDNKKKGKGRAPVKNNDPKGKGKARA JR316_0013551 MGLPTSTTSSTTTTTTTTSQSDDPLSTLLLPPPNETPTERTTRL EAEAAARRVSEMIDEELKVERAERRRRERGVVRVLLLGQSESDFRMKYARADWDAERA SWRAVIQLNVIRSIITIVEALQAEMDGEPEGEGDLQQSVSPGGSSSAGGGGEASGSGS GVGGGTGREGSKQLSTLLTGKHQVLKMRLGPLRRVETDLKRRLGAGSDEDMGLPLPSP APAAAAAATGDSAATNVLGGTSLGPLSLETEPQGLARPLGAASAQREFGVTRLQEALQ RGQRLVRKGSAQSVRRQGRVGSGRATPVGEDGEGEGEMVDDATEILASCLEDMKALWT DDVVRAVLKKRRIRIEDTAGFFLDDLDRIAQRDYSPSDDDVVRARLRTLGVQEYRIRL DDGPTSIFAGGIGGDAGKEWILYDVGGSRTVRHAWLPYFDNVQAIIFLAPVSCFDERL TEDARVNRLEDSFLLWRTVCSSKLLASTTMILFLNKCDLLKRKLKAGVQVRKYLPSYG ERANDVNTVVKYLREKFKEQLKEHLPTQRASYFYATSVVDKKATATTIKAVKDSILRD YLKNADFLS JR316_0013552 MYEEWLLNASEENTALAHINPNSLENNLVKLGIFKRAIEIGNTQ LITQHGSRYFRQKNGLAMGVADSPDLANLFGAHFEIKSKILDHPNVAFYGRYIDDCFA IVYAESEALALNLIKETIKFDGCVIEWAVSSSGCQFLDAFIFKESGKLHWKPFVKTGN NRERVPWVSHHPLDVKRGVYIGELSRLAVLCSTKEIYIGAIRDLNALYLMRGYPENLV MSWCKKNIQERWEKRFALRVAEHDESILVLKTRFDQVWNWFSAAELGKTVTEYWSAWY EHAEKGLYSADSSRPLIKPDPNYVHDLDDVRPELFTQILVDGETEFVPDLRKIGLLGS RWIVSRKRNTNLFDLANVWKKTVFRKLDENIAEKGGVVPETQNVNETYHSALVEAAEQ ISIESDNEIILHRRSTSQEREHPEFGRISKSYNR JR316_0013553 MSSQYSAAFSRAMSPTLDALTQAVVNNTVFGVNDEAGRHNALID ELATVVIKKIAECRSVDQVIDCVFFDYRAALREFLLNLASWCDKRETVKASLERLESA VSAGNTPNRLKVKAPEFQLTKEFADAGSAEALRVSTTFSSARDAFQKAINDGAVQAKK DELAFWDDKCALNSCYEAAALIVKATYDDRKSSYKLPVFSTDNKGVRRIAEWVVSPQK KAECSALQTILPAIFSHIKQIVNMRHRALAIKIEKKRTTAATADVEMADATKPGPSIQ SLIDKGLNARLKKLNLGSTLSGQNSSKAPQPQARKAGPSKPKSSSTPSQRKPQTKASN KVDNKKKGKGRAPVKNNDPKGKGKARA JR316_0013554 MSHSQNSSVDSKDATRTVVVTPAPERASTLVDPPAKYSKKEKWM IVIFTAFIALFSPLTATIYYPEIPILSQVFGKSTELINLTVTAYVVLQGIAPMIWGPF SDHIGRRPIYAACLLILSLSCVGLALVPTSNYGLLLALRCIQACGSASTVAIGAGVIG DISSRAERGGFFGIFTLGPTAGPALGPVIGGALAGHFGWRAVFWFLCIASSFCVLVII LFQPETVRHIVDSGRDSIFLVYRPVLPVIGRKDVLSRPLSVQLSPVKIPRNPFGLFMQ PDIALLLYMNGVAFAIFYGVLVTVSSLMLPAYPFLTETTLGLCFLSVGGGTIIGSAIS GRVLDREFRRMRIRIEAAHSGSATLDREENFPLERARLQLTPYLVVICAAACAGYGWC LQQKTHIAVPLVLQFFIGVFTIIAMNSSTTLMIDLVPGQSSSVTACSNLIRCTLSAVL VSVIDIMAKGIGTGWTFVILSGLCLSPLPLIYISFIIGPRNRARRQRLREEEIARTRT ASVSISEEKA JR316_0013555 MSGLAVSNSPGGTTAYGCRSQAQWNKLVNDAKSQGFKIIRIIGF DCSALELASSAAATAGLQIMAGIFAQFGTIAASSSQIEYELIFICNDVQRFRAAYTKY GAGRYVGLTIGNEVQDSVGNIMAKVYSVRGYLKSVGVQTPVSTVHTWVDIRNNPALCG ADFVGANAHAFFDGGVNSGQAGSFLYNTVKPALQAACPGKKIYITESGWPSRGGNNRN AVASVPDEHNAISSINCAARDTSMTIFAFEYDDQLWKNGGAIEQSFGIFGKILPGDAL NAC JR316_0013556 MDPNYNQYAAQALMDQGYCDARKSIHDAMPVVDFQLEDHRVVYV EQPRSWRITRTNSTEEQNFYVYGAICRNELPPIKLSDATPSMKKKAIYLRQGVRITGL RSNGFNDDAVSIKHVHEMMKTYLKKEDIEVKPWNLSMYEGHWAVDASTRYFTPRKHAP TEAGLAFDMGVDPDGVLAHMRGDDLIHTMDNKVDYLREVKNDNGTSTSRVVPSVFKVG DIVRATIAFIGVMHKDKAVAMTTVLRALTLIHDVQDVRNGPAEFIENDAEIAKIPVMK RRRVYDEDREVRQKMEDMTIHRE JR316_0013557 MVFALVASGVLLSIFDALPASSSIIYSIPKSLYRFNHIMVSINS AYAPASPKPTYADVVAGDCSPSAEYKHRPAKGIRNVIIHVKPDNKEDSFSVATDSDDG PLDSVASRIAAAKVVKPGNKTVAKRDIEDAALVCSDEELPARISPPKKSRTSVSANVD ATVNHVSYSSDSSVEVIRVVDNSANARYQKGISDAILVDDSSADEMVSVHPTSGAKPN KQKGKKPQKTMPQTVRIKQEPGIVIKQEASARPTSVLPSEVMVKKESVDVHIPLAPDN VASTPTKKDKGKSSVSKKGSVGIRRSARRSEAAIERVPLSVSPLKIGSEISGSAVLSP PITLQGDADSDINPFLPSPEVILGTKIPGKGKSIRIVLPSGPIDLHEDEAMMFAHAVR ESRMHQTTYPSSNALPSGPSSSKLLKALDDAYEKYSEADALKTEHSTLDPPVQFKASV ISAKSIDAHSDVVMASLSEQPKTRQVQFQSSLTAVPINAAVEAPPTPVTPVRVPRKFK DVVPAGPVNKTPSLGLNGPALMEDTMRFSVKHLPKKCEVTKTDLQDSLLESTYVDLPN LQHGILKQWNSPNVMAPVDCVSFSLCGENFPEMNFENAYDAVTFVRNKNFINPSRVSP LDVSILYMGNDRKRATLQCNSVPAICLSAILTRDSYLLEPISKGLCNKFLSGHMMHQE WERFAGFACMAFGHQVMVASIRDKAITFGTMGTLTQIAERESISPSKPPRTPGILSGQ KDAKLQGGSRKALKSSTRFKTMMDSTDTIPIYDSRNHAFNFNTDLDRLDELLPRWRRG EIPPNSFVMVAYTMTQYEKERSFHLCTNIQYAVVFGTEHDTSDIEAEQESFAGFDED JR316_0013558 MAPNPFLDLEANVDHGDSEDGSAESDEFECFIDDGTQSHEDCDG SSSVAMDKPIPVTKRDRLALVIQQIEERTRGRSHSLPASDMYRGVEPLYSNADNPTVL SPHGNTDSGFENLPTDYPTWRIGCKVGYEEIAVASLLKNSRREHHIRSAFSRSSVHGY IYLECLMDQPMIDLIKRSPGIIVKTTDVLLSPIDKNEAQQLLYMGGDITNLSVGKWLK VKRGVYKGDVGFVVSKGSWGVSMLMIPRYEYVSTKTKSSRKRKTYTAVPAPKLFDPTG LRNSHLYIESGRASVYRVGDLIFEHGLARVDYDPRHVASCRE JR316_0013459 MPNFGNKISRVARVARVARVARVARVARVARVARVARVARVARV ARVARVARVARVAVARKNNCLIR